From 6986fad3aea4e9272d0dc0974a0ad2d9a3c7bbec Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Fri, 29 Oct 2021 06:04:59 -0400 Subject: [PATCH] add from other repo --- .gitignore | 29 + Buf.sch | 1583 + Clk.sch | 250 + Control.sch | 551 + DIPSW.sch | 128 + Docs/CPLD.graffle | Bin 0 -> 564630 bytes Docs/CPLD.png | Bin 0 -> 1206609 bytes Docs/index.html | 790 + JTAG.sch | 77 + MC68k.sch | 416 + PDS.sch | 688 + Power.sch | 228 + RAMROM.sch | 937 + SE-030-cache.lib | 698 + SE-030.kicad_pcb | 27540 ++++++++++++++++ SE-030.pro | 268 + SE-030.sch | 481 + cpld/CNT.v | 31 + cpld/CS.v | 62 + cpld/FSB.v | 55 + cpld/IOBM.v | 123 + cpld/IOBS.v | 112 + cpld/MXSE.ucf | 32 + cpld/MXSE.v | 119 + cpld/RAM.v | 141 + cpld/VGA.v | 180 + cpld/XC95144XL/MXSE.bld | 38 + cpld/XC95144XL/MXSE.cmd_log | 159 + cpld/XC95144XL/MXSE.gise | 222 + cpld/XC95144XL/MXSE.gyd | 113 + cpld/XC95144XL/MXSE.jed | 1714 + cpld/XC95144XL/MXSE.lso | 1 + cpld/XC95144XL/MXSE.mfd | 2524 ++ cpld/XC95144XL/MXSE.nga | 3 + cpld/XC95144XL/MXSE.ngc | 3 + cpld/XC95144XL/MXSE.ngd | 3 + cpld/XC95144XL/MXSE.ngr | 3 + cpld/XC95144XL/MXSE.pnx | 18 + cpld/XC95144XL/MXSE.prj | 7 + cpld/XC95144XL/MXSE.rpt | 677 + cpld/XC95144XL/MXSE.stx | 0 cpld/XC95144XL/MXSE.syr | 471 + cpld/XC95144XL/MXSE.tim | 0 cpld/XC95144XL/MXSE.tspec | 396 + cpld/XC95144XL/MXSE.vm6 | 7731 +++++ cpld/XC95144XL/MXSE.xise | 280 + cpld/XC95144XL/MXSE.xst | 29 + cpld/XC95144XL/MXSE_envsettings.html | 244 + cpld/XC95144XL/MXSE_html/fit/applet.js | 128 + cpld/XC95144XL/MXSE_html/fit/appletref.htm | 14 + cpld/XC95144XL/MXSE_html/fit/ascii.htm | 1733 + cpld/XC95144XL/MXSE_html/fit/asciidoc.htm | 71 + cpld/XC95144XL/MXSE_html/fit/backtop.jpg | Bin 0 -> 1651 bytes .../XC95144XL/MXSE_html/fit/beginstraight.gif | Bin 0 -> 352 bytes cpld/XC95144XL/MXSE_html/fit/blank.gif | Bin 0 -> 43 bytes cpld/XC95144XL/MXSE_html/fit/blank.htm | 1 + cpld/XC95144XL/MXSE_html/fit/briefview.jpg | Bin 0 -> 1254 bytes cpld/XC95144XL/MXSE_html/fit/check.htm | 9 + cpld/XC95144XL/MXSE_html/fit/checkNS4.htm | 9 + cpld/XC95144XL/MXSE_html/fit/contact.gif | Bin 0 -> 741 bytes .../MXSE_html/fit/coolrunnerII_logo.jpg | Bin 0 -> 9562 bytes .../MXSE_html/fit/coolrunner_logo.jpg | Bin 0 -> 11278 bytes cpld/XC95144XL/MXSE_html/fit/defeqns.htm | 953 + cpld/XC95144XL/MXSE_html/fit/education.gif | Bin 0 -> 816 bytes cpld/XC95144XL/MXSE_html/fit/endmkt.gif | Bin 0 -> 1560 bytes cpld/XC95144XL/MXSE_html/fit/eqns.htm | 1707 + cpld/XC95144XL/MXSE_html/fit/eqns.js | 929 + cpld/XC95144XL/MXSE_html/fit/equations.gif | Bin 0 -> 20290 bytes cpld/XC95144XL/MXSE_html/fit/equations.htm | 13 + cpld/XC95144XL/MXSE_html/fit/equationsdoc.htm | 53 + cpld/XC95144XL/MXSE_html/fit/errors.js | 41 + cpld/XC95144XL/MXSE_html/fit/errors1.gif | Bin 0 -> 5648 bytes cpld/XC95144XL/MXSE_html/fit/errors2.gif | Bin 0 -> 3603 bytes cpld/XC95144XL/MXSE_html/fit/errorsdoc.htm | 91 + cpld/XC95144XL/MXSE_html/fit/errs.htm | 13 + cpld/XC95144XL/MXSE_html/fit/failtable.htm | 42 + cpld/XC95144XL/MXSE_html/fit/failtable.js | 1 + cpld/XC95144XL/MXSE_html/fit/failtabledoc.htm | 95 + cpld/XC95144XL/MXSE_html/fit/fb.gif | Bin 0 -> 10994 bytes cpld/XC95144XL/MXSE_html/fit/fb1.gif | Bin 0 -> 7918 bytes cpld/XC95144XL/MXSE_html/fit/fbs.htm | 77 + cpld/XC95144XL/MXSE_html/fit/fbs.js | 9 + cpld/XC95144XL/MXSE_html/fit/fbs_FB1.htm | 264 + cpld/XC95144XL/MXSE_html/fit/fbs_FB2.htm | 267 + cpld/XC95144XL/MXSE_html/fit/fbs_FB3.htm | 277 + cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm | 258 + cpld/XC95144XL/MXSE_html/fit/fbs_FB5.htm | 235 + cpld/XC95144XL/MXSE_html/fit/fbs_FB6.htm | 265 + cpld/XC95144XL/MXSE_html/fit/fbs_FB7.htm | 264 + cpld/XC95144XL/MXSE_html/fit/fbs_FB8.htm | 274 + cpld/XC95144XL/MXSE_html/fit/fbs_FBdoc.htm | 310 + cpld/XC95144XL/MXSE_html/fit/fbsdoc.htm | 103 + cpld/XC95144XL/MXSE_html/fit/fbview.jpg | Bin 0 -> 2652 bytes .../XC95144XL/MXSE_html/fit/functionblock.gif | Bin 0 -> 22502 bytes cpld/XC95144XL/MXSE_html/fit/genmsg.htm | 17 + cpld/XC95144XL/MXSE_html/fit/header.gif | Bin 0 -> 7878 bytes cpld/XC95144XL/MXSE_html/fit/home.gif | Bin 0 -> 940 bytes cpld/XC95144XL/MXSE_html/fit/index.htm | 14 + cpld/XC95144XL/MXSE_html/fit/inputleft.htm | 14 + cpld/XC95144XL/MXSE_html/fit/inputleft.js | 1 + cpld/XC95144XL/MXSE_html/fit/inputleftdoc.htm | 81 + cpld/XC95144XL/MXSE_html/fit/leftnav.htm | 63 + cpld/XC95144XL/MXSE_html/fit/leftnav.js | 180 + cpld/XC95144XL/MXSE_html/fit/legend.gif | Bin 0 -> 1213 bytes cpld/XC95144XL/MXSE_html/fit/legend.jpg | Bin 0 -> 1256 bytes .../XC95144XL/MXSE_html/fit/logic_legXC95.htm | 2 + cpld/XC95144XL/MXSE_html/fit/logic_legXbr.htm | 16 + .../MXSE_html/fit/logic_legXpla3.htm | 3 + cpld/XC95144XL/MXSE_html/fit/logicleft.htm | 16 + cpld/XC95144XL/MXSE_html/fit/logicleft.js | 1 + cpld/XC95144XL/MXSE_html/fit/logicleftdoc.htm | 100 + cpld/XC95144XL/MXSE_html/fit/logiclegend.htm | 106 + cpld/XC95144XL/MXSE_html/fit/logiclegendV.htm | 106 + cpld/XC95144XL/MXSE_html/fit/macrocell.gif | Bin 0 -> 7699 bytes cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm | 304 + cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm | 304 + cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm | 304 + cpld/XC95144XL/MXSE_html/fit/mapinputdoc.htm | 147 + cpld/XC95144XL/MXSE_html/fit/maplogic.js | 23 + cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm | 1407 + cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm | 1407 + cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm | 1542 + cpld/XC95144XL/MXSE_html/fit/maplogicdoc.htm | 167 + cpld/XC95144XL/MXSE_html/fit/newappletref.htm | 14 + cpld/XC95144XL/MXSE_html/fit/next.jpg | Bin 0 -> 1079 bytes cpld/XC95144XL/MXSE_html/fit/ns4plugin.js | 55 + cpld/XC95144XL/MXSE_html/fit/options.htm | 106 + cpld/XC95144XL/MXSE_html/fit/optionsdoc.htm | 760 + cpld/XC95144XL/MXSE_html/fit/paths.js | 39 + cpld/XC95144XL/MXSE_html/fit/pin.gif | Bin 0 -> 3093 bytes cpld/XC95144XL/MXSE_html/fit/pin_legXC95.htm | 13 + cpld/XC95144XL/MXSE_html/fit/pin_legXbr.htm | 21 + cpld/XC95144XL/MXSE_html/fit/pin_legXpla3.htm | 13 + cpld/XC95144XL/MXSE_html/fit/pindiagram.gif | Bin 0 -> 21649 bytes cpld/XC95144XL/MXSE_html/fit/pinlegend.htm | 150 + cpld/XC95144XL/MXSE_html/fit/pinlegendV.htm | 150 + cpld/XC95144XL/MXSE_html/fit/pins.htm | 521 + cpld/XC95144XL/MXSE_html/fit/pins.js | 62 + cpld/XC95144XL/MXSE_html/fit/pinsdoc.htm | 265 + cpld/XC95144XL/MXSE_html/fit/pinview.jpg | Bin 0 -> 1868 bytes cpld/XC95144XL/MXSE_html/fit/plugin.js | 96 + cpld/XC95144XL/MXSE_html/fit/prev.jpg | Bin 0 -> 1490 bytes cpld/XC95144XL/MXSE_html/fit/print.jpg | Bin 0 -> 1683 bytes cpld/XC95144XL/MXSE_html/fit/products.gif | Bin 0 -> 828 bytes cpld/XC95144XL/MXSE_html/fit/purchase.gif | Bin 0 -> 642 bytes cpld/XC95144XL/MXSE_html/fit/report.htm | 19 + cpld/XC95144XL/MXSE_html/fit/result.htm | 14 + cpld/XC95144XL/MXSE_html/fit/search.gif | Bin 0 -> 975 bytes cpld/XC95144XL/MXSE_html/fit/spacer.gif | Bin 0 -> 233 bytes cpld/XC95144XL/MXSE_html/fit/style.css | 19 + cpld/XC95144XL/MXSE_html/fit/summary.htm | 155 + cpld/XC95144XL/MXSE_html/fit/summary.js | 28 + cpld/XC95144XL/MXSE_html/fit/summarydoc.htm | 205 + cpld/XC95144XL/MXSE_html/fit/support.gif | Bin 0 -> 760 bytes cpld/XC95144XL/MXSE_html/fit/time.htm | 4 + cpld/XC95144XL/MXSE_html/fit/tooltips.js | 143 + cpld/XC95144XL/MXSE_html/fit/topnav.htm | 10 + cpld/XC95144XL/MXSE_html/fit/topnav.js | 30 + .../XC95144XL/MXSE_html/fit/unmapinputdoc.htm | 65 + .../XC95144XL/MXSE_html/fit/unmaplogicdoc.htm | 68 + cpld/XC95144XL/MXSE_html/fit/verboseview.jpg | Bin 0 -> 1262 bytes cpld/XC95144XL/MXSE_html/fit/view.gif | Bin 0 -> 2913 bytes cpld/XC95144XL/MXSE_html/fit/wait.htm | 7 + cpld/XC95144XL/MXSE_html/fit/xc9500_logo.gif | Bin 0 -> 8103 bytes cpld/XC95144XL/MXSE_html/fit/xc9500_logo.jpg | Bin 0 -> 8318 bytes .../XC95144XL/MXSE_html/fit/xc9500xl_logo.gif | Bin 0 -> 9315 bytes .../XC95144XL/MXSE_html/fit/xc9500xl_logo.jpg | Bin 0 -> 9908 bytes .../XC95144XL/MXSE_html/fit/xc9500xv_logo.jpg | Bin 0 -> 9336 bytes cpld/XC95144XL/MXSE_html/fit/xcenter.gif | Bin 0 -> 868 bytes cpld/XC95144XL/MXSE_html/fit/xlogo.gif | Bin 0 -> 3407 bytes cpld/XC95144XL/MXSE_html/fit/xml5.jpg | Bin 0 -> 117992 bytes cpld/XC95144XL/MXSE_html/fit/xml6.jpg | Bin 0 -> 88780 bytes cpld/XC95144XL/MXSE_html/fit/xml7.jpg | Bin 0 -> 73104 bytes cpld/XC95144XL/MXSE_html/fit/xml8.jpg | Bin 0 -> 41810 bytes cpld/XC95144XL/MXSE_html/images/acr2_logo.jpg | Bin 0 -> 2865 bytes cpld/XC95144XL/MXSE_html/images/blackBar.jpg | Bin 0 -> 750 bytes .../XC95144XL/MXSE_html/images/cpldBanner.jpg | Bin 0 -> 5897 bytes cpld/XC95144XL/MXSE_html/images/cr2s_logo.jpg | Bin 0 -> 2884 bytes cpld/XC95144XL/MXSE_html/images/fitterRpt.jpg | Bin 0 -> 1341 bytes cpld/XC95144XL/MXSE_html/images/logo.jpg | Bin 0 -> 2922 bytes cpld/XC95144XL/MXSE_html/images/spacer.jpg | Bin 0 -> 444 bytes cpld/XC95144XL/MXSE_html/images/timingRpt.jpg | Bin 0 -> 1480 bytes .../MXSE_html/images/xa9500xl_logo.jpg | Bin 0 -> 2922 bytes cpld/XC95144XL/MXSE_html/images/xbr_logo.jpg | Bin 0 -> 2865 bytes .../MXSE_html/images/xc9500_logo.jpg | Bin 0 -> 1979 bytes .../MXSE_html/images/xc9500xl_logo.jpg | Bin 0 -> 2922 bytes .../MXSE_html/images/xc9500xv_logo.jpg | Bin 0 -> 2499 bytes .../XC95144XL/MXSE_html/images/xpla3_logo.jpg | Bin 0 -> 4130 bytes .../MXSE_html/tim/cpldta_glossary.htm | 250 + cpld/XC95144XL/MXSE_html/tim/cpldta_style.css | 144 + cpld/XC95144XL/MXSE_html/tim/genreport.htm | 17 + cpld/XC95144XL/MXSE_html/tim/leftnav.htm | 37 + cpld/XC95144XL/MXSE_html/tim/report.htm | 17 + .../XC95144XL/MXSE_html/tim/timing_report.htm | 2885 ++ cpld/XC95144XL/MXSE_html/tim/toc.css | 36 + cpld/XC95144XL/MXSE_html/tim/topnav.htm | 31 + cpld/XC95144XL/MXSE_ngdbuild.xrpt | 107 + cpld/XC95144XL/MXSE_summary.html | 98 + cpld/XC95144XL/MXSE_xst.xrpt | 134 + cpld/XC95144XL/RAM_isim_beh.exe | Bin 0 -> 94720 bytes cpld/XC95144XL/RAM_stx_beh.prj | 2 + cpld/XC95144XL/_ngo/netlist.lst | 2 + cpld/XC95144XL/_xmsgs/cpldfit.xmsgs | 11 + cpld/XC95144XL/_xmsgs/hprep6.xmsgs | 9 + cpld/XC95144XL/_xmsgs/ngdbuild.xmsgs | 9 + cpld/XC95144XL/_xmsgs/pn_parser.xmsgs | 33 + cpld/XC95144XL/_xmsgs/taengine.xmsgs | 9 + cpld/XC95144XL/_xmsgs/tsim.xmsgs | 9 + cpld/XC95144XL/_xmsgs/xst.xmsgs | 9 + cpld/XC95144XL/fuse.log | 19 + cpld/XC95144XL/fuse.xmsgs | 9 + cpld/XC95144XL/fuseRelaunch.cmd | 1 + cpld/XC95144XL/iseconfig/MXSE.projectmgr | 114 + cpld/XC95144XL/iseconfig/MXSE.xreport | 215 + cpld/XC95144XL/isim.cmd | 3 + cpld/XC95144XL/isim.log | 14 + .../XC95144XL/isim/isim_usage_statistics.html | 16 + cpld/XC95144XL/isim/pn_info | 1 + .../ISimEngine-DesignHierarchy.dbg | Bin 0 -> 4816 bytes .../isim/t_cnt_isim_beh.exe.sim/isimcrash.log | 0 .../t_cnt_isim_beh.exe.sim/isimkernel.log | 28 + .../t_cnt_isim_beh.exe.sim/libPortability.dll | Bin 0 -> 901632 bytes .../isim/t_cnt_isim_beh.exe.sim/netId.dat | Bin 0 -> 60 bytes .../t_cnt_isim_beh.exe.sim/t_cnt_isim_beh.exe | Bin 0 -> 257839 bytes .../isim/t_cnt_isim_beh.exe.sim/tmp_save/_1 | Bin 0 -> 2757 bytes .../work/m_00000000001129268806_2975551742.c | 12995 ++++++++ .../m_00000000001129268806_2975551742.didat | Bin 0 -> 8760 bytes ...m_00000000001129268806_2975551742.nt64.obj | Bin 0 -> 204304 bytes .../work/m_00000000002982276307_1919318694.c | 1141 + .../m_00000000002982276307_1919318694.didat | Bin 0 -> 3384 bytes ...m_00000000002982276307_1919318694.nt64.obj | Bin 0 -> 4647 bytes .../work/m_00000000004134447467_2073120511.c | 337 + .../m_00000000004134447467_2073120511.didat | Bin 0 -> 5500 bytes ...m_00000000004134447467_2073120511.nt64.obj | Bin 0 -> 2810 bytes .../work/t_cnt_isim_beh.exe_main.c | 36 + .../work/t_cnt_isim_beh.exe_main.nt64.obj | Bin 0 -> 1328 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 0 -> 5876 bytes .../isim/t_cs_isim_beh.exe.sim/isimcrash.log | 0 .../isim/t_cs_isim_beh.exe.sim/isimkernel.log | 28 + .../t_cs_isim_beh.exe.sim/libPortability.dll | Bin 0 -> 901632 bytes .../isim/t_cs_isim_beh.exe.sim/netId.dat | Bin 0 -> 108 bytes .../t_cs_isim_beh.exe.sim/t_cs_isim_beh.exe | Bin 0 -> 132328 bytes .../isim/t_cs_isim_beh.exe.sim/tmp_save/_1 | Bin 0 -> 3629 bytes .../work/m_00000000002201886890_2332283379.c | 360 + .../m_00000000002201886890_2332283379.didat | Bin 0 -> 4244 bytes ...m_00000000002201886890_2332283379.nt64.obj | Bin 0 -> 6030 bytes .../work/m_00000000003586053589_0453017098.c | 5992 ++++ .../m_00000000003586053589_0453017098.didat | Bin 0 -> 5320 bytes ...m_00000000003586053589_0453017098.nt64.obj | Bin 0 -> 15183 bytes .../work/m_00000000004134447467_2073120511.c | 337 + .../m_00000000004134447467_2073120511.didat | Bin 0 -> 5496 bytes ...m_00000000004134447467_2073120511.nt64.obj | Bin 0 -> 2794 bytes .../work/t_cs_isim_beh.exe_main.c | 36 + .../work/t_cs_isim_beh.exe_main.nt64.obj | Bin 0 -> 1327 bytes cpld/XC95144XL/isim/work/@c@s.sdb | Bin 0 -> 6435 bytes cpld/XC95144XL/isim/work/glbl.sdb | Bin 0 -> 4565 bytes cpld/XC95144XL/isim/work/t_cs.sdb | Bin 0 -> 5397 bytes cpld/XC95144XL/t_cnt_isim_beh.exe | Bin 0 -> 94720 bytes cpld/XC95144XL/t_cnt_isim_beh1.wdb | Bin 0 -> 7779 bytes cpld/XC95144XL/t_cs_beh.prj | 3 + cpld/XC95144XL/t_cs_isim_beh.exe | Bin 0 -> 94720 bytes cpld/XC95144XL/t_cs_isim_beh.wdb | Bin 0 -> 7995 bytes cpld/XC95144XL/t_cs_stx_beh.prj | 3 + cpld/XC95144XL/t_fsb_dtack_beh.prj | 3 + cpld/XC95144XL/t_fsb_dtack_isim_beh.exe | Bin 0 -> 94720 bytes cpld/XC95144XL/t_fsb_dtack_isim_beh.wdb | Bin 0 -> 6370 bytes cpld/XC95144XL/test.v | 150 + cpld/XC95144XL/test_fsb.v | 124 + cpld/XC95144XL/test_fsb_beh.prj | 3 + cpld/XC95144XL/test_fsb_isim_beh.exe | Bin 0 -> 94720 bytes cpld/XC95144XL/test_fsb_isim_beh.wdb | Bin 0 -> 6379 bytes cpld/XC95144XL/test_fsb_isim_beh1.wdb | Bin 0 -> 5803 bytes cpld/XC95144XL/test_fsb_stx_beh.prj | 3 + cpld/XC95144XL/test_fsb_vpa_isim_beh.exe | Bin 0 -> 94720 bytes cpld/XC95144XL/test_fsb_vpa_isim_beh1.wdb | Bin 0 -> 6334 bytes cpld/XC95144XL/test_isim_beh.exe | Bin 0 -> 94720 bytes cpld/XC95144XL/test_isim_beh1.wdb | Bin 0 -> 9745 bytes cpld/XC95144XL/test_stx_beh.prj | 3 + cpld/XC95144XL/tmperr.err | 2 + cpld/XC95144XL/xilinxsim.ini | 1 + cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd | Bin 0 -> 4407 bytes cpld/XC95144XL/xst/work/hdllib.ref | 7 + cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin | Bin 0 -> 18158 bytes cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin | Bin 0 -> 16105 bytes cpld/XC95144XL/xst/work/vlg22/_c_s.bin | Bin 0 -> 12744 bytes cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin | Bin 0 -> 6917 bytes cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin | Bin 0 -> 3892 bytes cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin | Bin 0 -> 17476 bytes cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin | Bin 0 -> 12040 bytes cpld/test/t_cnt.v | 1992 ++ cpld/test/t_cs.v | 100 + cpld/test/t_fsb_dtack.v | 123 + cpld/test/t_fsb_vpa.v | 131 + cpld/test/test_fsb_vpa.v | 131 + cpld/untitled | 280 + fp-lib-table | 3 + sym-lib-table | 10 + 297 files changed, 96621 insertions(+) create mode 100644 .gitignore create mode 100644 Buf.sch create mode 100644 Clk.sch create mode 100644 Control.sch create mode 100644 DIPSW.sch create mode 100644 Docs/CPLD.graffle create mode 100644 Docs/CPLD.png create mode 100644 Docs/index.html create mode 100644 JTAG.sch create mode 100644 MC68k.sch create mode 100644 PDS.sch create mode 100644 Power.sch create mode 100644 RAMROM.sch create mode 100644 SE-030-cache.lib create mode 100644 SE-030.kicad_pcb create mode 100644 SE-030.pro create mode 100644 SE-030.sch create mode 100644 cpld/CNT.v create mode 100644 cpld/CS.v create mode 100644 cpld/FSB.v create mode 100644 cpld/IOBM.v create mode 100644 cpld/IOBS.v create mode 100644 cpld/MXSE.ucf create mode 100644 cpld/MXSE.v create mode 100644 cpld/RAM.v create mode 100644 cpld/VGA.v create mode 100644 cpld/XC95144XL/MXSE.bld create mode 100644 cpld/XC95144XL/MXSE.cmd_log create mode 100644 cpld/XC95144XL/MXSE.gise create mode 100644 cpld/XC95144XL/MXSE.gyd create mode 100644 cpld/XC95144XL/MXSE.jed create mode 100644 cpld/XC95144XL/MXSE.lso create mode 100644 cpld/XC95144XL/MXSE.mfd create mode 100644 cpld/XC95144XL/MXSE.nga create mode 100644 cpld/XC95144XL/MXSE.ngc create mode 100644 cpld/XC95144XL/MXSE.ngd create mode 100644 cpld/XC95144XL/MXSE.ngr create mode 100644 cpld/XC95144XL/MXSE.pnx create mode 100644 cpld/XC95144XL/MXSE.prj create mode 100644 cpld/XC95144XL/MXSE.rpt create mode 100644 cpld/XC95144XL/MXSE.stx create mode 100644 cpld/XC95144XL/MXSE.syr create mode 100644 cpld/XC95144XL/MXSE.tim create mode 100644 cpld/XC95144XL/MXSE.tspec create mode 100644 cpld/XC95144XL/MXSE.vm6 create mode 100644 cpld/XC95144XL/MXSE.xise create mode 100644 cpld/XC95144XL/MXSE.xst create mode 100644 cpld/XC95144XL/MXSE_envsettings.html create mode 100644 cpld/XC95144XL/MXSE_html/fit/applet.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/appletref.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/ascii.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/asciidoc.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/backtop.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/beginstraight.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/blank.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/blank.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/briefview.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/check.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/checkNS4.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/contact.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/coolrunnerII_logo.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/coolrunner_logo.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/defeqns.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/education.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/endmkt.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/eqns.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/eqns.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/equations.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/equations.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/equationsdoc.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/errors.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/errors1.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/errors2.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/errorsdoc.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/errs.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/failtable.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/failtable.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/failtabledoc.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/fb.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/fb1.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/fbs.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/fbs.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/fbs_FB1.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/fbs_FB2.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/fbs_FB3.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/fbs_FB5.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/fbs_FB6.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/fbs_FB7.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/fbs_FB8.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/fbs_FBdoc.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/fbsdoc.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/fbview.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/functionblock.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/genmsg.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/header.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/home.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/index.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/inputleft.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/inputleft.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/inputleftdoc.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/leftnav.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/leftnav.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/legend.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/legend.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/logic_legXC95.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/logic_legXbr.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/logic_legXpla3.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/logicleft.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/logicleft.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/logicleftdoc.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/logiclegend.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/logiclegendV.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/macrocell.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/mapinputdoc.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/maplogic.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/maplogicdoc.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/newappletref.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/next.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/ns4plugin.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/options.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/optionsdoc.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/paths.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/pin.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/pin_legXC95.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/pin_legXbr.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/pin_legXpla3.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/pindiagram.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/pinlegend.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/pinlegendV.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/pins.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/pins.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/pinsdoc.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/pinview.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/plugin.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/prev.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/print.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/products.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/purchase.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/report.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/result.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/search.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/spacer.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/style.css create mode 100644 cpld/XC95144XL/MXSE_html/fit/summary.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/summary.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/summarydoc.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/support.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/time.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/tooltips.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/topnav.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/topnav.js create mode 100644 cpld/XC95144XL/MXSE_html/fit/unmapinputdoc.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/unmaplogicdoc.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/verboseview.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/view.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/wait.htm create mode 100644 cpld/XC95144XL/MXSE_html/fit/xc9500_logo.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/xc9500_logo.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/xc9500xv_logo.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/xcenter.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/xlogo.gif create mode 100644 cpld/XC95144XL/MXSE_html/fit/xml5.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/xml6.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/xml7.jpg create mode 100644 cpld/XC95144XL/MXSE_html/fit/xml8.jpg create mode 100644 cpld/XC95144XL/MXSE_html/images/acr2_logo.jpg create mode 100644 cpld/XC95144XL/MXSE_html/images/blackBar.jpg create mode 100644 cpld/XC95144XL/MXSE_html/images/cpldBanner.jpg create mode 100644 cpld/XC95144XL/MXSE_html/images/cr2s_logo.jpg create mode 100644 cpld/XC95144XL/MXSE_html/images/fitterRpt.jpg create mode 100644 cpld/XC95144XL/MXSE_html/images/logo.jpg create mode 100644 cpld/XC95144XL/MXSE_html/images/spacer.jpg create mode 100644 cpld/XC95144XL/MXSE_html/images/timingRpt.jpg create mode 100644 cpld/XC95144XL/MXSE_html/images/xa9500xl_logo.jpg create mode 100644 cpld/XC95144XL/MXSE_html/images/xbr_logo.jpg create mode 100644 cpld/XC95144XL/MXSE_html/images/xc9500_logo.jpg create mode 100644 cpld/XC95144XL/MXSE_html/images/xc9500xl_logo.jpg create mode 100644 cpld/XC95144XL/MXSE_html/images/xc9500xv_logo.jpg create mode 100644 cpld/XC95144XL/MXSE_html/images/xpla3_logo.jpg create mode 100644 cpld/XC95144XL/MXSE_html/tim/cpldta_glossary.htm create mode 100644 cpld/XC95144XL/MXSE_html/tim/cpldta_style.css create mode 100644 cpld/XC95144XL/MXSE_html/tim/genreport.htm create mode 100644 cpld/XC95144XL/MXSE_html/tim/leftnav.htm create mode 100644 cpld/XC95144XL/MXSE_html/tim/report.htm create mode 100644 cpld/XC95144XL/MXSE_html/tim/timing_report.htm create mode 100644 cpld/XC95144XL/MXSE_html/tim/toc.css create mode 100644 cpld/XC95144XL/MXSE_html/tim/topnav.htm create mode 100644 cpld/XC95144XL/MXSE_ngdbuild.xrpt create mode 100644 cpld/XC95144XL/MXSE_summary.html create mode 100644 cpld/XC95144XL/MXSE_xst.xrpt create mode 100644 cpld/XC95144XL/RAM_isim_beh.exe create mode 100644 cpld/XC95144XL/RAM_stx_beh.prj create mode 100644 cpld/XC95144XL/_ngo/netlist.lst create mode 100644 cpld/XC95144XL/_xmsgs/cpldfit.xmsgs create mode 100644 cpld/XC95144XL/_xmsgs/hprep6.xmsgs create mode 100644 cpld/XC95144XL/_xmsgs/ngdbuild.xmsgs create mode 100644 cpld/XC95144XL/_xmsgs/pn_parser.xmsgs create mode 100644 cpld/XC95144XL/_xmsgs/taengine.xmsgs create mode 100644 cpld/XC95144XL/_xmsgs/tsim.xmsgs create mode 100644 cpld/XC95144XL/_xmsgs/xst.xmsgs create mode 100644 cpld/XC95144XL/fuse.log create mode 100644 cpld/XC95144XL/fuse.xmsgs create mode 100644 cpld/XC95144XL/fuseRelaunch.cmd create mode 100644 cpld/XC95144XL/iseconfig/MXSE.projectmgr create mode 100644 cpld/XC95144XL/iseconfig/MXSE.xreport create mode 100644 cpld/XC95144XL/isim.cmd create mode 100644 cpld/XC95144XL/isim.log create mode 100644 cpld/XC95144XL/isim/isim_usage_statistics.html create mode 100644 cpld/XC95144XL/isim/pn_info create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimcrash.log create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimkernel.log create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/libPortability.dll create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/netId.dat create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/t_cnt_isim_beh.exe create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/tmp_save/_1 create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.c create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.didat create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.nt64.obj create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.c create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.didat create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.nt64.obj create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.c create mode 100644 cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.nt64.obj create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/isimcrash.log create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/isimkernel.log create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/libPortability.dll create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/netId.dat create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/t_cs_isim_beh.exe create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/tmp_save/_1 create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.c create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.didat create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.nt64.obj create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.c create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.didat create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.nt64.obj create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/t_cs_isim_beh.exe_main.c create mode 100644 cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/t_cs_isim_beh.exe_main.nt64.obj create mode 100644 cpld/XC95144XL/isim/work/@c@s.sdb create mode 100644 cpld/XC95144XL/isim/work/glbl.sdb create mode 100644 cpld/XC95144XL/isim/work/t_cs.sdb create mode 100644 cpld/XC95144XL/t_cnt_isim_beh.exe create mode 100644 cpld/XC95144XL/t_cnt_isim_beh1.wdb create mode 100644 cpld/XC95144XL/t_cs_beh.prj create mode 100644 cpld/XC95144XL/t_cs_isim_beh.exe create mode 100644 cpld/XC95144XL/t_cs_isim_beh.wdb create mode 100644 cpld/XC95144XL/t_cs_stx_beh.prj create mode 100644 cpld/XC95144XL/t_fsb_dtack_beh.prj create mode 100644 cpld/XC95144XL/t_fsb_dtack_isim_beh.exe create mode 100644 cpld/XC95144XL/t_fsb_dtack_isim_beh.wdb create mode 100644 cpld/XC95144XL/test.v create mode 100644 cpld/XC95144XL/test_fsb.v create mode 100644 cpld/XC95144XL/test_fsb_beh.prj create mode 100644 cpld/XC95144XL/test_fsb_isim_beh.exe create mode 100644 cpld/XC95144XL/test_fsb_isim_beh.wdb create mode 100644 cpld/XC95144XL/test_fsb_isim_beh1.wdb create mode 100644 cpld/XC95144XL/test_fsb_stx_beh.prj create mode 100644 cpld/XC95144XL/test_fsb_vpa_isim_beh.exe create mode 100644 cpld/XC95144XL/test_fsb_vpa_isim_beh1.wdb create mode 100644 cpld/XC95144XL/test_isim_beh.exe create mode 100644 cpld/XC95144XL/test_isim_beh1.wdb create mode 100644 cpld/XC95144XL/test_stx_beh.prj create mode 100644 cpld/XC95144XL/tmperr.err create mode 100644 cpld/XC95144XL/xilinxsim.ini create mode 100644 cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd create mode 100644 cpld/XC95144XL/xst/work/hdllib.ref create mode 100644 cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin create mode 100644 cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin create mode 100644 cpld/XC95144XL/xst/work/vlg22/_c_s.bin create mode 100644 cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin create mode 100644 cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin create mode 100644 cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin create mode 100644 cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin create mode 100644 cpld/test/t_cnt.v create mode 100644 cpld/test/t_cs.v create mode 100644 cpld/test/t_fsb_dtack.v create mode 100644 cpld/test/t_fsb_vpa.v create mode 100644 cpld/test/test_fsb_vpa.v create mode 100644 cpld/untitled create mode 100644 fp-lib-table create mode 100644 sym-lib-table diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..6e3284d --- /dev/null +++ b/.gitignore @@ -0,0 +1,29 @@ +# For PCBs designed using KiCad: http://www.kicad-pcb.org/ +# Format documentation: http://kicad-pcb.org/help/file-formats/ + +# Temporary files +*.000 +*.bak +*.bck +*.kicad_pcb-bak +*.sch-bak +*~ +_autosave-* +*.tmp +*-rescue.lib +*-save.pro +*-save.kicad_pcb +fp-info-cache + +# Netlist files (exported from Eeschema) +*.net + +# Autorouter files (exported from Pcbnew) +*.dsn +*.ses + +# Exported BOM files +*.xml +*.csv + +*.DS_Store diff --git a/Buf.sch b/Buf.sch new file mode 100644 index 0000000..b1293b4 --- /dev/null +++ b/Buf.sch @@ -0,0 +1,1583 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 3 10 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L GW_Logic:74573 U15 +U 1 1 6094447D +P 2400 4650 +F 0 "U15" H 2400 5250 50 0000 C CNN +F 1 "74AHCT573" V 2400 4650 50 0000 C CNN +F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 2400 4000 50 0001 C TNN +F 3 "" H 2400 4750 60 0001 C CNN + 1 2400 4650 + -1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0166 +U 1 1 6095226D +P 2800 6300 +F 0 "#PWR0166" H 2800 6050 50 0001 C CNN +F 1 "GND" H 2800 6150 50 0000 C CNN +F 2 "" H 2800 6300 50 0001 C CNN +F 3 "" H 2800 6300 50 0001 C CNN + 1 2800 6300 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0167 +U 1 1 609528BF +P 2800 5100 +F 0 "#PWR0167" H 2800 4850 50 0001 C CNN +F 1 "GND" H 2800 4950 50 0000 C CNN +F 2 "" H 2800 5100 50 0001 C CNN +F 3 "" H 2800 5100 50 0001 C CNN + 1 2800 5100 + 1 0 0 -1 +$EndComp +Text HLabel 4550 3200 2 50 Input ~ 0 +AccA[23..1] +$Comp +L power:GND #PWR0168 +U 1 1 609790C3 +P 8400 2100 +F 0 "#PWR0168" H 8400 1850 50 0001 C CNN +F 1 "GND" H 8400 1950 50 0000 C CNN +F 2 "" H 8400 2100 50 0001 C CNN +F 3 "" H 8400 2100 50 0001 C CNN + 1 8400 2100 + 1 0 0 -1 +$EndComp +Text HLabel 8400 1200 2 50 Input ~ 0 +Dout~OE~ +$Comp +L power:GND #PWR0169 +U 1 1 60978CC5 +P 7600 3400 +F 0 "#PWR0169" H 7600 3150 50 0001 C CNN +F 1 "GND" H 7600 3250 50 0000 C CNN +F 2 "" H 7600 3400 50 0001 C CNN +F 3 "" H 7600 3400 50 0001 C CNN + 1 7600 3400 + -1 0 0 -1 +$EndComp +Text HLabel 7600 2500 0 50 Input ~ 0 +Din~OE~ +Text HLabel 7600 2100 0 50 Input ~ 0 +ADoutLE0 +Text Label 9700 2000 0 50 ~ 0 +AccD0 +Text Label 9700 1900 0 50 ~ 0 +AccD1 +Text Label 9700 1800 0 50 ~ 0 +AccD2 +Text Label 9700 1700 0 50 ~ 0 +AccD3 +Text Label 9700 1600 0 50 ~ 0 +AccD4 +Text Label 9700 1500 0 50 ~ 0 +AccD5 +Text Label 9700 1400 0 50 ~ 0 +AccD6 +Text Label 9700 1300 0 50 ~ 0 +AccD7 +Text Label 7600 2000 2 50 ~ 0 +MacD0 +Text Label 7600 1900 2 50 ~ 0 +MacD1 +Text Label 7600 1800 2 50 ~ 0 +MacD2 +Text Label 7600 1700 2 50 ~ 0 +MacD3 +Text Label 7600 1600 2 50 ~ 0 +MacD4 +Text Label 7600 1500 2 50 ~ 0 +MacD5 +Text Label 7600 1400 2 50 ~ 0 +MacD6 +Text Label 7600 1300 2 50 ~ 0 +MacD7 +Text Label 7600 3300 2 50 ~ 0 +MacD0 +Text Label 7600 3200 2 50 ~ 0 +MacD1 +Text Label 7600 3100 2 50 ~ 0 +MacD2 +Text Label 7600 3000 2 50 ~ 0 +MacD3 +Text Label 7600 2900 2 50 ~ 0 +MacD4 +Text Label 7600 2800 2 50 ~ 0 +MacD5 +Text Label 7600 2700 2 50 ~ 0 +MacD6 +Text Label 7600 2600 2 50 ~ 0 +MacD7 +Text HLabel 8400 3800 2 50 Input ~ 0 +Dout~OE~ +$Comp +L power:GND #PWR0170 +U 1 1 609916B4 +P 7600 6000 +F 0 "#PWR0170" H 7600 5750 50 0001 C CNN +F 1 "GND" H 7600 5850 50 0000 C CNN +F 2 "" H 7600 6000 50 0001 C CNN +F 3 "" H 7600 6000 50 0001 C CNN + 1 7600 6000 + -1 0 0 -1 +$EndComp +Text HLabel 7600 5100 0 50 Input ~ 0 +Din~OE~ +Text HLabel 8400 6000 2 50 Input ~ 0 +DinLE +Text Label 9700 4600 0 50 ~ 0 +AccD8 +Text Label 9700 4500 0 50 ~ 0 +AccD9 +Text Label 9700 4400 0 50 ~ 0 +AccD10 +Text Label 9700 4300 0 50 ~ 0 +AccD11 +Text Label 9700 4200 0 50 ~ 0 +AccD12 +Text Label 9700 4100 0 50 ~ 0 +AccD13 +Text Label 9700 4000 0 50 ~ 0 +AccD14 +Text Label 9700 3900 0 50 ~ 0 +AccD15 +Text Label 9700 5900 0 50 ~ 0 +AccD8 +Text Label 9700 5800 0 50 ~ 0 +AccD9 +Text Label 9700 5700 0 50 ~ 0 +AccD10 +Text Label 9700 5600 0 50 ~ 0 +AccD11 +Text Label 9700 5500 0 50 ~ 0 +AccD12 +Text Label 9700 5400 0 50 ~ 0 +AccD13 +Text Label 9700 5300 0 50 ~ 0 +AccD14 +Text Label 9700 5200 0 50 ~ 0 +AccD15 +Text Label 7600 4600 2 50 ~ 0 +MacD8 +Text Label 7600 4500 2 50 ~ 0 +MacD9 +Text Label 7600 4400 2 50 ~ 0 +MacD10 +Text Label 7600 4300 2 50 ~ 0 +MacD11 +Text Label 7600 4200 2 50 ~ 0 +MacD12 +Text Label 7600 4100 2 50 ~ 0 +MacD13 +Text Label 7600 4000 2 50 ~ 0 +MacD14 +Text Label 7600 3900 2 50 ~ 0 +MacD15 +Text Label 7600 5900 2 50 ~ 0 +MacD8 +Text Label 7600 5800 2 50 ~ 0 +MacD9 +Text Label 7600 5700 2 50 ~ 0 +MacD10 +Text Label 7600 5600 2 50 ~ 0 +MacD11 +Text Label 7600 5500 2 50 ~ 0 +MacD12 +Text Label 7600 5400 2 50 ~ 0 +MacD13 +Text Label 7600 5300 2 50 ~ 0 +MacD14 +Text Label 7600 5200 2 50 ~ 0 +MacD15 +Wire Wire Line + 9700 4600 10000 4600 +Wire Wire Line + 9700 4500 10000 4500 +Wire Wire Line + 9700 4400 10000 4400 +Wire Wire Line + 9700 4300 10000 4300 +Wire Wire Line + 9700 4200 10000 4200 +Wire Wire Line + 9700 4100 10000 4100 +Wire Wire Line + 9700 4000 10000 4000 +Wire Wire Line + 9700 3900 10000 3900 +Wire Wire Line + 9700 2000 10000 2000 +Wire Wire Line + 9700 1900 10000 1900 +Wire Wire Line + 9700 1800 10000 1800 +Wire Wire Line + 9700 1700 10000 1700 +Wire Wire Line + 9700 1600 10000 1600 +Wire Wire Line + 9700 1500 10000 1500 +Wire Wire Line + 9700 1400 10000 1400 +Wire Wire Line + 9700 1300 10000 1300 +Entry Wire Line + 10000 1300 10100 1400 +Entry Wire Line + 10000 1400 10100 1500 +Entry Wire Line + 10000 1500 10100 1600 +Entry Wire Line + 10000 1600 10100 1700 +Entry Wire Line + 10000 1700 10100 1800 +Entry Wire Line + 10000 1800 10100 1900 +Entry Wire Line + 10000 1900 10100 2000 +Entry Wire Line + 10000 2000 10100 2100 +Entry Wire Line + 10000 2600 10100 2700 +Entry Wire Line + 10000 2700 10100 2800 +Entry Wire Line + 10000 2800 10100 2900 +Entry Wire Line + 10000 2900 10100 3000 +Entry Wire Line + 10000 3000 10100 3100 +Entry Wire Line + 10000 3100 10100 3200 +Entry Wire Line + 10000 3200 10100 3300 +Entry Wire Line + 10000 3300 10100 3400 +Entry Wire Line + 10000 3900 10100 4000 +Entry Wire Line + 10000 4000 10100 4100 +Entry Wire Line + 10000 4100 10100 4200 +Entry Wire Line + 10000 4200 10100 4300 +Entry Wire Line + 10000 4300 10100 4400 +Entry Wire Line + 10000 4400 10100 4500 +Entry Wire Line + 10000 4500 10100 4600 +Entry Wire Line + 10000 4600 10100 4700 +Entry Wire Line + 10000 5200 10100 5300 +Entry Wire Line + 10000 5300 10100 5400 +Entry Wire Line + 10000 5400 10100 5500 +Entry Wire Line + 10000 5500 10100 5600 +Entry Wire Line + 10000 5600 10100 5700 +Entry Wire Line + 10000 5700 10100 5800 +Entry Wire Line + 10000 5800 10100 5900 +Entry Wire Line + 10000 5900 10100 6000 +Text HLabel 10150 1400 2 50 BiDi ~ 0 +AccD[15..0] +Wire Bus Line + 10150 1400 10100 1400 +$Comp +L power:GND #PWR0171 +U 1 1 61398C93 +P 2800 3900 +F 0 "#PWR0171" H 2800 3650 50 0001 C CNN +F 1 "GND" H 2800 3750 50 0000 C CNN +F 2 "" H 2800 3900 50 0001 C CNN +F 3 "" H 2800 3900 50 0001 C CNN + 1 2800 3900 + 1 0 0 -1 +$EndComp +Text HLabel 2800 3000 2 50 Input ~ 0 +Aout~OE~ +Wire Bus Line + 4550 3200 4500 3200 +$Comp +L Device:C_Small C? +U 1 1 61628088 +P 2300 7300 +AR Path="/61628088" Ref="C?" Part="1" +AR Path="/5F723173/61628088" Ref="C?" Part="1" +AR Path="/60941922/61628088" Ref="C36" Part="1" +F 0 "C36" H 2350 7350 50 0000 L CNN +F 1 "2u2" H 2350 7250 50 0000 L CNN +F 2 "stdpads:C_0603" H 2300 7300 50 0001 C CNN +F 3 "~" H 2300 7300 50 0001 C CNN + 1 2300 7300 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1900 7200 2300 7200 +Connection ~ 2300 7200 +Wire Wire Line + 2300 7200 2700 7200 +Wire Wire Line + 1900 7400 2300 7400 +Connection ~ 2300 7400 +Wire Wire Line + 2300 7400 2700 7400 +$Comp +L Device:C_Small C? +U 1 1 61628094 +P 2700 7300 +AR Path="/61628094" Ref="C?" Part="1" +AR Path="/5F723173/61628094" Ref="C?" Part="1" +AR Path="/60941922/61628094" Ref="C38" Part="1" +F 0 "C38" H 2750 7350 50 0000 L CNN +F 1 "2u2" H 2750 7250 50 0000 L CNN +F 2 "stdpads:C_0603" H 2700 7300 50 0001 C CNN +F 3 "~" H 2700 7300 50 0001 C CNN + 1 2700 7300 + 1 0 0 -1 +$EndComp +Connection ~ 2700 7200 +Wire Wire Line + 2700 7200 3100 7200 +Connection ~ 2700 7400 +Wire Wire Line + 2700 7400 3100 7400 +$Comp +L Device:C_Small C? +U 1 1 6162809E +P 3100 7300 +AR Path="/6162809E" Ref="C?" Part="1" +AR Path="/5F723173/6162809E" Ref="C?" Part="1" +AR Path="/60941922/6162809E" Ref="C40" Part="1" +F 0 "C40" H 3150 7350 50 0000 L CNN +F 1 "2u2" H 3150 7250 50 0000 L CNN +F 2 "stdpads:C_0603" H 3100 7300 50 0001 C CNN +F 3 "~" H 3100 7300 50 0001 C CNN + 1 3100 7300 + 1 0 0 -1 +$EndComp +Connection ~ 3100 7200 +Wire Wire Line + 3100 7200 3500 7200 +Connection ~ 3100 7400 +Wire Wire Line + 3100 7400 3500 7400 +$Comp +L Device:C_Small C? +U 1 1 616280AF +P 1900 7300 +AR Path="/616280AF" Ref="C?" Part="1" +AR Path="/5F723173/616280AF" Ref="C?" Part="1" +AR Path="/60941922/616280AF" Ref="C34" Part="1" +F 0 "C34" H 1950 7350 50 0000 L CNN +F 1 "2u2" H 1950 7250 50 0000 L CNN +F 2 "stdpads:C_0603" H 1900 7300 50 0001 C CNN +F 3 "~" H 1900 7300 50 0001 C CNN + 1 1900 7300 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C? +U 1 1 616280B5 +P 3900 7300 +AR Path="/616280B5" Ref="C?" Part="1" +AR Path="/5F723173/616280B5" Ref="C?" Part="1" +AR Path="/60941922/616280B5" Ref="C44" Part="1" +F 0 "C44" H 3950 7350 50 0000 L CNN +F 1 "2u2" H 3950 7250 50 0000 L CNN +F 2 "stdpads:C_0603" H 3900 7300 50 0001 C CNN +F 3 "~" H 3900 7300 50 0001 C CNN + 1 3900 7300 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C? +U 1 1 616280BB +P 3500 7300 +AR Path="/616280BB" Ref="C?" Part="1" +AR Path="/5F723173/616280BB" Ref="C?" Part="1" +AR Path="/60941922/616280BB" Ref="C42" Part="1" +F 0 "C42" H 3550 7350 50 0000 L CNN +F 1 "2u2" H 3550 7250 50 0000 L CNN +F 2 "stdpads:C_0603" H 3500 7300 50 0001 C CNN +F 3 "~" H 3500 7300 50 0001 C CNN + 1 3500 7300 + 1 0 0 -1 +$EndComp +Connection ~ 3500 7200 +Wire Wire Line + 3500 7200 3900 7200 +Connection ~ 3500 7400 +Wire Wire Line + 3500 7400 3900 7400 +$Comp +L power:GND #PWR? +U 1 1 616280C6 +P 3900 7400 +AR Path="/616280C6" Ref="#PWR?" Part="1" +AR Path="/5F723173/616280C6" Ref="#PWR?" Part="1" +AR Path="/60941922/616280C6" Ref="#PWR0173" Part="1" +F 0 "#PWR0173" H 3900 7150 50 0001 C CNN +F 1 "GND" H 3900 7250 50 0000 C CNN +F 2 "" H 3900 7400 50 0001 C CNN +F 3 "" H 3900 7400 50 0001 C CNN + 1 3900 7400 + -1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0174 +U 1 1 61669C70 +P 2000 5400 +F 0 "#PWR0174" H 2000 5250 50 0001 C CNN +F 1 "+5V" H 2000 5550 50 0000 C CNN +F 2 "" H 2000 5400 50 0001 C CNN +F 3 "" H 2000 5400 50 0001 C CNN + 1 2000 5400 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0175 +U 1 1 6167232F +P 2000 4200 +F 0 "#PWR0175" H 2000 4050 50 0001 C CNN +F 1 "+5V" H 2000 4350 50 0000 C CNN +F 2 "" H 2000 4200 50 0001 C CNN +F 3 "" H 2000 4200 50 0001 C CNN + 1 2000 4200 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0176 +U 1 1 616832D7 +P 2000 3000 +F 0 "#PWR0176" H 2000 2850 50 0001 C CNN +F 1 "+5V" H 2000 3150 50 0000 C CNN +F 2 "" H 2000 3000 50 0001 C CNN +F 3 "" H 2000 3000 50 0001 C CNN + 1 2000 3000 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0177 +U 1 1 616941E6 +P 8400 5100 +F 0 "#PWR0177" H 8400 4950 50 0001 C CNN +F 1 "+5V" H 8400 5250 50 0000 C CNN +F 2 "" H 8400 5100 50 0001 C CNN +F 3 "" H 8400 5100 50 0001 C CNN + 1 8400 5100 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0178 +U 1 1 616AD9A0 +P 7600 3800 +F 0 "#PWR0178" H 7600 3650 50 0001 C CNN +F 1 "+5V" H 7600 3950 50 0000 C CNN +F 2 "" H 7600 3800 50 0001 C CNN +F 3 "" H 7600 3800 50 0001 C CNN + 1 7600 3800 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0179 +U 1 1 616B6145 +P 8400 2500 +F 0 "#PWR0179" H 8400 2350 50 0001 C CNN +F 1 "+5V" H 8400 2650 50 0000 C CNN +F 2 "" H 8400 2500 50 0001 C CNN +F 3 "" H 8400 2500 50 0001 C CNN + 1 8400 2500 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0180 +U 1 1 616BEC31 +P 7600 1200 +F 0 "#PWR0180" H 7600 1050 50 0001 C CNN +F 1 "+5V" H 7600 1350 50 0000 C CNN +F 2 "" H 7600 1200 50 0001 C CNN +F 3 "" H 7600 1200 50 0001 C CNN + 1 7600 1200 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0181 +U 1 1 6099169B +P 8400 4700 +F 0 "#PWR0181" H 8400 4450 50 0001 C CNN +F 1 "GND" H 8400 4550 50 0000 C CNN +F 2 "" H 8400 4700 50 0001 C CNN +F 3 "" H 8400 4700 50 0001 C CNN + 1 8400 4700 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0182 +U 1 1 616AE9EB +P 3300 4200 +F 0 "#PWR0182" H 3300 4050 50 0001 C CNN +F 1 "+5V" H 3300 4350 50 0000 C CNN +F 2 "" H 3300 4200 50 0001 C CNN +F 3 "" H 3300 4200 50 0001 C CNN + 1 3300 4200 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0183 +U 1 1 616AEEB5 +P 3300 5400 +F 0 "#PWR0183" H 3300 5250 50 0001 C CNN +F 1 "+5V" H 3300 5550 50 0000 C CNN +F 2 "" H 3300 5400 50 0001 C CNN +F 3 "" H 3300 5400 50 0001 C CNN + 1 3300 5400 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0184 +U 1 1 616AF6FE +P 4100 6300 +F 0 "#PWR0184" H 4100 6050 50 0001 C CNN +F 1 "GND" H 4100 6150 50 0000 C CNN +F 2 "" H 4100 6300 50 0001 C CNN +F 3 "" H 4100 6300 50 0001 C CNN + 1 4100 6300 + 1 0 0 -1 +$EndComp +Text HLabel 2800 4200 2 50 Input ~ 0 +Aout~OE~ +Text HLabel 2800 5400 2 50 Input ~ 0 +Aout~OE~ +Entry Wire Line + 4400 5000 4500 5100 +Entry Wire Line + 4400 4900 4500 5000 +Entry Wire Line + 4400 4800 4500 4900 +Entry Wire Line + 4400 4700 4500 4800 +Entry Wire Line + 4400 4600 4500 4700 +Entry Wire Line + 4400 4500 4500 4600 +Entry Wire Line + 4400 4400 4500 4500 +Entry Wire Line + 4400 4300 4500 4400 +Entry Wire Line + 4400 3700 4500 3800 +Entry Wire Line + 4400 3600 4500 3700 +Entry Wire Line + 4400 3500 4500 3600 +Entry Wire Line + 4400 3400 4500 3500 +Entry Wire Line + 4400 3300 4500 3400 +Entry Wire Line + 4400 3200 4500 3300 +Entry Wire Line + 4400 3100 4500 3200 +$Comp +L power:GND #PWR0186 +U 1 1 616E7564 +P 4200 5100 +F 0 "#PWR0186" H 4200 4850 50 0001 C CNN +F 1 "GND" H 4200 4950 50 0000 C CNN +F 2 "" H 4200 5100 50 0001 C CNN +F 3 "" H 4200 5100 50 0001 C CNN + 1 4200 5100 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4100 5100 4200 5100 +Text HLabel 3300 6300 0 50 Input ~ 0 +ADoutLE1 +Text HLabel 3300 5100 0 50 Input ~ 0 +ADoutLE1 +$Comp +L power:+5V #PWR0187 +U 1 1 617FEBE9 +P 8900 3800 +F 0 "#PWR0187" H 8900 3650 50 0001 C CNN +F 1 "+5V" H 8900 3950 50 0000 C CNN +F 2 "" H 8900 3800 50 0001 C CNN +F 3 "" H 8900 3800 50 0001 C CNN + 1 8900 3800 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0188 +U 1 1 617FF550 +P 8900 1200 +F 0 "#PWR0188" H 8900 1050 50 0001 C CNN +F 1 "+5V" H 8900 1350 50 0000 C CNN +F 2 "" H 8900 1200 50 0001 C CNN +F 3 "" H 8900 1200 50 0001 C CNN + 1 8900 1200 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0189 +U 1 1 61838750 +P 9800 1000 +F 0 "#PWR0189" H 9800 750 50 0001 C CNN +F 1 "GND" H 9800 850 50 0000 C CNN +F 2 "" H 9800 1000 50 0001 C CNN +F 3 "" H 9800 1000 50 0001 C CNN + 1 9800 1000 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9700 1000 9800 1000 +Wire Wire Line + 9700 1000 9700 1200 +$Comp +L power:GND #PWR0190 +U 1 1 618471DB +P 9800 3600 +F 0 "#PWR0190" H 9800 3350 50 0001 C CNN +F 1 "GND" H 9800 3450 50 0000 C CNN +F 2 "" H 9800 3600 50 0001 C CNN +F 3 "" H 9800 3600 50 0001 C CNN + 1 9800 3600 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9700 3600 9800 3600 +Wire Wire Line + 9700 3600 9700 3800 +$Comp +L power:GND #PWR0191 +U 1 1 61855996 +P 9700 2100 +F 0 "#PWR0191" H 9700 1850 50 0001 C CNN +F 1 "GND" H 9700 1950 50 0000 C CNN +F 2 "" H 9700 2100 50 0001 C CNN +F 3 "" H 9700 2100 50 0001 C CNN + 1 9700 2100 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0192 +U 1 1 61856072 +P 9700 4700 +F 0 "#PWR0192" H 9700 4450 50 0001 C CNN +F 1 "GND" H 9700 4550 50 0000 C CNN +F 2 "" H 9700 4700 50 0001 C CNN +F 3 "" H 9700 4700 50 0001 C CNN + 1 9700 4700 + 1 0 0 -1 +$EndComp +Text Label 8400 5900 0 50 ~ 0 +AccD8 +Text Label 8400 5800 0 50 ~ 0 +AccD9 +Text Label 8400 5700 0 50 ~ 0 +AccD10 +Text Label 8400 5600 0 50 ~ 0 +AccD11 +Text Label 8400 5500 0 50 ~ 0 +AccD12 +Text Label 8400 5400 0 50 ~ 0 +AccD13 +Text Label 8400 5300 0 50 ~ 0 +AccD14 +Text Label 8400 5200 0 50 ~ 0 +AccD15 +Text Label 8400 3300 0 50 ~ 0 +AccD0 +Text Label 8400 3200 0 50 ~ 0 +AccD1 +Text Label 8400 3100 0 50 ~ 0 +AccD2 +Text Label 8400 3000 0 50 ~ 0 +AccD3 +Text Label 8400 2900 0 50 ~ 0 +AccD4 +Text Label 8400 2800 0 50 ~ 0 +AccD5 +Text Label 8400 2700 0 50 ~ 0 +AccD6 +Text Label 8400 2600 0 50 ~ 0 +AccD7 +Text HLabel 8900 2100 0 50 Input ~ 0 +ADoutLE1 +Text HLabel 7600 4700 0 50 Input ~ 0 +ADoutLE0 +Text HLabel 7050 1400 0 50 BiDi ~ 0 +MacD[15..0] +Wire Wire Line + 7200 5200 7600 5200 +Wire Wire Line + 7200 5300 7600 5300 +Wire Wire Line + 7200 5400 7600 5400 +Wire Wire Line + 7200 5500 7600 5500 +Wire Wire Line + 7200 5600 7600 5600 +Wire Wire Line + 7200 5700 7600 5700 +Wire Wire Line + 7200 5800 7600 5800 +Wire Wire Line + 7200 5900 7600 5900 +Wire Wire Line + 7200 3900 7600 3900 +Wire Wire Line + 7200 4000 7600 4000 +Wire Wire Line + 7200 4100 7600 4100 +Wire Wire Line + 7200 4200 7600 4200 +Wire Wire Line + 7200 4300 7600 4300 +Wire Wire Line + 7200 4400 7600 4400 +Wire Wire Line + 7200 4500 7600 4500 +Wire Wire Line + 7200 4600 7600 4600 +Wire Wire Line + 7200 2600 7600 2600 +Wire Wire Line + 7200 2700 7600 2700 +Wire Wire Line + 7200 2800 7600 2800 +Wire Wire Line + 7200 2900 7600 2900 +Wire Wire Line + 7200 3000 7600 3000 +Wire Wire Line + 7200 3100 7600 3100 +Wire Wire Line + 7200 3200 7600 3200 +Wire Wire Line + 7200 3300 7600 3300 +Wire Wire Line + 7200 1300 7600 1300 +Wire Wire Line + 7200 1400 7600 1400 +Wire Wire Line + 7200 1500 7600 1500 +Wire Wire Line + 7200 1600 7600 1600 +Wire Wire Line + 7200 1700 7600 1700 +Wire Wire Line + 7200 1800 7600 1800 +Wire Wire Line + 7200 1900 7600 1900 +Wire Wire Line + 7200 2000 7600 2000 +Wire Bus Line + 7050 1400 7100 1400 +Entry Wire Line + 7200 5900 7100 6000 +Entry Wire Line + 7200 5800 7100 5900 +Entry Wire Line + 7200 5700 7100 5800 +Entry Wire Line + 7200 5600 7100 5700 +Entry Wire Line + 7200 5500 7100 5600 +Entry Wire Line + 7200 5400 7100 5500 +Entry Wire Line + 7200 5300 7100 5400 +Entry Wire Line + 7200 5200 7100 5300 +Entry Wire Line + 7200 4600 7100 4700 +Entry Wire Line + 7200 4500 7100 4600 +Entry Wire Line + 7200 4400 7100 4500 +Entry Wire Line + 7200 4300 7100 4400 +Entry Wire Line + 7200 4200 7100 4300 +Entry Wire Line + 7200 4100 7100 4200 +Entry Wire Line + 7200 4000 7100 4100 +Entry Wire Line + 7200 3900 7100 4000 +Entry Wire Line + 7200 3300 7100 3400 +Entry Wire Line + 7200 3200 7100 3300 +Entry Wire Line + 7200 3100 7100 3200 +Entry Wire Line + 7200 3000 7100 3100 +Entry Wire Line + 7200 2900 7100 3000 +Entry Wire Line + 7200 2800 7100 2900 +Entry Wire Line + 7200 2700 7100 2800 +Entry Wire Line + 7200 2600 7100 2700 +Entry Wire Line + 7200 2000 7100 2100 +Entry Wire Line + 7200 1900 7100 2000 +Entry Wire Line + 7200 1800 7100 1900 +Entry Wire Line + 7200 1700 7100 1800 +Entry Wire Line + 7200 1600 7100 1700 +Entry Wire Line + 7200 1500 7100 1600 +Entry Wire Line + 7200 1400 7100 1500 +Entry Wire Line + 7200 1300 7100 1400 +Text HLabel 8900 4700 0 50 Input ~ 0 +ADoutLE1 +Wire Wire Line + 8400 1900 8900 1900 +Wire Wire Line + 8400 2000 8900 2000 +Wire Wire Line + 8400 1700 8900 1700 +Wire Wire Line + 8400 1800 8900 1800 +Wire Wire Line + 8400 1500 8900 1500 +Wire Wire Line + 8400 1600 8900 1600 +Wire Wire Line + 8400 1300 8900 1300 +Wire Wire Line + 8400 1400 8900 1400 +Wire Wire Line + 8400 3900 8900 3900 +Wire Wire Line + 8400 4000 8900 4000 +Wire Wire Line + 8400 4100 8900 4100 +Wire Wire Line + 8400 4200 8900 4200 +Wire Wire Line + 8400 4300 8900 4300 +Wire Wire Line + 8400 4400 8900 4400 +Wire Wire Line + 8400 4500 8900 4500 +Wire Wire Line + 8400 4600 8900 4600 +Wire Wire Line + 8400 5900 10000 5900 +Wire Wire Line + 8400 5800 10000 5800 +Wire Wire Line + 8400 5600 10000 5600 +Wire Wire Line + 8400 5700 10000 5700 +Wire Wire Line + 8400 5400 10000 5400 +Wire Wire Line + 8400 5500 10000 5500 +Wire Wire Line + 8400 5200 10000 5200 +Wire Wire Line + 8400 5300 10000 5300 +Wire Wire Line + 2800 4700 3300 4700 +Wire Wire Line + 2800 4800 3300 4800 +Wire Wire Line + 2800 4900 3300 4900 +Wire Wire Line + 2800 5000 3300 5000 +Wire Wire Line + 2800 4300 3300 4300 +Wire Wire Line + 2800 4400 3300 4400 +Wire Wire Line + 2800 4500 3300 4500 +Wire Wire Line + 2800 4600 3300 4600 +Wire Wire Line + 2800 5500 3300 5500 +Wire Wire Line + 2800 5600 3300 5600 +Wire Wire Line + 2800 5700 3300 5700 +Wire Wire Line + 2800 5800 3300 5800 +Wire Wire Line + 2800 5900 3300 5900 +Wire Wire Line + 2800 6000 3300 6000 +Wire Wire Line + 2800 6100 3300 6100 +Wire Wire Line + 2800 6200 3300 6200 +$Comp +L Device:C_Small C? +U 1 1 61D73204 +P 2300 6900 +AR Path="/61D73204" Ref="C?" Part="1" +AR Path="/5F723173/61D73204" Ref="C?" Part="1" +AR Path="/60941922/61D73204" Ref="C35" Part="1" +F 0 "C35" H 2350 6950 50 0000 L CNN +F 1 "2u2" H 2350 6850 50 0000 L CNN +F 2 "stdpads:C_0603" H 2300 6900 50 0001 C CNN +F 3 "~" H 2300 6900 50 0001 C CNN + 1 2300 6900 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1900 6800 2300 6800 +Connection ~ 2300 6800 +Wire Wire Line + 2300 6800 2700 6800 +Wire Wire Line + 1900 7000 2300 7000 +Connection ~ 2300 7000 +Wire Wire Line + 2300 7000 2700 7000 +$Comp +L Device:C_Small C? +U 1 1 61D73210 +P 2700 6900 +AR Path="/61D73210" Ref="C?" Part="1" +AR Path="/5F723173/61D73210" Ref="C?" Part="1" +AR Path="/60941922/61D73210" Ref="C37" Part="1" +F 0 "C37" H 2750 6950 50 0000 L CNN +F 1 "2u2" H 2750 6850 50 0000 L CNN +F 2 "stdpads:C_0603" H 2700 6900 50 0001 C CNN +F 3 "~" H 2700 6900 50 0001 C CNN + 1 2700 6900 + 1 0 0 -1 +$EndComp +Connection ~ 2700 6800 +Wire Wire Line + 2700 6800 3100 6800 +Connection ~ 2700 7000 +Wire Wire Line + 2700 7000 3100 7000 +$Comp +L Device:C_Small C? +U 1 1 61D7321A +P 3100 6900 +AR Path="/61D7321A" Ref="C?" Part="1" +AR Path="/5F723173/61D7321A" Ref="C?" Part="1" +AR Path="/60941922/61D7321A" Ref="C39" Part="1" +F 0 "C39" H 3150 6950 50 0000 L CNN +F 1 "2u2" H 3150 6850 50 0000 L CNN +F 2 "stdpads:C_0603" H 3100 6900 50 0001 C CNN +F 3 "~" H 3100 6900 50 0001 C CNN + 1 3100 6900 + 1 0 0 -1 +$EndComp +Connection ~ 3100 6800 +Wire Wire Line + 3100 6800 3500 6800 +Connection ~ 3100 7000 +Wire Wire Line + 3100 7000 3500 7000 +$Comp +L Device:C_Small C? +U 1 1 61D7322B +P 1900 6900 +AR Path="/61D7322B" Ref="C?" Part="1" +AR Path="/5F723173/61D7322B" Ref="C?" Part="1" +AR Path="/60941922/61D7322B" Ref="C33" Part="1" +F 0 "C33" H 1950 6950 50 0000 L CNN +F 1 "2u2" H 1950 6850 50 0000 L CNN +F 2 "stdpads:C_0603" H 1900 6900 50 0001 C CNN +F 3 "~" H 1900 6900 50 0001 C CNN + 1 1900 6900 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C? +U 1 1 61D73231 +P 3900 6900 +AR Path="/61D73231" Ref="C?" Part="1" +AR Path="/5F723173/61D73231" Ref="C?" Part="1" +AR Path="/60941922/61D73231" Ref="C43" Part="1" +F 0 "C43" H 3950 6950 50 0000 L CNN +F 1 "2u2" H 3950 6850 50 0000 L CNN +F 2 "stdpads:C_0603" H 3900 6900 50 0001 C CNN +F 3 "~" H 3900 6900 50 0001 C CNN + 1 3900 6900 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C? +U 1 1 61D73237 +P 3500 6900 +AR Path="/61D73237" Ref="C?" Part="1" +AR Path="/5F723173/61D73237" Ref="C?" Part="1" +AR Path="/60941922/61D73237" Ref="C41" Part="1" +F 0 "C41" H 3550 6950 50 0000 L CNN +F 1 "2u2" H 3550 6850 50 0000 L CNN +F 2 "stdpads:C_0603" H 3500 6900 50 0001 C CNN +F 3 "~" H 3500 6900 50 0001 C CNN + 1 3500 6900 + 1 0 0 -1 +$EndComp +Connection ~ 3500 6800 +Wire Wire Line + 3500 6800 3900 6800 +Connection ~ 3500 7000 +Wire Wire Line + 3500 7000 3900 7000 +Connection ~ 3900 7400 +$Comp +L power:GND #PWR? +U 1 1 61DB2803 +P 3900 7000 +AR Path="/61DB2803" Ref="#PWR?" Part="1" +AR Path="/5F723173/61DB2803" Ref="#PWR?" Part="1" +AR Path="/60941922/61DB2803" Ref="#PWR0194" Part="1" +F 0 "#PWR0194" H 3900 6750 50 0001 C CNN +F 1 "GND" H 3900 6850 50 0000 C CNN +F 2 "" H 3900 7000 50 0001 C CNN +F 3 "" H 3900 7000 50 0001 C CNN + 1 3900 7000 + -1 0 0 -1 +$EndComp +Connection ~ 3900 7000 +Entry Wire Line + 4400 6000 4500 6100 +Entry Wire Line + 4400 5900 4500 6000 +Entry Wire Line + 4400 5800 4500 5900 +Entry Wire Line + 4400 5700 4500 5800 +Entry Wire Line + 4400 5600 4500 5700 +Entry Wire Line + 4400 5500 4500 5600 +Entry Wire Line + 4400 6100 4500 6200 +$Comp +L power:GND #PWR0106 +U 1 1 61580970 +P 4200 3900 +F 0 "#PWR0106" H 4200 3650 50 0001 C CNN +F 1 "GND" H 4200 3750 50 0000 C CNN +F 2 "" H 4200 3900 50 0001 C CNN +F 3 "" H 4200 3900 50 0001 C CNN + 1 4200 3900 + 1 0 0 -1 +$EndComp +Text HLabel 3300 3900 0 50 Input ~ 0 +ADoutLE1 +Wire Wire Line + 2800 3500 3300 3500 +Wire Wire Line + 2800 3600 3300 3600 +Wire Wire Line + 2800 3700 3300 3700 +Wire Wire Line + 2800 3800 3300 3800 +Wire Wire Line + 2800 3100 3300 3100 +Wire Wire Line + 2800 3200 3300 3200 +Wire Wire Line + 2800 3300 3300 3300 +Wire Wire Line + 2800 3400 3300 3400 +$Comp +L power:+5V #PWR0107 +U 1 1 615C5281 +P 3300 3000 +F 0 "#PWR0107" H 3300 2850 50 0001 C CNN +F 1 "+5V" H 3300 3150 50 0000 C CNN +F 2 "" H 3300 3000 50 0001 C CNN +F 3 "" H 3300 3000 50 0001 C CNN + 1 3300 3000 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4200 3900 4100 3900 +Wire Wire Line + 4100 4200 4100 3900 +Connection ~ 4100 3900 +Wire Wire Line + 4100 5100 4100 5400 +$Comp +L power:GND #PWR0108 +U 1 1 617589B4 +P 4200 2800 +F 0 "#PWR0108" H 4200 2550 50 0001 C CNN +F 1 "GND" H 4200 2650 50 0000 C CNN +F 2 "" H 4200 2800 50 0001 C CNN +F 3 "" H 4200 2800 50 0001 C CNN + 1 4200 2800 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4200 2800 4100 2800 +Wire Wire Line + 4100 3000 4100 2800 +Connection ~ 4100 5100 +$Comp +L GW_Logic:74573 U18 +U 1 1 6169E1CD +P 3700 4650 +F 0 "U18" H 3700 5250 50 0000 C CNN +F 1 "74AHCT573" V 3700 4650 50 0000 C CNN +F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3700 4000 50 0001 C TNN +F 3 "" H 3700 4750 60 0001 C CNN + 1 3700 4650 + -1 0 0 -1 +$EndComp +$Comp +L GW_Logic:74573 U13 +U 1 1 60941F85 +P 2400 5850 +F 0 "U13" H 2400 6450 50 0000 C CNN +F 1 "74AHCT573" V 2400 5850 50 0000 C CNN +F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 2400 5200 50 0001 C TNN +F 3 "" H 2400 5950 60 0001 C CNN + 1 2400 5850 + -1 0 0 -1 +$EndComp +$Comp +L GW_Logic:74573 U17 +U 1 1 6169E1C7 +P 3700 5850 +F 0 "U17" H 3700 6450 50 0000 C CNN +F 1 "74AHCT573" V 3700 5850 50 0000 C CNN +F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3700 5200 50 0001 C TNN +F 3 "" H 3700 5950 60 0001 C CNN + 1 3700 5850 + -1 0 0 -1 +$EndComp +$Comp +L GW_Logic:74573 U2 +U 1 1 614E82BE +P 3700 3450 +F 0 "U2" H 3700 4050 50 0000 C CNN +F 1 "74AHCT573" V 3700 3450 50 0000 C CNN +F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3700 2800 50 0001 C TNN +F 3 "" H 3700 3550 60 0001 C CNN + 1 3700 3450 + -1 0 0 -1 +$EndComp +$Comp +L GW_Logic:74573 U16 +U 1 1 61398C8D +P 2400 3450 +F 0 "U16" H 2400 4050 50 0000 C CNN +F 1 "74AHCT573" V 2400 3450 50 0000 C CNN +F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 2400 2800 50 0001 C TNN +F 3 "" H 2400 3550 60 0001 C CNN + 1 2400 3450 + -1 0 0 -1 +$EndComp +Wire Wire Line + 4100 4300 4400 4300 +Wire Wire Line + 4100 4400 4400 4400 +Wire Wire Line + 4100 4500 4400 4500 +Wire Wire Line + 4100 4600 4400 4600 +Wire Wire Line + 4100 4700 4400 4700 +Wire Wire Line + 4100 4800 4400 4800 +Wire Wire Line + 4100 4900 4400 4900 +Wire Wire Line + 4100 5000 4400 5000 +Text Label 4100 5000 0 50 ~ 0 +AccA9 +Text Label 4100 4900 0 50 ~ 0 +AccA10 +Text Label 4100 4800 0 50 ~ 0 +AccA11 +Text Label 4100 4700 0 50 ~ 0 +AccA12 +Text Label 4100 4600 0 50 ~ 0 +AccA13 +Text Label 4100 4500 0 50 ~ 0 +AccA14 +Text Label 4100 4400 0 50 ~ 0 +AccA15 +Text Label 4100 4300 0 50 ~ 0 +AccA16 +Text HLabel 2000 5100 0 50 Input ~ 0 +ADoutLE0 +Entry Wire Line + 1600 5500 1500 5600 +Entry Wire Line + 1600 5600 1500 5700 +Entry Wire Line + 1600 5700 1500 5800 +Entry Wire Line + 1600 5800 1500 5900 +Entry Wire Line + 1600 5900 1500 6000 +Entry Wire Line + 1600 6000 1500 6100 +Entry Wire Line + 1600 6100 1500 6200 +Entry Wire Line + 1600 6200 1500 6300 +Wire Wire Line + 2000 6200 1600 6200 +Wire Wire Line + 2000 6100 1600 6100 +Wire Wire Line + 2000 6000 1600 6000 +Wire Wire Line + 2000 5900 1600 5900 +Wire Wire Line + 2000 5800 1600 5800 +Wire Wire Line + 2000 5700 1600 5700 +Wire Wire Line + 2000 5600 1600 5600 +Wire Wire Line + 2000 5500 1600 5500 +Text Label 2000 4300 2 50 ~ 0 +MacA16 +Text Label 2000 4400 2 50 ~ 0 +MacA15 +Text Label 2000 4500 2 50 ~ 0 +MacA14 +Text Label 2000 4600 2 50 ~ 0 +MacA13 +Text Label 2000 4700 2 50 ~ 0 +MacA12 +Text Label 2000 4800 2 50 ~ 0 +MacA11 +Text Label 2000 4900 2 50 ~ 0 +MacA10 +Wire Wire Line + 2000 4300 1600 4300 +Wire Wire Line + 2000 4400 1600 4400 +Wire Wire Line + 2000 4500 1600 4500 +Wire Wire Line + 2000 4600 1600 4600 +Wire Wire Line + 2000 4700 1600 4700 +Wire Wire Line + 2000 4800 1600 4800 +Wire Wire Line + 2000 4900 1600 4900 +Wire Wire Line + 2000 5000 1600 5000 +Entry Wire Line + 1600 4300 1500 4400 +Entry Wire Line + 1600 4400 1500 4500 +Entry Wire Line + 1600 4500 1500 4600 +Entry Wire Line + 1600 4600 1500 4700 +Entry Wire Line + 1600 4700 1500 4800 +Entry Wire Line + 1600 4800 1500 4900 +Entry Wire Line + 1600 4900 1500 5000 +Entry Wire Line + 1600 5000 1500 5100 +Text HLabel 1450 3200 0 50 3State ~ 0 +MacA[23..1] +Text HLabel 2000 3900 0 50 Input ~ 0 +ADoutLE0 +Text Label 2000 3100 2 50 ~ 0 +MacA23 +Text Label 2000 3200 2 50 ~ 0 +MacA22 +Text Label 2000 3300 2 50 ~ 0 +MacA21 +Text Label 2000 3400 2 50 ~ 0 +MacA20 +Text Label 2000 3500 2 50 ~ 0 +MacA19 +Text Label 2000 3600 2 50 ~ 0 +MacA18 +Text Label 2000 3700 2 50 ~ 0 +MacA17 +Entry Wire Line + 1600 3100 1500 3200 +Entry Wire Line + 1600 3200 1500 3300 +Entry Wire Line + 1600 3300 1500 3400 +Entry Wire Line + 1600 3400 1500 3500 +Entry Wire Line + 1600 3500 1500 3600 +Entry Wire Line + 1600 3600 1500 3700 +Entry Wire Line + 1600 3700 1500 3800 +Wire Wire Line + 2000 3700 1600 3700 +Wire Wire Line + 2000 3600 1600 3600 +Wire Wire Line + 2000 3500 1600 3500 +Wire Wire Line + 2000 3400 1600 3400 +Wire Wire Line + 2000 3300 1600 3300 +Wire Wire Line + 2000 3200 1600 3200 +Wire Wire Line + 2000 3100 1600 3100 +Text HLabel 2000 3800 0 50 3State ~ 0 +Mac~R~W +Wire Bus Line + 1450 3200 1500 3200 +Text HLabel 2000 6300 0 50 Input ~ 0 +ADoutLE0 +Text Label 2000 5000 2 50 ~ 0 +MacA9 +Text Label 2000 6200 2 50 ~ 0 +MacA1 +Text Label 2000 6100 2 50 ~ 0 +MacA2 +Text Label 2000 6000 2 50 ~ 0 +MacA3 +Text Label 2000 5900 2 50 ~ 0 +MacA4 +Text Label 2000 5800 2 50 ~ 0 +MacA5 +Text Label 2000 5700 2 50 ~ 0 +MacA6 +Text Label 2000 5600 2 50 ~ 0 +MacA7 +Text Label 2000 5500 2 50 ~ 0 +MacA8 +Wire Wire Line + 4100 5500 4400 5500 +Wire Wire Line + 4100 5600 4400 5600 +Wire Wire Line + 4100 5700 4400 5700 +Wire Wire Line + 4100 5800 4400 5800 +Wire Wire Line + 4100 5900 4400 5900 +Wire Wire Line + 4100 6000 4400 6000 +Wire Wire Line + 4100 6100 4400 6100 +Wire Wire Line + 4100 6200 4400 6200 +Text Label 4100 6200 0 50 ~ 0 +AccA1 +Text Label 4100 6100 0 50 ~ 0 +AccA2 +Text Label 4100 6000 0 50 ~ 0 +AccA3 +Text Label 4100 5900 0 50 ~ 0 +AccA4 +Text Label 4100 5800 0 50 ~ 0 +AccA5 +Text Label 4100 5700 0 50 ~ 0 +AccA6 +Text Label 4100 5600 0 50 ~ 0 +AccA7 +Text Label 4100 5500 0 50 ~ 0 +AccA8 +Text HLabel 4100 3800 2 50 Input ~ 0 +Acc~R~W +Wire Wire Line + 4100 3700 4400 3700 +Wire Wire Line + 4100 3600 4400 3600 +Wire Wire Line + 4100 3500 4400 3500 +Wire Wire Line + 4100 3400 4400 3400 +Wire Wire Line + 4100 3300 4400 3300 +Wire Wire Line + 4100 3200 4400 3200 +Wire Wire Line + 4100 3100 4400 3100 +Text Label 4100 3700 0 50 ~ 0 +AccA17 +Text Label 4100 3600 0 50 ~ 0 +AccA18 +Text Label 4100 3500 0 50 ~ 0 +AccA19 +Text Label 4100 3400 0 50 ~ 0 +AccA20 +Text Label 4100 3300 0 50 ~ 0 +AccA21 +Text Label 4100 3200 0 50 ~ 0 +AccA22 +Text Label 4100 3100 0 50 ~ 0 +AccA23 +Entry Wire Line + 4400 6200 4500 6300 +Wire Wire Line + 8400 3200 10000 3200 +Wire Wire Line + 8400 3300 10000 3300 +Wire Wire Line + 8400 3100 10000 3100 +Wire Wire Line + 8400 3000 10000 3000 +Wire Wire Line + 8400 2900 10000 2900 +Wire Wire Line + 8400 2800 10000 2800 +Wire Wire Line + 8400 2700 10000 2700 +Wire Wire Line + 8400 2600 10000 2600 +Text Label 9700 2600 0 50 ~ 0 +AccD7 +Text Label 9700 2700 0 50 ~ 0 +AccD6 +Text Label 9700 2800 0 50 ~ 0 +AccD5 +Text Label 9700 2900 0 50 ~ 0 +AccD4 +Text Label 9700 3000 0 50 ~ 0 +AccD3 +Text Label 9700 3100 0 50 ~ 0 +AccD2 +Text Label 9700 3200 0 50 ~ 0 +AccD1 +Text Label 9700 3300 0 50 ~ 0 +AccD0 +$Comp +L GW_Logic:74573 U22 +U 1 1 609916A8 +P 8000 5550 +F 0 "U22" H 8000 6150 50 0000 C CNN +F 1 "74AHCT573" V 8000 5550 50 0000 C CNN +F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 8000 4900 50 0001 C TNN +F 3 "" H 8000 5650 60 0001 C CNN + 1 8000 5550 + 1 0 0 -1 +$EndComp +$Comp +L GW_Logic:74573 U19 +U 1 1 60976B52 +P 8000 1650 +F 0 "U19" H 8000 2250 50 0000 C CNN +F 1 "74AHCT573" V 8000 1650 50 0000 C CNN +F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 8000 1000 50 0001 C TNN +F 3 "" H 8000 1750 60 0001 C CNN + 1 8000 1650 + -1 0 0 -1 +$EndComp +$Comp +L GW_Logic:74573 U23 +U 1 1 617FD7C9 +P 9300 1650 +F 0 "U23" H 9300 2250 50 0000 C CNN +F 1 "74AHCT573" V 9300 1650 50 0000 C CNN +F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 9300 1000 50 0001 C TNN +F 3 "" H 9300 1750 60 0001 C CNN + 1 9300 1650 + -1 0 0 -1 +$EndComp +$Comp +L GW_Logic:74573 U20 +U 1 1 60976B58 +P 8000 2950 +F 0 "U20" H 8000 3550 50 0000 C CNN +F 1 "74AHCT573" V 8000 2950 50 0000 C CNN +F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 8000 2300 50 0001 C TNN +F 3 "" H 8000 3050 60 0001 C CNN + 1 8000 2950 + 1 0 0 -1 +$EndComp +$Comp +L GW_Logic:74573 U21 +U 1 1 60991695 +P 8000 4250 +F 0 "U21" H 8000 4850 50 0000 C CNN +F 1 "74AHCT573" V 8000 4250 50 0000 C CNN +F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 8000 3600 50 0001 C TNN +F 3 "" H 8000 4350 60 0001 C CNN + 1 8000 4250 + -1 0 0 -1 +$EndComp +$Comp +L GW_Logic:74573 U24 +U 1 1 617FCC42 +P 9300 4250 +F 0 "U24" H 9300 4850 50 0000 C CNN +F 1 "74AHCT573" V 9300 4250 50 0000 C CNN +F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 9300 3600 50 0001 C TNN +F 3 "" H 9300 4350 60 0001 C CNN + 1 9300 4250 + -1 0 0 -1 +$EndComp +Text HLabel 8400 3400 2 50 Input ~ 0 +DinLE +$Comp +L power:+5V #PWR0124 +U 1 1 61B00EC2 +P 1900 6800 +F 0 "#PWR0124" H 1900 6650 50 0001 C CNN +F 1 "+5V" H 1900 6950 50 0000 C CNN +F 2 "" H 1900 6800 50 0001 C CNN +F 3 "" H 1900 6800 50 0001 C CNN + 1 1900 6800 + 1 0 0 -1 +$EndComp +Connection ~ 1900 6800 +$Comp +L power:+5V #PWR0125 +U 1 1 61B01326 +P 1900 7200 +F 0 "#PWR0125" H 1900 7050 50 0001 C CNN +F 1 "+5V" H 1900 7350 50 0000 C CNN +F 2 "" H 1900 7200 50 0001 C CNN +F 3 "" H 1900 7200 50 0001 C CNN + 1 1900 7200 + 1 0 0 -1 +$EndComp +Connection ~ 1900 7200 +Wire Bus Line + 4500 3200 4500 6300 +Wire Bus Line + 1500 3200 1500 6300 +Wire Bus Line + 10100 1400 10100 6000 +Wire Bus Line + 7100 1400 7100 6000 +$EndSCHEMATC diff --git a/Clk.sch b/Clk.sch new file mode 100644 index 0000000..1889675 --- /dev/null +++ b/Clk.sch @@ -0,0 +1,250 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 8 10 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Wire Wire Line + 3950 2500 3950 2250 +Wire Wire Line + 3950 2750 3950 2500 +Connection ~ 3950 2500 +Wire Wire Line + 3850 2500 3850 2350 +Wire Wire Line + 3850 2650 3850 2500 +Connection ~ 3850 2500 +Wire Wire Line + 3850 2500 3950 2500 +Wire Wire Line + 4050 2750 3950 2750 +Wire Wire Line + 3950 2250 4050 2250 +$Comp +L Device:R_Small R? +U 1 1 6141AC14 +P 3750 2650 +AR Path="/6141AC14" Ref="R?" Part="1" +AR Path="/61BD72BF/6141AC14" Ref="R?" Part="1" +AR Path="/61350D21/6141AC14" Ref="R2" Part="1" +AR Path="/61BE63BD/6141AC14" Ref="R?" Part="1" +AR Path="/61BE8523/6141AC14" Ref="R?" Part="1" +AR Path="/61A87B62/6141AC14" Ref="R?" Part="1" +F 0 "R2" V 3600 2650 50 0000 C CNN +F 1 "22" V 3700 2650 50 0000 C BNN +F 2 "stdpads:R_0603" H 3750 2650 50 0001 C CNN +F 3 "~" H 3750 2650 50 0001 C CNN + 1 3750 2650 + 0 1 1 0 +$EndComp +$Comp +L Device:R_Small R? +U 1 1 6141A918 +P 3750 2350 +AR Path="/6141A918" Ref="R?" Part="1" +AR Path="/61BD72BF/6141A918" Ref="R?" Part="1" +AR Path="/61350D21/6141A918" Ref="R1" Part="1" +AR Path="/61BE63BD/6141A918" Ref="R?" Part="1" +AR Path="/61BE8523/6141A918" Ref="R?" Part="1" +AR Path="/61A87B62/6141A918" Ref="R?" Part="1" +F 0 "R1" V 3600 2350 50 0000 C CNN +F 1 "22" V 3700 2350 50 0000 C BNN +F 2 "stdpads:R_0603" H 3750 2350 50 0001 C CNN +F 3 "~" H 3750 2350 50 0001 C CNN + 1 3750 2350 + 0 1 1 0 +$EndComp +$Comp +L power:GND #PWR? +U 1 1 613B7144 +P 3500 4350 +AR Path="/613B7144" Ref="#PWR?" Part="1" +AR Path="/61350D21/613B7144" Ref="#PWR0123" Part="1" +AR Path="/61BE63BD/613B7144" Ref="#PWR?" Part="1" +AR Path="/61BE8523/613B7144" Ref="#PWR?" Part="1" +AR Path="/61A87B62/613B7144" Ref="#PWR?" Part="1" +F 0 "#PWR0123" H 3500 4100 50 0001 C CNN +F 1 "GND" H 3500 4200 50 0000 C CNN +F 2 "" H 3500 4350 50 0001 C CNN +F 3 "" H 3500 4350 50 0001 C CNN + 1 3500 4350 + -1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C? +U 1 1 613B713D +P 3500 4250 +AR Path="/613B713D" Ref="C?" Part="1" +AR Path="/61350D21/613B713D" Ref="C6" Part="1" +AR Path="/61BE63BD/613B713D" Ref="C?" Part="1" +AR Path="/61BE8523/613B713D" Ref="C?" Part="1" +AR Path="/61A87B62/613B713D" Ref="C?" Part="1" +F 0 "C6" H 3550 4300 50 0000 L CNN +F 1 "2u2" H 3550 4200 50 0000 L CNN +F 2 "stdpads:C_0603" H 3500 4250 50 0001 C CNN +F 3 "~" H 3500 4250 50 0001 C CNN + 1 3500 4250 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3100 4150 3500 4150 +Wire Wire Line + 3100 4350 3500 4350 +$Comp +L power:+3V3 #PWR? +U 1 1 613B7131 +P 3100 4150 +AR Path="/613B7131" Ref="#PWR?" Part="1" +AR Path="/61350D21/613B7131" Ref="#PWR0122" Part="1" +AR Path="/61BE63BD/613B7131" Ref="#PWR?" Part="1" +AR Path="/61BE8523/613B7131" Ref="#PWR?" Part="1" +AR Path="/61A87B62/613B7131" Ref="#PWR?" Part="1" +F 0 "#PWR0122" H 3100 4000 50 0001 C CNN +F 1 "+3V3" H 3100 4300 50 0000 C CNN +F 2 "" H 3100 4150 50 0001 C CNN +F 3 "" H 3100 4150 50 0001 C CNN + 1 3100 4150 + 1 0 0 -1 +$EndComp +Connection ~ 3100 4150 +$Comp +L Device:C_Small C? +U 1 1 613B711A +P 3100 4250 +AR Path="/613B711A" Ref="C?" Part="1" +AR Path="/61350D21/613B711A" Ref="C5" Part="1" +AR Path="/61BE63BD/613B711A" Ref="C?" Part="1" +AR Path="/61BE8523/613B711A" Ref="C?" Part="1" +AR Path="/61A87B62/613B711A" Ref="C?" Part="1" +F 0 "C5" H 3150 4300 50 0000 L CNN +F 1 "2u2" H 3150 4200 50 0000 L CNN +F 2 "stdpads:C_0603" H 3100 4250 50 0001 C CNN +F 3 "~" H 3100 4250 50 0001 C CNN + 1 3100 4250 + 1 0 0 -1 +$EndComp +Text HLabel 3650 2650 0 50 Output ~ 0 +RCLK +Text HLabel 3650 2350 0 50 Output ~ 0 +MCLK +$Comp +L GW_Logic:Oscillator_4P U? +U 1 1 61BF03AA +P 4400 2250 +AR Path="/61BF03AA" Ref="U?" Part="1" +AR Path="/61BD72BF/61BF03AA" Ref="U?" Part="1" +AR Path="/61350D21/61BF03AA" Ref="U4" Part="1" +AR Path="/61BE63BD/61BF03AA" Ref="U?" Part="1" +AR Path="/61BE8523/61BF03AA" Ref="U?" Part="1" +AR Path="/61A87B62/61BF03AA" Ref="U?" Part="1" +F 0 "U4" H 4400 2550 50 0000 C BNN +F 1 "20M" H 4400 2500 50 0000 C CNN +F 2 "stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm" H 4400 2250 50 0001 C CNN +F 3 "" H 4400 2250 50 0001 C CNN + 1 4400 2250 + -1 0 0 -1 +$EndComp +$Comp +L GW_Logic:Oscillator_4P U? +U 1 1 61BF03A4 +P 4400 2750 +AR Path="/61BF03A4" Ref="U?" Part="1" +AR Path="/61BD72BF/61BF03A4" Ref="U?" Part="1" +AR Path="/61350D21/61BF03A4" Ref="U5" Part="1" +AR Path="/61BE63BD/61BF03A4" Ref="U?" Part="1" +AR Path="/61BE8523/61BF03A4" Ref="U?" Part="1" +AR Path="/61A87B62/61BF03A4" Ref="U?" Part="1" +F 0 "U5" H 4400 3050 50 0000 C BNN +F 1 "25M" H 4400 3000 50 0000 C CNN +F 2 "stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm" H 4400 2750 50 0001 C CNN +F 3 "" H 4400 2750 50 0001 C CNN + 1 4400 2750 + -1 0 0 -1 +$EndComp +$Comp +L power:+3V3 #PWR? +U 1 1 61BF039E +P 4050 2150 +AR Path="/5F723173/61BF039E" Ref="#PWR?" Part="1" +AR Path="/61BF039E" Ref="#PWR?" Part="1" +AR Path="/61BD72BF/61BF039E" Ref="#PWR?" Part="1" +AR Path="/61350D21/61BF039E" Ref="#PWR0117" Part="1" +AR Path="/61BE63BD/61BF039E" Ref="#PWR?" Part="1" +AR Path="/61BE8523/61BF039E" Ref="#PWR?" Part="1" +AR Path="/61A87B62/61BF039E" Ref="#PWR?" Part="1" +F 0 "#PWR0117" H 4050 2000 50 0001 C CNN +F 1 "+3V3" H 4050 2300 50 0000 C CNN +F 2 "" H 4050 2150 50 0001 C CNN +F 3 "" H 4050 2150 50 0001 C CNN + 1 4050 2150 + 1 0 0 -1 +$EndComp +$Comp +L power:+3V3 #PWR? +U 1 1 61BF0398 +P 4050 2650 +AR Path="/5F723173/61BF0398" Ref="#PWR?" Part="1" +AR Path="/61BF0398" Ref="#PWR?" Part="1" +AR Path="/61BD72BF/61BF0398" Ref="#PWR?" Part="1" +AR Path="/61350D21/61BF0398" Ref="#PWR0116" Part="1" +AR Path="/61BE63BD/61BF0398" Ref="#PWR?" Part="1" +AR Path="/61BE8523/61BF0398" Ref="#PWR?" Part="1" +AR Path="/61A87B62/61BF0398" Ref="#PWR?" Part="1" +F 0 "#PWR0116" H 4050 2500 50 0001 C CNN +F 1 "+3V3" H 4050 2800 50 0000 C CNN +F 2 "" H 4050 2650 50 0001 C CNN +F 3 "" H 4050 2650 50 0001 C CNN + 1 4050 2650 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR? +U 1 1 61BF038C +P 4750 2750 +AR Path="/5F72F108/61BF038C" Ref="#PWR?" Part="1" +AR Path="/61BF038C" Ref="#PWR?" Part="1" +AR Path="/61BD72BF/61BF038C" Ref="#PWR?" Part="1" +AR Path="/61350D21/61BF038C" Ref="#PWR0114" Part="1" +AR Path="/61BE63BD/61BF038C" Ref="#PWR?" Part="1" +AR Path="/61BE8523/61BF038C" Ref="#PWR?" Part="1" +AR Path="/61A87B62/61BF038C" Ref="#PWR?" Part="1" +F 0 "#PWR0114" H 4750 2500 50 0001 C CNN +F 1 "GND" H 4750 2600 50 0000 C CNN +F 2 "" H 4750 2750 50 0001 C CNN +F 3 "" H 4750 2750 50 0001 C CNN + 1 4750 2750 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR? +U 1 1 61BF0386 +P 4750 2250 +AR Path="/5F72F108/61BF0386" Ref="#PWR?" Part="1" +AR Path="/61BF0386" Ref="#PWR?" Part="1" +AR Path="/61BD72BF/61BF0386" Ref="#PWR?" Part="1" +AR Path="/61350D21/61BF0386" Ref="#PWR0113" Part="1" +AR Path="/61BE63BD/61BF0386" Ref="#PWR?" Part="1" +AR Path="/61BE8523/61BF0386" Ref="#PWR?" Part="1" +AR Path="/61A87B62/61BF0386" Ref="#PWR?" Part="1" +F 0 "#PWR0113" H 4750 2000 50 0001 C CNN +F 1 "GND" H 4750 2100 50 0000 C CNN +F 2 "" H 4750 2250 50 0001 C CNN +F 3 "" H 4750 2250 50 0001 C CNN + 1 4750 2250 + 1 0 0 -1 +$EndComp +Text HLabel 4750 2150 2 50 Input ~ 0 +CK20EN +Text HLabel 4750 2650 2 50 Input ~ 0 +CK25EN +Connection ~ 3500 4350 +$EndSCHEMATC diff --git a/Control.sch b/Control.sch new file mode 100644 index 0000000..ae05984 --- /dev/null +++ b/Control.sch @@ -0,0 +1,551 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 7 10 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Text HLabel 10400 2900 2 50 Output ~ 0 +Mac~AS~ +Text HLabel 10400 2300 2 50 Output ~ 0 +Mac~VMA~ +Text HLabel 10400 2600 2 50 Input ~ 0 +Mac~DTACK~ +Text HLabel 10400 2500 2 50 Input ~ 0 +Mac~VPA~ +Text HLabel 10400 2400 2 50 Input ~ 0 +Mac~BERR~ +Text HLabel 8400 3600 0 50 Input ~ 0 +MacE +Text Label 8400 2000 2 50 ~ 0 +A22 +Text Label 8400 1900 2 50 ~ 0 +A21 +Text Label 8400 1800 2 50 ~ 0 +A20 +Text Label 8400 1700 2 50 ~ 0 +A19 +Text Label 8400 1600 2 50 ~ 0 +A18 +Text Label 8400 1500 2 50 ~ 0 +A17 +Text Label 8400 1400 2 50 ~ 0 +A16 +Text HLabel 8400 3400 0 50 Input ~ 0 +C8M +Text HLabel 8400 2100 0 50 Input ~ 0 +C16M +Text HLabel 10400 1100 2 50 Output ~ 0 +ROM~CS~ +Text HLabel 10400 2800 2 50 Output ~ 0 +Mac~UDS~ +Text HLabel 10400 2700 2 50 Output ~ 0 +Mac~LDS~ +Text HLabel 8400 3900 0 50 Input ~ 0 +AccR~W~ +Text HLabel 8400 4600 0 50 Output ~ 0 +Dout~OE~ +Text HLabel 8400 4500 0 50 Output ~ 0 +Aout~OE~ +Text HLabel 8400 4700 0 50 Output ~ 0 +Din~OE~ +Text HLabel 10400 3200 2 50 Output ~ 0 +DinLE +Text HLabel 10400 4600 2 50 Output ~ 0 +~RAS~ +Text HLabel 10400 4700 2 50 Output ~ 0 +L~WE~ +Text HLabel 10400 4800 2 50 Output ~ 0 +U~WE~ +Text HLabel 8400 5000 0 50 Output ~ 0 +Acc~VPA~ +Text HLabel 8400 4100 0 50 Input ~ 0 +Acc~AS~ +Text HLabel 8400 4000 0 50 Input ~ 0 +Acc~LDS~ +Text HLabel 8400 4200 0 50 Input ~ 0 +Acc~UDS~ +Text HLabel 8400 4900 0 50 Output ~ 0 +Acc~BERR~ +Text HLabel 8400 3800 0 50 Output ~ 0 +Acc~DTACK +Text Label 8400 1300 2 50 ~ 0 +A15 +Text Label 8400 1200 2 50 ~ 0 +A14 +Text Label 8400 1100 2 50 ~ 0 +A13 +Text Label 8400 3200 2 50 ~ 0 +A12 +Text Label 8400 3100 2 50 ~ 0 +A11 +Text Label 8400 3000 2 50 ~ 0 +A10 +Text Label 8400 2900 2 50 ~ 0 +A9 +Text Label 8400 2800 2 50 ~ 0 +A8 +Text Label 8400 2700 2 50 ~ 0 +A7 +Text Label 8400 2600 2 50 ~ 0 +A6 +Text Label 8400 2500 2 50 ~ 0 +A5 +Entry Wire Line + 10700 3700 10600 3800 +Entry Wire Line + 10700 3800 10600 3900 +Entry Wire Line + 10700 3600 10600 3700 +Wire Wire Line + 10400 3700 10600 3700 +Wire Wire Line + 10400 4500 10600 4500 +Text Label 10400 4500 0 50 ~ 0 +RA11 +Text Label 10400 3700 0 50 ~ 0 +RA8 +Wire Wire Line + 8400 3200 8100 3200 +Wire Wire Line + 8400 3100 8100 3100 +Wire Wire Line + 8400 3000 8100 3000 +Wire Wire Line + 8400 2800 8100 2800 +Wire Wire Line + 8400 2700 8100 2700 +Wire Wire Line + 8400 2600 8100 2600 +Wire Wire Line + 8400 2500 8100 2500 +Wire Wire Line + 8400 1100 8100 1100 +Wire Wire Line + 8400 2900 8100 2900 +Wire Bus Line + 8000 1000 7950 1000 +Entry Wire Line + 8000 1700 8100 1800 +Entry Wire Line + 8000 1800 8100 1900 +Wire Wire Line + 8400 2000 8100 2000 +Wire Wire Line + 8400 1900 8100 1900 +Entry Wire Line + 8000 1400 8100 1500 +Entry Wire Line + 8000 1600 8100 1700 +Wire Wire Line + 8400 1800 8100 1800 +Wire Wire Line + 8400 1600 8100 1600 +Entry Wire Line + 8000 1200 8100 1300 +Entry Wire Line + 8000 1300 8100 1400 +Wire Wire Line + 8400 1500 8100 1500 +Wire Wire Line + 8400 1400 8100 1400 +Entry Wire Line + 8000 1000 8100 1100 +Entry Wire Line + 8000 1100 8100 1200 +Wire Wire Line + 8400 1300 8100 1300 +Wire Wire Line + 8400 1200 8100 1200 +Entry Wire Line + 8000 1900 8100 2000 +Text HLabel 7950 1000 0 50 Input ~ 0 +A[23..1] +Entry Wire Line + 8000 1500 8100 1600 +Wire Wire Line + 8400 1700 8100 1700 +$Comp +L Device:C_Small C? +U 1 1 616131D5 +P 4700 7450 +AR Path="/616131D5" Ref="C?" Part="1" +AR Path="/5F723173/616131D5" Ref="C27" Part="1" +F 0 "C27" H 4750 7500 50 0000 L CNN +F 1 "2u2" H 4750 7400 50 0000 L CNN +F 2 "stdpads:C_0603" H 4700 7450 50 0001 C CNN +F 3 "~" H 4700 7450 50 0001 C CNN + 1 4700 7450 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4300 7350 4700 7350 +Connection ~ 4700 7350 +Wire Wire Line + 4700 7350 5100 7350 +Wire Wire Line + 4300 7550 4700 7550 +Connection ~ 4700 7550 +Wire Wire Line + 4700 7550 5100 7550 +$Comp +L Device:C_Small C? +U 1 1 616131E1 +P 5100 7450 +AR Path="/616131E1" Ref="C?" Part="1" +AR Path="/5F723173/616131E1" Ref="C28" Part="1" +F 0 "C28" H 5150 7500 50 0000 L CNN +F 1 "2u2" H 5150 7400 50 0000 L CNN +F 2 "stdpads:C_0603" H 5100 7450 50 0001 C CNN +F 3 "~" H 5100 7450 50 0001 C CNN + 1 5100 7450 + 1 0 0 -1 +$EndComp +Connection ~ 5100 7350 +Wire Wire Line + 5100 7350 5500 7350 +Connection ~ 5100 7550 +Wire Wire Line + 5100 7550 5500 7550 +$Comp +L Device:C_Small C? +U 1 1 616131EB +P 5500 7450 +AR Path="/616131EB" Ref="C?" Part="1" +AR Path="/5F723173/616131EB" Ref="C29" Part="1" +F 0 "C29" H 5550 7500 50 0000 L CNN +F 1 "2u2" H 5550 7400 50 0000 L CNN +F 2 "stdpads:C_0603" H 5500 7450 50 0001 C CNN +F 3 "~" H 5500 7450 50 0001 C CNN + 1 5500 7450 + 1 0 0 -1 +$EndComp +Connection ~ 5500 7350 +Wire Wire Line + 5500 7350 5900 7350 +Connection ~ 5500 7550 +Wire Wire Line + 5500 7550 5900 7550 +$Comp +L power:+3V3 #PWR? +U 1 1 616131F5 +P 4300 7350 +AR Path="/616131F5" Ref="#PWR?" Part="1" +AR Path="/5F723173/616131F5" Ref="#PWR0158" Part="1" +F 0 "#PWR0158" H 4300 7200 50 0001 C CNN +F 1 "+3V3" H 4300 7500 50 0000 C CNN +F 2 "" H 4300 7350 50 0001 C CNN +F 3 "" H 4300 7350 50 0001 C CNN + 1 4300 7350 + 1 0 0 -1 +$EndComp +Connection ~ 4300 7350 +$Comp +L Device:C_Small C? +U 1 1 616131FC +P 4300 7450 +AR Path="/616131FC" Ref="C?" Part="1" +AR Path="/5F723173/616131FC" Ref="C26" Part="1" +F 0 "C26" H 4350 7500 50 0000 L CNN +F 1 "2u2" H 4350 7400 50 0000 L CNN +F 2 "stdpads:C_0603" H 4300 7450 50 0001 C CNN +F 3 "~" H 4300 7450 50 0001 C CNN + 1 4300 7450 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C? +U 1 1 61613208 +P 6300 7450 +AR Path="/61613208" Ref="C?" Part="1" +AR Path="/5F723173/61613208" Ref="C31" Part="1" +F 0 "C31" H 6350 7500 50 0000 L CNN +F 1 "2u2" H 6350 7400 50 0000 L CNN +F 2 "stdpads:C_0603" H 6300 7450 50 0001 C CNN +F 3 "~" H 6300 7450 50 0001 C CNN + 1 6300 7450 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C? +U 1 1 6161320E +P 5900 7450 +AR Path="/6161320E" Ref="C?" Part="1" +AR Path="/5F723173/6161320E" Ref="C30" Part="1" +F 0 "C30" H 5950 7500 50 0000 L CNN +F 1 "2u2" H 5950 7400 50 0000 L CNN +F 2 "stdpads:C_0603" H 5900 7450 50 0001 C CNN +F 3 "~" H 5900 7450 50 0001 C CNN + 1 5900 7450 + 1 0 0 -1 +$EndComp +Connection ~ 5900 7350 +Wire Wire Line + 5900 7350 6300 7350 +Connection ~ 6300 7550 +Connection ~ 5900 7550 +Wire Wire Line + 5900 7550 6300 7550 +$Comp +L power:GND #PWR? +U 1 1 616151A9 +P 6700 7550 +AR Path="/616151A9" Ref="#PWR?" Part="1" +AR Path="/5F723173/616151A9" Ref="#PWR0159" Part="1" +F 0 "#PWR0159" H 6700 7300 50 0001 C CNN +F 1 "GND" H 6700 7400 50 0000 C CNN +F 2 "" H 6700 7550 50 0001 C CNN +F 3 "" H 6700 7550 50 0001 C CNN + 1 6700 7550 + -1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C? +U 1 1 616151AF +P 6700 7450 +AR Path="/616151AF" Ref="C?" Part="1" +AR Path="/5F723173/616151AF" Ref="C32" Part="1" +F 0 "C32" H 6750 7500 50 0000 L CNN +F 1 "2u2" H 6750 7400 50 0000 L CNN +F 2 "stdpads:C_0603" H 6700 7450 50 0001 C CNN +F 3 "~" H 6700 7450 50 0001 C CNN + 1 6700 7450 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6300 7350 6700 7350 +Connection ~ 6700 7550 +Wire Wire Line + 6300 7550 6700 7550 +Connection ~ 6300 7350 +$Comp +L power:+3V3 #PWR0160 +U 1 1 6164065B +P 9100 800 +F 0 "#PWR0160" H 9100 650 50 0001 C CNN +F 1 "+3V3" H 9100 950 50 0000 C CNN +F 2 "" H 9100 800 50 0001 C CNN +F 3 "" H 9100 800 50 0001 C CNN + 1 9100 800 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0161 +U 1 1 6164325E +P 9800 6200 +F 0 "#PWR0161" H 9800 5950 50 0001 C CNN +F 1 "GND" H 9800 6050 50 0000 C CNN +F 2 "" H 9800 6200 50 0001 C CNN +F 3 "" H 9800 6200 50 0001 C CNN + 1 9800 6200 + 1 0 0 -1 +$EndComp +Text HLabel 8400 3700 0 50 Input ~ 0 +FCLK +Text HLabel 8400 2400 0 50 Output ~ 0 +~RESET~r +Text HLabel 10400 3100 2 50 Output ~ 0 +ADoutLE0 +Text HLabel 10400 3000 2 50 Output ~ 0 +ADoutLE1 +Text HLabel 8400 2300 0 50 Output ~ 0 +~RESET~r +Text HLabel 8400 4800 0 50 Input ~ 0 +~RESET~ +Entry Wire Line + 10700 4400 10600 4500 +Wire Bus Line + 10700 3300 10750 3300 +Text HLabel 10750 3300 2 50 Output ~ 0 +RA[11..0] +Connection ~ 9700 6200 +Wire Wire Line + 9600 6200 9500 6200 +Connection ~ 9600 6200 +Connection ~ 9500 6200 +Wire Wire Line + 9500 6200 9400 6200 +Wire Wire Line + 9400 6200 9300 6200 +Connection ~ 9400 6200 +Connection ~ 9300 6200 +Wire Wire Line + 9300 6200 9200 6200 +Wire Wire Line + 9200 6200 9100 6200 +Connection ~ 9200 6200 +Connection ~ 9800 6200 +Wire Wire Line + 9700 6200 9600 6200 +Wire Wire Line + 9800 6200 9700 6200 +Connection ~ 9600 800 +Wire Wire Line + 9600 800 9700 800 +Wire Wire Line + 9500 800 9600 800 +Connection ~ 9500 800 +Connection ~ 9400 800 +Wire Wire Line + 9400 800 9500 800 +Wire Wire Line + 9300 800 9400 800 +Connection ~ 9300 800 +Connection ~ 9200 800 +Connection ~ 9100 800 +Wire Wire Line + 9200 800 9300 800 +Wire Wire Line + 9100 800 9200 800 +$Comp +L CPLD_Xilinx:XC95144XL-TQ100 U1 +U 1 1 6318B3C7 +P 9400 3500 +F 0 "U1" H 9400 3800 50 0000 C CNN +F 1 "XC95144XL-TQ100" H 9400 3700 50 0000 C CNN +F 2 "stdpads:TQFP-100_14x14mm_P0.5mm" H 9400 3500 50 0001 C CNN +F 3 "https://www.xilinx.com/support/documentation/data_sheets/ds056.pdf" H 9400 3500 50 0001 C CNN + 1 9400 3500 + 1 0 0 -1 +$EndComp +Text Label 8400 5400 2 50 ~ 0 +A4 +Text Label 8400 5300 2 50 ~ 0 +A3 +Text Label 8400 5200 2 50 ~ 0 +A2 +Text Label 8400 5100 2 50 ~ 0 +A1 +Entry Wire Line + 8000 2800 8100 2900 +Entry Wire Line + 8000 3000 8100 3100 +Entry Wire Line + 8000 2600 8100 2700 +Entry Wire Line + 8000 2700 8100 2800 +Entry Wire Line + 8000 2400 8100 2500 +Entry Wire Line + 8000 2500 8100 2600 +Entry Wire Line + 8000 2900 8100 3000 +Entry Wire Line + 8000 3100 8100 3200 +Text Label 8400 3500 2 50 ~ 0 +A23 +Entry Wire Line + 8000 5000 8100 5100 +Entry Wire Line + 8000 5200 8100 5300 +Entry Wire Line + 8000 5100 8100 5200 +Entry Wire Line + 8000 5300 8100 5400 +Wire Wire Line + 8100 5400 8400 5400 +Wire Wire Line + 8100 5100 8400 5100 +Wire Wire Line + 8100 5300 8400 5300 +Wire Wire Line + 8100 5200 8400 5200 +Wire Wire Line + 8400 3500 8100 3500 +Entry Wire Line + 8000 3400 8100 3500 +Wire Wire Line + 10600 3800 10400 3800 +Text Label 10400 3900 0 50 ~ 0 +RA9 +Text Label 10400 3800 0 50 ~ 0 +RA10 +Wire Wire Line + 10400 3900 10600 3900 +Entry Wire Line + 10700 1500 10600 1600 +Entry Wire Line + 10700 1600 10600 1700 +Entry Wire Line + 10700 1800 10600 1900 +Entry Wire Line + 10700 1700 10600 1800 +Entry Wire Line + 10700 3400 10600 3500 +Entry Wire Line + 10700 3300 10600 3400 +Entry Wire Line + 10700 3500 10600 3600 +Wire Wire Line + 10400 3400 10600 3400 +Text Label 10400 3400 0 50 ~ 0 +RA1 +Text Label 10400 3600 0 50 ~ 0 +RA0 +Wire Wire Line + 10600 3600 10400 3600 +Text Label 10400 1800 0 50 ~ 0 +RA2 +Text Label 10400 1600 0 50 ~ 0 +RA3 +Text Label 10400 1500 0 50 ~ 0 +RA4 +Text Label 10400 1700 0 50 ~ 0 +RA5 +Text Label 10400 1900 0 50 ~ 0 +RA6 +Text Label 10400 3500 0 50 ~ 0 +RA7 +Wire Wire Line + 10400 3500 10600 3500 +Wire Wire Line + 10400 1900 10600 1900 +Wire Wire Line + 10400 1700 10600 1700 +Wire Wire Line + 10400 1500 10600 1500 +Wire Wire Line + 10400 1600 10600 1600 +Wire Wire Line + 10400 1800 10600 1800 +Text HLabel 10400 1300 2 50 Output ~ 0 +~OE~ +Text HLabel 10400 1200 2 50 Output ~ 0 +~CAS~ +Text HLabel 8400 4300 0 50 Output ~ 0 +ROM~WE~ +Wire Bus Line + 10700 1400 10750 1400 +Text HLabel 10750 1400 2 50 Output ~ 0 +RA[11..0] +Entry Wire Line + 10700 1400 10600 1500 +Text HLabel 10400 4900 2 50 Input ~ 0 +SW0 +Text HLabel 10400 4200 2 50 Input ~ 0 +SW1 +Text HLabel 10400 4100 2 50 Output ~ 0 +CKEN +Text HLabel 10400 5600 2 50 Input ~ 0 +TDI +Text HLabel 10400 5700 2 50 Input ~ 0 +TMS +Text HLabel 10400 5800 2 50 Input ~ 0 +TCK +Text HLabel 10400 5900 2 50 Output ~ 0 +TDO +Wire Bus Line + 10700 1400 10700 1800 +Wire Bus Line + 10700 3300 10700 4400 +Wire Bus Line + 8000 1000 8000 5300 +$EndSCHEMATC diff --git a/DIPSW.sch b/DIPSW.sch new file mode 100644 index 0000000..d56286a --- /dev/null +++ b/DIPSW.sch @@ -0,0 +1,128 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 6 10 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Wire Wire Line + 4050 3400 4050 3300 +$Comp +L Device:R_Small R? +U 1 1 61A8BBE0 +P 4050 3100 +AR Path="/61A8BBE0" Ref="R?" Part="1" +AR Path="/61BD72BF/61A8BBE0" Ref="R?" Part="1" +AR Path="/61350D21/61A8BBE0" Ref="R?" Part="1" +AR Path="/61BE63BD/61A8BBE0" Ref="R?" Part="1" +AR Path="/61BE8523/61A8BBE0" Ref="R?" Part="1" +AR Path="/61A87B62/61A8BBE0" Ref="R4" Part="1" +AR Path="/61B15767/61A8BBE0" Ref="R?" Part="1" +F 0 "R4" H 4109 3146 50 0000 L CNN +F 1 "10k" H 4109 3055 50 0000 L CNN +F 2 "stdpads:R_0603" H 4050 3100 50 0001 C CNN +F 3 "~" H 4050 3100 50 0001 C CNN + 1 4050 3100 + 1 0 0 -1 +$EndComp +$Comp +L Device:R_Small R? +U 1 1 61A8BBE6 +P 3750 3100 +AR Path="/61A8BBE6" Ref="R?" Part="1" +AR Path="/61BD72BF/61A8BBE6" Ref="R?" Part="1" +AR Path="/61350D21/61A8BBE6" Ref="R?" Part="1" +AR Path="/61BE63BD/61A8BBE6" Ref="R?" Part="1" +AR Path="/61BE8523/61A8BBE6" Ref="R?" Part="1" +AR Path="/61A87B62/61A8BBE6" Ref="R3" Part="1" +AR Path="/61B15767/61A8BBE6" Ref="R?" Part="1" +F 0 "R3" H 3809 3146 50 0000 L CNN +F 1 "10k" H 3809 3055 50 0000 L CNN +F 2 "stdpads:R_0603" H 3750 3100 50 0001 C CNN +F 3 "~" H 3750 3100 50 0001 C CNN + 1 3750 3100 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3650 3300 4050 3300 +Wire Wire Line + 3750 3500 4050 3500 +Wire Wire Line + 3750 3200 3750 3400 +Wire Wire Line + 4050 3300 4050 3200 +Connection ~ 4050 3300 +Wire Wire Line + 3750 3000 4050 3000 +Connection ~ 4050 3000 +Wire Wire Line + 4650 3400 4650 3500 +$Comp +L power:+3V3 #PWR? +U 1 1 61A8C306 +P 4050 3000 +AR Path="/5F723173/61A8C306" Ref="#PWR?" Part="1" +AR Path="/61A8C306" Ref="#PWR?" Part="1" +AR Path="/61BD72BF/61A8C306" Ref="#PWR?" Part="1" +AR Path="/61350D21/61A8C306" Ref="#PWR?" Part="1" +AR Path="/61BE63BD/61A8C306" Ref="#PWR?" Part="1" +AR Path="/61BE8523/61A8C306" Ref="#PWR?" Part="1" +AR Path="/61A87B62/61A8C306" Ref="#PWR0115" Part="1" +AR Path="/61B15767/61A8C306" Ref="#PWR?" Part="1" +F 0 "#PWR0115" H 4050 2850 50 0001 C CNN +F 1 "+3V3" H 4050 3150 50 0000 C CNN +F 2 "" H 4050 3000 50 0001 C CNN +F 3 "" H 4050 3000 50 0001 C CNN + 1 4050 3000 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0118 +U 1 1 61A8CA42 +P 4650 3500 +AR Path="/61A87B62/61A8CA42" Ref="#PWR0118" Part="1" +AR Path="/61B15767/61A8CA42" Ref="#PWR?" Part="1" +F 0 "#PWR0118" H 4650 3250 50 0001 C CNN +F 1 "GND" H 4650 3350 50 0000 C CNN +F 2 "" H 4650 3500 50 0001 C CNN +F 3 "" H 4650 3500 50 0001 C CNN + 1 4650 3500 + 1 0 0 -1 +$EndComp +Connection ~ 4650 3500 +$Comp +L Switch:SW_DIP_x02 SW? +U 1 1 61A8BBD9 +P 4350 3500 +AR Path="/61A8BBD9" Ref="SW?" Part="1" +AR Path="/61BD72BF/61A8BBD9" Ref="SW?" Part="1" +AR Path="/61350D21/61A8BBD9" Ref="SW?" Part="1" +AR Path="/61BE63BD/61A8BBD9" Ref="SW?" Part="1" +AR Path="/61BE8523/61A8BBD9" Ref="SW?" Part="1" +AR Path="/61A87B62/61A8BBD9" Ref="SW1" Part="1" +AR Path="/61B15767/61A8BBD9" Ref="SW?" Part="1" +F 0 "SW1" H 4350 3750 50 0000 C CNN +F 1 "OSCSEL" H 4350 3350 50 0000 C CNN +F 2 "stdpads:SW_DIP_SPSTx02_Slide_DSHP02TS_P1.27mm" H 4350 3500 50 0001 C CNN +F 3 "~" H 4350 3500 50 0001 C CNN + 1 4350 3500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3750 3400 3650 3400 +Connection ~ 3750 3400 +Wire Wire Line + 3750 3400 3750 3500 +Text HLabel 3650 3300 0 50 Output ~ 0 +SW0 +Text HLabel 3650 3400 0 50 Output ~ 0 +SW1 +$EndSCHEMATC diff --git a/Docs/CPLD.graffle b/Docs/CPLD.graffle new file mode 100644 index 0000000000000000000000000000000000000000..7bed6925bea855c9f83146b26ee97d2ef0918cf8 GIT binary patch literal 564630 zcmZsBLy#^^kZs$xZQHhO-nMP`ZQHhO+qS;8ZQQosKl8S;tEhhiX}i(3A!k0SU{P=p|f5FvfKoBX_L>NJ2uF`j*_A8I*=2p6TPsjt>Iu{1aRyajYe z`n5A-$WCYeJUZ+He{SV619JP=*?-^LCG-^<13m+OKW^fGNz+2T%Sm=-ZEZTcs@dLx zNVdP}Qx%3cW;m9t8X0!gVPtCk!>6RmOPBU;e>n7N&3~oJ+qZ6lPs_X)awD@HGwbMb zG8?sSU@IEXrtTLEpMS%u9%KcHA^C4~KQ0aX{XR`>uYXT8EG{--zIUIJzZ2c_i}PDY zMO^xQKTSGyh(3Npt9%?ORHya0t~Nxm{if+!1_wAY1eb)9l&tYc34y)?L;XKV{pgmf zbscRj{z?&QwKc|6a1=ad=XcfDwwRE-O1fV81tVeDEZMJSHw1;)d(*=#-{@(yzA02+ zWbny~SPtQZ;A^QZ{%Tz8pDvRt)o-2ycUi}lRhY`Q(v%HES1;KJQ+XNg_^uOB!2_qh zh0zu~epR_c`R{r3v-f_AaxU|^ww>#amqr_Qy?=cTH)kQPW5y0Z{l|GU$^O5 zhY?7}GGMvy`0ldWT%B>3VSk#rvi{j|>-V~wcUb)H@F{V*5I{}&X|eLLVrqvYUd~^4 z-}|2OdXDqiIF)hfFPlC3WcRK;Rg$#wJO}XJT4a&V)(Sse(qFo_mUZ<<-`<%o&aQ!% z&+}MVDPfnq_MJ<|?^F93EAIH}Xs|zK9?Bt;SLt!i>Xb=8vB=jj0---Y6fMuiqF1(D zw7wfTL>cg@w)XmuN5|t6$@dCy?))&ul2x%?)*AVE!&~Sj}JdTTc)Vs0k;=CNO$(I3xBtg z;GSPe!KPmuP`xLZA`$*=i2s7`Az>$`G*7b!lyuFPEZYdGx;&W8=B zK8?53+ow0v=G-~eysd5G4jWr&)_L5E=xbxz^sLyqg@3OixI9uftpyYl^ld$DMOC7H zH3W0ch{OuAjrkqa(A4uW?xnKi)6QS@PqQd8j>H=o&`og13w9@;_H7kO?BMJz>Bx)N zD67WDQv$RFO&q*21g~-*3bXHmFhlj>7pk2znY`$^p4To#)=4_CX2YrJQ=%z;n9eyHRU8yqUz9 zg#vv=W~hEbVoT#In<~G)^qxlzy1x7GltVR8KQuohvrsdi<22nmEU0Vb4q%J^GR{|N zZsAyP|5`>O;zB#2bRk+ziq-}LJ)X3GT5ab7Z)qapk-aroNeXAw2WL^XpYcwv=NeM0 zLp7i-N@QdN%n2W|KN_UDS4T=??F5ckR$(uT4u#ifllGE8BtA{oPE;EK+bk!lf!Sy` zD#myfTkNAgOJtCMR#E5aL=E`UI%yG=RHt$0WkxGMlQoRVvxKmE<*O~ytJLrD_&`Hp zVPrD6hTmDn!RsrV{<)JAIF_8NJo;z=?V*JS;uFd~5@pZHcekl-f-Q#<9}0{nQ|V zM`jwY4qRN>b~y_cTxD=(QLTc`#a;k9&aUwG_)^!0u4TM)(VYduTj91LcXQ}ZX1baM zO-zF?>fC7%=?SG{pZg>9v_xKDbZ~<{2AYdBv=EKuB@RrAw$@NA$+m2$kDD$kczK1r zM^}cO=i}+bw&ExW-Qnc{QI!_81qc;Kt_tCynKEvAo9+-2q`CHHl8qA|g9mY{`(tFY znU@(0yOX>ISZb@dTvdyF97d&rS*N__$Y(8$+JRV7ly&*ko@{67<*BabXq%dqS}FdA zO#{FH-7W7_?G;c%n(0l?IWxH=Rtx|BC8dL5b6j`++}*;s3cwe^Xwu4mK-cbaV0$a% zNiB5}#a)GQCa}Q^d#=?}&m!;JNZK-Ap5@$V<=P0OA8|nS;{zD|=k7XpEH)`EJ`U^CXX{_i-73v!;Cx>rnKs+4=c_?8+t|W; zNqIe+QN|fPKKZ%x6G-vC2t>z2as=eh?edoC9eI&Avr`69QKNIsz%&)L;O=&~S#LD4 zXz6tN)m3suwXS1+Os!(4hAxQ}t}L!r*}CxY)~!|9GW6peX$&7V>+Q6#7Xs!kx@K>9 zm+?g24h%@0ZCtXDKRWRarwMIIOz-^Ol1K!k<2DdGI_yja*tKP6LGdv<~jA5p_=neLI{5UbW=$U-x04|UEi z-S)+Gl1Y`s?_!*LJz<|lyQ~(^bjQ-!R-ny<;6+6&mXSZ;ar4z;-tQ$*Da)>B34bk_ zK%nhNahI@?MVD!yg+^`NK6`}ssfg6Vea#aZTOT9d@qstJ3Ypb#lA z$q!>yu+2pRyUT9unpLq6-o5>_KHs|qtIy*7Amj|1LTybntJ;OVZYPN^>_uB`Qq8RZGt=@5D=zfNuFt32_D%(i?3H@iDYNplusl9Y zt2*>sx`H)kl+k_GtUkaxTFn-mobI}|m!h8w)@vVrq7=?I2Q%lBY-_vD!3vMN$v!*{ zRvb=7yOq~rZhR(g5c1WlQ&+k0f+`Qr-7ft&)tNfQx@|Wy)#_I`-;ryG^tG${h~=qi z%6Ge43gGQJI$oTr50Pc?$6oZTgqGPqMRE1zI$63L58q?ShARhE$%B= zv5C@}Zbq&63gW8u^X~4v-GK4xZ(E&XHy}QqHEncwYXV+VT!sE+FtVZ=LEVGb4gc!- z6sbynJ={_J)O-c!u*LG~@AvQVvZr91np)&ECd{uvleN8rpXWjb*j) z`7Ez!Ogt~6%MQ*xj8xy*?UfM?hR_h@^?5QH*={S+8&l1ZE+q= zGD-tMEl{34bqud7A~aiz;W(C)!`(sm;yQJiV*}7!tv>QS~Ec6`#&H|ZheTePhhoU znVe}IvJF=BdHlDa5Sc`zJ{uculU~uvxWUTX^nmHJ2;LtrpA4CV&eWemsMPD}L-BPC6 zd*UsRTeGy~!_{Be)`2W~%BgT8Fzfh4u@mO4tY@zanrG?~d}B@87L_`tFeejk*4(fx znWv|u|1yG~HfyhyyoHI-`OjJ8MzZ)TO8?NOOq1@y$FZVamNs_;G1{PXWH&jlPbjDA zDs{w|zf9u*hA#|o)veAB8I^7B2dD$--Wihh!{3h1wYNInuMJ+ivkeG4%9fv}vojOX zekw)TB?*HZ)^21CQphfC9Y~D*xL2A3OajbTI_v4Sebw4n6w-B3%urB$Voj~RFVWU8 zWI4TAYxu4fuU30^C-xpyoei*k?mX%d&&|D=dnqC4@^?L!TB+N2l|r8`6K>&`@@5_+Ka};4 zE}YX?G{yux-F)6IbUlj9P$#u3c z@zthP)DqZutH>W{!I-FE`GqI`5_JRsUEUIiYHDddYeh73&F=xq#`F!cwU$rTdFDxV z+m!d_8EE*mnll##6?3&Mn+wm+uOgZCb8Yr(E~c1bNBe=kB|qN2GaT%mW6cH^$L%xA z*;A)5TRkhYSC=j~Fhkr*Hod&fiZa9YYm{@NWvv+^sI`_KT9+6jsjW{}w0gg2AwN(y4*Z43w!C}JZQJeJuvc!Wh?cC5HOPcLKc$h6dHv7DGbRK)V_S^_qql#Ia*J9f8?RrHDKns&7?R(5>mS z1y$~Eog*ZHZ|!G+E8~dTK)UZB%WZgkC3!WVis=hrF;TkcmNQBF{vRSNih!ij!ZP}G zo;lavTSU2PqnvR$PH7ja)SZs{_Yc>d@?=`%{{xB>iGha#31BGa)mw}~d1&%nndW)% zW(jRGlYn<{WS4}m&F9MqmCq4YE)NRD20HZOK-uG>Jq10!hY-nhKY_t;I} zIkA!M&TQ6tA7)DVhAv~|md<3b2#dpz$6k}&4+-E~a*TpO_QH#?hu{ z?-*$c7Be15G_mN$ZPR_%uB7HxK3#=$+flrWZ$2OIJN&YWW)Up7^6#uu;W5|Lhonl& zUgp{5n9Dqudle89P}TsMGiZr2&OCrZCVNEoS7H(LZ>}C3*Ifqd`6qG}tjvXerGz3h zk1umz4E_cikyV%(H-dZx+UxVS$=aY-SjcOz5V~9)|sY8hy;X5$2Ih@Ya z4&4SP5Z=m-k~9h>=IBW5loUdQAV3+_pr>+-fGLgw3eJKG?+nQePIM0huy5LCf_;k- zL7_;2EcP{vf?p4fv*-fT4dkhXcja{}Gkr+8Ep&KIkA#1xeFvknO|;mExr+qg8dL#8 z019&oB!19E;zkBwi5roPvIG`wzbC{Tuz<+};-B6h*$eE8oQla}aLGSSltiggY?eMT zaY4-#CaPS1wu%kjhh~seF1@1G_wIhg9zR1v2N;DtDEMtYj*lG|)fUTQ9LWO*_2iic zzN?rJc0gddEAEu<0*Y>2^ZRSuqgVLCD(>wBb>=ztjSsTWNqBGMfxU*z60OmneT{C&A$5gVt3@SUt)hukbj@`4YMjb`>*Vi?pW;>fBQC-*}{H6SHf>0 zXS)cw6ub%PPW_QW(nI!p$jC0pg_D6!&)fwq_sI*fQ%305bmUqA-h~@`XI-CvPO|u7 zFN9p4*VY~Wo9Fb6Y;@m!0QGGNx4c&!1b9psh`cv@2B{ll7AQi zR}%_qp~gYEfC>BC#c{E|f%ogNYUZcqJF1MV#Qj}ZA()k!|2I;Jb)?w~^X{1Ej>L6W zP+j)A#T>^1CW=BbSjsdIh&IV02jfhV3PKVu<^{vT7MqJDNettWSUqWCHKmkF)WRKNCRRbktYyPCg;Q`e{eEs;IvU z>^H17t^@ge&P!nPyxZCq+fMOJBRQgDg%9t>Dd`sI`e4wiXLFS?PtsV*GF6ApNK>z+ zX&*H47GFv=JR+%tFIhhM%KUFPX_-tiB$opCv(X^AZaA2O-R?*xV5enxmbYuL1Zq>= z>i|rLwzK!djo>FnR$Zg5c0=5&dUR7NpVDvlGs*|@toq7;IUbP1JLU_^s#M}IBmLR4 zPw;q?dOZOYly0da$Bgo~TndC#MM}h6wIw2&4G}sswUm_-8^|oNP+{0GcLnQ)61D1S zN&S@BrKEj^ognHd^WB|UjP>Y=X&>8a%a{4MBJclZ0tt9 zj%W?Ce}caFix!Vy_gVa>tKj*Fz@76S3FGJX=#9AlIxM3-<3H>Eo-Lv;^gfeD@5C#r z=Rrni(1@R-uzC-_*!<;_{yCo!LS6%#V?WKN{%s)MEf~MdL;0}wEm$>YdK&2%k;Fe9 zdjZ|iT_XXYmxSv9g5WgVKfUzN^IzR6R`+i%MO9*3Tf;dYJ(J&B3Mqi^%>hrdKf#(A zd-3EFTKhZs1~xn0=AUrN&oS&joeu=+C#>dPuj3Z(>}=g(pHdBSjAk9O!LhV=GaK2di@3_jm%u^M%d!1y~zPPa##NRKpHkj6!}Ohl6~ zlmZb$Qzwm&peaTWN*q zp?-;|jq~n4-{8Tc0@@xnyIoQpE>to0jm4j1QRH`^5}9LyQ5N?EBd6Bw=Dh1OPdHx8 zm5J~cchN4fC;S^yzj&JSF zDo_D|P>w)=LbM#&_5{TW(D)CDp=f;#p-Hyc^@MGzx50DL3yFBuRP-qqRmPLBgiS>gW;Hs9 zY9iykpqS-gZ=%S&tjy97%>W=J#!F{rEq4ti#U(q`nIvj-m zB{7HsNj;}m$hxa1EE-!Pkwjq{75*!qC`6*dHyBN=GQV> z>o>V4u`Mp7S(lu5wZq9Q>=UZU#M0d}9{g2ai+DlFITp#a;V7$Qk=|{YAvr)iJyVNu zchTDk;0oMOd_iLTXq%N@1?cXlz4)i^(EByt-$c&g>|*cyJvx0=aMw>hRx)0~s7(mv zARWEjV&Pk`JDl>wI)fGoeo6Tc2wLG(8>DBPn@rlnKTkOEVT|np7i}_|N8{A}xY3k= z+lVLYMF~xCb0G_%?JUS_EZb1jRrI^k}DUFqv#CnFmITvL>+@aN-lGY%Fq4bC52q_I6oHN#rVVMPC}5Y^PD@~b79M# z=JjG+&bg>V6uP}CA>LOB3U0~euK-u8%-p+&sprG>W{Rj1I2|34B!SfT{=8BJ5L&h|@WR;(qX=N!Bcf^z#mCo+8916D+=`@KM@? zMRxZBh2O)Io`6`(tiy)#{tWQ8t}u*tNmrU5Ir%?u!j5Dpc2a@OWWu{(fR5=&ER+q) zG;v0uq{bGC;;>?Aym27e1iDz%H@lXbQeP(@wLOSAePkhrq{}yLRi-pxHA^E9W2e9pZ8PAPcUsyva+ z;t=QoU@ny}qbzA8jzA56bZzl;U#ZR@=zLUN#|U8UK^yIb3cXW7jTPOF@m_${#up&Z za@pS74-$_E|9QFoyGM98b>J~|LZKs~fcV5zIQ93-IconM3^*DQ6f$-Skoia&-HBfe zOHOHjPxZS{D#x-_jYqU5%RxIO8`{H&e>Z9a&U0L94@vf)ft^T~XF41WUPH}$qv0Dw z%8y`(OvWNkWRaI<8f6N~Ai+jZd>|Pv1xmuiMu8!VPNq~a<^z=$DRRn_%^A@{#fKu) z6nnQo&oyx66XhMxpWxEzXYBfTaPe1`)AD0^PK+Z z7e6)T6`?#9KmCp8f1!n_3K1iB{O~X2FYZ&=*AHr>unx2U0O28kPaKNLnD6u&v%sqI zF$AFvkPqdyFkjo&W6Ayjf()S|3t#;-CYlXVq&M&I3=4}SV)X~!(~Fu&=K8UtK)mPEQUH7WBPjNzlROaN=#u1g^9;pvBz1a$b?7TOjZ4hxt|sx~bzKpY6Lu(G zT8&bm_yk6jCe~D5;6=+eX6q}7j4db^zuki?$)YHUfUWom>P3S-+B!}8=UigqOImGA zq4?|Qs%lG#gw+V2<%9t59^7q$v#f`?Wu-1|3ALa{LQQUF?2TMu~SY$_(F(x&cF(?jkm6{Y|V)C+uz&}_J zXP#uNd0O;hBKu}KrsB+vI($4Jd3CGCZL(~2ae>E`7CLn7zyC2$@33k=1^_D3asd37 z8i}*W53Im3Xtd)ffW|=2x7Nxbc*^m$_?P*ysptRGjyp~xVQ#a7DB&q&qyWtb)ZO(A z75}v2N(4Fckx6fepZv^Q`wiVM8{t&8-W|bF2dk-N#F!##)_JSpMlP9xS6*62fY^&H zWgaC=O!DdHRW~DeVgXf>%p?h-3K{VNbz13r3S9#k?K0P3!cZiL=)PlQ2{iN(D!2p@ z+^8aleCL7K#r=b=YYzr(yiBTUIV6X@Q{P~vB1GgK6X4+#Dt!Qzk}nK?4!TdhYjBb} z4h^>($PQR3B$7;v#Qc_0bMw{wA`U$OqxMGTFm~+PcGFb%-r7>ihXkta6Sc8+NICtT1 z8PykRCPTLPpwS_QiXVVtQlHu{@eJQ2dg*&?B)5GnZ&CgX@(-dyK^%|8ciMD(iz2fN zKKPC_v(WLE24yF7b74&cz8T^k;@Af0Vpxn zuUKt7c0_ih103Zjenc70CtGZM^PVu$(&-hnV`+&~*z{UmNZIlMS!{g4`CEXeC%eJU zMQy!BJ}Ljtmcq43JzE&i<`wPG9qnC1ZN_+!bk~cU{&ZsA2`YWiSFE<-!hPmjv2Ti? z%&}L0q5^fK;FOhF{q~=Cr_)QYlmK&w!yn)1_g!(WcXT6e?0sa=uOqxUlmIk@shk-T z-zX$zVsYb|jG!R7QlhLcsW2mH6=N03@N6lN>BJy0)=0sqfH8dhz96EW@=r9Oo|T(L zH6N!hMFt839`zJYcoYq&(92SJwX#fwn z0m^vDf;7bxJ)X)J1aOX3CUND&!Mzz6_8}9Vh;LFwCW7RA-U_2RGXKs_Ti*8+G1=u7EerNNyqxPk#@jK4P3&AHqlofSPKE~wX=44} zAN~P9lYay-9R)ag&v5GLZ@G% zXFg=xXg8!4HezGIGt^MeLkq;~W_=$`M9^}O)#DAWrX`MgR;E-XVEW5Bc_aGZ)bNW(Ic^!)-{P_2E_{9ltoKUeWN`^6axEt9{A6k@cfwXt`nO zqLsnC3RmSJA^$kD>dNR%s=T69)W6^3dO*D2>)%sfu02pscY}sKBYvt%1iO z4a0zUov*#mYZVua&XWZ7ZBTH`B@zzlw+6{SyN~?E@&$=0*$c}DV^ob;{y)VN7Rx$n z1{Dk(g%S$aTFN#HhC$t0zIF}e`TOEHxv_^sKi>%YFMLJ7pWp8>l00Yq9LI;q*+wt| z_I&9<8*kF>TSKcPp9iX3(+EFgVc8JNpjWIGoG9Nx7W@PpF&2#e5ezWgP_jx%9SGFNVT9n-RLV~z__(`=)fc)4H-_$Q?0UEq;<)HM|z zDbbp~X#Qv8Wqvp-Ubv+I!(m)9C_4a{v;Hrc$XFkZ(MvHI2*_-It1-m zUB!h5`^D{NfMZA!!`{Oc`G?G|AD&+8!hI}`(;5HoaKXs?sd|n#${C8P5!DrMH856? zoLne$QZM}EA<2=Ehs=hHk@6IL;N0^@)r2Abr(8y90|fDq+?ghOr?1M^&6NRNd6s6 zKBjJv!9u!0=oanNJeWOdM=y9ssK_^%{c~^Jh-wOs@J_mjR*)3Z3q92IPlfM?1mpo2 zQ*opW&|~tks&s6UVn2jNo_!)@M)w1b60}ZO!=c}6u@l@gN5=?w(1B@?Od}p+iBwt; zHGzz&3=tT?0tx~-RZa(7Vocxz77`e@6Sj8`-dh%rK$LHMi3W{ygD96hk8$w9VF@v@7RG`8t0p4|6dMYUk7A@ukx3AAdM3Qv8w z!IcO-*Q3ti%a~6YB`@~|4Y7*@K_LHTWl*KjA2Q%RqD(Qk=y_;)Se3tjesgHq4APGh zvqbcFQEQ0md$mFaIz|E|b>O^wph7EL*U5%ol!=TO zMGpoPPAZXZxP*vOg_`Ie8z|Mp0-L+>%U`?^aiRxj;}_|K2Yfi*z!#$j=92AZ_Me-*orZK--7PE+))jmE z<7vc=hBwVdB#St$HzWZToIo+~R|c96n>;eLCyJX2RP16!6FD}=$*Ct<9s@Bt8G#)8V!4-2?KvU~}dDn20D@u*v-|_6wao6TIaSlE3 z2CN*@ET~*&OrGS3ALy!GhAQvRdjDUME$#U8Df06VY?gCe&uw3D0PGpc$^N9&Uz6xub#R%d3lr4Pg0S2lq(!(;^?nFU#%+Y|*KW|GplXl@@xQ4zzxb4y3u|l`E8ZInqEy#G2-NmraCH zyMRn9=87BR3M$;i|5FP94~ML*>#&}Bxd7s$sK=2-d|+_VbJ0Ak>zL_>0|lLK3m|~< zgUDxiiJRtmJc?LR8EaEci4!)u~o-OA{oTKfITX75F%0e?7h ztPCBqTT$Y@dnA+~T-q~Lge>PuWWZ9MJb3sI4@$T$C4)~<9r*U*jI0t#p)(E(2Fj#} zkg425bgq}qDNUzB+S;?K+U5vc6(qTSuz z*?(>BdcWrj5}bXt7Ytbpzf!Il_VnC-{A{Hs^Z|o^$v6FUF5eb1i?Mu%HW|Q1{}eA1 zdLCsmlhFSwijbuik`jqJW@a8uF3LG17fve}0YVs8JzzBfQ%TS=0HS+Db4 zE6b6SsgzMemtjdDsgeyJP#c(bpj}eL5ElwHad|aWoncF%pu|4vHB~7F-hXR6=lkiI z$<56?J@*-khdc4`E8Qw*xU%qv?6Y}$zX{_*WZ~~(VJ9Mq1rwh8Li=s_jxTR7NO>^S{P-YKq|1}Lz29I`icJ*awcI#wvxD`v zMj^z>t-uj6Y7gd#eDRTZ9v&n;Za7-tk$QxPNQK)V_+Jp1k1}YOH;6w%d`xeuK(s=? z{*tPQVA76w&{8pD0hLf1T2I=P(qPqK^hYRzGSrWI0n2uhgnQ4qk>>LKzb_s_{0mU& zw^19z;RVr#r;73B;6P#gj!;SQm;@3OG5!Nk_Yrbj?>3~%h>Vb^rZNc^q6yM<`Xs0d z-_`|UAH4n0L!!hvQVL=}*wJIvOc}Odih(z$7!~7G6EfNrJ6^Z_Erf#exUn9x!yHcl z$e?2jg42uq1HGZj?y=!TS0*!}z*os3zkZpCJ^Z2w>MD+^@&4t> zige=z9?k|GPH^j{alci#-iq+}`0P$~S!3=E&~9QtZFRN+wd? zIZs@8^EMQE0EwzMkIkNaS!RVxhVg*@&C)vJGuiC zY|*U2T=+Y048$&ma?Iqe%6o{`L3{a*9aeVQl{2F zkW6$n(^zNzg^E{Bh0wi!*=+v?+yx_ZA$egup|u>^_)r8}{$C1ToKK?O*e+^z{qgo} zav1OGd2TQy<<*G8Q^pFgHP9V+p2{phulEN*{-4aua8{L@l1Nyia_Ohe(B0-dUUtjXsk~f|da^q>8c(Cf$%jkGooOr3@NVdKxSpI<``K9QjB( z$S~VGk(9Abx`5=zz2aW&IY*ylY~-WyZIv0)n_5?L2m!TMR+&0#6&S`EI=r5IY@ zplG0tLa3o9hR4G7=ejv>)YU4=HWMhgeW!HB^xW+aYX>ZCbB5BalD;W03~O(ea9>(P zvqQ0B3zm{LS4AlQhj=AjwKVmLGI6UCC$(hamKv}8Im2Hm6f<%mH*LAKN$$-W`meg( zNw-sY+-uq>3hPqlzjMX((4^e*J1!Lz(zkGvcK;f2q_U zF?=TtycrS|1}To%VF+J_{Tiv%u2&movl)6PdR`q@Totmj#(F4vT5+v&e zK(_58NbZ;q12F3cH@{u>>AzNQCnsFsl=dw`eeh~mcit`+uSa(OEX#30l|pYv>d1!h zbNyK1=^pR8A;z#)tZZ3qelf6l&g}!ro%6TFxH74tyO(L-G5nzJzM;{u?AmU{xkLqs z>Gg=<^>8SexU$ZQTKKF=vd8n%#j8515g0Tz_!*IjznI_dI~ABtUlumguJL*n)^+gx z9^s)lb$*xUl+~nERRHth9G}!JTk}wU`D&C2io}UIY`2_5O$V4gM~bGH1j+S9Z)Rf^ zwNIp&$D0YJW=?ni-YGwW_zzUK#bM@G;cPYKtL!`~23P<#U7Q%_JuMnaCpwG9lI(>7keT0<-^j@yo&Q`HQ<%O7 zWv=i2>?qU6r;y#SxSI?mrZv))iXMb5y~#if=0lp&gnj5{m2H#N^U5%*@4acm9{%y} zc~-A-(4a^Sj^AGH?{IHCtTBtQxcy2vo`XY!3J4#Agf&}XPoOMp3PnI;(qbb99yLo) z5eLPTL8x(96eEf^+B%kGG8xL7x--%U2L*c;&Xj@F(FNP>gviMl0yB)QtB3d}__i(8Er;EN}%l zYF#==IZ4U}gZKs=!T|y5bOYIci(#k5Y1&yrBFK>D+=!KN=NTvLrg%>6wJQhwV(?0Z zUnzXoM19Kg1_LGk+N$oIMK5iuc?D!;NVS&VdZ^#2HO=)8WyK@alo{2fwAFBV40Wf> znlq2GD>B>UNvN8a6YZMW4}9Rg%G_It%1tX5Ci=#*%Zd#n{vMhRvB>{ybW#BDb9iA)%8eq|X#m zm`aVZ)uttQq{MPpL7VA!?!~DWu1=)NcORCc=~&MxQKAd0y4bkrAje4D`d_Jm%g$@A zXp3fWy$e;To#7iPoO)b}U~-HGHR$UM8R=P8RA&ke4T06Q3uo4769M4K|H&{r+=?mh zVtiiq(VadYVi0s0mtr~QRv4p_5vS`=42&RXXH|z#y-Y6glU)^wr`gb;PY@rb&?~mF zG1R57m^5)a?NwCJSVV-VHqL$qPc#kUGV{$yi%6<_d?`&OU7AuVsYq*fR;ft_06r89 z-l=yK@(`Q3Cz))%6f&ibBx^z`y+eU>x)ZNLIMLNuGr%WR4Rw}Eq62W_w zt2NG=nr!K+p8xyGbpvH=wtt+Pm)YJl6mN~>lkOs#09Jsiozm+DdchOtb(=|CNa+hd zgE3sYYU>&^1uGZc=Jdor<+`mwY4gV$2o-VXa`5ie>OP4VGCKhK&H0R~G{U*6%*wiV z>hj^x9Bl_5mVQ$f&qfRQwNJRSbH|Qc3o~&}_s_(97F{u0_0bqx(Yq_Y-Py}gf#KxZ zYb>121bb7=l7sS+YFmCEB1oO*zC^QiV7tLG5@~ZqBpw-Mc5Pu84OE$7yce6y!lLBi z&t4Yw>o7B&_t>T(i6C_{^d8g|dsuW~>0u{#L;91Ztp{JjHRsE923UE@HNeeIAAU@6 zPHFMG`1C2pM+nA~0s^ig{Iu3_M=j~`G_u$6_4*NfA3k{5G@xJI;ra|O?#bbJ? zr@dcE`a(95pVW_Up3Jp&Rp9GdX*S(%#PxK81hg19OH~dB(Luzu!MiOL#)HbM)%?v2 z*8utHu6hhDzhnYor5W3HR^{t=>S%TFT4xrK#5McsL#p51uFYSQ8zUiOzg+~ za?`8Jq7WQu41B$PCP+bg*qB-#|C)Q zCt)J+2&ip*Sj)mwi*U4zXj$BJnr6O}Gm^OFBoLvAxgg%X+to@oJW>obk9X8jC*mxvN-&b4!!t3^}~aJVeFX5E`RTX|EQYM0j2Ji9Q7|C)cxleomi{cXs0krqRpu@l|6c+jfVDJIq(l<6*4I(XS8S0+ z@M!HQNdsO2GSz8pSZ+20UBU#ezTUjbiuMsA-3GzdFNjM6)^l=qZtMGYAV=zRj)#*# zu}g$AH=8?Iy}EpEHJiF0QEBm#Ai}D8b=({+XYN9{&BrjK?5wOT62IICPSeEZfRZgO2@R z;Q~XdD;}Oi@1HPzdhNr3m=$Nkg2s=+E^TTn+ss-`*;KfMvRM8#IF%s(Ho)~O4-np_ zsTJ4Qbg_Ls+s?sqp8I@l;{A@m%OZ?wr2YIvnq~C5B4o5rL^8Os7QIDuiGJh#rwWrx z;%j!JzoA8?f*%QvWo@tqvdi%Ha_DePS6)?1ZzklMRZW|#cQamHBh4~@&7&EP36qmc z#XWx8_U=Wt?fq?y3zsRx2{q+v<4swmvmeAAyf>UA!^F&h^X*l!kjhS}#m(n);6-`+ zhO7O^2_#o8fx~SiOuJ0^a_cn#1Xum~9M{4~Eie6__K?ep^h89ST|T^4A7B~sauEIVpplq5Iq{W7T)l%#`Vw=3Q84L3|C_t9Xjz? z1bpj?lCY?)H2EwTF0&S9mrYZ_OL=P*YGV{F_Nn0SMfn#gzRIR|)Q&+33YQGHZ6D9c zAv(3<(-Ecj6_oy~@}mFkDuL~TQ=2TO3D-Egg@)j`S~mUF9PMLB>#O~ttvG9Jg4+>} zo55j^(afcS{Myi1c0=M2r;??Q2{HqV=})K9*6?W6nPoI<`~_;ak&t4$%VxDAcYe;qd(+S}0Kd9jFAML$krL-v3@7l@qwb%|cq6f^tuwP;o#%YKloysUJrB5Z z&G_c$Sg&mKmS-l)P{*mNr@bv$ zY~9|s>iUXv@SU_Lu{qy&%#uS$&VAXF0r`!}3T1jUP-X=c7U9uM0zK^`0(RK&HEQT_ zvt6?FY0<-d7^teDTV-}O>`fZk$9riv>r8V)eL8l{LvB*u)v>wxdN_r3TK2lz&bc-P z?JG)>@ad@Y>QDFbR?nciaT#;9 zpl&`zE&)BuZ}h3^8laA}G_*{^^vbXCS$)C*cLox+{_p<#@fDYrDv=v@C*5UNg}KLi zpt#w%jZ`tFZ3{idTKOPlVby-YS-ToT8z0r5? z7583fxc4*nzTn=A5)AA@zWanr0x>Qft` zAc=6$K0{iVzg)wpgw=R@Hjb zTji-EolwUesw62y#xGU~OrAccm)SZz)7S^^7o>4bQtZ=@D(bVM$K&@%UQUN3MK#EW zsP@|Ju}r?|{!<@qzHVzEIrj11qtksRKRttPR|#A3v5Xq}m)ky-Ap~^hL(kka<)<b z@g((>r-m!~JtN0eo$XmDSZmptbL_wQwwB?z+=H+Tk zt@+vrI|M#UQ1hB-8#PNejfLyFXlK?|-?%2c2JTlh_5dgvCy#*_PFxs+MC_>$aV8u# zt)h@7B6rED+Tm6Fk2Am5_P9%jqI4+DGgM$6aS^ z24hdRQa&!IPNeWB0sis#>e@CIR}-N2>1m;#pTuPo4Td%5*t1+}&{}^oLmdxT(#VJUEl7WiFic?4gXlWft0CU>gtC zv4o8GlhYfayOS!PMvPZ`*KsCI&0dFwX1K!eAbk!-9z}eb!A>snatMRy0UR2Lk7LtY zH#w8p!dNcL?n-MM8r5fmLw9C-wCWifeP!a}(=#bi^(&)>Xt#}uD2Ee9!k+J7yPG)( z8Bo@!^yHQF>RPj{)jpgh@W^|Du~2f?m-~e9r`EXuu%PwBIC2VQ1IspKXMq;NQ554B z6q`N|iH^Q@vG00T;v(yCHL<-`cl{@$vS1fktf_9V`v$M+W0#Gm$BozF+(*0!XDB&S zZ<w4p( zR7I~lpG{J7R?%Q_grPsuGaJq6|N8C!15ir?1QY-O00;nz8Awz1giZa6RtNy^RS5tL z0001Ra%FaDWp^%WaAjxgx_KZ}eg8Lp##oDN5s|S|q9j|GA$uxY2-9LI`@TgOl`Gj2 zii(U%k*!O1l0udi6SD8dlI;63X6E-f)OBCi{e7O_?|FXz-uJmWe4KOUob!2~_iH7Ca<|QK&LmmCo+S{jQUAOV{bfsZ~AUAhkZxh{8#^CQ4I`t|@ELyp{^zpVv3&2i`|GEGFb>XnT;$sJH5(~z*_C9uQ zVEi6}XjAPxy?r5w9^Nhz;OhyG!@zj2H@HDCz7LNbcE(xo*mh_9cKeufCYoUU4OD^I z#>U$Lg7)-*?SlSx4&Xd{w!rwPyS2hFm}(NE88=Xe@^J0DF@KZqNQkJ{U48GtcA z80)yZo<03*yX{pc9epqc-9mHC$yfgz81sPfohv@troWC!_x3RPbuF5btKOO#zqU8p zcyC`9zSe-BioJg<7tJj zxaz{&g~9l^kH6`!&(fOvg67;gKkZddU!!w7_emS%Y;($J=YDAKL8l>2NDC5#{J?4p zc|gvPQ}cA`H27<0j}Bx5c|#7+6-fHmmfxSS*xus~ewso-&R>%at3<2(dmjHf zo^G73g>HndooKdd_B4JS$8?m5hG`#@I+NtTe{#>EJ@S8@1+Mkq z>$7vOwtv-I^RGSsetpfqRo@=`Hu(1=k#~@V$OhyGWE1i&vJ?_TRwK)hUyxPs_^<97 z-R`d6S2NkZ9~W>Qr~h$1n_t(2E9rAI=ji0ot(|?oSFZWOz|-{b4D@z#aP$?_0P1i> zP~Y87=CI(gqsL?+2-cb%`dZ@N)(`~ur+;npdJjR$QDCFPzqZ+kKv4NO1aYqZYn#X- zfXzJ!s`_T<=k33<4HiD2VY?t!fHYo601|;D!F-NE3Xlq<4rxQDAVcUJWC>jaRdxh@ z?hOS%!O#upHWUrrgC0PSAw2XP%7XHsH&7|`7Wx3yL!Y5E;u-y-L|GB$y_weowW)9{<%$m$tWnSXfxZS=3pqSbSOT zvbu-@~~_W{>_J`#rclDSJxxwCttJD=VX^5zg_Pqn3lniR2XF)a10| zyv3Qp`H^#MFa2JLz508d_eSr{+uOW%o{NL)IF~usHLet{Dy|`JB)2%XKDR4(9QPaU z4(`o;2li>~yRt8GU;e(BpN9VszX|_!{^$H(_*V`H9MC=BdEn83+5^)9+yd$X z&I0!Z-U&>iI8kVn6Y4&y8ue3zmT4gpU_jGW+9TWgs_?LP2tzV z{UW#S`O14RzB>0 z_}Sr3X=Z5+X@BV)>0udenbR^iWlCjckBA8z&S__?~!kVopU`#YH7s<%g<->J`-tRic`(nvL31 zwIQ@1`Z78lJ%ka$*kGPvM$|>r?bTnZPiP#}aMsAxm_2#)r1#0$5^=m_gL=;Y|k>&ok1*L|-?r)QuSuh*%6K;KUPmHzxG#Zw`t>I|3- zObs3zjGR7n+WU0H8JaVv&Lp1cJ1ch9{cNcrWT!LX?n>t+jRBZ$#Ze%dd(!w{LE_1S3A9DV)<$g;i%Q7nl zD|4%tRx8%p)(@=5u=3d3*p3Sl7p`4sy14(M>&2=|te0#q6<(&hY;igJ@|KOE%`=-7 zTYcM9+j%=pyJWj5dv*K!_LEoCt|VNUxTGwgYdcF zQ|7xDnEEe%l74^q4f~`0Q~cKh%mWIqv0rn)_9gI8U}WG#kWSEx>$KPHuGa($1>XoB z4$%mC8j1+D4Xwe6;KFfZVY*>iH+J1{x$*Vp(VGc3mv33zst7+29u`jgL-&uI+k0+% z-|mc1iNN2Xz2kJJIZ`h2Q6weGKI&8S(deY;tr)wQPqDJG4`Zo!uikBrQ;18uM}N=# zUPnA8J}ZGeAt-^EcqXyrzTo|+`^yh5J!ncgo`g@{mF$;1^zihK|)AI2L@X`3qbf@&Lr@Bu|o{2w8dQSh`|M_@^c}Bwvr5CSW z?tdBmlAP(DIh19RRrgBq)vIj&?6@479KW2&Tx{;QJgvO4eChnBueo1G{|Wu+_vg=o z%LN^84BpffDi!7zi4~<5a~4OHAW8yD=1Uz*hsrF=TFOtA*Hoxf6j#brX1*1An^MJ9 z758q}yYP3^>Y(bC_nz;kKRA3K)?BLTtvz4+z0SC<`J=(d#(JIl+6Ik=>PEH3w@oLS z%0DT6D*deRx#Wxdm*TJTUyGX+no9_ZgtBkS-zr*ETi&%|T0gXDwbg&u|NgoCY)S3MD)B5Mwl;;#_`t}U_OzN!UY|)(h+?VSjWD@H2=t8S~LwWxL8^{fr0jfPE&%?VP#7Q@y<@}xUd)6b7E9nAKyKS*y^{sE7sO6}g?5tk|7N_C7q=G$2+CB4AhR$C zIt@HZ8d$}^m>K+rE&Mrkh(=ECul3jBb5-ux&bgRD5~5qDQkM=u5JLq7k-e!@(i19` zoB?jO8-m_@?PO4Rp$C~E{UAJQ{;~`D_hl!a{%wx`{u_et;5hW}zp3vbc1F51MhJ-z zhG^IkNOlCZ4nl!@qeE;jzb}BGLDJIEGcYpk+6@jUW`k%DNF)s{l8%lRI4nd6*bmXN z({TvNYS42U+b{@w?LBrQ{wbr#$$}4DCN1-#a<<+#nRapS!qs)M7GvyZQzf55fCpj+X8+>W>t8I_QD|3Omn!$*&w zJly z7J5c{cwyYdxV`N9wJ>ild*FrjuLV8{0-S-CmX-nhW82NNo9+L4q4oo}9Y*ben2`w3 zOh|SJ1Ch6$MM*;cUH@ZOB=y^Wd*DBOMN(V-+XMgME0Ws!-yZl6Uy;`D*4_1?l*uY6=~NeNug&ZT!{PD;JT>F)jSjl837zFNT~O$+G>)$2UIJ$?2RBlH z3JHBRy(xu1LF6Rvkz2eQs-1oBqYt^%UzHL{@Mvx!Z)71|8=sj)q`oO+J$(?OLMH^Y zb+py&Rdh!Umvh&mCT8MUF?gA?{&DACq)Fiw2IVFuls0rxB1Uu@qUXb|&YoQO*}!$6 z{gaiozMr6&tx4UUGJyvsKc((Pf|Arx*vZ3Y@>%&hiT+@=);Pv=mJXqF1=Dh8{whYc zK38YbVD&UE+*JBmxxxZFn!f#a88n1Pk!kN5_UZ~ZRl0|oAM*Jrg>IHgbk8unk+>!D zy~T~IX~_$Xxi(lMNi%A3@bHp)`t7hR*JYL4I)@BpgWFg=k=HM$nv11cV0uo3abCV1 zhmo8Z*-)2x)PV%8!AAMG{BJj8{E_wg}lJB~&sx)!CC_?xWXmrM+VYdODk3+*jvz#<-h zY#%A-j;wHvD+05oLTOFruWie@eUk7ZpIu|0w}#0dQp&J=rf(58bd-Q)e;oGFj|!<# zp@k1-(<9{yo?Lk3XDkai?ns01r^?QkdK2WtxJly=-idD;!)_s`T{mY~8cJBs6-ABK zd7;q(pwL9K<3I9)r?w2^mhXldQz6yXFoh&-r~N|hki-HNS}`6!k}|rKP+3)wYQ{KH zPC8dhm|$vdnbWf^CtVf@KwE-p>_w!v9%_tF9b1a4d0tk2x2ukyb3!S`?)${+Qm(V- z^Fv!8xuqo><8t=n3=5&$LNuo8km|pj8GFjw3ka z7-{>A_l*SGYT)ntEJ{O;OnX0lTY6w-H+fr>bxb2(D~HveuIf)lR7YW}6u#t?DkZpV zqet!HgJ zmd0MI=)THM)b=44ID)D?+UUVl9e~_aqxp0)mE%j|@|h_24TS5SrYIfGX~@_c;E1u8hpzYd`YRw2c11M(%}+Qt=rBiD=z;2|nGmGZ*hly_Uw|x^PhX zZdtuTt%Q7aPe#H;M=)~(8BgA1yqPF!%yQKjo1%A$aHHT_kD4Tm^e#N=EqtA?kfUhS zjBw1jLQiPf4(=$&r?W~>88S^E?P<#B5JOnd;ndS_dt(swWF z70^lJAKhH|>8z6TaC&B7+~`z#6Y}*7(~79k!-06rTfGZ)!H?w7I&FXl?%0+unsNdZ)u>6*^qCxP$&J3p*Kudm z63Ip3u)rICbb!g=xs(F^3Aeo^E!YQ%oW!-bEs>5e>5txt2C9b`9eJc2o{DLDt?$35 z_tHGNB5a^bG;Z>Ii7}R3YE-u_e2(x*ncQkt3?69$c)-%iJ!)2AM;`4x^=InX4V%e7 z8rHup9y;#q^N7!Ja|l0aImbol6&46kK|Oii?g$HBuiV5j{@~|v@mVxuUq4yZi{0bk zC7xBY_q;XVG@g;9eOux|IU|$O)JNN&3)txMOMnrjs{s?)=LE!KqxGW=;|t2b(?jZ| z(u5o=C`*!$4^pCa7|1zpVQn}^!ug(4D8QyT>fw>L3q?UjbU!jIO@k(QMeue}cL&B~ zn4cm93?4Rzs58X5J3q;=5QofNS-x(Gkm|FY;<3q9bzM|Q&tn+XZw2*Qa%Ehr_kKtq z>OOquk=X1%yISvMxd0yMqxX1x^1AK|0W(4`<5KxzgNkk*XA8(0K4x6S%pW=*E2Qj8Vd`sh{@ws=GJ(xc;$G2BTUvPaS6jR|mt~w$|l7 z`@7c3SoOW=yL$cu;-cQhO6(_8tw>b7sD*=Zhg=calXWHcjDS?4lek2~rZ!v1~unIoBt)B$xJ{5}wuYa7D&Vo#T+g7k^Tv_-Cr<<`@CB zvyB6tP~M}a4Ou6RD_y-!Pg^v0lbGJKo1bDPnsE4ffW!FZ=K?PajtG}izO{Ww5qT<5{#N?F01Pjst+|Ld@4eui<(Ml zHk)Z)w~0ixK6klqP&VDDGNyY^perUzd2OTq&|_d`ni!rCl2T^`^324eCP?nz0=LL% z#3#>5^WW%u8?zcSb0fAXm)w@nK0*zjls5K9XXR_T0Atq( z6byImx7gjgC9(If8ccy2qL@AL-Wb5MK-Y_Q$yIb{rLgo6SX`-}z0gTAvllgFE$fuLU}I9iN&XYCNe9o@EU1amBwf&NJ;hE0^`QWGV9e zod;>}=?o=$QmlvtJzohWd)sxv!CtJGC$F1ZeioY=MU7%7yYb3paL_AH*yenruc_Q- z#P&PL4G?{q4dv{^O&Sh((z~Dm5;(?#DT|n4)ZpHWAH5GxNTYR=y7>UsKuU`JyID2zfR&g}!YN4Kg`93Ag zr||d-8OEvgy*oH3Y#YCnU&fE^f5w}Z03)8wU#xGP0la-YFYN7EiA%e(3b5oi#=zUd z%4enzqkUx_>FaDDs)OT-gcKYMve|795be8VNa%H`AR77CI4oBH$Sk^t)${qeG~;mot>uKdsjv;$$b7gjz; z%|nD;ryuPWzRf#zQ%(zbyvTimig^y_0q^nwpWdH2AE#m9z|~Z8vhZ?{n?MobDSxz} zZFSA5zsDQrKZ-0w^#QrnQ`o1pfF)TP!HuA5;B?bfu2XSceb2JCH;_K$!p0drd*efO zK1sB3hRG=6`2s-qg-S~sBvtGTYA_*B)dJ&kCvaOMe?W6@I5c_+d zcLW0gF99&PM;zl1j@9n75EcX4KZ>itypyCE>;Gig^EE(HOi0I@0V~<`@$6Z8m)+J; zqmG?*g!oZ?kks0&&TMC#!9Kus%CrR;IW6x+(97|9Z(goUCMd5eWy99*{4eWgVx{eE zGZM@~fXyA8`Wvw_p*lUG#ry%XO3AFqXRm@K{K!hEU0tGWoUm># z9d3bf{$7BV-68s@ybSPA0ntyN^^Y@8-#xC)ai{X$PP7RG(PrWp2g8uuIcA^+8UPEW zD!N%2k3m9)t~MR+0u*;JeRuM{gRUaSXo4Hg*8|fN$|d^du-IhNW&&eZSTa$8}RXufs0F z%OJ*9nO(~-QJe!($HkRvA>P9w@xJRVc*qFnj&YSTt%aL=llWIQ^i8+2^+qif-3W~z z&xUB*I<{b+sO|cC=EJz>YWwVecHQ(wxhw}AE@3#gxSb>PD9 zc)pDdpT)RSmkv$LgafI!d-5EF!>UiZK3qA-cbDq~2F2y@%^88*$HJ%(Zx6amV$)pg ziv^~qL;Y)WQ+W@jJ13bPJ9i6&`PAU&q?chwJ`2~S^orW=!SpCmir`mzpZdb-?w%N8 z?g#-CfO_m2I|)aeq(!NC2W6*4)sjXy=MmP=T^*zA=^mQ1KZfkxrM|V19SNS~3jv&p z>67Q=?3XQo7TmN{XG5E}0Plf#2tvMy^5+Ru*jEm{f|-7h<70vz6OyFxMe5Amq9x$z z@5O%fR?Q{g2ae1~LEnJ=WsYs+nVi#C{p>-Tyoc%h{elLpB!No@VBH;%T*G{vv9Yr; zHul7iM~kYNKn2^>_ZO5UfP{M#B;14@dk1X3adFht^fwBK0s8-M>?N>3N6tvhXR@x3p8&6Ya46>C!NM3gqOSV>}Vl0 z7s+w*y6wsLc7vnJub896&If*$I=WnQk98?m&$gV>TL}wY>4YO?tKIGIEiZ{QiMlKA zht(qy@?}ko5ZZhHk5>ljH*$aZ{=wN&KHN%l_a?>nB8$iLOj$2cBpqiwXCY%>jyAVJ zu0?O*$j(PZ%N1rS*ywc^NO8{4)2vt*5_&4Iw08{ciBjqb@-elJ^_gC9Q&{gQ8z!TR zWnPGNuKIP@K!Ju^xM{re;~wkRh1z!*`YsVyH;w4{Wb?>L(k}=3bd5lS(9Y^uMYnmZ z4Q7Q_##Lbp6GpA-Xa!@RuT!DT?^aFT>TmdawHkiDbbfsU@!MhTqCfOKdxmL zusD#ePSFqIx`zm?F&@c06R)uyMI6|TPM&c7G6JD(^pf){=44E7fI|~6Nv)cX3iYP+ zgt6C`t~QpJ5|YK0g6$5@X%VfL+!o!I-V!4y#Ov)12@^B%C{c&ciLsQ)8LdU2oEzb+ zsl*8?WK)$z^W^IIA^3K`;u@vX-V*b-0A@ZR*c?5?d zY4&8hm|&lXPxGh?SmRK@0fmX(b4jzd@AXx~Z#g9qu_RUNGw&4gm2if6mlCXBOBX^v zaB);9?{rDR4{Nv%Ia{HFr=X`-<*N(x+dN{@o{|l#xNEJ+vuz%ff=bM#=6uU0Z|P1~ z!5BPo4gK9(-&?isBu=gQ;XWIl9TnCM+*?QA|B zurg)tp;Nl5yv7$C=$6XRd^BXzFHq*pv#V^FR_W?EN{U3WbydP>ck_NWhNNy4^1J&` zjr^dsM-vsQ&#{d4nDzwiwb;qanrb&?_0l>QmxWpz1O?3j(?2}4n^EL5_5cM`D$`NE zT5?8VeSoY-BevJ`mMHk;4!HYQ)8KDL!``G4dR*V1h?k4a5H%4oJ@V6iAy7F zxK`y2Dm3}{x)IWD|6tF{52ekVs+j63S{IxvfA7R+H9Sw_T26cu@Z)g_a^V!r>tcEX zdPX| z>b#eTYXaY=9?87>Dq{n=dcatsWt9IKear2eKW-uGFzD*@{wcw3JdL26oH9zS_pKq* zDHuwzhJ#pRQ8=RppDtWi#Dfb+5~A9v49wdTH;uU43#A4Fd!uy)## zS|>TM(N12Bn8|&p1}bz0-;?)2jF94UN8`&a48|}%B#Y)Ti^ojDk_TQ!b$-46(!lNg zgS{f-gJ|p3U^}Tf3l315Q^E0g8MGp)x|l_b&?|!0BP4BktX*=3K2`1S>^)AdA4I#j zy$^Qy6#914Eahq5%$fQ@vaK&`C&tQeIT`+1f)P~}9886lo5BFDXjP1R=2tugUQ4>Ya@NXQQbSBObetCDU4RasFNpxQDmHg zS&b`(xSw0srJSkWte+*iHTp*DpZyVsz!6)S& z5D1jU)%0DLK?8-lEsec)SV6B?+2r9|tVVn179AQ`W8CAECnl|)fl?_i>I4@PapkMo ziTd!D7NBzCp^8+f7*!03{-URGeowN$V%0u7>&0ZR(uPMUb#g#VKu$8p4nYm@2a`i} zR-erpByzw&L@iLE5;eeAKRPH4Xrf1KY$^l6Fz;+FwkNP;@&{mElYu60*9jIzq@DfT z>gKsQ>5h8x`yKjG=c!QdsD6|Cw$P)rF%E#vjfWtwmfo?2zu!yrSz!g$GHe+w->-d# z?WXFT@<5ZeJCF}3>Y)!K>Mg2aIwY$yVe~!t;~2mvVCgV9KjS>ym4U$9gC2?Eu%`Lkbsn|D zc9kjgGdNJ8{qC>Dnp!@-Fy8 z8Y&-%S__K{=^`v@@$}b%?R?ATR`Sk^mM^(`F)H74n3!BVQfvlVY$l};P!F3|HThLY z79v-^x;Rr|qpKg!^NG}Qv}5bXF}I~Mpl6e7Ik5nIY#SnIYp?45kgUg`JDF?HOF*3@ z0BQBJc(`7Vt=_Erfyxgpwm83^u>vwxDDin0ZjMew!v$0)syHS6pY6U z$N{GE7QoL9p0M`+*|FMm3kVqr^SWbO$n0p?bT9z89nX3U?!B>P!(F374Rg!s%sH}o zAthAEsg&^WQDf*ktL2H-+^h~tw}isRVp}1R*CWX9KH!Y+vW%Fj(_1iSsAabTwr*lg zkQ>Y7BlqseSvM?aXr*AkOR%1$xB)? z<_MrW$t9W4E<;eNyNZCqXi{%klTIruY}67XR@bt7!aCmeN&|AgR*zii>JQAb6fGy6 z7OjzspkOkK&waNqUviZLQicSkqYLK@8T?xI zu%)|hGNAA6Ch?ntjA+$e9`g5w8dBshHoD;KZUGD>l{E$vkpsoFrRPy)zcjyF{~wy) z)T&dsYO(nB9lFKmJLZz|(%{P=vn=}3ao8$;;T_(bz7%vpz#!g9T!?`zJOS=c13J?jqKx zTPH|a*x_@n9aoJ?bpSrt{<;yJJP>@*@xg$kCwQLE*V`?13dw~oi5}I{OT>tJ#gC~= zoiC*1uWn29IAU({@fU>wSTY4il$c^0^iLGeMf4Ipg0>n*)|Mlg9SF6D}~! zURcWDO8VgHTm;HrGSKd>FB96%w5a1>y+8&+o=UVHq(4(V2PO}N!30IVV2`+T z++18xxtCb;I)LC?IHg>vg5|9S{Hcm-pmeRKGe2>3!E|*3UhiHF47iN;`g4MRB~R7m zUCCe~6^ga!6fHj?o(_z6_gK>)<-=;8p~4j98Y^iI?h{^fO=}WA-dWj?h;6k7JHb8nN+yI`P&u=(x=Va)>slHy_DhRSepWGdtgo%{tufI$dLhHGr=z+%C5oNF~D2Cy5AiT<AO=f1}w<4i=(|n7uYDGW%FIJeD1dj zL9Hyy6Q-sY$JyvRU^zo8yO$i9Wzc}n0evk5?Pv?Qy2wrlv}3e!-iF6Ez7qc}**<}J zURt!A{T*nN1R%t^!1m+<3d_^ADJ7&;zCpcCM4b(hH8%ouotzT9;WZIae~hwR!ht2f zHmMUFANE;VCVvTScLStk4@hZ|NW@iwbgTd%Dg2iQ*Q)(jtev{vUjIARhW^sG36R&& zK5=;RZO|ckMlKgmt9*T@y6zQ54*qa=1E*~bNix7F_0+8vGs_;BbAgF;XtEn9`>iskBN zbXtJE`<1cpR2w%Lrrz3B?E`wwPXd3JG$SW?&@0~mX2SnRurNK5LHc|Lt9u;btsnLQ~H1JUI& zxZ=Z1;SOun4R8oZK879V2Ncb)Ktee~nf~(Cg0l+ipO#t4!*gdV06<=D$J#K^8N~r& zO<@2@7C?`CK(Ku!bEfEh4b;^)_bb>wPjOF+qsZf7SraAzSyR0a7>G~zqKlVUMGwaF z1P%uX%CbTfE+8(O9u~d~$m^C}96Yb7jD1;0|yCeM5gafpRCY#>fJo z`-$Mfz3A^6{~l~(cY>|73R*U4ExQ%iaa*8KxOfF6V8;XJmh;X5C?&we1FT|cu~`D_ z#(hR($Z76?xJyA~Q?SX~_y&00tAUl=HmCiqW3{0SW`eUfHYcVmfDAU5ei00AKw*Ff zkA5X{s$u7SQFZH%4QO=-{`fNNk70-TE4wwzuH+;qU{fp+;fOde(G}2DQ`0`6%lrr7 zL__o7P6y?q$Dh43i)|pVUB2Gh4Xk!kQ7`d8?Izi|Jv{l6O$pHR8BAQ)b<VP0oY(;1EIi0kTUg_J;Vjp23(CN|+$v+2Y=A zKkanptomYd0e~PC52IAxA?!MQ|>52~Q}(I;M4olpj<<^ZyP_XyB@@9Son zpm<(@hwK*MI0CEMlG~gAZMseTrw^AIEti!6tQ`;MHakF@#qoJK`->a52OZ*n9vU-sS5CWw(@Z-xeydAxeZs{8VNgXOgV2Y4%G&ztB=LQR~c3{dL^9ZoLR9= zUP$!XZP4jz$d#pi2gokwBL+{t!IlX;Ws=ziTZ6!Zc&f5n^?g>$ zK^7@c8k`;YjyMhQ82R;GaLM#~y>1mJiwB{!skC`~8(J2y6W9F9iEFiDH&!>ok>v$T zD;tKzko`|P%Wk)EKHU0xG!JWMzyaXPKje`gt?>nWeFKp**(_o7MTrfPEPMnM$Ys)e z4kR|hLv{XbEJ`)Y6NFEv1iPu>+olZII#n}1l+?Gtj@&&^=4~Xf3r?^JIDG6vp#B}* zeiR71>C98IdF01xF9&&MQCrR>N%HtZxWm0fLW(kLXCNW;L5_o1V@V971`mY_e<7aj zWP;tW0JeHLvO11j2p$js-&71=@GS2z6{=AC0UGqpS+})7r|yNA(kd(n{wIiRx0aYe z!kvzD<~G2W=mp2)rFSZI=AZAi%{=OXr%(wjCG_yQBUcvfrwFI77N>#FXu)sh0C~aT z4K&!rM-SCM*4j->ks?E~5Hhz*$MT6DamUa%Hs^Tew121EOeKJkoMaR1!_K2O;QwnX zj$AOK07pQ$zdf2P-g+5CebsH&J}dtAUtc+o}cyjaqW zUpC-bG0^pszzanKcMgoP-6YbIM6+>q(0$`X1Yyv1Z6(LN*7{5Dnd;42U1!?xVTB6ZX-b5BtDc9KEoDV2S7`}ZE5T-VQK|70;`V} z7zv;8_})p~M?R}HVD5*#ss}*~Pz0v%dtp;){~K4&bqfE)<6=+ws(E{uskdL9yeJ2O z#j0^bL`58a$=&V_z%_q$=OuU3YWl7KKvto49q&1beR6udLfQ%AILfFT^DQP^^@$Q0c z7q-beI2U+RGWN!2Sl*h(v*;QrW1&z*vw4%P+*$eyMM*p zIFp1a(ut{~VXB#+egMjvuD~j4gIU`Wg@D`Z{l|Am7I{}HNXM1JLO#NPRA>gg%?5Zo zZu{jNor-bZbO@|&E3BPfYtImQAjm3g49L|2$_V*6mYXbuV!K6Z*nZ8+rVoEV$L3Ak zF#||(A^3G<|K;8}k}B`;LhK@ue`V@%5z(4tkL{iKoXC%sy}$MXejis^2s?nmSSmF0 zb;f+)A1H&YOGp;Ul;l6(;l|Ru;_7qHc@@Q!$NQsBk7uOQL`nW1udLJ-@??3L-B{>| zM&!P>EyuTIcJ8%J_qY*n0duD!{Re;0gNSgf%M0 zpsiV5FsN^d1vE48Tb$QzMS{W@>2`k>4z&OX_s!8HzM&2%;VBQb*c{oEd`8>}+fk<`Bl=-#niuT$A;?sc~%=LY#xLB)-%J64) z0h@IcddmDN*x4tY(SM`zVedK*?iBPbVQj0ET=#@^4Ojoj&9i1eXjCuMj53$mffwr& zS3qGjw^%59Kf9D^zg3?bwpizDn^b2mEVFTc-gIv<$nHC-#m%$!E4eP>m}2HST$|o3 zl?wcjT_4F9)O5+G@)6JW!+~Boc-9(!XN?#7tUF2mFlYX;Hl!dfUShMLK_GJ=+p_

IxtarsvD-9B(z=!(~_p;RyC?IRT~2t`h=U zw`5BtcqUh}A6I>sb_{a|#2#(8#^d`)ExUi_yR^fJ*lyWBNiixRk~B@_!MLnMDg=mV zf1ZP9O*3Lt&ujmpEYJn*$g{doBP2LWngczvG-KvF1)5@`|7*hMHu-cU`QYNQDgo&j;?z4wKF`jE_ppD^?fN|3sD0ZxoEhukn4qZiJAo<$DMY z5SmgV-qR-(s0u&JKF{>BF$lz!@&M0z z8r4V!sYy7k0GPQ+E{gK%EH7`ixz7$CWmELKG~_YI>g@NmPrS!SaWNJ?Njj6s*y@p4 z(I1#fiagzu+TD7(@j^9sb-<-hT+}Q8rdb2@3>V##KH*lj0DDOa{W5UeXM~6UD5@^I z2f{4Yw_$4)7gS1D%j9ktFZAVBTU>m|&zJE4gZB>%E-ZM45(6HQv-|cLMY`+ve+eVk zQ$|QeP%_JElVQAsY(oR@Ps*}9z>3_cJ|%CR*dFr3AS<+6D!5Cw9!>N=GNTFFr?Gc@ zM3F+j0th@O#It_wEL!UpM|I}bA}*-d&-0_{8VBouL}nIM~T!6o>M zxAs0UQ@-jBQ0LdJVY@%yR(Jzwq#+m=YasvmVQL@;>wPK|&^Bd!@j^|<8$_ij&MRX1 z)z!-II^qN=a~mN{bhURx1hgW?P=IVYLL)tE4Z=W2RJEai%YI7NC(QU^uqJNE!|;W} zk7UpMWJsktdYy*~v9G4@PAX-l#h2ZqEL5q57HN9c1QOyU#6mE@8WmTBGs$fOYGu=y zrB67J@U;m%pIN|mbO24@xXof>oavm|)iRHnXiY#QatjS>&)0HJ&Z0_Gg1Z(w*ZIDa z%=GhzDP2VvMK%KHz#rr+n*NWqyl#Ye!Mcbxe0`(4U^?u45xi!8*aNQ&BJl)Nzk@UvpN`O`8yh(g(9KM)-fR>x9Ke-E^ z=uF?YS>y5FJU17(r=CP+-73V*r|;UYR?8w>7s$b{u}=imFR)?@5Tmpxijji}w%tu& zmObPAt?E0%fU$n8<|rh4-ti0wnE-z+tftcp4Bt9Kiriz5PP+vl!8c=y5&H1qo8G%C zEeos|&UgaNpWP@}4GyUXyIb=O0+`Cfx4ID3H>wzlp?&+9Ph-mN*=kJw2!X4E01PsI zwhU11vyoX2oBCN%)cb*mp6JRlD;oN_xA^z9>k-9}Mf)tzq~i0&dsms1mEf>47DRb-X2QJQXW(?e@ekclNHMyG8GN3v3dhiEkEQJdF0cl#%91(7tt^)lFk3zg>0jXQ_~-5Gl5wPz{WDVOeAaZdyywYet!Q4rp(T}4^z4;JyPfyF*mBKjsW&?xlOV~2^+4s zH3;O)X>~F?gl%vz%fbr!G=CWEN)fQeA%k8Qb6%)%2;1tD!y$3LBqIbslpWyYwaCdS zK&wE1WQGEd?O~7rw3XL?p~hU*eMAf6RTcWc)T-i-qRKEu`x`qlU9DF8&>qxt3E=ugLvAM^x^$O+>liC2r+prh8v@4 zD{k(5GYRlqof-u4=FD94hxb+7*eHJhN->de-RwnW1lXPOux*{My=q&7?12V_C*D=F z0HEOc>>3>j>sTbp0y^vM>-0M=NJ z_AuO-PFrzb9FEPXZM=7dFEP$A&#ZlR*ya3t02vf_!>poHVox5-D~X6oF}`(x93xtQ zD#KaPNeW^8yLl4Hz@LKLp42iz zFra)J*5azpwaM_P&CQA`mw6b@zOJ$^to-9LG)wxr#f-Z{GCOnZC)-+i|JPbn*oQD)RhoBd<(X8O_LqtA36FWj%+|Q#+SQst1=T;%#2~UH zlra3RjcHMI2$NY_W%k{j=d&Ftl3s=(a}70+r@xJ+J_ZW?D)1k%ed5;q?ju9sa(y^w zsQCY3?9Jn$>ihrkW64sl%myI3?)m7R6~}cDEk(rY(pwscA`R&C1p&C8AO&! zk+No{?8=f|S!2xjz0OqE=f3aXKi@w*9$C(u^M0P!b9)~nupn_j=bje!rPipYvT&!# zUp;9%0~1iwvzYWtjP|B8WoAl{gbIph|GBPFosCgt#e3QFXOWM0Htz7jc4I4 zd@|M*t7TBh4M}7OtGYJthZ-T^xCfA>w2?ss1u-Barz*?rA3J}qk3Tm!w~9P)>?L-0 z@8%C4W=2|<+{t!@#WtqD)4L@20C*dOl@Qj@fHe_B;HrQl?2~WZCR$b4Oiz0}zHJ zQ*lEt?E47J`Rn32<1z~)GUt_py#2r!)*j*;c6_%X{wgD*S9?wuqD$DI;iZ93amhg= zKquMne#>=C9nSiXEDH-<=hf#Hza-!z>Rm?&pcp+BLmztyczb%+iO_z~DCBbBl3HV! zC~sL$Q~)^8Lq-?LGmW*kAJXg(+VM3nfKZ;azl1tWc_aK8HE07gm*_2e-E*(+sl5T3 zH4tES*^fI0bs#J=nV{fwHo(N3n(=1rqeb1Bk%Ou5Wg7I+mlZdO7uc>G$>={v-?k_O z7YMztoEh<1Y>ms#J+eZl#(vP9F|zo?4K(HPjNF0Jqy8EG;l>p=oKr%k>u+J12AkS6 zwz>4U-(^oU*&b*4&&&&SiEK`ies61{zTEm>nHO-jF$wm7V8P}OSu(3zZJ{ee#`M?X zS#<_2fbv3FZ1Q-9jLGI-16{rOO5NBlk3LoHrs|r$KB)U!y0I=`L2DtE^!BzRHa5Gj zV!fqmAN2Kj`YTTfzvfJkS{fLSn~w8WR{pw^v-g|nl-i;-YC=b)c>02|{pVWiVDLUG z3y-9RK&UIdlN25FX4)#l8Nc*Zfw7;Va_8xpgPro3*;kIe%%0lCV4Jkca0{nb(-u~d zuR5Cxo(fym9EjW?dsctWDh*Z=+mCFJae$bYg7qq%eitm7{B=fdSpDh%z1p7)CVh>uxCagBRTT5yDa@qn zn^_-m=rNNp2H7x1Cn3}o{H%Mtm}PxSjSnNQd%ZXP=X_?*n}xTYX_A&0Zy=OF&ub4U ztlFAtW?QrA-aS(d*hGyoR8Cq!?K3=ZkFybDgAWX#RHT)eCdYIe=1r;n!X0y&68x0I z=GPks-A5R*)@QZ#?n9{icup+`IsfdgdJ9#E6RkqfEK6_mtYm}P1#SEJ$DM&NA<|HO zT={0?)XnPaezm%Ky;g%kSWILJtsoSE0-dRPsG#^s|dlI+)_F{E+5AoVBYHrp0`zCL=t6O$2aC z>}Op*tySxd2oWONn+%g+bEY*r9GkV%ha!2Ys@>F&JGFbITLVr!5O93W8S}5vyBObe z83~+uD|5E~M08(%E9*mxD9sRgI9?v|RS z-;|tinRdqPJ5&FXMKAhO*;%{oC=msjd7>g5urNy*tt%L5e;Y z=asKw!so9JD?&;dgd(}RN9o4 zoj-?`XkODp)2F7UzG~)%E|tyVL#xZR@`v7b%e?{4z{~JL`t@6HCtDwXsnVPIL<>6N zuK!<0JhyVF^F9c3$=yGc*~)tAMNl?$0Swv&8e5e`d;_GRIMtd9C<2?|!0v?~PCvHogR*%|S9fFlzd6Zu|C)Y!!5e@TuKn z-#a6e9}09QRor8Na`JW|lLZttG2`Dv=KwV*&4(HLXT9qd2NnrNe!N{A1W_(@G(W_2yWB;pzhzT=|L{jUK@s!sz_@ z(oh#V{j(yH5A9;dSx`oSDXUM%{mfbzYD^!fj}M-#t{9!qni&%;iRbB%rA1?Ng-X5B zH0qj}p#a@vT6afK6>=bB5?e8%HA?kcuM~f6kECX_Ki#=R$SX?h-0V+L02Au>{qF5< zAyZA*vbt($q^`GEO;L~Th0BsIJ9hvscqkmbtAd}sLgoE@WZ@wC)>~8j2fhyvh5t5a zTE`nUuIt`+aGs(JJMW%v?Dtg3di5d8e$0>KoJlJQJq z!9e;AdW<~y8eLLXAAkPPXmfq<+?oHPi{9e#d+rhc`m^8Vb$Xc|&`3mRF&$t*IO>$} z$mciz_8_ACi=aH1j8(*O#Z}F^;wH&;NUX>%3Dkyrfhhkn+?htlerNU|U1zV?RAvuq zmdvm+HonEjGH4j=I2t#N@wYZEJ6s?&{Z$Ap+(yoRTp?@~)7T%o8M2c)Yshan(iWiv z1!-|so+6i>%eTeIo9Nkij|7eNkh`THHBC7H_cIsrQ%aA=ocOi!MP_He=b=d*K}JiT z8``6*(*5a9e>1HbWLk`C_+(m@+qJ8xPGuRF9s8&-+^_aSt&~|0tuWn&yQSvlwCB++ zCwsI#e+j~V&!{fD$3r&?a4(bQ&D^G=7r! zVG>i8lt;r39Ea%ZF3*PF+J_42eNX95ZD!JETJyvoYv#WuJh$8m7|Ekjf?7~>rJ%)e z=3bP{A8USWF3;(={GPYlV=Cw|YwpcA>xhO>54(5fVO~V_5_8`KJq5#UP!RWr-2SZM z#u*ob;o+~K1K*?1Qk<2)(rogEU+FP8=Ap^(ya{@a?nadi#F;U>VX3xW*!td#9_=}? zvfwW{j%X#DKD+0xZ?ZSQH*; zvHVg?j1lHW6T3O^C>sEY{Q}DKB-duwKLd*bn7PPGD9>X(z$AC!{pEP?xVijPT(`{j zXeiHdk?7eop!@K=;eLwlQSzEj#rX?l2N*2v2;duMbmPQFJs00?DAT{x!a6F_^ecuyW4 z>XvV_Q*(Iod%gd;>GBWt>rImEUk5s}7(d}z-GVCC&BLyKTqQRoR%HH!(UcgkH>Mz2 z!Porl8UAXO)+J?j7wI3v_h3vt{hwr?IauxweEI;AJd_=Pt8gvTP22whDlM8t4|}~H z23c+DGc_e*oIFc5qKVDBu8;~IEwncy?LIRFXn*GIS{L|brjEIdrf7o(!GsyPukr(N z3veUez7Yyr6j*OGN&hsBQ}+1EpcY|OS&yyoFrfdGRor+f+*6y;?KuT^1>)`-pww)h z4gP9CD`;(#_n_fku}j{duTxVSH*ic>Wz_WbO17iL+2?Ujk{EBSrY<4BW6p6o|tZS&6vpVxy#?B945Kn)nWkWMt(=B5hHtVCXC`Kdv zZiu`dPu$^dO z{COnu3Hpy!EvU+-OS>8S#;wZ^lVrcsqR{=8M;$${OP;e;NE?8>-bSptcNw6WHxAv+ z{Ev=E1A8WROJnv|Q#HVk2PXBPJ3r;_`49&c3P$|YEwG>|P*>H374M8{n7KcDDiMPN z(etMMPk7p~U4HJfc3oX@Wjzl(T}uA@nMcUZcf*IfkLMNJV{?s;#vV@gllPwDqVs5n ztlgUR|NMbnZKL+7v5aCcliViw>T@hVJIQEpTSDERXNgeNLRL(RS&N zpBZ%2D#B`ZmEvZfUd06BN7mt_ag1)bggSCHBU}YJxJ->(s85@0u``frPOWSRXlo`q z4O?H@nJJ~Aut=z@@14&atM-TrWjr+rW^c7u8F}wHW{e`ZWLn-m0qtH}eUu7fa{D9doy!$xMebh>uz1s3dza7Q81&&xcbW zOBYJW+3T)`R)_ErRuLtOPvcfa`AeQPBhD%L)fb~e7loFNbKoA3vx()mszSsc6cs*M z%<8ggtxHQA;c&2Lkn!(GZppzPUv40-Gz#WdSM}oyT##B4b>GeQojrw_Ya1oGlfAsc z@>JYht*~7pRec->p6wlmS9#)=j3<#daQ4Q*k~VuO(#d6*vCm7XNK=rjftuN_>M#~t zg+|+jppgu#){iNJwmRPOrqdR2$(7^!hi%DkYG{S4NKva7Vc7ZymWrQ<(GgB9d7fkB z^3R=?-LsQT?EhTdD6LR^ZvGZu2hTDa^tYvTL<5;xJ(dBjH8%|yLzynv03$~=LAK0iR z+%cTR9LZW z-L^w;8%&<(7`RIXjBL6$#k=Qme?zTgLG0LKX%WsC}HneYiNAT<}k6i-3Wy;wlnjtV+%n zPG3jEm*a=>mxEk}W(qsD^!q+}gqyJqT{NA^Twkw36xJ11Tj;-uVM>jGK6PKjT>S~- zkb@{S zXZ_Ah#b-_2vld879Gk{;n6(RG;d4KpU^`4XaoKh<&4hFL-YPP;^)c(Z7)OIWT?s)f zwKp<)3o)_*t$swONr9VHAsKu2GROhyi$t-TwyIsBjAsB4&*5){i7$EQ6YwLhIwGI{ zrIS9w4Rd2IX5CtnF-Hr|n5AIf74#|6{}}+OsZKt9`AkgBh!a~zn@Ws~mVAT8&P?;u zvg{(K_zp&gHJ&Dc(1!Eik^LDAt3tr&bZavH`NUPs804Uq!L8q6v8D6$&1;&l7 zY#4LuzQ}zQwZKhTcxWKYY_Vm zS53{1*Tf)1T1cv)D#J=ig(z{}?sE&6>+;XC_k5MIW1;*;#2Gb-InC@UnDO$aY`HX{>d?5twnyVPom-xRmIQ9NU6Nnn07S-r z*AY&!dKX_>9;Pyf9Ei}}Qz-kvtWKNke3^)uOjPU2d=X@-lOJw9?RWS&VJO~gs)0}Co+T?7g(p4d$(tpf3YpmKm{I@yVC@xW7{x#>f z6mpF8q}hpO4w(S^P{jxA^+w}ge9Q~so)YD8RiL*aTfj?>6)4gZwK-P;t??ss1i$=h z`;5>n zLGU2X_p=sVz4>FJ@8V~^Bv*ypOy3x35&Ha{sY?0Z z_UI8pZ_7S#p-{=$34%K_)e}sYDX@gpc?+6>Nq^81cFvOEccct*-g755O*HOyyzbL6 zmDplyOEdyFgymQn-HCZ1l6lmpz4`Qeza*;fKJnNb+`N-*sucX^7nvxm7t+@?2lj)1 zS3Ku#Zy0x^#0b>(6j(HSFu7HaFr>gX6*pvAE?T&7xR7mXE%MK2t5yo;@(SBxEJzH< zuiSq}T8my1aq|@{8{HlZKtFMft@iiO#X3d^i6yVcISWO4GOt;64SY z`+O-`L^4#oxUW9j``{Ng**&YU>jK=rxTVTd_bh0aKEV`7e#R_|?_=4tOpU!zD#Kul z)z)9k8i$3etP5hH4?5Ca7S2susjaPYFJ+^j12+(jBHJpdW5#9S-)|Aev#wVA ztr`e;mMx~NIDj$`#?&r;9qrPQj3pP4&q{y7f>9a9xBbrAYR5aFi`I}~mG2ddazE;n z?b9iE_Ks`MW2u{x^IIMi|H-wbmKNbTFF|xD^gEU_; z?WSzheY&EDi70KxP}*!CTp~YpO!-|C~!kt$F)R+dWW4idT^fF2-+d<-D|4@XNxP>yLS!Zj);* z9Ee@!xKer>*>b{Ys~6Jff_EROo?S&eR}uWl6&I~*7BpTnNWY}MWfr14VPq@Yh7VS) z)TTAABP{%n!GFSfQ$E2LWJH${zxv<)^AP+;ESPhd#5kDJXz?ECkqB`%WG``^D1KnD zuV$}2;6fuzI?yAzrp z%M?8NpIAGu{eZC0Xo{-ix>Y1ghG0lo7=;Kl!0^-&nPkSiNT^Kgm%y643oAE{TWO?p zM~!f8@S#x#20i^-FWZ*91;MjWm_4F^nM3Q77* zwtNY#k(_M4%zESjJAt91T|gMrMaxJ8cJ4fdFl1X~Ttj;lq6l~4odPSP?;)T=Jf}CT6vPvz)ZFOcElo%st`&41~6no?zrf|b;QLMofkVR&J zlhkO%YNxXP%eHj($*x;1EkA8S{jWx=Nw{Es3ZN z6|&JCY?nnpUEV0}AJiUq6BqejAp|+uh#b6JDTKbA>JO(X(Z%Pi{rrQKUzb^msFXTg zTtRYh9VZ*zY86pLYTGWyNbhK8aea~|V+COAZh%tGMb8LGYg6GjVnypBW z_<{Xw3O;m}*rhm~@tfqESkfemv!kBe1;yFO*=URR$Ci-rB^)qEGxg1>0C#Jo#iY*< zO@;Yv7kU|(PzD5`I}m_6??(~m)>t%xO|vQPe&Evcj+~ve0Zb@=PIkO`3@QGG8*hS}<1uSpN%roQ(^s1U`CFCCjhL|8DDXM+zz=vJ}aR)!hh0$187 zI97G}GaKCw{w)}?7o3dIu|242z3>3c%yUnFg8cIwiSxa>K^(2@HK-ps{n(pfZ|wx} z?l-q^TX2l7641bxeaqsfSk`w^V=sakv9gIXpmqg~DwBy4DF;xAVb(I@HcB8Zn6nqV z%$-w#>%xGt;5Ct^mmtgJ1fY}Q$QIk5xhT7e@0&ANkGdfOsCw;84*sCPma8;V_~ofO z+D=80kI!M9MWyCdA^f7O>k08*TBB~zF5Kf)n8_ARvY#lyuiSx^r!tnga*LR;Hhigt zBdCO&{WwXjWqo}e-DR2X^t&krA#3h{1x>~Iw~}#P3QV`wl*Y|YLDs|1@#_dDjEm|} z72^AJNw4@oj~({i6KSrr401G3OW0Kv#)463JUbLLc9nuJ`HsSYT^3JvrUZBKvP~JS zP(${@Dtwfl3$^gc$uZ`yE{XL<{V#8vy8k2l?%W)h4DmaPskU#amt$m($t=yX@3K18LQYzd1=q0DJ5jDJNdr&zK*JpiwD7v z9&uLUO2Chv^rC*m^(>I`sz#$urPPg34p#PN<>o0ejzOvJ_YH?T6HbGmcX1km-A)*y z&*R4O4BSOg-h;1&awS&gC!oIhPi8HGj~XB*ng( zKP~#?Q@{oD7Z>Z7IGQ+!o%>4uWn8Da#8x)J50-yCnkzF*5o!|(63Q6fd+3%%WjBo) z^H1Ry;xBX)&Fms!5TcVq^|IJ;4yD-VIZD*5#vp`OGg%%tcx`udY>@6|XJFWwhCYw5 zH5)Tj0t6SIkmha4V}ZQCe%aFbH(MXJEjd<0OISrzGuIC=jYuEeZ}{TaXlpiYqzYwd zvi?s;#B5uHV)G~bS{YG-TRm%NjjKpzDpE^PiIHyWx-iER6%kpR@5Oe>pF(JKHE?x= zq<6qKIVhN{T0kiJj2hr>{0Pot-eRJ#W)ghi_WxwM)0cmTTzc!DmZZcJPnzLq7w@3f zo3e_u3be3WSW#nJA6t*`PRpVjx^ezHf49Zzki>;6 zWtMx~pd_CDX?i$DlL=?vomE6%#hQ%!*v&g1L*L4#spM)Pv^Ob;V?#HXl{Trm3!Hi# z8s6++CTxjL2MG&lv7A4ElE?p6#e13S_s7^9Y7*0;M%LyZOB#O4ab@5Dr6R`FU?<1l zfct6sM0frw;`-%>_Fx}v3%F1p+Tko$ks|2fJ>#1D58Z_9JKBbu03$;CQPXAX5$@g3 zn838WME8lRF^pT=Jd=apdZXuEMe1ADoFk5u0l+joZ8>AWG8HVrAp5kzmbta#o_tab znb-Lo_)|v@KGj^?(1OE1o15ZX2{;5Z3(W`(zw&@0eK4Hvvgk9d2YFl$ni0#rJy`#j zD}-q)RhO!he>Y*ki!Ri$(H$A}P>oWlvC6sjc#gG?cvGt<11S2`eQ}d_(HgL(B6^7s zedp}n)b1GYYA@|E`~>9~1z#$IM)8bg(c|oUT{ShzLLl9(DTZ_xM-=)u=z`QTRI>LJ zcDnzPuwF%Uvqmiz!8@aLL>5sQnGjrNy~^CwjWeVA`hA*8*a?!GjursLpmB5HR3mWe zt-%w3`C`uxZ2NmAIiI)_oj$npx&C6|dLiku9OPVr(&zbhppV(BWc)kQBrf;^IKj@D zM;#Dyl_BJ^q`4BE#$rX6|9w0nMfLSoc?J2+#{PGk&IW`3g&CJaixcY8kP_nPEKY0! zO7@1LjqSIVd15?0SY|EQ!|%vyOeQQ*z+>e1snr1p{HvPYUU{*iTM_v>a+irK` zkFL*L$<7-(Jd~W;_58Ffr)*Z7+2rBIoI?$7j6VK@rD`xpXRY)~CJq_3UruQD9&=*V zI@0jocRWjJfL(880@I^S(IUqrltiRmWq=tWbIYehlXDK4luPG)Q-HMm6n}%(zCiUB zbBY~B-RgtI+;R(>+eASpRo+Qf?(us??CU7&?WzV!?2e1E8fGoK7qXh#J0rTYr}suloXch#0>|sG5(0*j)IS$kyj!tHJGgf=pPj1NzPcHbT0AtTX)5)MmxOh zrFg6}jQ-5#o30M$k3;`3VSMLdI{8XPPEBbkb{uoyWzVdRU~fb7WWvq_$@P~6;HXUt zxeppX8hfzwhuDP8#0Kjx&BP8qS!;p(dE09L_fd5!G{uFd5TJuIU_$A zW>o7=HNbvs;kc2adQerSS3CR{=dY7W1qG?SGVTL%`LoabgSO3B*2>zKn}jp0s(*b_ zBFd=#6llAIKA*C=0`Tns_z-2xdF!~n>*V}fM?3qfEJPpuknFh8X3+Cs?w(uETFH9g^>6+AoPmR5# zqAwoB-1eC%CG$G-l}Gv+T`z=-#n3bS6-W_A9&AP*??ORYMS48_ktc)>OJdgM>$`$m z-PFx`9hzOp0T(Wo_!PYomQj_uDZ+_4LLhYNl|&peLVxeP$H9l{ut=~dNl;3k5Iq|4 zWmY!fQ%fpRjn+tcBH!t zHyMz3TuBgna%)tb8%3e77Y1;lrXr^<#S~`AtZyG;eBq+96f0NF{^FU~tIN1!CmI@$ zrF@YUDbooy`^4Ev{NT)27UGd~zi+0Mu1!99iWDKXV_$l=6rBJ2^To_{cdnSbvTSF! z8}i>eFWEkxwtY)Ua!T*6gQ^=|jm|yl>C}5BAZkLO8fev3Y7VzayKm!B;DXm`_9P;P zDXNDzrCnX-?Z(OQrcKq@&f;)iT>D9K)~n!Mf8l3t`TBl{|*Nj3Iu&=Uce zwOzKoY2z2<_a zYWqJ}%1^R7On>fFkuxl^iVS71BK}x^gshc(H>I0P7OB@=GLW?oF!Q@`@%c88{*TdK z*$=&VU4XI1C}VHn3-D8Fl|ok%b{sim#3$?u_X5TsxmwP%u zOd^ji$&@i%K$l@k(-j{1gKC!I3v==XTIu^u8xADJ*;;RVvBB8b8%}`;Zg6?nVSl4aeu1dNI$ZgF@%>Fcb7;w{jn;D(4 zN4Ft1v|i$I(QmFgWX&bidFnWkNRe6RtxV?=VUk*#D-k|R%X=QW*Y~i8@ZR=P-8Ps1 ztiuw0Cv{nD&r6A~X`3@kFL!D)-+?3el|pUN*mv z<)Kzg{H=o!Jhq|a%MuflUAxg!WQ)NMUenx-9ktT&Q%N_mGZeZu>5wD;%?+a(=<_t& zPvbiLmLPK^H;_4rBXK`+ONxpwB>D2E8xBmtRh+Qw)T6d0wq4q9IItvY?P~bX`;??e zaeM(#P-pXwf`Z1W%=P^7TaE^1$MqXlRoS0K@cF#D6eY zMIIPUn^Qr-3_-zSAUVu?EM>9>z#)2Y$Tn$NrwNPB$ccj5k{VrMj)S}n8e+oB#}^WU zinjE~uQfuM{`~Ax78*5b_NCVwfMyQB^qhg|akmG(r)Pcf%6|F&0mWkmz9NsZP?gIJ zlqj__$JkYbM`0z zk{L(t6@2XscGkoo(EVwxoaX4&}1Eblq<2XvFtZFYfI4g9j`4N-b~;i8A*N%pH^UaE1XxtR0C zv~#G6*})9?%w=sijQI$$${i)y=zR7>LeR6Pqq~Q~K>a^|-iH)fT1MSHr7Ou57?a)A zneU=%e%@MKSGchTIH-yxTPL}^+tU#Oli9UW?6Snd||m| zO{l$nC~%bi1N)j_X=zDLUk{+g7;Vuvf`}2N?xpRFogQCBV$6Ym1^vLkcX^TG8y-=*4tqjbI25e#!h+t*5)bn-CIS)W`dA35)67Iu!>ItocY@K{VX>*Yr5nF z$>t-CQjumD5(PT870nvUEi9;2PMLGP8bF)D3DXM>Qt?q&U#-Q5Kwj7naP`zX?`Kv6 z7o$lTKEp#suQQfh7#UWGueqweoP6&dLre|!bur0!yK0-eWoC3@SYr0dcKz+@(T09T zE%*=U%r&(t$+*i?AoFiPGk=J(RflDf_K2){LY<-}hjx2B$k$Vr>wDOufrQCHPu$&Q zwk|nisp{X*nH!ZNMKpS;8NG=^*U)3@j|f7lO@wgI?gUOLS4uvW+R#jMX@%6`KE*Aj zzef_|Qc?n>JKQ7B?u2?}X&}XjD8uL^ooTb{>c-5+J&=@K%3PP!VHxRO14-is8gA9d zLgwbKqRH2H)9UNi?tiwB^`{d2;c4q-XTBIixGa6pub;gB$j%)FR4V!#AKP9*%*gPz zXJW?~Mdj-eTC0klIq%stpU^zJj~1n_Cm_)l^y!aeZ6;N0m;a|##4FpX+A@oqq)p z!!_WVujKz6~ zts#mX|3lQ2wuZ*6Et%2xXEvO=;xlP$>KV`w((flRo7yla|Vc(>A07^i$zdtGBWj`t12)%sDB78qV z!s@4=QLPtRnN|@`kUTL^d_{;F9~8v&_a;p0m6)IZwuVoiMJa&<?)^}HfeA0$t~4G*~>vr$bVOJoJ!hul}|Y!{!?-B*|dR9Vt1$BfdX)cY`T5MIDFgi zj!FEjq-g`FmG88UA!lC{6Y)$MQj@IZz z2F3h!o2=gxa7(Z18EBZ_ql4$2m1JlRgz}?Y186tDGo6ZdRuc{e+$Y8P`EtvT*Zo4M0?@o*0T&pP})Ja4& zzn}mH>SVncW3vU06me*SsOhg?AwIHjRMou8OxqT6a+uNU2Spl;iy`tUe+mI7TFu=j z3SwS5biFgep}fsAcI1m}8r8%sxiJ5_A}ONlov~3v;R+dbOMbLal?z_qb8RfUorXK6 z*wA>B3OZJH`%?C!;A9VIqNTuDx}10SvgngsE4{;1D--1{;)Vm&)DY#v7cM%cLpk4E z*PuQyssk|CgNPjf~T`k=eIL$mzdR@0#^OdneWA?5NC}tSv2xBi$JZV z^dzU&=GsML_5f9H)@Ttzf4%uy$nLs|tUG|qy4tJvX>Q%5<~Om?cA2}AJzPF_49=#D zKar&Lo$1tj4s*3!U$+NkahYk`UqDhf?a#P3H(y(;`Kd8w#hx7TgHVsZqWY2XaYKS@w=Th4j(WafGDHr zENJfTV5}>m?FI!txiY_}GWnkKEEua@VD=+U@~Ji@8CMx&BSuMZBDx1eoHn1Flu^=# zY+JWnHzzrVBy?D&J-Pi#5;@9~T^010wmGAV^)Fj9a``*;JRY5C^V$fmT3v~8h?)%j zOV+9vnQNhY+n;=9#(q`XL%)^YI%%Q2qoDmwgsezB+f3|TZHmcMoAi?}JZ(P1%q^6W zMZz7y1hx&LCJvCSAJp}RtgG0r5|Vs<*QLr$_^SaYdMquKNFSMj|C{3Et;LkG|D-s}a%i7U3r9idZXHO>28$r2G)ZI+C*`fo<9`

zrjFx;)3*Uo?`C45oR;+J*T23r~0Ixp!r4Xc)RFODYhYTo_~V2{!eS* zv(mfpVolCB9V`{QDAXIW*{y)l0jk!oA1-Ea38Jqt^v|@*P~A)K4mdGZf+^t3zq#Ck z{vqR`is5`CXQ740a%k_p_UPX_sKx}gta(vTP}{=7ZYQX*WPshakW*e%jEh6{F6^cR z_wtEGXTDUHa+A|c^hOtR%1M#t2SDz^?ujq{$s=ult9w+Jn6#sY;Lq!_p8b;HooIaP zJSb|yc@0X`-oumW2b+A#O=LYGkc9V!2)u5n|I(G@DI&j*!7`%t1l@(~0EbP~OqQ%Z zV!X~UCgz2b!tT5kGG2Gu7~MycR#K29#qOtxBG#_kRRugyI}K`u;x1iOyce}oVf!KR z!0gT_SHp-TB?SRy=B=hixXbJXLw&=`R3yncmJ|B{se7O6<5+uTW3om16v$Qq+jsj3 zM997}kV7>NcY0EW(QzNfthg5PNbt)2S@Q=F?rJM?UKyaK`lT?ig#{JDFaI`o%n{{@ z0_qkphNXnqO}@%3lX?pY-0r~Vc+{EREoM!%ZHfGwM=annEUb%)&xkIiaJ}L))Ln}$ z4=(&Fxdcw-mlBDr( zF)(&@-e~n2lyIk!_?6{0D=WR0K~l!Ga>IdNah1rH%H*_tU769}4steV+6CZ@t+(&Z zx7~LA0E-|;YaM4Nv8z+h%8it62uVsJyz&&86ft(^jc+;^YKa)g@C#t5?lZD=chE=@ zeEZ*4;D)w>Bq$BV9uR!LLmnx7>g+&@uz9U!RPPCargsjpv9!GPf3-dT(Q}v}%hR%) zjp12wOJnFCl53M{`I<9~&iTW|{M5HO#uwt-@WgQF?nC*smNg%Mu;hCH8&@qKl<$*D zF|n=C3QVVXe?0b>l(Dvqa8}nJmwnJ@by6<)Y-k?OTqS7gg3=B8v6WZ=js z&@G3sWC^zT{bqlY$l+1h*xrygXTn*0)~2JLh$W=J89kq z$@bEd>j&6Dxn6j>JUQpgU*3LeRK3g$rPuf9v-9rYr#6Ig6Mif}S6Yd1z!4GX4llE_ zYdw)KD&E)?3hZ-R$mPPfp7&PFUf(F9@_?`A>Y|NK{)S&(c9WL(B4Hr@4Pzx zw1)Kn-tB-BO34{ra?m`vS^x-CA2lH^=mxU4q@2ejz>Xl zJZWDIw@wX!Ol?;oq4TuX>mW2@A@hVCF8{Z~mIYyibnkZ< znViq$e(zZoOT;Wb9ffKD*bneRkRP_(ii&wTem^U?q?+N9=i|&rDY)aCp2Hlr4yIFbhC%tX zM*|6S#|WaF25{Bi*Q_U;tTdFI+R^lZ-7ezG^-bP&ZHb_8;nF#@QHZ{^TBDvyGS(Vj z_TA%uK5U>>$(^~5^<5q2=QOjv7tQV8uG;Fm0K?Q(YoxfxO>?Bet*S?jj%-BPnl-&>xuL4C=hGs@a9 zRUWPHmQvpgB*ba0YjX6BLw=_W#@O%jKK8e~_e`GZL`x`)Q(Z?l44_{j*~Zu~rXB2Z z4YPbt22fNw}Q8|h^{bu2^4sce7Azn4PET^d$wZHhdoL;2fu+= z8sk40pzq0Z=9_ue<|7j~AB!gBat|Wk5ds#L`mvzVG3eb`cr(!)SS(xlp^@ zzuZbHwG>~JoEOY>=KJ&%bS!ce86sqS)t+NfA;u(>-1zso-U)OQt>EbIX9f71O2l;i z&W+`!W!20bw-lga!BH!d-_-y~DKU48wc=`$^KOU@jU)5@?e8JXosV{_PQCgiXK42V z>I~UwJ;4N#ryQf|-pq~eC}-cDq!921H+RQi$@$!o%8YQ|_h^&-rI zPWAYPeQCR7hyOJs6z}q_r}Rv%zQM{f*|JsS(QOv(T=r~}a(OsDZ-ATriP3n>-E(J0 z)+%C{G_})VZWXywJTI)`o!28+5fQg-hMnD;w2FK>lG@2*Kk@VY44>-Gm1o)+^KBtJ zEMG~JBcdW<3R$qfQkCrDv%h`QrPWPj^kOF{*6J$wqPHbeQmS!FcBYK>EGmz!{kJJ; zS{+cFlUBz_J-+6#RD7eCcB|Bz*!Ru#)e{s$yCj;&L{OILuf@{u+!@tsw0*i9h2L$? zPwki%p}#^W;L{PpCe6}?#ugznPuc+yZKGFWLp62x=BIT;3)wT=BH-ZpOFlQ|O&6RV zaI=@JBBKK0FDB9hV*s10D)Av;^(rzb2ryxI|%2wwZFWX z6u=Y2ziOG%RFa)H5a|zt1*fE5Wxt;>#Y#;Mdz;@7t?m+|EALpchsB*-MUHJ{?Rurq znT2smnyOYeU4SdODkosQisTsjC}erhl+sji{S*d8XxYZHa}}AXSw)J`qaN_sek^V5 zwjD=d9Yz@%hsBO^e8rsA={y(WFI+t6Fg>!42^jeLi2h_Ftt&yQa+ zsM^r*d(%<;heIutv@a^&jvB6I5i?z~^|GaO-%OrEp32yPVbk|8azsb=TTQIWaw0KT zS=0yUoz7vW>sEpfts=p^tB831f|tv16KknA%g|HKcvH{iQ5`{|RQzjiZ>goeBJ}?d zD#rPa$nCX77qr`ZB%Lvd?Z|55v3;*z5fM1OitONBY^LwaFAvmwo=S7?)`?vDC^xv| z3`dem@e-hx8RcF1-;xQO~~7k8-1Z_`o7Fp z1o|^x^4p9Zvx68jCc53vj27;4cFJk=G;NH<_T>BL2(US(MQ-reSmcjcaJ~>`K|3l^ z5rtL7dIe#}b8+ooDWSyxQR8cSzJ#!_=H&*2QgL0E1<2em&pn3i$g_F1wd6LaL4Ef* zEqFmcFBgVxW4GP~m@IUjAKf0ms}fgioK|M|rEjKY8UOhRQk60@VLNwEa|6s%ZM&eC zp}VxqH{E1$P_yNCU7i0ml!V<)OebEF)Eq>TyB0YE%9G&2I+;H<=Z)y6sE2g%K zuF4A%r_Vamo_>>S_(gv&tbKBx{`~-s0Nj4^m)o}Jv=mCkTh$+{4UfH{u!?Lv(dfDJ zHYzBX+Y6?qi>}%;JT_ME%co>^uObH^sdOgqYjKr4IXoloj{SpeF}uKBS*%lhV0Mu| zzQS@@PC!B#<`okVvXNFtPfg)ciIX{S<~2tvIwN^>-+aw|n$JI-+uc)3n6(o&!d_jR zU4yuEzLFL=56b#(;z9LP>v}*ij%2vYqR3|k1}(Qhv`X%6_o2lY^fe0UzFER5c zEX+)J!U#&DBS>|h5G^ZCCwJ?)xy4Dv_RY5y91wj6(snfb;a`1Yw>lsoAyXR_$zc}- zP-fFppF6YP5(ka^NKZnMZETaK#3nhMnA&oZ{VIomp_l=F7cR-Okivulmr!MgU4Vl-15m zR%di$@URr_K_hx;9Leba8H^ZWhb56j&5`@Y_<>$P0t zo|}-r_z8!jlix`R1f;T1!+y7PR<5K8gG?k3^iTsoXjdz&`ViW)bvSaV&)mI)T))#R z7tjkWLDJo7VuzrL&pEFyU?;zMvEN~Z;*99m()$?=#wEd~UzN&288f(gwlUoJE8*Ww z?Tko#IkLegOz#+`^hUXfR|&YD#CbrYm?js;)c@1f?WeOjvW}H~T5>xQdbNg+PmAog zazBU2kvE*XdR2vU?G1MAW{||&JL>k_!UCI;W?0>bv;uQA@vuBMG5SyfE6yM3J*h+z zgSZ)l=X(jgQl(VK=ssO^hGN2TG5>GP%!VL9-g$SW@i6cXdT_TaS$5Jm&ov zn_*%UB@}jKwP=+4XT{{VV*=bi&~}l-ac|w`2vmnmwM=>*wq>9x8lkgXKpSWwW>e3X zga!nD1O#k3iIGx1$cM$Akj$kOo-@Cr_Np7S=!fe`AZokPVAC#9#4s5E@^L`2?UzaS zpDR6{+Gn}xHaF+%>5(0%&KKMoN6-aYf#*7bRbSojJ-5l0BEb9P7SMo0%8a9^?(^~x z5rbcp7<#E)`Pr<`X2ka(tc}tGl_DRJk=W_++a_f_D~Sx8r6H?fin%7ZB!ojiDacRE zD>Erk!fw31SU6k-Fzm+t5(?yyRgGYQw5y{_+KdJZGYQ(&U_{G7da@Y}*E-cRMS4`F zL7)UBYb7P?tqA1<*-{|p66+|PaEv+N=4T%QGi8B*x8i_JZKY4XxwK;j>~}0&7Q!}P zRf)nss_4wX11@Vq$ODbFh(AJhlWCChz4$SgiBr5wB0#RJKWDV0Z9s4skDNNOqi=Ef zCJ0OF{%U5BjCL!)n)JDVZVewQU3?Eg39d|*T4b;32C+i0~M2Rc~c@qZvwP$snGwJAo;`AQaHbC_TF& zPEq0z!lg$&xaou7?pnnn-%rWxywYDLi9G;#Jq217Dc@7YF@pG{bre4w?y0F>h>5Jv z+bMBIWG9F@#d_6lKby&Z37YO8MPQQ?m?iYow;^rnCj@eNje;F?a{87X6=d5l-4|AX z1VBtK?w~_KBMQjH>7|^a*e1& z7Dm!30RoFF3GHU~n>RQsS){6%-&OtP9aE%-;g{M`b6{69JJrPVgMcP&tWf%pt#8GO zwI9N^f)A@ec+}W&znr@*i$zJ$TnAf?Bx0}FG=T-gg^^EUeQ_H*S_X?Z`Ef^mZ?(*S zI{IzFGfx}Gef6)O1SE}SNz9L83|1@K7{(6J)<*_~-Edj|m~~VUROTI2hL+waNUG>e ztd#89f+&wuqhK2@-`EKB{N_69)t7{Hq%fIqNt*z;!iWoXLVofZF)gT=H(GK$$^kDM zt@K^v11FJ1OAg42_N&E}$nBNx!f=FHYLeS1lI`1FQN zS(xdikB1&>4vzeRA{E{_iAS6~`j`LI;M2NZ0(5qncy)Bxn(B}ZVopTF9RIgMrQG4M zZY%-x^&X`KBmZ-cxFjf|1n4=&-2HBo2|}@YK(V(FN+7-`D(cdgah)$Okrer3_%$? zuI|Wdb^7CCm2e#%^--VWTi@4osUM_DVdu`vY#&Fb?_o zyQBCehgStVDyH4M_~?VUUy%3<@FzGhB{lqaZ==j47+vs+qg2mPZaNjQv$gC5hr0nu z`o=4t{BZdfbRaps9i+H9(~i#%#oPGZn#X2aJci?(W#TyZcY`NxH09G0MM&W4f<6Y> zrSK97zB^D=<$#kof2gXn7-^|8uB4*f4R*F2S$qsAyH<}EzsMjnBM%~GHb+hDn;fgN zgko{b9YM|^>|}dd=kPj;@Ei;5KH$h{9%8aXZsqaMeQGW;s(0_4{``n=XmBpuV2>I6 zQ4Mao5`6m;RMAMtm3CFW;|I=F zT8y-o99|OABnjrA4~vLLSEaZQf|6}f-;`EN5p%>&Aa?-h+;%GWW4n+G^p*>lADEI| zj7L=66I9*!4yd}xB%2`)HR$u0>P}w$jP|xBeA_ zNFqo~s*kJrCnh~sl*3E^CkZ`a*&8n)VipSBpUmw3q;~F2E(q)-#+cSV(-y?ktO(?X z>FptorW6F5&h;V6uKkbwWc|d=)0=|%CidkMRUhY$rpY;pMM1zR&}QUdTwmmZxPSkg zDUz*;q|c69mn9`@@sDVYieD~(l`T4h%rZ(==6ExIQEjs}BHt1t3csupv~@PKt=&5n zS1jnU8O=yt!x3tAL00gO!e{^22t>|Ig6}g#$W@J9Q4C*Mnw$fuP*zGexSvXH#7tVH zZ_W}AK?Htg;qF8KO8knQL&FdR!)pk1!3FJs<IbgnYX#~`l87tLe?`CeqUG%&>{pZhyjO6K2Dzj4=6>-Y?-?>egN{K9LA-P4FF zh53PPDkwsH_;o#q_D#_E6%d?CEsy63TgVKc#|3N;MK!{UvbL~fZuw7E0!PawL9>Cx z&dB!p#PIAf4MAN$r6F97jO^~gZloJf)Y0xuoE1SnNsOmzY1VJ{k59dyH=2$ zhDu15BL-A^D`82`*p=&r{+P|G~G-z3O#%v(Yp;fLNP@OYWv@hCqc z3y|o$NqY>*N%bw4NfFOGy#(;`fp4OkVmpDag5R4L2b=a_WI%5(G)?g)GU?bsQG#~< zGey8vFkZ5PcFad0;0>|`S&&4*j$~*;m!#)G6najtTtZ&p1L}`LWUC3Iwutx{RE(=O zJ^d2ULsML%ApQw1-&95{a+|xG_}5@$O5%9n=PnD{nJSTzaI^wvts;6qBvm=*0Uql^ zND8e)w&mrorA%?NvjeaXLj6N%$0lCy6>5bXup;t6GL2SvW{StU24dC&fFQOPQdt6M z9MwMGi(5qGxBl}$6gpxLV6N?<9YFKI=-Q462X@$eb^y6Tn4qn#M3=L{vT z7p*1M!J2+@vl5|F(}Hi2?lZprizI}+b_PPHcpxCxc`X-^hZML9S!4%d$jfRZgj%4W zgD(-9_~z%bKR=$Hq)sbhIsly-IX9<$V6TX4GYCN#`ayY>mM&IlM*kfMF1)#O&gMqo zH!(W-mVp|h;dmoA&s%;sF)E7+t|#NxA%~H=?(A+=AN!z7l5dbL1sWLXL@Yjb^tQ@6 z3K52)J0~T+R0S_Zbi!+rwn-dqMCQw~V6R|@EbQd`wL#UUMSe|qG!Fe@fDTnT+q^w@$KZE6Xy>M;7(2O@89ymwsgiN1R z4z=D5wLXCcyOoPjhkK=1bl3muq~!Y4ZvX#>Ny*0SO0jRbVI`3R+=}8#D+V;Vl=e9a zcKnFP0>oL3|!;WjuaPrR4~dEe<463?mtLOFtgwOTIOC8R11LGl94gy-Wk4;A_v+|vKxyF}1By;R zHB6D;{qATmqd}G=%IKvN8|@?5&dE5uhpA4~P;(Sj*V^Gm7{ z;0RF=*jaNz@+DG5M?NiPx~C$;BZQ-6z*eavwrW%0k5%-ly>X$P+~sTYJyn{|d9}1g zzgptz)m3*CyJ|^ahbVB@nF|T=p2abCNN7q+0zVPw1<=$kzWC{MHSky(-6Or7$HopB zK&7qCAfpoWwO?~*6mwKk`pusG{yqeBk0FZw+fMO>-yRa|Vw4)%bL3oc0)`#Y{(GvN zxZ%wmgF**&NS&5|&ZWaZvQUkV{WJ}N8^rTsnq16fj%@00=~ucNPuPbcln*{IP6;-p zSyq5bUHK>1ZHC;_P4&$a^O zJV%-sLeIl>6ezcB)4x+y%V!taCxr38XC?77j-ndR%kKUisr2vj*wpD-sYfLLrdWZ_ zO7Wrx;G=e-0N)w*XSJ@BKxOH>otZ{e8ks*QcX{z`TSvWsOiwwJ`Ra4#wzN(!KD1q& z(%lzc@euaZRCh#HipLJpcdOms6-5BaIQhRNrq93MSDHei>KH;M;jrnooaBL~==c>* zQUx+M^_HMrRYwLbQPBI~*yXq+gncj3G(r@3Iu+?v6_d>~NNGa@a->#n0>_?bax7z) z*+u)ZoFs{E`R=B$Wu(~+33)hdVjk7Ju@Ny^UhGxzelBQCU4F-y@XDF@@r@!%aNB?0Uj0iYg)fG z3##?))d&h0}M`(_RX1#`t)%U`LY?VNZ;Fp64c374jCzHs;F5^WL0{aogV~ z$svG?C|kLcZK0Mq^7jbzR|j^V)pE9l&}!qNs1G6b7Jj!u5kI0t4_#kWOp&w_NsLx- z0ZsLUZe&k&hL9Rz5nBZySzSkUoI&Y4lVG&Jg$;QU7cWV}PLj$(C3rbkHA0T~N}~Tn zMhrRPq>92Dm1UttwQu~RZZEkLpPNkmJ218WVgoQ$Hz89U2h8>}8iXUYq(%X=p6B^m)?f%w0P^5GgFoDAuZ)BF&il`ujQr3n7Qf9I9Njb5(*ers7XU2T7B4oDGW zeEAb|$b7a34w6v(yZa%np7(vQqoj2z9Ded-g_Tv2j9ikPc~?Ev4%Z?<3rD7>sh$7z zu5qptM;_HK&x=b$_!kA}yCKrH5 zbRCUsGjP5Jp990QT4sRL8wh+!7|GF_1aMA05UkcwqSUqiqe~-5v@icP5XF*e8wE+& z&aBrjNfkxTL8ali&L6dN`doc79Li0(z6Jk#n?GSG-f=``dp*IV8mIc_;)YVo6z|eU z*ZddHe?Ppiw<5vd|KqLDB>MO}1wv^Zk&%Z3j=d**e|nPg&Zb)PG$xt+c*MTx=`${5 zk|nT?iV%*{cly4KYx~YAYr|>PO>GgQRL^!3WgmkzOe_7h7t(F0?vJ37@!pkwO6RJG<3WRIn4#bG$O!Q1rTkj2jDcl5l z8NY-t6mqxRXciRosKEUlt~&fe4l71XatXhtLm!-0W#^c0EcrxC7{ne%9+IV{*GKK? zs}~Jya#_>d)~8Tte#gG5gI!hM(sslOb80CgvH?pabkdHl9nj0FtxtjJ~YF__Z@mKcCjhJhrLoU83 znTU}pq*PcamA9YqMY~?y)t)J{+2P{T*nX3o)RO(rgYdnQv$9Lb4bQ11$MwD+;!`d{ zDiDFPt8wv%Gc_|u!hc-LE2k-&navjBLRSO+Y|(QYE?!j{!-e6hpS#F?EDqgx^E7Gn z=4yZ)OVRb|$%#UnY6BBY8adp?ht>_15?RJC8(nBnEIunHadrM(fWdpAR(<7eRfB1f z<(M@)*6inHjBjm+@uHk+k}y9{9GJ5@4z#>;3sY0=6)PVt8u4=-fI zmbb=faIj)qq$|R3qi_94nVo6!?!hdm>h38kMa%+=U0inn#Lnqt##ZyMy#Wt-GDVdO ztIbve4phbtG6I_D3l)RLlOrWUt}HBKr^~0TyjPo9>|B(iph!!MJ;-fZ9)muk*8MiX zpAQ3VkViX`v(5Ef#TA1H3BW?Qa^$Y&V*`$Bs`}2@^723ma!2#^dCIxVHjRSV!%Nh} zxTYfBXyp~#W&CSul2Q(aba-yFYrL~H&R6{y^du)hq)8;E&99lY&IQxTVWPbDeFdM^ zlK%7lv}d#lc6nLXpP0j2Xc2AC_{MUG@AUKltdgW>WM6+_g!Qm1q3S~j@{e(GO=80~ z)usUZkiz3by#cY7S>E6Kssb=iy56N7;=3ev4Hp)m`TqEbW3bbH`5~T&#^Ht4X7<-E zw`A+lYHONn2R0t{p=#aA@+KdShPHdYujG5h*k~eSFLEneqvLIREB1~btslMIqGo7YHpzYPe?Na7hJ;mrz zKEdZ4f!79ytbI}E6>v9VhVd9nT$S5{io#7Um`-%PsDCkI&(9BSVMuMB0E{gCovlrm zUfDx8*+QxMBI!THB}o%HI_t5xu7m5f&l9cZW4e3orb zVUK+1tu#_udd?rIm-Z}$t?B$!8AFu=WklU1KDcGW*eX>$U*`{(jkM1Jk=iu*w`?qI zyS!wV+gcjjhA~bxD+aU3RSU5B3~AoOi)hZGktaIdpQp(Ho-oc?0D_D)K})VZtlf_a za006V4)?>34eADQaibMZ#L$SaJ?3#=wF?10X0RiHPfofhugv6)9wa;iKJzm&Z%`Dt z!iw|mtNKu3Zg#z7esnkMO;hNmCw|Q+p3yEe6v-TV9oo&r#)qC~58by9`l%OB&gM>| z*$~7=>#Y=I)0Z-$^xWuqcK*SHeSr$HOUX;1fE-Lxf>1Sr?RIgHY3O@W1`YIR!KTBT z=%76kUypQL2>QtUoWgrycl|4tA2p}`7LpRZjp zQFca_jNF#HOjT=cHs{?L|Jkw*gDOj!~=St{z?jzIa~F=%VF~POhVRv3;w?wR(Nz z%XP$fd5q1NN(LbTOYMcqeCjoT1$ zG9GJ~j;@dh;G9LzWE?N=;M9K4!OHna5^#{#o2w~DjQ*#oQ1@$ql6GZ^%F7%fwvL~A zSvD8vK*S%>&+?sCqG(?`HqgQ+Cc$onv|PA$8oxYf*JGra{AtasAD!2_;-!4%IRb4u zuF#Cl^hT)-pkDr=h@kjdJLI#shB>>jC`JBOuQ#AxU%t(4_77F;uIP>F4Uz`cxZ1h6!qHaPXqzJA3I11g<46MbbrX4)@Zf#nYqq-h`1a>cmliQ;UZ6b zil<)z{$DlLv+G$$UF!yxzWogdF4Od!zKJqGNN_m@dG1Gg+VP7Y5AhvR>i&@28+1%k z;f3yVv)KXw^TMjpCl}>sGkJq{j6LHEDK8v@HwAS)nj;KY8)Wk}xDBOB*RE(X8*dLH z@OTH}11}rK77LMvx0h|0_oO1N6z#4roy^L5`uLRf?5m>KA5zbP2))=@*<4_$3nER$ z4%^J;M^c&09YxMgFQ;84NcqgQF5@%(jCKA@qdC6}<^Gz!rbC>H>dnsTK;MW2&M|j; z7husF#Hm2I5k8DRA;DxMeW>`2ET8$!7@H0oKO^N<`rAE2J13)_HtRD-#t77BZ56VQ5cXxN@)#6@d+p>fU7{B z7r8H9WlBs^fd~8$38>$>&}Mug8ORw6l_G+*!Jg4dB~Xq&VyW$_hSPi- zHK2Y1bVxy!0t--Y;botn;uUcNm|l{pp~4HNhlpxz1G9i8XKMqG+uvQ`6vK+dboFL9 z9JSnj!<_{^&bAyw!%wRUO*M>0%}aTA6RMz}(p`8)J0-jG25oFNfpEpRTW<6Dr`O73 ze~|Szv9p434MNA7aV)V7;Tfyge&flAzVAQCd4u(q*B-jzUzrJ7Ucx04Xydr*%nN#o z`M|@ha-%orKLSORDwWYB;*fnA%@qCMH(F>B)aw(o4e9(%YeZT&<@|zAn$Tll;}#ik zP@`ouQq8`2Z8rc(F!spooa}oPmkXvtwqEpB+AP|HsqXNNe-R=(ueEb99e;wINfU`7 zy&pSj8IWfX={{V{RQC-!tv>XZX~Q;+D~Ca{MglT=YRjrDqOptNYnr*k=co6@|J>no zh)=!t_B$VXC*a|zzQzUcfU*dwvi6EJoRNWCYb7uatm3+&knZktVinK24O7@Xe z?JEy|$~`sgxs`e3ex(@7KylZ>@v+pBxhVS11$0%#pw&^@p>DR zt6~!URT4YLOj!L^b1FYid~*PiIQ=11AW@?%<I>?r8h_fxl2QK5+ijumS0QzMcH7XQ!82Qw+%F8ds(J`AeAJ zca#~bif(k`q#Sf|+WNNQu9?PPL62U$7ud8XY_cvWI2`)fTVqhAaPugpaC*yG&S0W2 zMOt)C;jTVa8hLs1qrjHhJvAbql>BeCo!I2tQ4WV=m&Vx}dUwRF?TLP%`M_b@M~Ttg z_h-HZwlo~jDf^_Ps;Q^`L4}^#yFZba^RX=1{Pby9PF}G`n+n(BQj%GPz5Th%E?f`K zFTiC4|E|wgN-pJ~pRGz9_O7vQM6v{ry4ay=Um7VZu!Zq~f31*rHrG8VNLh4Sg}vS7 zhkU*KyX7{*0YS=P*}e&P{7$QG@a8ehg`T4C}pWH$2fBf zHFv=&cC~e=t?kyW`|GsaW_jDb-uH7*MBG7Y^!#1eoXA&h5$f-nvU#EUa}xK;_dIEG zQZFH9GmkiTil(ETk6cQyN85=&xvj%+JRj%wNe2JfFZ!y#FNOveqx*=_(sKG6?A0c& z@z-8!_Qp8-YiG;K_k1MksjoTq*d_8FTh*kft&!SXfxmHh{ zvRTU1{%^yXxWUGUTJynde)t2%24Q~}LiBJ|AdF8s1qAW`AF zSAm5;y+X$Sb3S_7O?6kTLJ9H_lzoVKeO^wJ5HV4{VR;R5R@zZZ8-~h%l3*kx!QJ!k zdZE8?fxXNJbVV-Tcve9l$if0X8-uBcZz$1ogWhOU=A@cSVor;>`iaJD0z* z2hKq70O-p!j7EYTty{36_fzys6$sbk4vNYvAVMKOB>&Od8m);kz@g1t94ZAfzts$tt z_gxkHh#KL!_X=_=EaaQL2;2x&zSrJoWI?LB-ZzZL+QvbW9i(RJK&S0RIt>!D$x_B+ z2sru9h>dSRhA@F}avPsf3sBWTJQAj8h91sT;yc72CTv@5Y6(jvGzK5yzmKgdUrXzK z{L{XUh)khy2<}-Bw{aEFx9b@)u1cMsbRV`MMl&;WOq9M;3I{6(Vk?uVj={Ey@AMLOwq$V_}rGb%W@y{idee|J!!MDiA%5YW8H29 zscI1~)=w-ZZYWZC24cKg&Db-skR<3c3TErX{XiS~H_EAk4wN>;nnC8m?mOZ!{;K+B zIDy$YJkZJZaz-ZssYy80WQdxn4@p@V90;J&n40mZ0ZO8T%%y}yVrX-Iq_kLEVU>Sy z0={~95n}d*hQ2tbr+Ca|;#c3v|H}mE^naTG{~!Yxt3N=EYN8Zv=&clUU6)&gXbf2F z{tL0)tqG#b+qgKmmAcFQ(|QYH9V61fFfitj8I`&nIN;4ByofORFmjKpNE6eUuA`8F z7tM&=;3|C?eESzFWfV(Q@c!t?-C?A}3_+>+=-CE#(zlFQ(#*gaW}n*&zVl>h~Z2hm{GTDj*ot2!&l7QaUcbIf}%1K=iq

_BdILh9;ze&@ zt8k+kG~=?coQ>*$oF7OCTs&tM>wXP-jtVPSLBL*zh8v>hCGQghGBuP!oC@rtLWyp46U z1-H&Bdm`oqrodcJF$_4nJPLgCXm8Mg?;=fw4rXTa)O$@|amZ5~op?sc!z(t8$N;35 zWc11LwrBbkR(IEms`9`QS>K{4OXL}lJ16xOWHbGmQF^0Yf*^+$5aLAJbe-2|Aa31I zr6q$x4tvJ;kQ$}AE=V>i;&H#y--e6ldnSvznc8AqOeNjAl{ zavzHxaB**&c!#Ubus>(Xm2()RP4IV4-cX`9n&9?NpPdA|u02O2NMl1+iwhxTj#^$} zz4@6rYH5*zM5hk$u!p_@6*mRP5RNzo0yu`W!t-rBedL9&Sw1v%n-LZNuz-x#1}xJA z#7KhOSNWOx^4Q34OXTN=0k zh5AO|@fQ)(CY03p?RhLpk>CSpe$A{^E|^w~kn-9)Wd8V|H{i`$-e^4I ztlE(OAbZIsxcw^tvKS5ZtfL$+T(fDc&6`J4A=p%w_i>nD7vq`3g!JwPEb>gq%_sG$ z;k>rJIhJ}#-bY!sMbYY;>H@}4WeR}?U-JfiJrK!4iuCu07ii;RgHi|wE5-wgG_&*y zB4B6ZCU!Ov_jlf)&q8YNiQUWyc)@pj8KbI|n&nMXHmlz`c!`>QSdO?xV}`)D3rcA_ zWL~hd-*yo`5krWCELN}XGb}HJU3`q=pC}(K z)uLW10eLj!PI|&}cSRr2@w>z2G>z?M^U=t5KCz5z>bZsxT}2h{)8h+iG!6ETY8y(r z%V!sp{`)Nxlyqk~?S!-0ED?D>CHa56Ws*`B>+TF{KDZ27v(5ZT2d921NB8YvEOYJ@ zBw46XaXsYkcT}99p`Y#{LN9u73cIx&}zmM9_;~>;>JH z-vth_TA_hr(%nOUD;ur6audg2edGm6e|s8(Tm~)FNkOU;*PC7bYpSwx6QFgjH|Utn z{29gqs&6tL-?3%&p}d!6=?%=?;I)i1sQpQQ{U3j=x6{Yom2%e6+kyrDq!n0M-Y>p9 ztfjQewA)xG!BN-cprFSigC3WeqyivKRnNf5 zV?%*@UDTB949(r=$KMpu2R#o2WH>sx=nBoUDTtdcTeOa=3f-8xL#|Rz z-@L`cT-rHElin7xg#U&k%jq|nw+gk3T_4)d5CYdK?fL%Do?wNw0$jiIB=T%fVO2d> z|8ym{HSDDH`TJuyr=H@clJeBSsrjXmBpydyK}$ge(`_pjv~Y^?mG)!(Sv^|UR?K@* zo7;biT2hy`uw*Q$QywWimE^C%Osg10`z2m0#+;8=f=k$u{-H26;O)No68PPO*Pj%P z)%IuCig!Cd)Q00lP*jCwa&Butm6pOtIP%tW=A}F-J@^A^&$=>NE;^cD)?js6u?v|h zzAj3#Fl+bdJXV&X{kB1bWv+PnEJs_6YW3&3sF#c#*WNb^&GH^lzh~V~&6soay&Mq~ zm&d%=YemJW8@+D;OhB{0$34Eu9tc#+XG0^T8 zH%5jm2#$gq#G`IJl1Dr4<->Y>Hk- zl}27Mm|91@CFw0@AL`NQ^>9e8p%}_2<3bV~(;WP!VZEBTE9No8CUQ@1&ovaa@n1uA>s^`cU;p|Ln1V z*KB8d@GHZT^m*gSsXLt?0Udj+!YR7PM`9pARLoXGiL#Mvw`G0)j{h|+8>vxrjH-s_ zQ}LC)q;*t*Lu!Htdk3sJDh>~8ZatP#&|dH*G+1G_Vy5^OhGhQvLaUMEK&y?%3i_bO zT(j?4jw~(f65RETrbg|@M&O=iahpxpI`8j z#mlFm6f3uY*>zO^t?-tHwxD;+U|R&a~&0f zbvx4szxnLB^Ny2^L-HNwZyuK*zi~hjzWWS}3$l#~g+^If-9d7!-gYtW@Bv$Y2pUxp6361ln?P3p`08ksP zrs?3=K)JaKtiz_34eHA^M1bpj!O@PMAN^_fj-HGW(%yDH&P+ntmT`(=o9Jq=_HOkL z6g93ZvE4oQsaTzY@!%^nzq|s*PyLW($Im(ID7&V6kIfr)=w%6Ay~Me;huma@ooGyR zA%mMUVqYa1to|;%`M0T{7Aa`%Dt-@Z>ZuC_rK~%BjL^?lWof6&u{!jm55gAXMUi?k zZ{BKda`?==o-M4{!N$}gWLcU*9dTxy?r7M@7n53mu;VCdreER?7uW!C2Kuu0u4dt* zz?3I(yzS-Yyhx>wy-IE87?nUOy#T04JH7`w(8e&!hAgK`CCpOhucP!mSSI=$&|QAz z>Da+Ov=^bmWcVG&h~TjHN0)wTF3&pZe%P`#J)25UFq>P8c#go!jMkNpU!GscJc1x~ z$AGY)qloD(1LW%6)=^d-EEH2=)n_fxl1BQ5b`;+%A;guBXiwTBdc8{lZB!LwgT)UFCG%X$fW7%-C5+1E|>5TW_l89a)}k0nBny z^V@g{)*Q^`YW zYgmeol^QR=HcuiU*69+btLEA{a?_qGcxdjeRcSQ77aWcx%?q8i)f?_MS>YZksp!LPbg zldKUq>uuyNyt6foNf&K+q;&SB?>5*VE(m!1XKLY15uFks>f5A%FFrg(WObi5#F;62 zGPfX6c^y@sU`oQ-5$-MR@NfPs^QQ6RB^)fx7jJPKwPZha`@Y(+){(U3fYkOuMtzxDk=mNbTrNoPY2)XFFy3#UF@Hp$yvK>jGMimV4iSR7Rqt+(|MA|D>s zay=m}UD&))aw-K|j_Y5_-CD?mw{s{|K4=IzC9+vyAb?n_OnKzr9GPU+?<8)bF-Rq_ zZfs+Z>WY#30UR&=$eE&IAZ@Tes|5`7tz4c0gcLNr{PxsmZHPW~03lT+Ryj_FC0#9Z zBLXmC1MxUBvZ3lP9=QP*O?Z#;)9J<+Y4o0t-!ohMB2!~Ywf=^Gx?&`ujPcntTmdTe zrbkl@UiSLOucGGw$&kY;0L6HTP5F-OdmiHkUbK6QY{NAX(i3^D0kVY;e2jP(!ksh6 zj~JKLC$>lN9#DJYoJp|~Ne}#n-I!La@z=%6&-B=sK+9V)GQN(T9sSPg@Z}ei+uLXt z-uars@(YIeRoG28M<(eIdR{v@2NfZLxtq!TT}-6^6)D+%9M}8{=%-w0r`M!(ydR~u zvmJQ72bje6H5%E1CfEWLVE!vY_r}NS6GfMnjCOV(rd3R4`|#u&8I(%)Ue4FY6B|eL8!KQ#cc!Ck8Orq6Y~5E%Sx11n8~ggH$&@{mdkAoE zN0cw-3gMw|%!&n-;>>4);m46 z{RVKymycL4dPuGqq9vD0!m7yCB%UZ{Mf%Vn0MiwK)t1`p-|DmYw^YUf_-yWd{|sgP zc?zVdr}@xeU8H|4SlcaD7uoC;=Tf$x{`rA4P>cm!)$S%oIkHcwGcU9GkR-!$+_}Q8 z@7`kzz5hYvK`K=jAMo~QucQ1)jt3KqTxEg49TcsOn?A$?#hY+WFXGoE5r%&)jRizJ zB7YWX$l}mAtBD-pEb|C{nx(8Q&^@>o8H0@zCrC9iL63g`gvFq%g~}Cl5zuyZDZA@r z9o#;(lNT_CFP$s(Sbs)Ou0sM(Q zyhR6njBuHkmwbL6{BjN{zs%K0p!#d7yv(&&VCP1s)sUkFq+gvcjCi@t7J)|k9!C5a zTSu;pTHCStVeQYgU{=18%Hkq5tiddwQqK1F>_8lVPS>AC7cZsg4&&iyMAS<*=qiUV zOd_fByWYOJ!wBgDUtXGf;^z4QS^NSv;uVVF@WooFm-b_-)Mzby|v~M|}J#6ZBboYvp>*zAB(;=1I{+wD9*ZAY~;8Zd4+4kA?_bqPj z8ym$Q#Cg7d&Ejd1csXPVxG)qO!ibeNFE8bB+z-8Yj^rqNzTKnaK3~4A;HSU|4*^y` z>AVLCh*R*I!y%?1EuaffN-8kj794vefA#wO!4wL>BaYl z)V3YHxdpe;H()>OmxN{u53sknr;$dS-XhR{n6@#gK%B;%i#?8QL@dIF)G zW}}cmj82|{xSF^T?}6#ypG)bthRS3(2#a-(_d(nIAe616bg!laxaiU>^+sK{uA}a~ zHzjBEO&oW$93Q@3qg{)I$d)4dVu2;o%VX0S<#f*JJ<7Sse+^;wnR`cFT*eLXpesA{ zUQKvKyKCBd&>mfW9y5HiF6$%j*|hPCdy#Z?hP`jD<>-<{e(<=Z^_TrO{4HiCs;ssb zw?7P*d3EjBMqmDU7SC!%dMmwuF_BkG!3O;^WgYdw;eA50P$We5yT9Woez9+P5O>cs zAJS#PZQbs>hD*p$gX59MhDT7!rThi!RR@xD?-&OGUq( z)erKMv$`hCFWJv4Tz~~N`<>lTGNoGjY{lrN;BsI=KuW+HM5NyyE`CvHq~fS6eC}}E z9l>RbJ~Ez?eUx&$d`kH(X2O@gA652_^V}P7JU$hl88w+Pzg=YTazMn@9>*Q-4kj{t zSI#vw)%CRb8D~Z~>h9aA*POUS*jOhop+{Hk)?qx*BLLVOb z-G@^FuY=87*^4C_+Eq2{`nnCrRfUDd0^Xj3Hnd;EP>dx?(OvSCVdUSv=W)C=w-y;> zc4IPMayXHe{k^!*BWTJ}$iUjU38!YE0TnIf%+tcF@A`MW) zH}_%D$jMRnsH#Rchti4#EsY$W?WlVVFX-`zw+FC~vVbOZ0>0b6t3A9c@y00R$e&$M z;q&ECrlLnC&RUEK+&y8qfcB`2hT79?!!8D|Q08w+S*yPzU188q-BRQw?iA@`Bzi*j z{kgpMf~vR0CUNYigVwTob z``1xdjZeTbS#=xQPh&mb2R>2Hw!h<38<)x3?=ppVK$%U2P`~~v$t;c+8+fK*X%EE> zV8peK`rKrkRkE0H%u%p*)IXIg=!C^nF@@$pbDYg79n7-MNJ*ix*?to$ya3 z1q7FH-yLM8ZihjTpbyzTU2ro-20qexf*z4kbHz*Bd=0&_?IQ$kE36efptn!{SUt-+ z;iXpK*gvdwls&0hmLi|W!FNl&%y3AT*&b@b+ zt@|Y9pZ$F&HIc`<0AJ)h2fc0jz-csFDn_;Y6Bt;YHSC!*I^ZbZD}fk;FYT>3BLNrf z&MoN5hTG@|Jz5{0XpZjDIt)g{##k;q@kZXT7S@l~cpa6RsqL0+fA3{gj|Asb@k?q3 zxhOxi*0yk8?YubFvrH=m&gxi}=yV+t#r_^sa;imMLEujV+DN`LY<~82LxsKD0@@c= z&rf8J`)N-9ns%WAp4M0>3M2U|vfLx7WsD0N6y2-kZEY%NR1fsrxWWF-$^FPAEU6W~ z-N)gwVlPh1?x|f!+yLmCFal!emYrEgwQkEG|Mor_;C-?(e~Z`+rii6QZChB}dQA?P za#>G6j};7_&iP<+oi$|1Y=B1aL#+2fwpzIAPj5lSuJrQUt1(pbxGR%pq# zax}NGP!zm6yCyxckhpQahmAeBF7lee4DDP}DXRoBvOx%m0176+qOjLsrZ}x7dY6kX zzt~Y67n6?Isa|SM$p9d>wi(K}{ zJx*iJ&vzJj$SX{xjN$Gl7nZV;fq`AazXfD)wlBPopiL2%E!GYkyy&89VW$=s8O|Ia za*TC|dn`beMq`oy52rJ4b%<>Tt^ay=rs7Q9x5Whc6YQEXocEL^`+>zy)r#WWZhYPX+*+tUZr$))4a@mKp zU3Gh|)_`1OQdETn#sV@xo9fb_*WRBe58<339usD#21R^m6DJ+bFO@zmpZX(hrkG|$ zGA@ibLA#=)-1kIv@PtLWCosbW=i4PgP$-M#fY<+dE2hxsqNAsD9~hK)zCfL zKBNu^=G>A6(B^PYv*RsblQiR{twOInHYa!}r*mAvAv)0}>-(e z1HmI)UPt4He|8&~FrJOTxi6u&+;Ab}$BkpsS?WMCnx`^~$i2^`lQ^7D$|8LhhfLcY z`Iuf2cMoMz_>Kh)hNfop-a5+e+lFRAOY{MKOW53v#?qeIhYjbUK<-NxG$OM=dQc!s zn_-tJ*+LXw4=*LNXf9=>x7)@A8|YBHqi(yWT;cEQNxbJ<^x38VIis2Jt0A1d`sl0bVJ1sJr|DIHiUC?NKxR!IrR_@yE;+UQK7g%hcb3U zpAM{8KhcFMl%c-Gy35Pv60ws)!xhV+F8GCFy7=dXS(UJ%zOMwkRj(EAHtuiqL3ca2 ze-ZAwBEq5k?u6ef!7suIPIH?rsq3iAuUU#s`L?wR{7E0eRbk5yg%^yJ6hfX|0(4a{*iRXLN_q09owX*^@{aV$G7~ z`i87R3N@X!oF?x+8}J2qga1Oes&w1-EjtMVqN(8)p`3Bgw_HCx^=G@GhEvS`Y@DrYNnHXMM{dFEduF3^!_g z;$2=YLT-wEoNaxI?`?@VYPSMq(vH>L-37mxzK#m`Bm0`0v(yg`WA@V(RVoMi|B?#$ zvX+tk#8BkK&9k^ntKLsRBKB_1;$n5lqfyHtOAW@YIbwo+BgP|xZMddmnbB?<$jaLtZz(y;t8V)0FWG{R@|(SG zQ8RqA3_0GyWv%GXi`!Y(|C6tsHDPe3`W=7UzZy~Y|BYVkMGnQFA z?@OQi`@6sQ?|J^XkLQn$y@Oq3Q(iY89!cjsnse`vDbuBHA4Bwv8k5NZ6(RPz?B2_rC@sklfjDE#W^ za=2~;c|k7etc&x}8;?H}QzD;^WQ;uTxD7jlJh%RP!gR@M+~om1cq|}9{Z#;>vF|h` zoslC~Tyi86bxvKvFDBmmHHYR=JO5%1<--kD9br4ddbGrrIv+077)*H34m(~c`zGs~ zAEKTW;BQ&c$7RGr9PHk7p@m#*YALgO+j3)%mp1bJDE)Zq+PGR=-I0b8rY+s0zS1imaqHk z))T*lb?z$kSJu(&xAN-@mLJMZqKvoMh{nVGvW73iZZnTFUWZ8yxNAu-^(E%Iv5xiM z*EG!yaM&E0mQJLkr`)A_UnT2GeR_9ZcCyCgU5(K#>*0;)i?M6Q;UbDlQSq9}C5gf# zB=9_y_`77^2g%8_!Hm%fB&v1OXWMCVof&ImSmlgbIR9UomSrv6(h5h=BU6<_RcG>u{!`-u|?;0kbJ&NzeC1RgDhwFm zJX}8C(6jDwaZ>n^tRvfManShqZ)$v1iqZEs!RUvU9gbC1@lP-ZPYa*qQfRn#>OhQ> z@bJrQgoKY_SJ>xI`82faP<7Kr$YP9VeD*2cCf7Q5-EWbh^Q-cBf`>k zViw)DJA{3VblG9lX0hjZJHoWCD%kpD0iItiNv2z?#Zv!Mi@(xAT|X_gB_hRak-On` z2zveXI0C+&uKg~xi*Ar*?RLecF2l)3O7|pz|EsUGQD07@!V86Cx}E|ZyIccCsjA(Y z#uG@yP(YBZ#>dQE>kr2`P{-%@DekLT@*wah8@uIkSWj3ZKI@xUw+W~9`caNO(2`lV zpqbG<7IShNI^%uTt&A7rzuRKavsJpyll_~`IfZe`XZO7%Ea^LYao%)x)O^L8cEla_ z?1vgfdIBL7)@9EZ#uczBZ5OJ1wys*_(EEp+dLE&Qn^Bog^vOBvdAIR|V*(#oes|W1F+qyt zreDrlC!qHPq!@qGv?y37+4OnJ_fFsBix}tF^M^!pL;57CM+cVJhJ92YK(M7tZ%oEO3k5TQ3IbY7RKUE38|Jl>1!DCu>3*&f52J< zPE#D#t}jP_eCWn=VC)S1zCL}u@p_k=H!NXAERk$L*P*r4cu*Z;#c8S`lE+viJ_aGs zo8FPNng4E!_S%Lv?N~57ZZSRP-3I-=f<;AT1f>i(Bge$`sDj>5Ey=q6e0oIOLm<_c z914Kwqn>yQEKgA8|G@eyiL_h#U#|wRVj%Q& zO4PM14wGTKxR~~*fzqIK+4q1e5ZEY4lnqWaGm4a~>+)XSUqE6cX~)VFP7Nqdm7smJ z_W@(r4~!uTVm%7id->crtIykU-BXq7lbB9Hso%}~Lt{d0!(rs#7QO=6{I*_PKDUE0 z-vuRiG>(6{hGf%P*#m^YT*`pag>-F2YwmNJHT#T-Ufb#5iM-p~xlp z#%!-Ud3;!N<7r?Dt&x^p@N?}Ec}S2MA5u`o}6u27ugwA>!! zagjfv*>FO{w47!+U8gUD$27Fq``ulmQCrQtx}n_-%tBDzy=wkkhp&8y;b;9ikF1iK z`r5R!F@|USEM4bYuSaDzv*(=wx z#l^B;3qM?Z^8`IE^5nWMSNIqk`8u%BzQd**HqmF-got?9g=eZX{ROiurl`$}!%eJu zrMq=^>L8Zj^Rc}bvIpwL{v0k))`=B=ZJiv!xD;AH$iw2?Jga&m9En}TlkD` z3r4b>`Fb@p6FrU?eN=qZG_=h09i||WSlw$Q7Dsa*_7tFLj?|MLh09#_W_d9jV-J<2s2OY~(ZbgD0;wb6GD&FdY2WvUZb)wNbZr=t_uW$C2WE zoNn(dU>1SU5_HIS4qW#h?NfiUHzn(uy_eyuXwty9oqqg$<1GV%Rt#GZhkoYTT-BC! z1dV_oi&RvFn1?bMvsJ`skppeN8)p?>k+$ z2~M1k^({2zO#u-Ci4GEtmDie>L<9z7$|QHZ^alaTD5SY{aGWX1*pT`@e3sglm{!Ry z;XRALekz+e^b)$_Gxen8B27??1MeJfWz_bC3we99qz=UJ$LtTg$!N)*A7?#yPYg@e z1ugg6s4`YmmcZpBN?|sd2J)Oc22hX$cmU?yq0kyhU=d&4oukWjz2C@q}a;=MCF!fl%Ipfq6`!ue8NYToXKE?TH03z46TFXG^drU)noRW&!iFa7Y;c;O~fbPIOIviNE<-%o`I z#tq7xdvQ6>xmM8bH=%@N87@NxX~F%MwCdd=E3}VQryKlUykR-ddacXUC-oLONj3M-}7#mj- z`Gb;_O4K;$I?RA(%VYrXJ6M(5rXYdft-g=PQG&2-rz)?H{`n|Xk)%s)*K1hgCRUrU z+JYo0x?&|_=Py!lzMo5w(w1~vu)K}=EBB;nC>}I_QFU+;w=VyXEc5JVH8k}y>`JfK zkrOD;`{QyGv|g{}aaPYC7v3xl2lb+CCGXpgvC%_xNemnjnh~^}B@t_3r^m;K8;%?- z89_FkIdStn@xT$Kk`V;>Z!fX9x#f_Ec_P~VuA=T_E|38z98vxG#`_eUOuQLm7AMoA zcUlQP)!#fn(z^EbT3M{PhoI!?AdAb#CF89GA@1h}sn_${t~9wL+*i59mM0Jj%%88k zj?g@#zFZA->(DPS%ZimhJuxYKio$Gr$m0(^8lb902n#Y4p>0y?JIAV z>hF7!0xCV}jm!W;tLu_=BrYHo?W7T@x3785EnNDz-%E(dP)H4z0mSBT^${yA}`3E$8NNp?qk2?_{TiIDx@G-zY z6*nYfdRSd4V&OB1`9j4GA1mezC_ReU9WKP%v}5|tt*eItZV;{!lm{Dei84Uhb9H&_ z-LR90M$*td9(zx50BcK);1{qQA8$4)J_+dG@pXr)Q_fuCM+2-qGCHOS&yI7 zYe+}|4Sc6)SeI`dAloIEc061t(3>R+h@U2=30WsuTS-*)xhIwE2$A~lwrsB35euy( zMyW0!H;wyTm;x^lrX~1Q*SBD{*tKtkwR^`|vfX~PWyJ;5M`LbVR&I|43Z&1)if6k` zW*sFplNk53`A~AQO_w-v$UqR%7hcHD%Qig|{HtjNMiu{y)#a5Y0KWxc-c`T`=8w>> zwtl_JYc#>Y<*fmRYa%;L%q{yQG5!>k0y&=+VjD3UU9ixPm8VojHYAp}lNc3Q9LiU< zy^e_KevlmwGWGRlSuPcOd7-xXv}-m^w{qY#5lwZiiWQx@$+p={(cV`BE_iMy&KTfV zS3iH)E<<&W-8_W{+k~ug_W4BPs? zG35!#+Jf0gps5L5y8IbF%ln1F65Vv&@#H^eVuUrWP=ffh+|F%#SHx)wUQUQWxDYAK z;a(J=gi_E5S2Jq6!{x-^MBGuhIlJGjCl>d5FHEGT;=lSWgRO6jU+*gj_R?4*~H#(s^h2!wkn4CRbEiyq47w;*g=w;kJuYG`f3-*v`!pYqra z4n4W!zy>`q{M8{DipfxufBUCLkHA+I;dn5a#;b{R)b{h5`25~_ggXeIYGo|quLv2U zDb@JpmR+^)>121}E}UTT?u}F4;H}MTxW_}e)RCcul(=A@H4-um9Rwp#Q%5~xLP{fq zqn1ycr}|~v-4+vm&&FJL7wOd+Jh+gOHi--^?>+M~vlrTON%Q4oo53M`RSK7c z#KsHRBH8q>Uv7-+@z@N#BAV41<-UG5ANrGk*O{g-dyB)t5@_?vP-Gvy&SMq$;JUO0 z3)xGMp@a=IeiB%2T~WEx(Yyb3-oEAAU0X0A%%$8{3)H@j57diR)+ zSNBV{U}arrGV+p#_e3cHE*X{88Oj~X7Mh&0UnfD&V#1$2Ryiu6gbA&$BL87Avo6Qm zofkWT?3Rt1QL?Be89J3`q$=!5h^FJ-mbl;V+P{IE7$+5!{#FX^ttOea{*| zI34P`qrI%6bu$Oo=jT`C!g^9WVr!F$KsL@@sN9 zJ4*Ip6HHIR-(?vWl#`L?A#;AW7gtzhv7!6@ah5tGe~eI2sC#C%MX>rUZ9 z{^Dm{e*XKD);_V;adg~;h`pLuZzJd7Z>i-;uT*v%CM}v566p<6K1=B8FI{ft6Ltnuk5hg^#wCtxP zg}oUEwLd7D-q-FpRgy2=P%?3l*}ZusxsiF|ur~b-h>DLN=H|dmY8h$uE+?zs>8?AC zeJGLM&1y)P-1BmUR&Q!qjB$n!He2kaD=rRv2K4sWf_M(|J0p1CDvo316guTOfEF_l zS!-K`*Un#QXOXUvs(jZJQz&mZe{q(g`Bq+l7(`$LMCJln45>ntywD8z$+t+Tl4=-c* z^>5Pk$>Ew|Bq`4D(yj~Sl%4jf;HhtNu`POmF(Zp=_enPUTkf=u@H}GlOnyk4X2m? zDe;78ZX)DmoBk+zUagkjFHg)2a75ChYt@x&S#a(eMjFQDXhp_%aN&(oifl9~QbgkB zj2RJH6BKgEQ&a6b^Pc4>-K#d0+RNABQ)hIbs#;{!HiN?L7_xj9x!hIX60XT_0D(pQ zZh`tOfR+~jNF{@AN|}La3bVM-mI*CZW9qu&SegH+ZLm0tGXwieU8#9@RN!^?1Kq%`4`2>}bK@a0(vU8EZbSf{|UO;PKlZ^2!MaYFf#8>iUms0FYd03n>MG<9G+1Cfh`HR|PU zBZ+g>o-Sd^%;F*g=y>O*c@f}vkt0dqcmwcFm+K8wb0&#YXS}}FIqJ#Sf)!m&?cMc- zHRNR^Q}vI?AC~cF2nZW*57%>ss4D$HtUtB8+pq`azkF8m9Rq3V}oiBX9s$$w12~V)`R|< zZ;^x?jH2ENzHp5RPNQ5b8T4A!1oM5D2XwW@+hZVpZ$Z2{V;KFX^j^e1c64+tp7Q-44$_qZ6g-65`t&PDK6;7%EH@d$3B6&h)Td46ON2ZY!aBN ztM)<1@ne+4vwGk0(Ss3GZ|hq(q4Rhs!8~h8 z#;$gxA_g&3Z`Y*6Z3@DCks^I(zVF9(y5ASvzj4Y8lKY&X#H(j&^-MeYSPRh zRSam~qmPWK+Y6aP*hab42spaS{zh>05tc1ju`k8XFR!mOWBHDT2K=`!9><$9lLGDV zDip+UW&Jp}1#^}k{JJ3DRVNs58+YN}*=|bZZWYb2g`VE0&;b$nD$K{yMe?_3Zvg0? zQ~*rhIaSL%v@cM)W5z&5CNo#vP-c|meSmPl|64+AfPpZ>?|lS-qc%qvYK0!&R(knb z_Vx=lsE-igb5257zoQC0ZzU|dwv=Kb$s<7|xngZ#uo+AG$`=d(MKGrA5Tz{b>qe9Va}Lb3qX!%^l#NB)JTqlA8eAwk`fZ z))0K7Ev<#^3zj9aCbpL#iM4VB&OzklXxSEQ?gvlOVq;?{@+U893)V6#y}eK#uFvbh z!Y9WXFh3EX2NCuFxSd_ z-iy+pIx=2P1~*6mM60$B>fNpO+TAu3XgQ+2eSZFad7>Q~TFbtE+kcmhKkKGGDzRteS|Yj#7VwSnYzyYX z3126O2yMX{wgHW!Zi_tiu?7IkptCN}Jtw<67q%DTewTt)AaY>>lVDYb*DJqAZ2#gO z;KvGehcr+Sa4dDywKtH0hy_1w@1|7AbO5HY8sN0ZgA}#-&CCBqKkk5jt~b0MdR6gw zA%&?Wr@wzB7ZU_U1UV299s@q`1_aa04H3-co;+wxSWFM-e#udZy}&~jEt~~ZBl!>20M;L~!jvQCrl0lgsRwxG9cg6AA>9~b z7i5eU*}R7+^4I|iz*6Zm6AaL+Z(A_ZX{r$E)}hEuwR(ApB_e%AYt?JI7Zx{@@*H50 z?#eo8pJ2}t#vY*jp=%xcF>GhoNtC^D-(5ChO~v;g_XDhFsj)Uk&vWMPjF0%%Z;$cu zr|BAa=l)8rE%{h|<(H4I5Kl@|@^PKBtem{xpTrwVPESs8ZD_o(zqPawPM4in*h>yD zYf*JE@0LHr_hQK4)`9lPPsv%RLUxm%Z}Lxh-nh@s+b#FZ#W6QduHKcyt!853ao_?eHl}j0-Q!- zEzJB~IbJR3vEqI?9yo2~u$`eHnsBks`okmTjc2%2zC5p0(K;hJus+Oy)&)y|he4@@ zl;{a4I5K|1JT&YH=j%)w5;`X>W9BI5`+l5PzXNA1_o(1P%fJU6F%xKuwd`PXZo7o# zJ2Sw&B`j$3`r2uja-NEY|A}T}p0~wAsk^MKiG{<$*Up@)aRnkfE`Zoh-L(R%)I3=y zjma@%D@TUw4jWI(d86}&-@c>fD3@z)H7S9JdD_nWfcifXlN9{FBj%8uN)=x`cjthY=)lC>{bl7LOk8k z(NBr5GigoDT|KG$KeiSe**2FXfKFl9LK=h(f{B3ldBY`d6l}p%a4#NaynFvWJf3ry z3$yU$DGF$U_rB#jC3Mtm-Kv=h{;W^#K9H#}3H3AanSWTk=DPQwiJ#fnKqPa~{Zld1WYhl=g13-{0T;{xK*5*$7OLsuP38n9{R- z*SXg{#_bQh}jEDL3#?Wys zI(BWTzNKIg@J9GT%9HDl8lkPjpcAoY1Q*fjxdSU*K>aLo*Yx0fw!0y`tFglH|vac zV1$BcUDW@RL+fo}x&CgRDuys|0WB}gLXwr74CQRp0hZ@5#y+!Z{8^keDAY6*d+!GK zeoi;vjmR{*g=is1k;6HvHB4E=j_kMEf}P{}U7%q>Nc)d43VBK2UL1$pqm5H~z@~0~ z+nMj=RuT3q$kHpu&2#8X3TPcp%;uh=qZOB5&!pt8k?0<3=ka=7bB{LEBej62>DR*S zD$4P$X=(QulM%~4=lN1w>>fJ`UjUq2#}{X4uBZsuu$^sx<7;ft5xkCU+o;4rmNgQz z3Hc72Ax4?Sa^y}|j8d1Urj5(_M@U>pgT%=I~DLo833ND(4QbtmO`Bjj$&T~ z<4;Zfj1rf*!6jK+j_319I=Cc+5!ao<$reV1yxc$Ey4e-%ejkJu=of!cKSO`A5cvGw zwhW|2UUH*9Jl)aJTl6^X-cgJ#>LE@@$Pu(IIPBR4qjJ0+L8s0rBO?k^1mo-Tdt;E+ z&=ilf6)ndrcW~;kL?RcGfG0a3(J65dg#MDgXp~9ksZj~e`j%1XIayaUz_sW1e-hwZ z!u-5rK`bZGt{P6o^Z0D=;!1~dsb3~SuuBX4OT@LwwE|;ztY%Bl|!!GY!%R3U!l}HTkfALu&;>CG^x@l$)omXUqPhN5anG zYzK!juB;10!s0d#5mD|B-)>BfpVOiR!GrQW+@s9vgeHIJZkK+?=%8%fAn~)n^2%n{ zv5dU;5B5avLI2VpG^3>Zw=+usx%U4)pPEli)7wx7eu}c7y{kDR&()ht@G(Z*!Z%LC zqO2{Nb-Hm2_S&-Os%@5QSfD!4_#i}3L7{C{SqJFQ#$Mo$K3hOfL-zA4@KD|pE-Ju$ zdV0_ng{Zpzbk_)u!vN}xS<0`~{Jt*L#DCR6deCMF|B|z7$Hf!Kr+lfYU%9yjkTs9P zj9mZPls2Wft^vQzE;skcyR$q6@DZkwSP%7^G1CD&gw#)|1Au1FBbR20fo`6J4oihStt+?}MYx@Wjmhb8 zc@QW=-A6zRlxHma&CUe_1u+03b?^XJn;AX-W;tF_R8f8e@hLgjoa+K`)Yu8MagmH_ zo`X)EaTRiVzQUW4QDCQMt6;!u1{6f`s`C*{_i@DfMgf#by8g)|7AFhL6|aUYV_KU= zTSiB<0ILgWn5leF+%MqB+~ASTAd-&S%iPe5Ld2Qw`#ZF~xjh~^Ha(=o?+i6%59>!}Y$I)?C6_*o0euglfpbOwX1i}CByvb0nf`B^1p~pTd z&y05`qFmfB4Mq!J&|k*AP$^T*j0$FK1ZluGT*<3mL%ra-`zsHMii)hXcDBjsXc@|- zXRsz9l*|oVz!OcQn%Lh*nW~3{Xq7S8zJ+Snyh% zkt_w8J#Ey^l0eUhU0e1Mj#?lD<<`RNA4!g1E6p?Q-|;4IB3`fhtOR4+fZ_gMJ}jw= zL4IFaBVy?*n7F{d?yv@*td&gwe*0Az_C+oI`K(ox5?#9sKdRt651zbj$v#gBb@H=_`Z z{7A7aSgD0WSv7O8%AUf0k8klX$)Wx8=hop?ME@__$FegS-ZA1GOW4QIe%?d4$3-*L z-q8i|&Oyq`van;wD8*z)ZNdym3^Ana@;N1=G$@zGQ$9duN3G<20e;>A+9OTsa}jt4 zV={1gvbD`SK9^=*UNn=AelFgzk_a8Qekf}%(NgUm_v!f7wHUf0CIC}v;hQdm?=F6h zXMeeebiecl^TIy&YqHZ#BS&4K9B)dRaNmNl{Mmv9 zg`cn)APf15o2K0fAo1sK!5rc|gc_$OOw+=a>LcZuVnsGZGlTt}N%vBE;oxQWIHwxM z{Gd3Z#&Zg8Pn!)_qR13?yb9a>NJBiP@?KJ(Vr!_gWG>RHOxZJAYu!@{B^Xyxp z>6fSivtXBdD06Y~Pv{*_ET1-={X<*NOntoM!v1Jlr4R+0)mk z6T2K1lwbj8zZcom0>JvD5ZpFi8y`M-BX+11gx?2`MdV@vqXA0EUVFqwRp$UU8*7u!rYFa;K{hl#& z=MMH0UY2j=lg6$1eG9#CfME+WBfHMiTd;%rzzqx2qnLpJGqBPDawzU56)|Ls$N{2g;#F}j!9p!fSyB_4DB`o&!zR6ncICCoKIF}K2G~6 zQi%ju9~mI;VFxgM3NEGwIgmR%aGk@=pvM_0?o9vr6rX5e7fg0vf9mAd*Uj5=+;nD`b5~qNQRj)#qCo!!SF-%U5L<(z zZfOCPQ)w_`y1}2$`EcaL)gn=})>k=z(O>+BO;%U14BT>JglKC_ohuX8V2@i^4?UU@+?j9F{Tl}_wI z{g=gII*cyRd=`9aSWj;N(@p%0=k;7hY=KI`47C=w1#`V*5b2Xqdh6!viFrrtFWY-) zE#Sdxw)Zh%X;A|7ngSTD`R%PltxM~G9bQAH!#;-wZnei5dLSj|)sO^cGrynO{bL=k z6&aHl!1%2+UU$&{D5(GUYw7emV(_{EgodDpSHyot6)z=@19l~--S4>LD;T|Jm^UsH zIa~n08C+UF?xdze=K|KD%6FC=`Y5zpPp_qeE8;t2_T8`03IM|>2!?XuiWPA}gl^C0 zzU+?$#E{# z^}>f?hRGHT=o9Ec)Et`QGWbvoe6jf_a^TG)(8FeMieM#s$3X2CY@opJcVLyL0b;We z`*;&h%@?=ptoRB9h#M)o2313e+3e526KUr>8CVTMGq(QwyhD+pV<0t6pBg_L2?`#; zh`mh2k}$u4Ms2|=y%V-z3l{G!S11b87gi!$+qPA-pHD5k5diHu8#oQjK=ypgqBhjU zN*GRiGGfl6;9<=d^%_2ypZn=N0^bcbB3#hs| zONNtU07?`n&W^Yb)|C^CPqH#-PN+Pzc4Vad0+uS(n@kQ(K3t}^ySc?A1uP82lc+L1 zw&xP&XP`|Hd0`g!TNXe2KqUp&0&SaS;p*uHYCZpqcJ9V`E@4WXgseQmM;K%K{T^DA z^wK~iqyhVQb)cSN0gy{`UI5zD7O@Ur%Yo|4TL>^2LDNI8qQHV!F=CIG)^LA7RyeCW z(iOdz!5MuAdc_Ut75c}i7ApqsxLv0fXFIe>N)#;@vKPLN0eqUX$_TQ=V)Jk2ltrIs zasQ=^bd%>FSb>f&pW!;xQ)TzH6woTI3E&e$#=Yn!W1NP)l9d!riym$T8hDb*e_xQj z=PmLCQy>)iJA-TBx&VNX+a)biy22)=|1|zIc|*y0d(V{ZK906rYrkYDqMG;v;>lec z8bXa8f`{T8AEiT1?F6b2y|*1IYb)R)%y5CKMLgqMg#hv6B_*!6ejvLYtwqXnxB%^>q66)u z!oU#<0;%oDK#`CNpgB&Etx1F)m=Edy2??P8_EgEtmPJDpggnpyt!<-o7P)qv1YKZ- z+7*|DeeJ1v8DNn-+eJbe6Tu<@d$rrS$jOh8XY@)Lt~Gq4@Y?j*Om3oWd7xu}>~RUx zv@xOkr9pqC+$j+V4>is!8Z%SoxQ-hc2hc(N?deCO3nr{0AJ^QrU@=b^$oFs%-SSYL z^7|@|x+}wz0!TpZo@RXxhMFF`0)f+FACAm;v5mZcdIoZyFd+OJ>FW;Ac6|=GUj!@9 zwX~k&SFb7nCEu{hyaMTX8&Lm!U;okZOLkw^s&B<(-l&G@^t(e8z`>g^GvosCDQd>^ z>JoDbakGYYbK5=wtoAcL;SZ8S--Z~7gk7aa0mQ44L*Fr%&ycM_NnIGTu%ct&^U(Y6 zATmmWA*0A4R4V((mpxrMTnX4Cq&T~6vMhMZm!uhZ;$s>HZ=u3lv*PJ<6tlFbxQv)b z0xNM1$avuY>GvySYchvHdyn%GAmefY(60qvE1F4JF%T3=$wn-|sgRd1GX|78F!=ER z37m!NyV?&>Ew^B6O-Q;|fsHj;=+vGbXIM)O3i1|33eN@*wTVmy;LidZ*_nYKq@yp+U2tYnS=})>e0spx8l~Q8G)p(%f9qdyYs|B~M$%1moaYu!wh;@gVNqg#vs?%T* zFN203k_&qEd!`N(TdYmYbZR-8$hgtjhN-NlnCvnKzUJy{(6d#4ftdw-QmH=VIZ42e zCsL)lK{=*?<#czM)B^T!7ijVN8t5_CEUO3rFpH_D1NHCNOwy8ftA;6A-U^4QF>>%5%hY6_sC{n)$WDkl%^B@lWY8e1} z&wH%-;g9<|j2y)cgNN01jRh1Xd&tNtwbT?MPQurcGOt%XI{Z< zv*%5SJtr~cZ1nV~k6SPrUj>$N>{0EDW3|gE2V3LeKag~bkj}-0F>I^-?sa%0lk1z7 zsH@|pE*aT5R9)9t{#1H9Azm-ju^1QIVWBsE;OrTm{gSM+?$Qm}i=z~NDd1KgJ{%j1 zblQR?U7uJtLjIJuu3B6l9lIuUWr;r~Ok&;Ta`&GnO2bz#kEK66ubq`_Os%hj2_F5i z-$;`6*Jd+8`n*zXOC*!aIUue_t8^H*Jq9QkEl@7J^dJ&D<&1p?;@9!CO5J) zRNf7AhjZ5H*AyqvblQEPP(*YCemtJN&qhGvRc!YS^je^8&WHKX%bgQ;nwE zvLsKJk%uD`30ttX67SYe#*AHzy!1v)#np>KOg{doM>bYW-QdLWBbaaYu-!Hvfcjhxe2=&d&K3Dvzfws&Rpf z`R%%DMUPAg-6QK~ro=aE-2yl6b$9<4-IhCFOD9}qM@_*hl=DbpGY@_5n!w*lmatYV zu2BSiJ@$3dWe{-r7qRPGum{(FOx*53PRbiaHDW8ScEupaZUzLnAXlV^{Xo{ws)Ysj z@-A<|;^f0r0uYV;b&^Z^O`ZD(2dp1INZdPOa5Pz+L)cXB#rwcxNo^M~__0oofM9zq+v}K{#wb7mz{9Xj<10&-S< zL0e=+H{fKZ5F>lSwI8Z*Vj2lEX;nFKAM;b5VxSg}aP31j$A5t(nF-sa{Qj8(_j9@$u)xo=N#TA?@(T{7Dx*kEa%beM;A zPD;Ar#^MZx|Kx$MTQCle#>Ouu1KSr6AHN0rc0CobKdkG{E6lp}brAt)`wiZC}qxbN5* z^n^pjkhnv{QZ?tS7z=KlBVn8oiO!&vWcpdA;~4#7q6REP4l1ROaKO#n{f=xkK+1jqu;)`Qp5i_U|vOFDi3Tyy8V zqq@3rV{BO}hzL)h=qjh>*F3ugn=`#Z#Cr6U9u1_Oo0&wev>>TCz}lo^(9mR}v&}oc z9@ruqV9o}i(SVj6NW2!{)RKdR8dSDN13r*FQ={>sQdcNRVau8uXgXUjW+>ZfI~oL% zy@B!(!7-lUtVaqJQt~d1)<3vY>i^j1qhEnqs@na&D z>1@m(d76d$5?brC+%Iu)x1W$@%Gxvau4Wm_JZ>feUu@ihwfrJb`6J2ObEz5>l+}9M zE!RGUd=^o_ghN)B%TSI7>(J(Cju#P~cpyZR zJ#w(ro0hLGVBGkk#}}xpO|m8>BqXXAI*dsh#adjA=jbX&?6fzo#)n z+Q5{3k{5CS7kQ^5*4~lfiCLQiMfbh_6|L0{gFkxubc= za^}gIpx%ODp^x7uOw$~U=bJlOL3$EJYc=_`3y63^u?^Z*-MxrZH2rG{1Wfe}coq~B zr1XKW%?HIE-U%WXgkLJK$1IH3sv+edHvo%mS~N5C7I+SJ>|+|ReR`x$0vr@y21>fh zVD+3vY_26-Y&LqODDky{#Hau1bjVZp>6S$S%($Z>;|K8Ra2n4uk3dH5&FA8s3lMx0 zsko_+WM|=a#AZX{<4puu!y-`l@RYnSfdB%uMnLv zDAj_P8-T$Z-X+#MrvsZ@TK)jS;ZC=k!4<%CgkguFaZI0IoRi;>Zo1qnCDy%MJDTr( zn0RuW(2MpXiAN&a7ntU$MBIUQh^Y|sn8 zHiK+F&k;C1JPwirCq1gT87inWaJ8gk+b-A$pb_K(8yPf`Alna;ZrX8m5}%%kme#a7 z^JyLwF))84#_TA?!hjFj8wljFxfKjci&`rn9hpA0Oy6x%_$RZSkSj#*X#LkL1}u(` z>`VqUew~2EuXn-n7~CbMJC1+_=FS#*5A})^}zk|0Vj1bIVd2IP%O{~E$5 z{4<1cA4Dr2KN=slR3E;%1*<(T9XMkQdVLHURNnE8RzSCE*2*0r-H^QD7qd=N1f_YLGHRAF&PZqRF!G%hh{YR$%ox6G*`djY&| z!3sgcUxia~IXE)L-5zA!=<;QR4#1fF0Fa_YieUNFDhV2r8T|VQ2B5bHknI-CMkJ(u zUf2=2aHWlib&FEcf2pdaLxE;wm8CWN_WKGxx`k-ue+6a9**-N7Cm>6WU!v}p`X_~Q z-7PYFSTnN!76>{ZfWkqRw6^Ok4e8d?PlumJt^g{|VgoUb!zSi$Y=fq+PVZ`mkmF8B zW9W&sEwhIB8k!90O?*Pa%WVaCYYtuxMlfhd`ZbvI;J+eU_9CAgaH)6V422rG9Zl21 zmW{!p&VWU|MZ`KrfZ~;UKRF5=AntD;-<$rYkF^C;`K+9Oo3ffbzu1xWxYUQ1)?s9} zI9`|oP&Nfn7TN^LQN(QK;|Qb{86MQfR>*D73LGF(%?A2&)j-7Wc$g08WRqQHpoUH0 zLzn_DKmo{sH!oTijlH1Ri!)Q8a>JO$>sj`&p6}$B zkIFdb{IyBvXA)t9H;$DlMSv zkD~Q4AcYCe$?rVKoqs9J?C+q(+EZTh4Fu4}DMTYYm*G>O{O_?Xze{%ENm{BV3i0>u z6g18rQUWgEuqyN%6pL$epD5W_AUJU`Q5^iId^%5%@iKiYb6u3}PTztJ;@&D_VeV8LpYmB4}l#P+v612pg3oA4vy-$kAu*rsj=0Aijc zf;>Q9Z#Oy61Jrgw{AU#41e`n{w7G4vlIqJbcrLAnMQGr$uonblBJZ|EAp;BA+OQT*a@H5|(fC$%Il@bu5bt z(dPy?NsxHbPL)vx-Do4>bqD_6K>GAk*X_y z2OWv;$f57R59)g#`9VZ$8-v#B(mD!lcni^o9MEk9q}v#l)$PGWu}pDGfpe}&T5<|B zED*vBw5oWGw=8NRmK4*bfrV)Ogc*1{Tr@+)r`}4`INsWZ83Y9_zA8JtuKdp}s_ikM zzhi)Cdyg~n^uLW@)S(fK-Y{x=M6Ko#I7#o;)B6Le*$4!p8QKHsO?;wk`2%qxtq0b1 zGdOXB-54MSYWCmC=gX>5hZ-P9PmF%Z!N=BiO7I-!^ay+C7VM1U7OdU{+HcW@vPqVn3x>}*>)JjAE42GoqPk}YG#`_*Tv@Y&ZlZWUID=Wh!3vc7An0v#4G8#%JoUkc zxPbkX(pytg6Z4LVzyA~%|7)naJPGJEpV@p=I*@_)r?st6gG1<10;6g)3+NWb~-C zp2T_w=K?-~k<_57JH#iv7JQt@NRG3r8K5};AZ)e;%_HYbT{J)T9g!WTzkUq9A~w4( z@SK+HFms8PyOu~Zhdn+sli?lxx?>4TM$F68c(3r+Fo&ucJ%O|{b^2-E2`hkNe`K1f zH1N&enW*w!1{v^*;1qZZ(j|nEIU1k4HVpwqwwfGTCO@K&mAe$SI3Z|EJ>e4EsXD5t zpD}}|K@Ov-Cqx3n1`p6QWe`2OyL9_nU&R}XlDbFpG{e~+*Zf?7`TI%S}?z?BcZ{PGcRv<=mxWPZw zOq~I&y4$7}x}|TLl+t%j12}g=a3`4(t4wR@{JWs)Q+%_#sxys`acbgLNk{zDb zo5#{EVCLn)os`2m2lO+#X4ax;{|{x~9?10m|36Z?izvEK?i50lT$UnK8oA{XCzssX zB#9wYy10v6irN(AGNWSVF1bdm+{(t}GI!=u8)ox+y>&k4dp_UaU*A8Ev$gl0ujk|T ze7?7ZbD~Pc7iVJGIg9l8We?vNkU(F)Sco+;1NcvgkI9xe1o7Y&I@?8}s5<0*qeU0g z<{PTUD6hYmk9iNX9&^AIykzLKhBQd5w8H~2=I@_}&3+rQTjz6KkpgH${C1hm6<22|_&eDe&Ke&xh& z47nJWhMBi=Y)2cufZ3PvYK#bv#j|o?#ld9xnJBdrHMX0%QsDGDv5W0B_2#%=ahSlM zWlwH{eKFQtkhh=Q&e;n6_9M~i_ym2o(@=jqO4lO3KbvjIg90yS`6EhaP@P@J;5Hl% z22l}o)Y19T11?gWs4HWsGZqp>HHS~O1cT=ryQj{Cab7Ocv1;o`k_jdk>p#mRx5d*S z)V$4MJ^#=z?7}&$%C7s)52*X-LVAQiZ=Xwm*d_4#L3v(JTkOc1v#K{=c47s<%io$| z=!BQce{A{n1Ph$Je=CiXcJu9@3&7_xa+AmK&hhRJ_wRufD~<$6e6Y^Vmj~9%u8C8X*zTuetE;h%Q<**P<4XN zYl?$C$Y#c+&>615D24J%Y7Ld9^PbPo?;aYiZN!WSybThP-_Y+}TlgiDnc7jAYz?1_ zn3OCK#G;$1?%$5}{0ZE6)j`P}LdV+vjXE`vQ^)3O>N%iFWK~aUb_gV~pla~E(`6)x zOUCavS}lgW&<;Tcyyy^B*fpfzRca}oEfTtAbl16!#gFL+JAvT5dX13pJjC1Iyj->s zUJ0VWwmXIBNX-T@&anTJ-(+^uyQ(pqo@Bh119uL}gYQkebuvt%1K^dYi7D>yqv|bk zFE}sT&$BM+LAPe{FrYF2kZ~EZqlm<1=5-eJ+^yhE!-3FaL2-YT~dCLzKH! z4r`%QjqGzi+po2}pOdFNmQ-Ix@?|#zT5bX*bgUZtG1f2q*Nq!PXM! z1!CU9*A+~e!Qz)|PxgEAx2*e+vpS!Ic`0WX;wC^|fEiu~tQHRxHEuz04ff$ORd=*^ z9hcC*^c_BX*_JF{60YzS?tC&JTpZN^IG8DQDnB@oXwkJiSbe3~Lvn`L{rmcwJ zFD8(tW&>gXN!s!Q);u^zqNwakZvRP62Zm_f0}RGt$Y5Mj!$LQ|NmW5N8+M_4W#NH$ zfp?b!hJ>nWggXX^t)LN<|1s97!~no{i<}t33UqwrSW+u8JwWsc>r&{sbuT~3Kr^qf z3`He8N+#BCjEnz?4*=w5LNXNHzyIVD?7*y*sUzAnA5HS*CLsIaV;{hUFyxXb7k5;I znU~3&9Og{IUSe6EoUXg@G02xF?zgF89|zcsjgDzH@?j~um!^BJ!OlGerU+<5{^B-| z(0)RZ8xt6MojaZ5Plklfu|b;A*bD8@g`pif#BAW2tVgg;#h*gkSNVq( zX21n>xhJ){fHIaI?8GIklVN}lNN_+&M)}6M&jV=b0cg6o3+)Eb0^C;@jv5}l z^afrDr@UT3n`Zr_mTugiXb}gbbsG=k9o%1nvkG4|v<7|gzP3cZdEvX*lkgb8i-z?- zB*r^JK?NJEycOtsZt|c;Hh+I&fz_piDSCk8GXYH};ZZhq_`os)_Y)87?sH&w*I-wG z$>21A`sI!icu&YC&T$&wq;=@=<_)%hK5i35fNbNS#a*A}C3-)<%d6 zGxGye5p!|(aspH9Q#Duaq-JlqURny=_&xFHJ2fu~`cE*)vAR2h63Jk(y{Jufw6 z7?Xy2=%&{1Auj%6*OVkos>@&aWLwkzjC+riYWqHtx%lw!ua?S&1R|eKiOVnf2NVXn z)CI(>?1(MN%$6xGdez*8>Ir^;mbFOWbK^Z5I~?-R4j!}=Ft5Vh9gNwf{Qh-cpp)5L zdrrA2ojBdIFoae@77Q!MR3@HlneT7pKg4JmesxGZ{ir#4f1TPEsV5?C*PeWw)9268 zSmfMe8U(Wigo{)Ojzq>tuo zdy-M~&Pq(THv$$QCo&QWUwWPs7|;;pRL9}3Wnq=Oh_QMsv%sI&cA6*wDSe-Rao_Bf zy1m{Hc`U^@xF2xc&_M}~XqL`jEWs+xJ)9g5)%@mi`(2Hqor1GpYEh+O zZA#DOP4xDW8zy2lX5RPTb28{@vn?INXT@sf>5Ti`DZ~5s^lXcK_-&JVDXEwFY^ia2 zc9{ReoKHj2JpaQ=&!H;2rs))#KsT{Uw`b3y_?6q}gWJP2zlwFMlTPx-urjv6w{Z`f z4DZmqyJroC*mokbQkPEkqF63o_qM&W8kfIYi28-c%W--!05hf56^_g>Y{qluO-%@hWvbPnxpfIs*i zaDsB3F&!)0Y12V}*U^8^R+%pmvESjU@fLBvb688)rhPHZ3t_=0?(2vQsfbQ72Sh@T z*l`kP`_oJX^sfsUWoAWLlC>Q2%O6A-2Z&t}e)d{U%|xYa(hh~o_hg;AL_mtn#7-l& z&t1H4{3c4D`0d-h?fF~y1n(b-T5=yAoZnwpG1mdLmz&jLNRhrrgGDxs)ED2uPb1{>z%Ixlq1x?X>3kT4*4W#D}6 zbHejyGQ`#wuj6^e!Q;lC%3{Ft) zZ<)SU%$E68L_KA__+oXT(X<3tG)B&c-m3Y z%ELGPzu(&Gzq@|l^WxV=tw*+ES8Z6x8lLeD6I!&z5V0uu?-!wSzbOOLHnB@8Rxu{X&(aNfjHt$yyt(HEA0%4twzc|=|L(S(#sX|58-y09w4Bbr)|FJ6n z&Bkng`(o4f>z;p^`y9CUAa!eEbL5f6%KRJS`MxQQ`U@(X0}HK}PGoteS>xx(S30Nt zV;viNST+DRt*!W2Bb)N5Z>ZTXHk(Yu=m{s1of0;6nMLKm^d8LZ4J%f89JH6I96d^w zULdy7>8)r|!1r6jZDnPtu*!ndpFeJe8ElQpQ`M7NJ*lUn=_olj!(i@>xvezwW-==M z6Mtu-H#Eohwg^A6LFrZ=lM^{*SZ=R{;DvlT!;gfHsqx% zd;mUe)0oDJ;7W#E{Tgy&UZqxIyZ>E*s~4^m7GJfWS-D_b!iq?IrkufcUhe5s9yrB2 z{6tJl+Q5G$`{PPs+u6l!3%OPO0-3`-J+loPWb?o$4J};`}^p#~0j za&sP}Lm%Meiyaqdq9MP6)v1ed#k_ZdPo)h+=pCQ>9=dVPkU9}6((xu38Q@s_BvLjx z)b@7&snf!d{73w5)l8z})W>E$Y=&Rp_G)+*leaAioUX(fc1fkOoo7EWJHDIkIwGq? zNJD2X#Qc21+IpceBce+?|2=I^ARcvGWmjPE;6X-RaLoo=haXoppGQY;nX%T@{=8!+ z)wciZOp=Jq&6~IUV#0z$!!yRK_kO!ksXa)QFP>4q6!{m4bnlFju*b2yyi+`m8uJo^ zV-ZJ*o?N+Ac>{4N*I0jD)jmV-LVNxD3C&IPsM%wxJ~x9fd8w*TEND~VOR2*Zm`Bw+ zE9U*%9;{?1XuK)DQ_`rBVp~|?T>S9t`Q|8{&o;i-MIEp~yEa!I9Bxw|l6Xjt2+`wD ze*RbKedlji?Hn2w+I)Ps$&QakmfnV&oqvMx+)tZ!FqBvi9{_Vs`va4?$IlM)Eyey6 zc=)~i9KoMnn@=U@d19Qq^E>IAd=Iv&Iee_FJB8QePnsM*NB$sHn%?RJLguI(#d_`9T$uIrk?2FJ*=x!K< z3RMP*NWSw)zcC=<*fR8b_d*G24F-%*AzSzo3yZ9Fj@SOrin#*UX6|_M$&SW&R>5AbPFVnH9bEh-%P1lcW0MUfWcaW zeakwq9?>n3W6$gxp((~6pU-#42fD{a*wt@+{HXXgiS?|UD(udYbo{VBb0PGSWN z`hJfH|Ax<4nJS{s^g9E~YEaer9VCph-83|S+G6sbQ5C4HOX#G|vNt&FovKf{4T1<2 zoEBUAMe^*6n{Y}7D4{4cIV%)HSO9ms6S&)GRil@Mz?wpv@H{U8H1nml>N$AFPIw@6 zXUltQ8anhlG`;m+FJj)?S2}OUF11y}IEYV>XIa@u5$CYeB@Mv_=?+0YH;muEl< zmmL9uzLhwOzR0&f;6UMJkX2?b_ajtGp6w41J2$2PrSgk~!NsAIdbmaK zZ_SIOzt$aN>ZSli_#7|xBz{n0+B(s}v>20V(%B7sf7>^?BoF3k`wE`zA@^UbLPJ)f z2McR~j8fD2e5yH!p~c;L2!!e}K)F#A<~Z7k)nZ*gXs9yw5;URqBg}eJyr!T}KixBn3G9ckeHd$gd~AC5 zR$aO80`%FaEFUzd2o74VcL=&z=tdP0Pa1Tiiu~gHd3GIi1KX9cRQ7d0W&n81umWcp zPQfE|YP=&jFBb%tT7nAMmN1ATOPu5Nt~D_Pu0kIr@p|(q%~=3s|z3 z&K>(RW{$=!2 z8grVnJohh|T+#zH7axI| zkl4c5keVB0ARA5>A?$uYs8FuK-9tC{CXGR#L3Gd^9sf6Q)9PqHG*XMywK@ zn2P=N3n`B^XH^f)VjlxzlaEmNP)qV<{|E-e0^LkRr_%1GAff$2yR;mMovc>H{Kn2% z!H^;Susq?{g1o+||D$|g;tOBy?~A0}$p6ea%r*wg{n^X>JwlQPwNn5}EbjW!RqEv! zyw)&jA^IeYbcbi}`XJ4V6lm84so+1>meVmt#VinnNh4t~8S0VZX^|pEZuZVJEgmz8e`fSa;U-&QIjx)h) zurezRTe<==JwReYFaW{8R6&z9>PgL6{sW2F8laj_s~8Eg&hh&Z>$?JrSHS}cs=|R3 zIQ3%r!5~f`+!3iBjh# zoUj$RI>w12x$AtQ98>C>?-GWzmxDidzbWb%=*14dj^Bar-lWgJ5MU%{Swoc zkI?RZKtNL=I5ZU+n7&-6^V&O{8K8Bo|Ku_#K(tQHjVF-q{yilM;}0g67(&+eb3E;U zivqX7vJ3QJ&~@h+iDFGid4-@(rv3Ng)JkIu{Y20;XmQowiR-%n4%DGMn5+aW43JT2 z_<+Q;c_0htnhr#wcT22}m%jnaDz*q1B$ng|5t zETFOo zP`wOw%^#WYN-fUdR1;go;vCjIJ7dpmC>p&HK9&g@X(l^K>^*8sO?(2n1x!$Pj3Nh+ zOaJ3tDj0e{F#h5p@7KKxj-?=pHTQ+Q%M6fI3C88+1yMe6nw`aFia~D4pqa ziAwl1sF#hhIFu7r*}(q=&A_Lvw4c+tJs$veg@AZ~M-Xk4<&rAJ0J-T}K;Ml>JiX-( z3yWwI{>$f5;_lV6oGAOIe{D`@0H60QJiCeP3z_K32CZ#Ldit-WDex70HVn9MEHSJ( zNK;c^RgE!~aiD-~oh!0uc4`VRkC-`_uEF&Fpx4^*u}-F8=SR{VUK+G{(Vy(%xz)|k zc?=N?o)2wbgZZCq!4SFK7M^r21t`KIGXO}$ z?{z|KVc|T&RgGShzyt5X`(D!-aDQkYzZXy(h7l!$weaO(Ysc&vUf*~4>14M6|7TnU zt-ycx=Oqm{-Z&~cQ+%<#6m(S}s0eE>CrZgZNeW6I66Nd5XM^1>vZa#!?G6E#^bFUz z=nSwSHWX$xq5|9P?%&?yzW$$YEa~LX%DM^UWHvrd8i=O& z0_d;fj$S=8sE>xeUH+t`0Ey}Diu6|Nh4+dtjI#-QQ&R}2TDQx$wAoRx%$&)Rne2Y~ zs9{;T1JoA&e%+R?9tyFP6oIxmAPHhhWi@WLD=nG+s%GZs?8s5GdwF?DW;4qXIpzn<6&3o^aGHhFpQ4ZN3$iEWlSJz5K0hWJZ zoxeBbwfB8DM+ZSNdz;naN+Oq9;iGXAIn(GjzGwzpA=XeOeH4cyMkMALDtVZq3wi{U z8%fWqX(xtGbDBND*6?H~h4$`7*e@n*kz*91N$7vB1(fd{;8z28W z61tT5AyBld?pCC`iW7Vm_gzpW3-^|j8@#SM+I=`Al*<_suZ~eHAfmk}rj-0Vlh}%{ zd>_1+G<)vk@T)agDjKtt=2k*LDGiBRHEpd~V5nrXi9r{rXZQ1{{nDLC`JQs02K^*r zA;t@q>5jK2l!uEnS#;1W`qp4YhTw@h1N{zuQW8rn5N{*#cLGxIs8?^yZUwW`lnRw> z+P>teONkfrBzxvjW6yA6EC$o`QWl=F_{~XCB^utC5_PnV#AMTIMe8J{H>;?UxGyf_ zBL4ojVH|U#{oa(C8`l?Y#IJ~v%QChW;_W$kQgnx#g?~<D07&S&upWKzqg++&qBe;{`CiADy;l_&b&?#0_)O{M!jAZ#eZk+?D{{wFcX51_7~S z*WW<&VR;*>AT?DOsTmA2sAyS=9XW@MK)bbe5HH*=c_Nyvh5b3tmz|#3wgVhU5K1F#iPK8ZdGSQ z@=ckL#WmPPECHY}`QrI(Rzwi{>&6RhcKrlb-Xn2F!Du6HtA#0^D*6KS|Ly;<7YPua zwi3sjU$9t-8xhy7+Aze=+!=G$WV^78=a)oC$G2_Cg_b!c<;##c{3{~pMl zJpa?`$M0YtC5*rCS4?YV&8n#po@Q6_H0ZUJbgdkaPRBTAXRFhIiasq&J)h|9U;OYQ zklA0PBqr#eXj8jBgO3fq+z)3PDzou8rdNM5J+Jo@LGiwz{um|tLaS9|`1wp$qIh+I zDaq1tJ>BXCZ z7OV#?D0S@xo3Pu_?)gtitB=>o@aZ&Iu0P&BIi6)BF}0g}m40vy)}L424(j4MDfvQ( z|BB3poLOrks!+++r9RJBQoQ=NgE1w}8DG(4Poo%rvTqA+IUu!@Lpj_{ zcp$HXk1vPF7{T)DVx>G1gFU}x$zr~F#Qb_D>`U2JZMNB_yFen8Ts9qvQd|#|2zYcD-PZn=JM1}rQP9hp8=TpU&tRxh- z3)a*WmvLb*UG^4LX)O9Ci6jak463^UfUQ$^`4 zZPZGv)ERV=n+Gf!AXOc7;LAS-rx@dH&v{2m_T}b7C9Ik4E-h3t{4(w4aIkcY5?^8m z{%2b0!pHWznS*JiF$bN>qTplw@H_wZ_5dLw2=?XtGl0$ zKYLH{X_@xQu{V{KL~oOBx69{`9blVn*i?wT2fW@YKV+}+dhCehy&vBmiIXx1z$3AV zZ&i@T;2||HZ9Y^I?N5rlF*ESmOeVtIJWstTw`<<+hx--%v|b2Xq%~cG%RW!qL_kTG zf|FMh06ol(=C;Yk1H+rRDcNYn@blD^b{c6TJty(!bDQTp2i9Qkig~PnM~0G1bIIYcVEn^NiuOEb3P+pPNwr$r6* zL`K??bpBcKa3A(P@p&Qw-)9yRFv%cKec4d5A<0+rP+x}^SXVu z0Dk|7A)bmh0a+s)lpT0AZ}_=xrQr_3lLoEB{Khg;i`$|oQOvIfVPC5FgUH`hlx$3h z*2(&twZHOGCVK`Y{sU2XJ*KyiOpYyY?g-tx8Zdvx;Db?Si1FN`ta-AICQs&jr)_=4 zZ@rryiGIG)yvfL_U2C-FEYe5m%t^Hz&M>xSX5aFicBjZ4p7Ttn@SC0|s+IK=O1R`` zd(TwUJKRs-4DP#Za&1bj@etj}ynkjSOdx4hBY)LtsY)Ue@mNo^ukFSMA zzBSlmM*ejE@!aDGo%2WyFeQB(n=fpW;HQM_?^uI*_-wA35xg5Ph>7a-x-)UjbFjixyy;>^rCMQ1V#x!RUDA`H zpU1jnu6%5t!9Z!4^kWggV+N>pex^xwbGLa0y?r`Zg+TNaJh1y*>D^PBqP4xlnAKsb&Imob z9Fv{*!ln(kYjuMse-nK7(g5@B+_{R()zc~S51AFYG>?)M-TF!2qoN6F&QC@3v-rL2kf6DecUXb+OCKs#16%9Mn;y=5iQXq#@Jm1U?rRg+9Zsj_ zbNQJ2S00_Q3jM1_a`kNShc3MX4a{wXJMcZ(4NAV1s(T;H6_r$#b-SL5k=f_Hq>LOU z*_$X!r=3G2@0OC=+Lv1E^H5xK$z?uZa5Q}W(^!2^%9u@$24Y7cbM`sGqsTk8hqTz= zaQoV~;;EeMKon{;p09pdl=oQ9X}#{E^0@Cz+`MXnE0VVJYfX1!2j*1~=OwqxshyL?l+0VO? ztLJqLF?f&{bfD4@WAm-K=IAxp;VJPqNn4rh=+?_~f{HIpK!#RwRAryOYH#wBvk^35 ze01gEkMQ5(?=u8~@>PT}%|-Y%*!P!B+-R@<|MlOnMU{J}2<0KWmI5Cse0JJ7Ar*}_ z9%@$x2ONZaOF&5;YVc)^K*WTY$1%OhdK+kVg&!G@y*hHF>dH?`!GDd31R>wh^Xm1J zjmU?mCP%{*seo(7fQMCEe;ZCrul#+4(r(m13vSa%44vjMUsKW-)kUf#3TUb2e0dIN z`2*1!7)`J5v7-gsZvs0X8n$b)XAO448nQ-^Iq3owgb!6T7gXzpXb5ISm(vI;syX52g>3>-2X&Zg?Ew7tU>a2@7rul$beI8@l^q zlk^VnI`?}|K^-fUKUFPM?q;SQ3Xx61!g?xCtiiG?6k*J5FYWrMEjmCWYW_GOH*Jui*67vp(`dxia=OL_KZl=_nogxc1jS#>g2MBjI&rpDs>GBbLV2Mh$aZfuUC5 zN^#rli-4?MNq%E?ChnJ=qg_4<`guW6x5hBFY5xoUUFR|M7#Cb>+{?)p zFQ^pBmH0T97)(=Tbs@KH&)$^RjLWjX4O|9p;H_$RaV3%M3JS2@H)iZ>70e20H!zcM z049lO(MM+tI+O=4?>0 z>_*Z=Pe+ByJphhi;*f4nKFzCV##Ga3k%%@ zH>+e5#o(`emnWHxav)3p6J)4&?S9zTgPMw9{?v4Yw4MjYgFBH7rHz%RzhpdgQaChw*YZ$Ol?;e5v8Kwr16;zHN9%9hL% zLfGnGuyLZdug+LY=|~~)g%jMF4N%UL_;=1DiqLbgx7-|rfGfHO3}T~?hA%y5YSx1{ z6Y4nc2vDIT>kr0r+pKH4l_+BfNd>O$XRZ6@z#U~Ba6?ahwa%p3aSHy-WXiiu&n$HH zP1K*y-phx@OvEI?pco^=dnEpM0%R=@V2T{%8k$42hA@vDW#?ECjO*WiwVnCofl`r< z%rusAqqjEm%8TdwI>rW|y}rrYT5=cEzkjPO*gZsDl4TF3M&_^j1~ZYo-dj?b21;dz zk1PtlQ+FTVlCg7SlKEo|W(5Lk7*t{nM^L&wPd11&ZLR{ftLZ1G2Ybfb zzGr8}CmH_7N)gI!pE%<yibi<*=-l{Z-)01W<^U%RP9$)D>~8_7*BUxoC)S^#&2^9! zFZ%t?oB`&22L#Sj?5~@wdU8?zNA?PmA1(&f%IoBeYc5(B_ zC_@*4XMf!#bNN;kNa?~;_*UzZXIm^2V}XN`GOeNd4TO_{A zfRt^+t8L--p242Qq02!#f2Y58v@3{r1sU*gd<|PNY@4ZFzw=+tP`W=nM6>87vybcJ z?ITgZJAMiKV;cb5^+Rk}`^KF8S-{EWxIWrTrVJqUi6EK1Rh~ERf55B{MU@p2Tf^Ga zx^HR^gO0ezSI5<*la(ZpWixh>pgTX?w>gM@b=P~Cm!FzaL)DxaE!bFD2M~-p1(WS2 zeCYwbJ{S*rozux}lj$L#tl=O}ONt}c!yw)dq(wq=@=eh(9Mg2KO-lazA*+)K0!~4& zT#$5#`C*2>%?xPx)9dM@;eDs*rGd?X#(wbRntr?uG`KlrYniC)QB_r}>32_Uq_Lk9 z3$u9NP3URq2hsUlIFO2Qc<-Ac@RwemsNrmo1~DwJwukhL_a&R$nq#%uTm4_s^QebB zEq8(R$4e+7p!jg*png{d039;8Gl1rY(7<)IuDkb4fKMdai|={zIainOvf?0ZMtE513;q9cs&|lOPfh$Y?LO5=pC*Pk^{? zmxtznNk{WAXtAmBpI0HgpX(vk#UF()Oct0M%v)Yx>+atCDVHV|EbDa_QRM)pw~n z8@iA~L}a1TSyPa@&j7&Y+0pD`FE7X7?@Ko6HHHbyfb@)llyw;DHYb+HQ$Uj!h12l^5R!BX8#>cCOk*kCp?b4qaC*Rx8Ge^oIB9#W<2u|cgBh{8$X2H#IA>jPGg z;%-9y#qHq8q;>3Dg36#H>vjAyo<1xri1Shc^d-APF`i}|v{H7QC-qt$mC*D0qQz=w zuIPOWz~n9m1_LK(1X+R)vkc(3Wqpz`F#YWs+Dn-z>1Lk(Pztx=BJxiZd<~)?EYJVH z<~8u%taNLVIu*q5M?O#k1A!@ltO)QiIwfM{(%0Ut7P@6Z1sgPhsJedLWSyHwEw^`8 zSSpQJRp{f3hl|30-8kGbrI(p(q$c_l+5bzT6M+<$xrND0unkHoHE`MLwGYxl=yS+}^Gn;I(VZA0cgk zc5a?(`FSQ#gqFJNNOcMQpG8sBKSLWwkYWaAd%|gy8$JUnXKAmAz^HlXgZNy<$=M)f z)Q#+@6>iYd9+~h_I8YI=&6+^;zIh$8xf0+!sGPLp-mO-hUytYQTn+}A{~Z3#_mqq_ z2!cTzdEP8LVrl6MU@m6<4*8jEV9_2d|Gg@j;?CGdEp$0tx`+=a9blU?Tb)YwKzpKt zcgHs<4qK8=5z?0}1~2<8UsFa?zal^51NITi0^$C?^$_2%5bWcrCsMMlKU`D%Dcw89 zp^$vhUXHvn7LjV}owxBqm0e%mJ&~q?OC{_3wGPP4xu1$C(29Mm3C+5?@fEGskGCm4 zts^h-$vv4Yp;~~@t(~tFOo?SzI<6X!y(=L#O#!qN?pva z+PTnu+f*0W4g31dWH#^mxL)v>sskqf3BgbNByuT{mEBFfeeUoX0&KTe&*;zw5XtO8BmaU%zQE)!I{_{;gwL;2w)JQw z@x(4c#h-h~dR-1QJ5uuB6B`1JfI|M*T%i4Dq1zP{heuKFc0?4YIs3L%X58)UBRE0@ zyTfZG7snmS>?%~+`SLbjo=GGdWE&4&ZKPeFy#a72f`6>9XGsuHfC!)f<{dOZMjyIc z?Wy|6&FU(BzyVW%StCYX%-d{E3sba%<~C5}FreB0%nBp^|Gh1w7kTl2hOAe43sfZN z6fZwsX$HMgtXM#jJSaK6Nn(;(h44qB1XYCuXmWG$jIFr@d;3_~>4+r3{g}-eJ8WI! zTQSdW>rQHW?w28DSxCPi{Gb3*DEMgyFC*7XS- zV)ZpHA()-^${mUFKX_U+l_#^Q1zxK7vjn6o0R>fh{Gj;TFbU~MX6dCt7PO{zFvhyo ze1Z1>5qsD5S&6{?zIh>aqtlWR`?!O>eWcR7(4rh%o;zi>@vgIhX5=G@`FZ33H~o!$ zb;6G1kK5thH5eC5|7dStj|T>s97*bu(JJ#iF&K#|vEehwh!}X8*%789Ce=ifcxeUR zTXN}9cy~6&>luaW!nuqYki^@9w|{a=q={sl_taG!K1b`Wc*rx#MiZHGO63-d^SkfZ#y{CGZqnHQ8FS>8G-F~9JV z6MH3v(&zAz??QORlF=K>ZY4sUt+R|+DPmCkQJ4zN_)*_#T^?%<=3z0Lg(xyz6etDu zXFmi1<4FA$s59rXuiD#3dm2abY#snd%G+Wh-mv^wpN8)>#<@HmmADze%oUh{*$jxa9~tGyZo2#yDgrXq__9*qw5Co6EAz0D$iyc~Ol0 zO)RbI42#ryz6N`MADUop>~ z&Vv3-K5I}yI_1DupS3#h30~>J%gK-6t2qXe2!}KN$e8=hcTu1c1g6)y9?5uIj1G9~~`waH!MjVBAt?zn|j_C8#B+wAAcwu9asN2y(&Sx$Pf(no0 zHB)*<4?KIaN(LO%7$$*~4$cO&P&e?yTsV@M+dlYBo8y_n?4f6WF{yvNWR#H=MH!f} z2p9Uv#}#Cp7Fn(5_VM3bgT?zeF(*er%V#V0wWaK$liBGya_TK3k;x#z0 z=L+yRcv^5uNRDa9`U3B|Fu-|glbHxt3cdC4%ox6iki78@#B~)6lal$Ngrs?Mw@{)vI3sgUB+A*@*@FEU{@~7? zj4etd2+grki79>zb5CBKBnEl)WgW+`2E%-lOPC>iAswpE7TUf}`qu#I_X5&)X$IJ0 zy~)_tCV96+9dtz~cgmnc4(9_JsUI}b@kT%r&JaD;%*9*1_V)JGEfrKb3c9b{gNJqN z@RfBiu)9rB2B)l=zMR_09m*~*A#D?vG-uay1*fbuD!hH)^a%=u-&>XHGTW53U^HT~ zC{P~EHs?!NR$Z+F=Dzy?v***6&5?Q&KnQ;-619eN0#Li;eyxw!So>>;=G`-dhAdZu z@c+<03BtWBz`Yb^^RJ9;KGMPaM-K`FJ?b!E9|bxM0`d!H2^BH>^c7do+zyD^6_dYZ zkLN~~K1%Nz3s=KFm*;uwP%z^erl}4X2sf`_ZEruAtPETofMGr_&5c+V+*hBycLzWN z{`B6V1ifzf7kGFLQQY}%F=&J<42F3F5`}W#B=eC9I48cyo>LsJ;0q~VF^_bj9OV_% z|G)CelOo5igw%2MKp%-`Cj!iv#Vg!A3$V=0ON#|SuM<=`u>tgrc$kW#F&06SxC`;2 zf{*K2_me>PNEoj;zyN0xgalr~A7BE_(MZ(MF-d8@bL*u4Gf_w2S*X8Vqro2QpP;X; zUveIvS3@(Pt==OLuD3% z3^2hqW}<8pptU9j_gi5Cu=s-(n(^~&?%s!OZ%FsZ(fROV*Q%FbyCtvg3-<09e z9xu!+Jdo4!@c@4NFD<_NJd4$tZ2i#B*o||{@IiR5eLYREgUE5I$BK0(lF!67oBDd9 z^LuN9uy(Kd?7HcF^(gZczGK_<^1DSXyclg{_ zUxTUcPz%%jFl4ZZ1bX}q_>61#hHC9o8u9l}|AknUq3p%1phc!TAz%7l$nP*2xV!G5 zHQ2#JkF-_zKAg+L3@U#ug9$97g?Sd;>rF5&+5=*N50>yhtp=j}YXfuwzB2~|3jI}x zSEWP}QkdFuCRg4`1wL7%l^5Qp?eAGtV#_VH*O`AStW#CZ1OcCRrzbT5*z!gqmf2@|P_B*lSE^K z%=oS5@(d)lt%`3GSl-|X{QN}!kz(K;%ju7;L4y=X4O$V)mYjYrIA6XLpA8_p2E*Mh zXm6+VQFB!lw0t0wtH(F5@_6+EepRRXd!?XzuE~(fwh+VbL{mN1`TG>6y_ogTEX_pb zLn*eepZa-w$JwK*#xh4_$7|h0%I2chU=B+I^Lq{E3|X?p!kU71*0J6u;v5JO8cf-=pP`v*Fc*?L*#W zP#qJ#ghk@jw)dTehQ9gb=W0k@m@cbH0(Hg84W(3pT~-OMMW45M^Kg-;vq6Y^#SPbZ zj%thvr+5rEW2i^}kC&JD`6jlU!SH%*e^ACz{U%9fD^wUqnMbM`s16Vlm%q!L|Rk2OnS{3&@@v3>I-rF9aXWnD1Qw%SFigFr>z9!dx1L_ zA!~PZ7={;sv_Z#dkOKCMo$jiX_$e6k14FOpqe*z?YX0E7otqQs;~ctWN5rjRJSEgC zoo-@xoMfB^Nz)>Jh4vNqSy|P!?ulstj)+^Ulp_!&xPFKiQ)aFrK5o2LqXI^VBZf46w4=46lGH<8tP2#UcAoAk`t7i(5Q*DFW`Q082QokFX z2RnNfXk06p+VRz-3q>~X&8U?<_1nsx+R7?p--KXLk9-9tvlNoww_9x@Km)*U>)>u& zaVRiM5OON1igc%$bAyXu1bR3Ts(L_~calK0>0=1@Qcrs3ekf|b@9$b*!E+ShnR;?f@^u$)%c zB&SFK>vN1Z9qOdN#Ju*cygVTVA*s_Wi6~I=Hhp_`?Mj78oQYfZ<&0=`*mfrKWl}=b zecPoO9-5m=6Lo1FYzNl|9wsIAC3Lf4F=}Z{P?GlAyn!x;IXHr9{Ee$N&@=7{&RX|$ zHi3sfz5#Tt2*5m*4^;f7zf}B7#2vy1&dPzwOxJ0?sg-Mq`URp7gtA&s9D?N_xbNU) zR6oI=Wzs8Zqx{07d`Qm;b({Ato9*{AHG+>{6C*HM3$y|YeILHXZkmssuAJD~wQTDu zDr4h7!b7>5xE0!=oQcIYyL&da5?4U_Rlx!8IcJFV4Q9s8YySxMFFu!HDR0%f#ra{= zMT@E@*%Fz1Pd`Y)(l+u8uTY8KXkUdFx#T8IKIkmCEifCu-`y@3Hj;6CWVY?frJw{%w(i5iAEh(Z+VrW^;) z)ZkE?rO4d!3g&oJ1CcPo9EbW;HTsa3zhc{&_(OuI3C7^T#etst+QWXOSrmf(db|cp zyT?e*lu0jN?)TJ@46bcon_g>QtEq&_AIH&2y?>^bwPXgqffP83+8zqn9{tAF4hweU zUCd|ci2vb;qGO3n>zp-PQfjbMpbKtlgY2mamEXsvfvkHC(qH+Lyxbb{QuJ2#Ytd8CW)q))kJ_HknUdxJdt@*gv=mI`wcmWr~0sr!y5R4z4xooOsjpy4c*1^bW(IEiAvM zLd!`oH0z7$HhH8jDJ}5mw?Mk8do3*u9;-dGUNFLQ2*~{n)$rfOV4nEcfkZ?m+=B5_i5ZLdoFF7lPo}T_yE8=W9pd? zbV4?9+YN4kzvd*4kf?^X0v$B52}Jln7yzZ^L%hZVh(Ioa9aNt?1p)9OXQdX__}%fE z;39^Cghi`d<%-+_3wdEDklsJ7-eiH`cLW^xP5_wHjT4xAwlgPs1;(L>sr%@eay;bK zDsdpUASc@I>G~kBB1wjZHt}=knwYP>C9*>Jo+GF&C}AgOhb+Gsh8f*G&gsx`(Q6&7 zU1oaayd1QR%)d)LxVD*R9ZAA7Q+okyf*fJ-hKRwr4fJO*Wn)qVmwz$DiQf!Sq1OnY z=LifT1ZA3wC@1{w44hwEZlH^Dk%P={VA3yGLlkyEN1)EY;9PooIRgkH8>(VBZsk$S z^@*9N?~`46s$~0m>PL|O<2pwTt?k3U`=7OyfVLUQ2iqeP?e|<9?FYWVe_Ml?H6aW8 zzyvEYb&iYv+xlugrhYGfSWdc&TErFY>JM6R5qD=(UtaJZFhbc#YMj%)#15^8Os_bw z8+4CESv!eTqW0%F+VDupa{nRtO1KU!Lc6d04e2w_qv@FmK5NS4$rI zHodNkU7?mzjbYgI)Zm({p^r4sCiw366m5b4qIO>X7MpgV9TNLcEU)KFyC(Vf`bXry zE^+`!*=3(*CNKj58KTUg8cg@>-j&P|QW4AvIferjy&UXRToS#p# z;ILY30P#Bj@mDCEpekSSKEk_Vv-V2^D~YqmDqpF^ZA!Ytqq_0`{Ewg8!v6UoC*MSR zH9kbG8%I+eh$-yITJ03VQfqc^(TI@~*I&F^ z(uxjA*ViXWNOAUXhUZe~Z_|Tv)GQ$^7l&ux($zFNlDdv}$gY!cdceXwpY3~-*O+Z5 z#Ju(COC~Fav3Q9uN>R}z*YAbGe)K0DgGBROeg&T=%?4v9e^I(q+K2JEe(d+Xx75zT zsSSqlYFy+(0j8L+V99A<#0l6N7)ECBXhRGs%)oKoojLg&YSHziW!;@@TG!y*>$P%+ zjRf}ebW!n=Q` z=y#uS@n6a#Z*t9T3oo{>c9gX1!_m$;C;r&LKGe&Z#0?}dPgB#Zx7_|FJIrab{~lBz z_mprRp+5Wa{Vc`uC&8aw8EIo~Yty$|^L*yy@ub$Y>O?O(xk=UyvjCp4^^Kt=K7T13 z`Wypy&%MhkVq%I6Q}#QBLZ+AvHkP*CwmOrNg2jZ&)N8}|d~L?p`_;oz9~iGYH0q)_ zk@oVEgs)nhTtw6*Z0K?`kG6LMZ4lQfK5KuigPj#_NeL6|#wK;Yw>k2S`e>1z(Q9L| zAXvS?Z@j<05yb2!&-XO;RR}@~M4o7F$4CyhEY;^oa_UMpw0HQ+eA2FoU{aXr<2pSW zT;#kTeEbEPsPWtl!>-RdJ>T~ZYApYAz}Ot z7uk|&Zf@IZFRvZCg;eZ`%_&PHG!4|TSzKgP*IHT5Ihvmy&MTj}O!Fi}Z6GnG=*&6o zxt@9AZS1VJW_JEzlL0NUO-u?0pH#B@O?ZSq)aOD^0*Q{XN>3V&dh-!uU9i=hBG@)( zS>5M-)GRyyxVCJQ_l9{QxddvC`RDZ{@oPBOMWS1ZcsS?9LFO$A4`jQI>XXPt-tfW8 z#rIBwPR;I@_&(UkRFot8O1oHUO{2O;H5BbzuWEn$ELiL*SRy>^THp;)i|Lta>KN8_ zmN|SQn~P`^C}ym8WS>w9K3Tsaw=1Uble%^b!4FR6{4J&+@A)Hzl|AL%B!l>SiG9nw z+U@bi@7;-3&b8rzmRb-jA@V>{exvsh;V&JgtfV;39*EZC+S;!4ou)~<)h01o>1ST* zSCNOqmHAmY5HB53H)ETfxH|=#FE?u0B_gsRmbR^p&yqM;S^jS2Hw1KJq11E+bK6b#xFQ5EvU&Jt-%VY_RkahY7D6tKg!|`M`v*ne{5uP zJ%6#jk4gD6PUGU0szdw_oq3yy3w{P}`o(SDBVj$3?8Kbs(>DXfg__ry*(9LP+HUk# zey~{EX*~RC-=Kb74Phvh*;16IXrJ;(smf;@b9wxle&OwoZ%UpSy<;yZa!qrZu6=L2 zEM0X_u)q~-Em)2zCok&)Sff^zYO0_s@mpS6D>`g=nlwi0v(rj92T;p>3XRo!FO-X< zU-_13DuuiAftmEX&6-7B23J;Ve<7luz0@Eh({bT`Ky*w`Iic8dME%Iy(#_0URbPk; zmh_p_lgA=?6&<$mN7W<&l`Y z@DQoRTc66GoCxh1K6BF8JR0){07RvPwS|8D!9cZF8tO96jEDBYv1tf>>$&2D3x!z0BB^ zbFar5yG*Za_#(OB$mXsLWpYF z`8E<20nrf>97%S=xSq`cI(6F%9G;5+(7Q5QZ->0GYkW(h-xDZYSGRbu2G8tp)<N0x&J?Ras|nV`+~gt_?w6LoEQu)`KK^!N|0$_S%oxe7Wc!Q!F!H{iI6(NU z+3SaIhl2*NF0gr5WpCp1NZB?z2-zOU7^XNhAvV}Ed0D4u*r4H4oyi@%0PQAj zX=@Y7jEYA3iS;U8lVgp{##4!dh9N)<{?P8DQ*ya_z87jU?~<$w`Zle*H~m$EPFLBI z4&Yu6gm?@vEwg09wGRI{9<2ie$lG0J%Q2J-)}vft2$6DTyta|s@Cn=Q@D(kgYM_RD zdM+bbKeP_*U{cxu;?fkI8^=~^Kjoi-Du2Sjma}&HvkPQ ze|*k!G$ygg@N9*q`ciuvS}VF-JCx+V&674do9g0bJZe$bA-h7&5@ZCh=rvrEEdMwL z4#eKebLTDn+gRM{*xg!vxan%;5o&t}`#rRoz*{kDpndY{6Sx*6DWqiAp2esVt@$g3ILG7nOC{0{5 z$oK~v&PJ|SV#6aPd7%)1ZIaHR9m6VH>~7iQu#%GIqF7d24HcbiR_%AZ3@qVkCEEB= zn-l5O#Bn3crb=IzJ62E1Gg|X{2K|<(4b&ELK6(t4N;h!fg`9jj_ZLb=2Z6`a##Mm| zc~B~hTB5?VH^Wh}(`?yZn}QoLfn77o;$ynw+#2=CH9|h zYR%s!+~>XD+ks>GbCHU+!pkb+qPV}_+sQ=;py)sS`}<=;@P^I*_}F@NsnWb_+i|Ug$-9KI!>pBDa5VOe~uO?P`_5)2=5K9 z{9lf~jg)=-6F%PJ)`U%H{DZgYgX>TAJ`k8%>z=-m=Z8F}hQwnUWExoNqVBgWH8TR? z^+p8iwaMt5Tqgb**pRdz^LHa6MZKQN463%6H%>MJ?aq)~GQ<>4tn zct#x%@q^mV;@*_L3!XG~%Uo(0!(~XXc&p}e`=$FN?Yf`!Xnd{XM54FIHnCqYQtK@v zTV$K)_jrZMYB`$sWz~qi@@k)@?d3?S_ zAVyAf3b1gSG|bX25vwfS{|^qfMt!M&K=cbtIid1dYi(D&Bjn)iQ%_nuu|y}kan7I| z#P*^11Q0ticZ(~z2#ArnXX|!>1YllT_T9iRszhBx$!IS|9u$#0vn2tH!p*dtUbW3k z$_Ikm*Q}=F*R3QWMt4R|c#%ImnWmInyC}y#qziUIh*B3>rw?2j1Wa57HY=zOtSPer z$T6&wPw4}Q;KJ_Ni#g<(DqpaoLBDzBBJhd>(2NlmfwTcFiRotNuP=Lqo18?z+_=e= z7!>E^8(zD*uS%Px# z^RH=Fl*f-_Owv(*Q4huC098P$zdS4j0$}*%?MY`&xP3vvQ+u|(TP%2-96gsoe5rQn zlK4R-@aBI>U`-jh!ziVcnxK>tXGs`-0jT!`#o>Y zI?#;>?o{iN$*U*IT9Ucs6knPbfuq zD7GB%6wkHih)JyxcQbIbsUZ#r2)iUUJW5> z5Sp8d|K-9(?g-@2zDl8q(E$zJ{0|L{dk;cy85@M&H8A~|jot!98V)Jn_iFnL!pS*5 z=hDJOU3$yFgzJ3Xki*my$F8+*Aa z0BO$2$+w7jfg9b0ab-A-pZQOjobq2X+DD@%UlKI7u;7AaTR-@Tf;==uJX=06Mv<}Z}~Z=rm$dVB^%by(P_ zjU=A}FO$NuD9O$jb$XiQ*MW*;T{%#Kji?e_HwD0jwyto$fsrN$k}k0vy7>!^ zl`{ts&xdb>edklE`n?VpSft_XuddB`FF!}TCq8?{Q%<-;5GGv(y{{Egl3dg{DGXqa z=~ipi(id+=3cC^uf6mo_2ipl^HmN)3<&t;R8b3bYDxUr3PNSR&{odNVRp2|e?HjGI z$a^tG0`vz0<09!Q!e9b&-yYEEe+{)dv)E{Gts_Tazt=QcxsyPEg~m2F&@~d(VX6Ga zXF!(0~6|&eGQ4PD*%=kgZuPpTlzIhp3L>u^A>%)BLl9DGj_fY#knhpYNEe{y?`S>;Ek1tLR zn}F!J@PUhvQKs;Gkyv!?Q-$XFeds!YYGPv1ner4aat%CSHpwmJR^nLp{g1#8fuGQ| z0+}Pl<4GjHzc{Bx%d6}`B z#&y?)b0U0DY0*JC92-yd&nebIfM^f&EOnd8iZn~x6q`p%ooBFRG{B3}w+6(*~*@wE^oYVAQ8p}ijiOq}xiFwP_ncMDo`bcRXwAfBpoXgXe@z`Xvce0m*b`?l#u@1r-e^A8&>OM1Ks`a`Wm zf@=5=*V>|fxA>bqbW<4s7^jNy>i_3h|625Jx%fw8=k;OleS<&lgIb&j@AgG)zJy7h zk<+&5h3Hu=t2DwJo5Chv>e#x`$J@wSomGw}Mk^5O>js8zt^Od*h7gP03+7%=)pptJ z`7>#!7P_*iUD!CeH)oFtMSQ)KwZ`M;Jt9?fKi z{CnLyIsI;N#~-M?2wYl{JbpGO-$r?rcFfe0{EQ76*Q39sS4Zu4eM&6$EE$znYdZd2 z5(?W3e?stnS$?iTUgOklbTM$#QCFh89{3X}6 z=(Dk){d(#+xwkC}%_HNl;;3U{&c$jx6Duy+pMdsm&2yn|5C(DMGcI21`4d!qwf*YY zU6gKImx)`F_5a|&a9)%H33XZweX6(Y?ieyP@p=-EHcZ@j_F}pW7s-2lJqcs(1m|z- zeh3xQm%mm*t4{x^GHirW2ceIvS{J<1yDMHQ5w!u z?~)=ndeS&YuUwZCTMv-#Xt*RIKQvAb5Z(a5lc$y-Sxoj9y|v3vI~IqoeFt92&fntP zu-Z|A&}TPBa(ghYQBefUB_4BgPQ3Zs|G4hteMII?dQgtkUst9QvOz~(jYz4!3_{o{ zJuf`Uf{2PN0=ifp1)bL_37z+1JE-~5OMFF!8ZK&IVs|;r5pQE>PFdRCF5uHzCqIoD zlPl55ZJZ)?P@cc|~|+26Wm9ho$R7KxF@YRVvNS^0%lDhE_F=oqZu18FPCW z+vZSF%&)khfOl28yQwc7`=6JcF0JTv*rkytf4?mSSDTQ^sP~M;$<^TTwKo-sLwb9W z*8%_Mf4tmQ_RkLiz4&XQ(O(9RXVoT%mo*&aaL%Ea#fo2q%D~cl43|!` zN;MTvwGu=%Mb-Yu=xg&`crBJB^G#CT$-q#n}HpvgU*6}Z0_7fv? z-1DH2vO5?jDi=zAE^DfAU{v|WIt^6UqMN`f90ofEJqBvAmnl`vCyJOijVL5pP0FS( z?hPl3r){RpYNvw*L1K6dBxfw!V=gu455xPMYJJ&g?Vewe)#8Q@Il4u!@L7kH-$xKiFv!TI_X51)1}*hJQyW=TZaiInF1`B!b#hq#>EQ8LxB2kV;! z{CVqLF;nTPJk5#$_=W`6O8eL*n(w&DMOMxpF;unp=+smj-#V`<6H&xGNtdTdC1aDi z18?A&ouF}BkDGCh@MzXb6Y1MkR#hp+bA;HX`pfk(cWw+tFPO!|H@9fWr#CDa{B zb9pdbt$4w;n@yanE75IwG!#Sd!LU4>N?}}<<0_)@0W`X{pwiGPmSp!+NdG6LY0`n| z9Jhe06+_fFrNk2#COp|cC8p^<5z_;)lxFw(Wf~$B>W&poq^PBRViE;w%_FP1h&sAa zEaSW!wqeBu{6bbKaJcfN>tpY$i5%>PZQ=#k86L+m>H9o;9M3|Elaq`p>}3++MI}dQ z_+pV*>?!CN{WxrVcZ{PP_M7Yl*Y8~bbl$tvZC&KX>rSyGUZMT)$5KDUHzu8O&%zVq zpxffI#Yi`OEs0yt9ukDl@3#~(+G^>5#Wl*JcX67d&$Zo*J_J|M7B>+t?m%4d8GbRc zs8Yl{QgneZIqA?W{?Uoq_S|Dj4;NW=ydv}PR`L&71&Y=J@9=Y;vE1IaQAw}H#Y;g9 z%={VWb@qa+av5doZtHTEL$9Ep7#CT8m+WUEc$6-8zA&e8ET_ot=*461^Zmj2A2G&< z`37E{)fQU5{gY3}Hg7lQ8GVdRQ?`P_i^-{A+}&B*5ozy~$ZB*)*=(j*R2dh^NoXuR z?3({svU&mCg)pfYgkglpZyKB=JQOl{LK5sD4y838XOMGLA7yK$xVDw%y(2v@ zE?he$t92@@75Rp^KNK>Gkd$y-(ZG^yOtuIy+Ah0HYKI596V3X|232-qhzNh6lH?5Hs~@EH+N&{Z1tri!a$1;z6(^5#5eK)l8@HIJdn(%s?*(~mb>5gXUJ=)G@|No- z>9;~E;@uJjOf#a=&{ty@qPFgy02;b&Z3ugTMaOgp7e`nSa+05ah1A(SbW|}}5X)Wj zr!e8C-1ud(FBh>?D-8ZMpa0pgPfZ2)L!4Z>EkyyS75$+U$A2fB z$1#$^(x&Ea<%EtFnf+!geLtZa(6uTwD4zKyQ*CWs78&Y$;OepQV6Vx>fy~Yrg@8F1_ZQFhqXW4#d2S`q&li0wFYr=XE^{e+Ua4c7m{{ z32U(`*gtu{_Xg0ueJJlYJw%GFrC* z<0wqU3>0;oLqqEDKn+T8bSV*x_P!5OH*5NXl7JZ2S2*>*k&+z1d{&mO!~lN8Y!(Fk zc)T3Nk9%n3_FF&#_MYmiTlqr;GbLxWojfL|B3@=LQkwU~ziQKP&Xo>Tdp#6JFaRU2 zolEhz-h#$oF4H$xkYm^&4wY58Np1;EI_KRljo`URTnXa#?KuE#v%CVPMC-@WhCm>; zYJSi)Ns4M|`hn;+CF+&U=8=#!3G+X{kpxY8*S~T_ks&T+1sv1gz+_&-Lo)0V8OF8g z6X?@=6KKw$z5?dW({&2pS#gL+PKF#%G>J@&^=ho$u|h+?>pg7d`-~P z2!FRMiw(iZyb*A<37@SLX{bTW(?9p$lmmfN3y({T%VQ`G_)H&SIZ|{lS(+5u1c4l9s z(QV7hV|UJP?OQ9#=(*fDi`jTiIkzI)F8FHy#MnFCmis#%?B)GA^1nW`A=Th-Ciy>9Jt(7D^Q zao+4&!LgTTGtE6uTrjfk%Gpc-_R+X*dCN1Uh6-0W4jFxC-!_{nW=*~>c6@CUFx>=%rPj~xRG z-DhW~J>JrLvK8}&p3pY;W&H1VM?Pd3ujy8rKOmGxn%A)XP)QgxY)zFTPS$=Dtk?Kf z0P+2??$~`UB0st%ypO>}=C!Z_c-Jmqa+}t}O&rw{t9W)CUmI)ZqF{u!9cy>@`dXek zmhW57pS;~^0u`9410!5yb_m?!Yihprf4$+YG~TPE&)-WZd!PMO(D&|>weiFmbpMq^ zf&4Zt;?ixfM+Z%KDtcIZ$9>(;n{kA?BscS)U~V&>9nO0&{RJd*kCYjEdR%3>kdYW^ zLx{fuII8_E8m<|*+)NZo%D@wJ8Rm;c4V4nv=1Q2c%o3e^F%q>c8cdQng-v!ta!d=D zrl@pLqKm&Swyqf7?}4AU96U!pCccw`m-rG)kFQpp0P#|letA;7_jp0S@vc>6)}@>EAN28$O405 zL9cOSbB<80k(RI1RGd$j2E?Ii*pLi0NXQ54&9hX>E798_Ac{+G=x|7|lza%V? zI~Tx82-DlN=yUzi_oAtA2cq~*PoB|Yvl3{R4M*fQxJIE@>W&BsTA8UgXZ{)t5U8S_ zaory7yXN6R$z~^&?WK>0Fq8lCq)(w_P>rPfOwOSd2XP6~M{Sr7*f6op{7s`O8)y77 z)`pb#dojS3PPe3X73=dQ!OLl8e7)}VZN{E{;MKp8AndW}<9g{8gToV$yK8m)^1LgWi891w6bi+!__hL@-yJ{k?gu>5^@6Ip^tSJk{ES7#>#JC}{eFf{c z)oMwjD;HrS()VrT4?jDG?%mSJ!uM~lRJ!HH%lX5v+~=Qm(lNvQ zNpq^Ve}YpIukx=Fzu;km=@LnJFlRA{jrC<&A3q~T`pz)C;5wzf89lAHjDf1X=^cg<#PvIHWcp}!o1)DyudsB?mag0SFWq$+l;e~TU za*ybXJAX8n8vMPB>ve&E`0s_9*03A+A;W%33}qX7tDWql?(cKxl8KZGTE(mIBA@b} zMplCiyX-Df_kF0QpF<}KJG>ykALQ(BlXs~xCY3gmvM4@M2GPJyXpM91_z zOIOmzSo`6J6fuB4Ryn5A%-ddOb?tq>2xO@e1BZKzf)7MR%r=bfT-@?lY2vYt!=aJu zme#cXqjfY+6iwT_H>-_lz`B^09b!7}PLX1aqSM4^HL?Q+gSPU*gn`0?XrY}&ZWDm#%eh{4A%GP)gjYW;Q$DT#m%pfmp3?v;OA4*N~KNnE}Y zGnxAGQt?#AL6qD4i!kFr82QjC&XrPorH-#9K6haHThRi^d8!bVe)x3KZ?c)GiI`?Q zrtoKm$dw?5g#o>1KagrXc8Rw`-axwD=NUrY%z3AtIgG5T3 z>fiRSom%>dgV-QF`s;!{D0B)=5UtYU1<1c6JyVJ(@V>|Y>Q z;&!?v!&=h{^J_p-&TjEVp(1k(0dvz z@9+|TOiAB2S2+AkDG!avFJnATp18Fs4%aO{V=PdYRR{5r$@WIs|IgD-Tm;9@Sr7?- zRbhYkqLP0V#yl`6p7|hGrG#>oi%4>ghQA6V|GJ2?B*I@nntoUQxvUG|WDlU_6slj3 zoD!i#I`~(yhhU}y1~Pm@&pR#E9 z00w!DUKQwxiD!=LuQ&EgHQF?td$^Q~Sa+u$EaFfDpTi%sDvxKDAO`44kII_<=zJhW zSJ@dM8v3b=4pQq{TZ&IT3PJmjHRUI08kFN5U5a;W8?umTL2OI0al5Ey7c&^W@ zS-8hh?K!%8tI*0Y3y)qLp={@w6`vJPki%tXyf>pfXA|eNN)Qd}wRP>U%7@0#U7#Ak zAa9{os3)lVT3^ros_&)Di9riB-KiLAHwEZyXa)tl98_yO*weo^he`V!g~nL-n^n<^ zBOqJN7`SfijEXF4^vR~_VsjsM1$4Q6g#)@bY?_qaF^&E*Ufv{h(N5?hN2eUOMBRti zL27qRi+k1CeWz_5oJ+Hn<+O{*4}A^Isyqa^Uq?iGyQk{e@k@!PzC6 zkDPo+PwLv&G`4XQDRiLMBdKA;+U9JpVL2{)Ot6YP{k4g`h-8`XuFv&5u>WiWi@>&$ zxmmb!{*l%=2F63hw&>MeDiF=$N$SKrcT~#c$Oc~jqgRrqRe&odEDW$|oG!%Rfkx&@ z+WM4*N-qLKjGB5HM%2|lrH?burxBP=?w41*vfopHYoFtn#SMjHB)CYvt|>X%`nVQj zRrRrnwdA6zvafYL6b1HPUfupe=1sL~qXkC?a+h*)tVZUso`cB?wO9gUD>W7S3gC;# z@T3S;Q^vAea2<)HO9h8IxJdcO-WzqSDfB7BKbN&MhpTQln9S}YV3?<=siRk}Z{PFl z$bSB?9t!mB>(NUEdn231_{TSJ@B~*vzOHl3A1;j<&%bNNRd$l(c&8jKc&*OKvhvwq zW^k0H?PKSh8LnBYLvjhkj$z&YW?S?T&;Fk15644J1`c&xE)bm*{KfQ{%4AK=aFLAX zJf^i8uWXBMTscG_b}}#9?5>}7SWW6aJ_!yrH8J*;y-f33Z0{6aTt9`2*aq7C(TgWf z%0W!+76#B8k$KD^hQY7=bYdEYz!LuHuA8;Htz*-IH0gi?xs$1;V=lb()fl3Y%eL)qNV{olr3+20xB*DBZ?^Z~2K zj~TCD@#@()W<-p`T-sTtAp0nq=f)MK+|nNU%$u0AG|bex0xnWz!g5S&9}!ztiyUG4 z__c)$I8m50JXVbwuU3`s_qqoKPR!M9N+_JpoLo(s3HBl^-i~cHuo7FgAqd;c8b{Od zkTAAemRvbA=S=|6ymcFp9z>Yst*K{XG$M?jYcS7q=t+f z$5;WRn|I#T#5s?1y7(^@F#m+WU`FaNg>{#q#0|qVGIL5J^l`_q1iM7-mR*H1w<2^1 z345>vGrEQ>lx2hAD|sBE#LQ z8$2*?UCFx52QrTGiia(FSo4(>XPq%P+bk!u;@SYuA9hN@4wWj(jsX*D^AuWg_lcff za^UIn=aZTGz6v`;xky}H+>yEYQd&8ZUuq}%m7n3%eb>g3FanAzQ$ zlw(-8uyoB$2d|p8yq-v8IjEm){FyycE8<7nVJ=cOKdR9-+r#%WcKrmdG;_neu;AK} zyq6WejGJB?*Pm97irgq*M|Tdi7QVOU5}%@sIPFIreeGnKPGI?5{*|x2YcYC;B~}A( z9+!S>OZQG0P>V=66; zd8&rZotw>JBaZohxI|psHt<9Ed8mAb^k~KW{XWqaStS)RkHPSJWEGZJ=!?dy|cvq8V1_$c#$$yH17-B$`<Q&!~v# ztn*&8no>wQ-^?1 zyH4YYoQ?T`_mFkimLIjg9NLjesKCTXnGUExk80jS#GHAjrv-JaO3w3hP4?N{`pY!5Jt>&tw@_fZP=sG3;fg|9WvrGjvdFDE2DPE2lsJiiu`aa}JLGiOe zk}T0l3*F_RjLda+F>{*}abg}GE3}}Xq5HIqw{ekyZ-{$|NbftA>10d!J|!F<%b=m^ z)pK@Qna_?VK3rtyOGl4CC;J0GVBs`RLbwRVc-t>~!r);Q@kv$JldL}{X<-5rh80Pc zFuU^;Lnf?k_1J^16WKfFW%|0lKH(yXu1;ijr3G*I-pu7(^ocrbj$ zhCcr}{b304Gl?n^U$KGi<5K_uCo#+?^`uQo_#K_-n<~2qls4a!KJYbpk^wRoAYIx< z<0o!J(Xk!To@r$<{On54pLQ@KJzX3OE_`X0@9NkqmZHXw&h>&QI{#aUuhz|O~#hJS};6+|R>mC1u{nG?G(Gf-NX zzp^2Om7gC`dv;`AuCK9S{U*<(vS?u*%=G4&BV1(ONL)!4)b}Gu{ikJW)MABt;Eag6 zT*SRXq<07+#_LxH5gYqc9Rpc81=Uew-u)O*wqk!e6j9uVPfMefLU(eR*IxoqH(qtT z61QFD{s5e><;oWyh%^cReLrzw&D<{S9yp@p*$}h?_CNW%#+OF9i*UAwKAbaeo&W6zr60H=1ZQNe;GHp@X=%rbz<2GBVKbqriM_ao*k@ zh|3S(6KJKDEOjLf&b>L3A7(S)K!O0FmlD6(xr+6@?LIiEnb!Nw?DH1NLuZ@)U)nCo zTLmRm?a42C<{A=jgPo!~X7WB>r!%#(?gXeX7H87vq?Rw)3g?Rzn|F5lf1JH}JXC%E zKYlEs#3V&!ne3G;Eoi~0L{dqi5R!c-ge)VGBux~OYLsM;Fvz}-C6P5_-?B9r%NS<+ zzK^c^y6*e_e7=wG@A3P?A8O2;^LoFY+v`2&9Ld**-$|8J^d8=+=tVzmggx86@7VVK zN>8;eJ;{92LwRoww@w~Uz)mBPzSKH%G1B(oZR-4`Jm&i=`%l%`$DIFgPW;ifkaKya z>pLFq(w5y9eLUH2<^R;riZd$6uUkIlQcsc=2HMJ(T*zsnOwTi)ZNV79*b`tVX#P_L@>+@TQ#wzE*j-%8u-Ugn9N}f%Y(r zH?Fxp&+L63m3v3kjk?#`59=#`CohJZyFDn;dng{~w$%^I_uKU_8h5zIsl|rs_k zYWpTP>fr^CUpC86=+75be)la}jCM1UGbvWJM0$D#K`E@BzDoR+8qgEfqEU3CQ}2|P zPLqNAR%m>`mE-b=Pt6#i|P`$M@N$9N`ys)%0is_TQDj*xMfSdm8-6KUEPNE8@+nXEh-si?nY>Gl;DKSKGHriWCZsS_?Yvc__M*ey@we}S48&OvX`--@z*(wLAvXR$h>T{C)%1x2?^tkD@z$bEd_!63!PAHH)V zWsyP`wIf|C3>wCn(5@j|rzD~$Ga#V+wHwzoU-_FKY-0;t0d12+BuV|t9Igojz3D?W zByC#csY<%v0D8JGoA4jMCLvTQ2&s08$l~wAhqP%DC0e{Rbjus_?wP_EGe2#RH77tA zXv6twS0%1@j)d3N3-ITPigajc$3J9*3rcK08t%Qj_=(PWM4Jq4i2)*yYp|SYlXpeH z+0?Z+G$!;r2WMCmGe{7wU-2^)#8kT|#yFwOuAX>ob89b2Zw0YqoCiCaPu@Le2V%q>>~AV& z)ebXwA48tQ({6Z5;qf_-KIl5^@3$O7t|p#bciwQZO+p00*X1oUp>6MOc{2!`cVEmL zngg`#5OQPmcpdA{o-&oHS@f8XjfQBSr&Mp>`Rj<`thSA0JGTsIM9jZ4{Exbx;rMRFF_#jgPMx&oUum`P(w*HBj=*^pb!4`r4fyFX zp`Fxc;S4`CsoA9>*w?ZSM|sbp`;)l!qKCV+ zz!8^F4KJSsiFKwgOnc)DzKsc8vL>elo*gH8AVEKt(1PZ=K~64OTTXndnz*&srFuV7 z0Xuj>5x#rmah7x+sUHzOXE*Qgj-*-22XuxlfQfR&VsA4 z>#s!Go}x>FUb4*m(k(-$Sx`ZA&o=x$Q6lhsOW1{<#i!{NFKg2VtQco{k?Y)m01f@s z&W@O9aA4itBO8}MUnj9?$4naw11#r*W27s&IpW-;dS*!5utT29RD@$ohxgW=Zx1X_ z2a!GD99w?NE7NErs>*>0jaj&R%FvIlz_(FNn<$|gfI^R=Fmpuh1aERxKx|u_@9@G( zM)a)UUsHj*{Fp(1CRC(N232Qaw80ON^QFT#ZLQSU>Lb-lPI;jC8SRh3(xZttNZ)S}SJIk>9l?5%xOp2)AFY-vc#gBmdR}#70(hlBML*Vm7iK1{# zk_{R|e^0zp0$Q)rb~l?98gyQ|*{li&D=*glB)syYjKNcL`o+qfY^ej=lRc?$wr4vd zSxP|NE$4*MoT;K2Gi^^kV|h*W)%q}_k({|TZNLRdk}n25dI_N4&b}mc?xS&9?%Uun z4nUSw6E@XJJi0pap_d;UFqZTHe4MHJ?D6I<-^H#|tFhSB#)|H1omY$bl#f#%Qkzcs zSq!6yKRDjIOMQhWdPfoKllaC;P|5;;eBiZ9s(u;Dk9>EXJIVA z{L`~nK+g^nyN#7VqAHZ@|II&fMiD>1boa;d8mS&~ye!rG*6r1e1>pcd(^yCOk0r9A zmhdg-QRo!I#H+=}OaZGtc!XMR!Gbp|((z8awHvcJC5?bt4?Ud3?Gs}rWXyIp$%@K^ z=#C23rBvbdFm!hji5hq)<=1<6myeu!#lqg|FvXppPyT0Gbt9F!!eU#ao0HoQ;NPM* zP8RK&gOLpy6K}=hU;(&Dkm7g9j0azecB}UGm#6f@VYc zAuVL7L^EjYq7mL!{o8BOGD>6irvdh-e>1QRmJU4=)d3I;!~1Xu5GT3S@U z-cYtW_bR2--gmM`~Mbd(XFT%aX2Gj@pXS{6Esb4IR`yh2dSbQ1o=NUOA zp$8C~UNPzz;CF=uBs|b9h&TNNmlKWo>2IiOEMJLJcpT>WI8Oy&Ob*8#+zHq77d(zF z=LC{XV0fet<>tPL5g%Xk+rydDrm?TWrnmpt#OHvDL^|W84xmcQZ?bQc)zPUq14er> z)fSyUkLq5b_k#7v1C8ns3OA~|5CA?J2B>7oATQ{^YFZGUkO7ZG;ePyy#xP#z-~{(Y zRokNhs~p+Sgx;Bl(@U~59rW)X{V0or|?bZL1Y+eh}Shn`mo|>A5clM9?tL-AjlnmAnpoYkLv#jqqTn%t#0d+ZOuTa*bHN)QwlBh8PS4( zv2ZJyFjVaZw>`iEp_G+1sC*mK50qM7Ty;HwHhAJb&M)njkR3p$$Vv4ZV0l=A{N&3b zj%|BTuqn-Pd*x{#>txroVPwDAh^h`bL&v(SU*EJpb1A1pv_>=^0z*VgX87GlS8D9+ zYx0syt~;cKs$@bv8LtiE&&obMn@SgV+oZm0eX}a81VsRDygsl6-ZykB-`>FjrM7!T znk2=m-leCpT?2rgdwRCg*ABQ8YyYcxW`TL%quALGR6kJ8DQbr%)4UO}vZ{y_1mPxYH~!=Mp?-7eb;e26Tz%X8(3R z!f%4+)UMM@GSTSMW)2^JUMY3m6C6;1_S|0uT&-x}0XCyq-5fbjfOBmcnuu{!0N&>X zfGlBiyTTR6{czuuUNN!^1FTB`y68Mif6_4BU2ocyQXavW1*@RR`>+R|Gcd|CU#3JchKMG=jN zA4@o#fij1qP@ROl0{``%YAE;ZqxF-b=IYe@#hFHgu&QJKAhr^i7Y`k8asuGU$+kA) zO7gc7swL>m7Gbxw4Z{mK?gySHK|QD3HaAy-;;F#Lg#PI=;h;H~Z*>y@C1gc}dFHvQ zz*`+g^O7aQ8~~!7Y(I=R=~ zp3SI3_dWle-S7?4nNYua*BPna1P>t1%UCRYgOI}4VO0@dQU{PjI)CkUeBe1dqT>O3 z(}xMDnRw)(%`=J7&B0v^i2dHhK&_Q$B=p=zB{2Sc3ILVRIkrV@X(b zaWK3P+XVpg-6ApQf~Uf&mns1lqI@ft0d++JCBADWbng$mF^-sPAaR|VQ%n=R4qHnZ z!h29to>E|Ec;5!(=rabj(`NY#v0kZq#aHhH>BT=-!fGe+a2v}rS`qU%=7EFgbQbdxZkgy5ht^kkyuPBXvW zJz;v^kAXAJ1@5NzYB3iD1jPj;V9RmF;0{2aP7eW#+#P55c`PYVy`cZz(u9-apWM;} zO6En*O3Rtr*V;)BxqG>c%?&8>5^yr#(H9Fg03(XSdu-Y=$dWb#SlpG99rk*PhpGop zZETB4DoU8b_}457k2?|DdM71=aBBZ+F#o^(pqcIT>93`s>o*Z~Pte_!6n#G*Zqr;A zD!N5Q1dkI`DRh~1d3P*g7xtAGZMv*H-{~H=s`Z4I<*eE?tJ=kR{!}vv`3lQ0u3Xc@ z>ACoE6+X6Pj4`1Wm6Z*HeX+zB4!s(;v~(gUL#UF#S%0TFF_#@GeDr$8k(t#bj=sT~ z!Y`~yF>PADC;b4fG*lu4NwSsp(S@J;5f9y5QQehxiG=KGe_QEdY2PY&uJv$~IJNtN zn=@$M&;4x}j-dgzVk@y*)J7c>igTln5)G9yi%oS( zA@xfxOz7|c`eKo{zis0KyQd5iV*4o$(belF<@qksL?hp(BZZJ9<2cKEsR?Q2Jwf51 zhLr1a^P>VJR%DpPx-A2HF(AH2OPO^{J)DB4bjsy8#|`awm0&+aD!U(O&l2b3o?Q}x ztOT`#w}^eXmhU1HK&Z<^FK(8u>p1D*i|+9BwGRYxYrtS2GN^) z2addfzj8W{#A&+I;OB?~MzAA9%#R*5eU6Mc2G^}*s7sBQP!bVJ8j+ot7a>!BfL~(< zBF0a=kohGXkiDR>TgkuJ zxvA1~0{#GMK$X8HFB4k$!cZ~?)o>mGjil+wb@;^ioW_=v0a-qF)G&J8b}AF;n4W4@ zBVE}T-3kkjMv`8SFf4Sv4&$F(aXHraqm`GTu&FD z@4_QO_`#}G;>1eBi4Q>e8bPvSBopFJ_aE^-ws*XG>%(GyYKKris*q!O93Q9Uv)xW& zD0r*usLBpulu+el;zr=1Pw75em3A;MEqC(p-R+*;{N>PgAm~4Y?1?QiA z0D+OAGQUI=1E*Oh2M6R>Ae15KH`mh`NAK1FX~I>uB@mJh4|mR7E-lZu=Q19bk^K># zww8Kps86XNqlhm?KUW2#mknKrfBxGXnFRMLV>i#+0a*twv87#JQJ`j*;(N~Q5_-IW z7)Op@?HlUL{&=XfflL4SP0(wspQ3%X^TwUz0p`V0$A%h z%?iGbI%J-Y{dV}M_U22k{*WIvlZSxw5Cp)ZX%lj){jK*u6?$B~4fXVXpyQ(mf7=r4 zZJ>06)t2zLF$IX9NA;!8ElYs)om)wHG|#6xg=hl!Wtwwb19{4CF>S`}eM zRdIiSnXW{{lIxSVj#oVjcw1Yl4L(1$G_=K4BS=z)Zpwr_%F{|q!#-SPgKtF&xWeNH z9>+h_2RQHDQT9;mD|cm;azUR$mz`nnjs`TgZ9m1yzLvyFo)^e}s}bu}U5A?U?_=DPcX0+{<+5_$J#P?*Wtv>5yL?h49tZ4RZDDBcPugKkEOZy+AGd*b% zge-ztjaW5e4M@gUh6K^Dz`tLqaOc@O6nrS~Gm=>TGawL) zoE-*1{$i2bfb=i^@Tx%=Ebab=dWC&V$Yma2vyb$)cJJ_N=G~#b#8)@EMYj>Gj`E3!hwN-{8{D^W#BCwIiknCnhZ=!A zO5DMiWb%O4Y3=tTjZ{P5NBdHMIJ$uL+c8R-ECQ`>NdDkde5qX+wH*zMh8Oi}Zz0-Q zYk9mX>Ji{I*#%7iKzputN7;mFwV0RGc2+a9nif$ulK5uhXT0tnkV_djfQaFw<$DbH z87eim-mG>{*$!F#5@yV(%@T(C=y{+F)lnQi2&1(mcK_m_ zK7PwZKKw?}{Ebav?B9Wm*b1b92@SY8ijv!i(JEL`)(cw)PRFi&@b5n+_l3VfB|qnO{IN07s8KYb}- z!DvCjnb2VaS}FN92V8=;3t|M{AnY>e(wg_cR+*mV3gSa=%8hMB9s%7UF+(RYlz6g< zG5u$=Rd0u0J>voUF@Vin=p208A;2!U)x8HUcPFLR1nbl+>XfnAe zJm&EB`90sk0yR@mHA8zAIWShEIonNjw!E!;{rkIQjKi;&^KpJ3-OY_t?waWAiL;e_ zaSK_W_;`}kR-K{&P##+1*?g13q-zPQ9xd{IuVw3b664oF zRbcNfc5roDnqQ{W@I#$Rq%YqKCY+i)4jIPj} ztkYMez{&03mu{&(*Qzcnro~-LX;Q*0vfZl0R$=+ec$F3?x?Xy$jz#yCUu5~YYpoe& zruGk%T@$i?wpSAO&QESg^Za(J2{#@M(fUQv`P~$eMdF134DSrvV}Rk!ox7eQ_bkmF zAi$qvWK+MAbB<6D{EroFK&Ai{ zqT5s$vs6P}|6;9dUw6upT37_=m5xP#2>qJg9Z5L4*~F{YhREL>d!O22I8M0x@b=TE zS$_a~_vB}QpEWuYtxq&p8e5OCz@T`PUB_zc)C}V(&UB3&8x_!~M*q0HGN<~r9hXT{ zqp2^%n<5cArW`nta#+w&t-dlud5^`{*ZiIS{lRJS8kEZiWgw@2uEYbzZU`8=>_5it znzkR;`8|KM!@x(S@Uq(hLB1Hn;vqG4Ad3H3H;o;Cg{m+S2~YY#IoHvf13PF3rB+w?_}WgJMy;{S54A$;i-Rx1JmM5iX(sk zH309>R)AK4P-@bgq<#?#49JF|nGq1$aA(q+TN=KW?H4Lm9hb`KE^Ug(UD;yIQ5cQRE|Y>3F=muE*$P?yF&~^aHjJ-M+D0&R$nkUmYAA>MOV?;M=q; zp{h77%s*6Y5g8=2SQO6K>zimolFBk^h_|ym9xq_m@?39^`E{$esp{cRpp|2Oyr)of zDta-43{vRFZRaM$F{`frfrqLqzTjyA+Ds@ALjwO-=;Xi(q?Yf@mhjDcs|dPBigTgi zn`pMEpq9GJGX?=TQ-Ql{+bCKQ?Y@4YJl|A&pKtZD-E3`H_JR>{!MRp1VSMik2@rnN zrdcFFdtUk(umcz{Iz5eg3+2ocj*o*YyrC~bd{vV0lr$1rJ#gA?2vgWc&xI?TcdXdz zlN4sz3}w-14DUcT#tn8)1z>fvwO z@Q=4kVx6BC2o6SOYz`+D$tfkJq5YSjApOk{cTgTkGAk%!^NJ;XbV1kK-@2#s(5598 zhU1fUS-Ab^1|T5NjjeEi5N)~;@Yrfb2syyW4R8JAG@se1~~ogsaXw#qGhE zS9g^UtR`(S1(rnCa?$DxU`_#YMhu7%`K~_$xcw?&D;I=vEGz=-6WDHDIzIk6l63AZLJ(qda zuP^9$``fmN{u8}em*xcuqcsAeVM~U-sNg21>DKL1h#;H&9C zvugM6fbp3ptG8#r*I~7w0;sUp{lVYK>w{3SX6GB!VbrF3_1AatxBYM#9*Q8#PT2tu zS$M~x>763?_JCUKOaJyQ7v@J9eQ$Fq$|P`*4BESHhxt(z4`n0^5*)`}V5m>`qlgKc zvm`M%I>?lp04L|e4?0MjeO{!Q+RmajAZ>bwjU?~yN!AlocpyZ0OwuW39%vI%Lbk1L{jPE z>eyje1qg#Ra+d5UuF9oW5bmW?24s1;&}Fa(2FWEb-Nnthr)RgrIJ8eFd6EL zy0#9W_c+&w*H?Xo#f|7r&%cKhHAlg;8K+x(`I`))3ZzHIP#4xEpK80HuIlIeee~(n zoURlx;cHkZX@sL`K&^ZlDlQEz_$P1~Dn%Awj)TyvxiIdKOWlDPgZ0D&dkuXxkovjFxQ+ zD}^GYxB}lm*{T5jQM;2m4kA~1?0~Et>+^N4dJ>xEg3UD1Bj_F;J8 zN&?d_6S4h#Wfan6E-2rGf5&BiTRV6jxxH^a9C;AF$~}AFaNJKseVsOZ4E{4l3-Y5* zb`T2A?v))Gjzxveas75r$HpXTc@ryeuCR2zHS%pbF`~G-(a!#2u;%u2h?f2*Xr-R> zhlPe;-@>3;opMAVPqz=t7{O2urr3oGmABE z?1krh`~ZFQWunpV1?L`u66iSIuyD$zcHY5yk)94*f)gvqn(l)&(#4X0@Fwu3awvZ?S8;wZK{u%RC5bm&2=`!6f&RobftrKziZQ zev}{_UTBN)gzR!qI&n93Rjvv4`8`bs|469MCq7kcxmx~ZUe0JhmE7?++zT8*!$pJE z@5AE+;pkPx*>t@}MWo`TnR#D29FoPIhrNMTH+JCa!#hN0RRO4Odn`(cl|zl3TN;VBT_uw!>CPV9SI$2B^?5O;+Jt zX_%Lp+J%5f-*CRK3>KnE$OaxsA|dHyR72j%X`qc>tDuEU>$nad<*f!#hyCp<5DMlb z0n3(R9ru@p>bPGBvfe!OZt{m7&}O$g8xRR%li@xm7BmG5@92iuJ+-}rdF8p3Qx0wQ z;VOyEr5xIv=n%*mE1-TouqvU|s?4S=g~UCVIsx1;0u{Yc}# z=Yg*{-8&R4*W$CaI5?Ol@YiVK*F68^ZPd<#o5pQa^RIDku&IpuI41kQ_ET6~0aM-k zgUw=c8cw$O%3Cie=ni^wI;h?)H%Lr0%{3mEdG=IIyZn5*d7tuIFIXF~QRCFqpM8g6u#ZqXVZ| zKdQJhAr~`{3x;5m-==~y{cG+a@f`4K`fdqW9?1r#Gtnl6XqYGiRIhWEK>4z>t2R=Oc|NO)JL0>8X3xHbv zVPDRKFt!^x%e#$!HMa(2=V~1jf4KAv8!H%RF7=Cu-U_=rW+VM&$)YaT60t zdi}1Kq4oi;W2~K{k&$ky8K2u8aha*xj2Ut|G0QosK(imeCP?8-?Fg3110Qgqa&VUk zXpxN>8Ahlh?rm(MNnI@lS(MJ)|?&gK*A@THG7puO%@X!;6}CwP+gDyZNf$4VOdJQKP# z!EiW_-Ce>l0_|^=C9CQned^P zVT$h6$|$^Nr=^z_ZU3^hHRK#!5julbN;5ql6Xy=ZAHhbt$f(wI;=k^!U=6cHTN zXD3>#jd7pxTkWeHT#Y=U96#`lY8fMWARZ}1i>l^&6j+^vE2u}Ih0}YPP@jD<6Eb## zAFo2z$^a)V|3RPK_t3hKwo65qo{g;}s6m5uHK>8C|5Y|`EM-}5gHp(ZegGY0LgNkN zjIWhTO7WR}#@QcshbRX9a6X|wWZmY7^S7}r^vtW?Bd)wZY%eGBBGjdJC>ulb0~*?U zoN@N~&!6KAHL!#gobYawtaAQ?*IZ3U(k0WTFe#8R2sGEw!!N*^D&4ruge=m~y?93F zMN?f_<;QU$M|~;{MP5-2FQ01u){1^5aiX|tU7M{%&k^dvFw}oBA#YSRCj%8u_e6a& zwEvye+SPm;14(oISRh_-&SLx&Y{ZBfpCBB0gK>m#DzrdwOjVV!*SmvOi>>vs31^k>!5dzFoJU1a6TZihOHJnWp&5bT^+XgBmnQkKK%^$df$FL?((_T$^p z5bUA8H@9Ys*@hgw_%tRNyq4z&sBDkL2IVWLbDR0OMIVM1CK63nLDG-S#LkwSk4-ea zXu{RDalUF&`^+)wjh6v?H8FL=0x?Ql$@O?>JL0cES}OAL1xws_ zXtBgDFFiwhF}htrzZ)u7@A>xlgnl~~EL8>gQ=OpLDM0R+6{@t&10cgO%J%DvgSBk}w z>pwh}sD2a>m7K3l)Yun~oO7w11J0v;Uu4^=EPw~F9qm0C|6+xsJA(8Ze-3q-^%-Yf z1dF~bA$(x^78@a0&VmWyWASuPw@D-9yh?kI3o-U}-}e^w+o5;3^zq1xxn$+jdK;>N zh(*L=5|@|7IvYT4FIVLXi_u{w)P=6XGNH3diOGG&h0%8a1HKZMj71KLcH4F|x4v&V zv-dPM1G9j1gz{b1Qo*@}uWtiKhWW~fpgZEqV-pHy_4H(AH57+l8pt_K20Fh^Rn~XJo+vCA*bri()PEJB(sjO1CEc@+w^Cze>wKNt2 zo))4|ITu>Fu{9HXUZzD-R-k!vt5;&rO$qihV(bTi24w#D`E%WgfkB=DEO>y0j3P~P z0$ELiaX-A;VL|7~$c_aXa28bH3%SAC zeG@&Q1hcUm6IwZFAJY7-bpNRKCHkRF@;9pmfEdsdTltQU5uoN<0ngsTtHrqYZ&c?RqNB)_; zlHP|MS*Ut1 zs{y|G1ii!G5C=Nm8l2gKeZnrH*+dNi3kJ#(EbCqL@ekv4?;N@pYJ<)22K*}B3GI-W zoyyPZ1Jm9$f75kl=L@#pPfL#e0%&<3o_1dwu(81KhT5U-HJV==V;p^`r5i9`&(T~h z1VJu*+v#r!M5BenSv?)LV7Vo3hXFi8KhVX)=dKda_$<`C!crQcp#?~(HYRnAlVYG; zXmr_y8(>{uM+&aNVPiBNXp$dTN(sZ`m6d_u1Hrl`Wy__+CTeg41SD=EApMiEasCic z!^lT$lfpci0JUGx_-;InZC#%UDc~7jF;j+j^72)VrH82U6bosmc3{33({pqFC4(DR zx1^)TXA#NpwRXc#*Y3rsAE79D_#ZW`Q#DgI*WyZM93bK60QvefPXA}kw;TqoEF;? zay(J}1Xv{lmTA;~zTIU#0bdhMV~HN;gqy5f#ZN;JXL)BSW9Wy&Th&z^bCM~#)%!#f z7w0a&!lN@yX5w<&vh}zviHD^x)JkP2_Iun7-OF|4tVem>>(pwuFeIyYWR%^tMd^qP z+VL)%qXRn1@h)okaNFmT9}oY?uXtTI`9P8&s=cAE2_db}HP=Tm8{B2@pdepY--*{F$x(+|AIiL;UQpL>Y&i1l$c+&S-=b=hJEFFY7hn`! z_o?l{7xYXlbRYR&Rl1HIJ+xRcR@pD$Cp=E%o8n|_u{cT7Tm&S^uH*L60nJTAeWs+E z(tDymo=@pZ9%%eJEcwh*T*&?mkLqv5hY-g-O;^dFx*_&`LcYNcgaeeP%aO~0^aKQ1 zKh_{ES6S#D+Ad6r6`kF5%x#%*AENRzc4D`q+s%PoumTYr1MVs`wHCP|2#BfbF%zO> ztS45z+dO{nCYrTapsAgjuKNZ*d^?s9Ee)z{$EZOS^sW#)IJ@hL`hBd7*`31rRzmW*}^r7$l{@% z2B(A|B*k^lm{3Ch#r&%oCnaC(sA5ac!4?dMV7CUT&h~7SV}c9Rj*Z4)55#a{F5(`c z`C9fi20VT!|N3J<^22+6sA&p+a9}hO+N$>mHLYOBgdPA&A{qSGdIq=&)*wQMS#hBq zWtJmv5e5{$j4M0wH%dEQJ{A9Pm3Ed(p|$O`!`(X(GTC$_M*P*dRd4z9Flz;uPKv22 z77=jjcjHzWodOVcCk{a?!<35wM*z9{qi9?pls-xRuL5Vb$px_oAgu2WBUDeh&!6mY z-rzv3G*?{AU0kbqi^OC3X`G`L;p(C!#M7Lz%ljNm0tntkeZR9g!af$n-?$_p&3$4b z`W2BSCHjdL_m}-_3;JVi+v1Nz**2C0U!ZFGK~tPUr6UXjuy+4>BsrMRs5=X+)2Gx@ zAJYzgoY@zTakh^6^=n+eb3|a@*F+9e9b)WWIU=RL=w)fP-nY`VOL@x-#9~`j&SR8F zfv%}Vc!_#~Qv4%a+NznroNj?}mN%E1*Kmw)&91{c&)$i>nuQAhQvDz{Cm@9CLW6&h_7Z$|8#;g}zp>3ObI z_#vS6S7jW8u|K^gY?ZT%W!2L+vt~9W@n$}BSEm>Qp@$6?{>NE+=>?;xB1RDO_)k1XxplKGzFot*RB-RR5I3nqRr^wXTQi@hpYKGaV8Aho%c4cieI^tV z;4wnqw|1_)Gw06Y)Z<^BE|*B(Z=+@Px}=wuq# zLoK_j2oT1LxQQ9%pj1Y}RZif}{dV3Sqj*^y69Lt-7t9%&|yJw0uR#}YnAFXjngS_;2z*C~oSesg?mXN49k6!_r(39ieF zZ8d1XC3To*>SCqsG`EGaPYGW%;ZbLBr*lTi|IE6UoXb{wzxTwo zE3((g51CN3%2_7#rL+dQ5%lGA@+FGyVot3|%BSqnHV*p|!xh)&B7xW51v}HMZ&#^$ zWJ21U@6LMwS3#7!vltXYs(D;nKeVHI8w;@Ns~8;Qhs7&|nB-tob-}43o&>$Kiu2)rp2}_~eNS`*}x#&F=oK+y} z6lw9>Kl_A3&Cm7oB(6yBf;1nyZ$&W|TC80MxN^L*6n3E-^D}`nev4;BIzppCZ0IH) ziZ>Iw^9xYeM~@qyKuyz8s~vju?Y%DpIP>z|9t`vLB|UvHI=DZ^z#99do@oBo!N&H- z&UURU>zs#9p9BU@nF-Cwqvlc>%{ZfB#@V&X)$a)UyEtyyqstG0Z@vxuA}%l^1^DRh zoL$wT?Cv8jB5S}df>y&!0wuOve?oHGhi>wtfE6|ug)@QkTyJYEwtsiPW76b{sN}tk zY>Y|%u;u*C;f9+Iv!#mY1l*G7d+^oJE9Q3Ut|q35ljtn9wnU6>KR80~SYtfA)S0vhsU- z37O6Li9ttJ)=x86U>PH{55Wd{UoJ>%^>~ov`856xi>*dan!mN3P}I_p#k};ucRaAM zBR7DP^K<-<;R&AMWT=FsY;>aMMwrla!a;a=GWOT-lBsa0-LNL>ba z)1$vMGLHtSL$iSI#jmz*Z3k0Ac7LC799B`wLz=Vs)NPQgUsaCJqd%ZcP?HpN?=jkJ z);%NJSsO*|V173H1+1fby&bs&s zGjd`9RhhhhU~}U*FVEB=;r7!VPtS0{BeW!}M^H%qafNkOI9LOnUEwn_YG|?lSKu&m zjj*vLsJ#G*!xAHz#+W82@#vyfFN0$Oec9eB065}vNS*XF`s$2P0m(D<51*;?@CVnFl@y81=+%WAucI3t)z2^t8vdYyb)=&^KJ| zAat4%GIVV0==rXoEXvEmF34dF=m&ry3pWOMwRB`jTOhih*;R-l%^}+XFw!k;test&ik`mMhlW-}yWl~i^Hb0n zA{Q|hDUb<{nuqSyW_X=pRE*OB^|hZjD$L8bl%AG25gk4#a%|;;knFMJBh`CZ9;xf& zn?dXcZtnRYp6(A8_kEKQb~9k!)%%5u7m3A^zs-U0>Ba}zVc`WKTP^lgX@n-rU=<=Naf6@j0^Vk$iC(sN>R@`85pGAJYq3v>tVoO z9f&o-_3O?|s0*>SIczcSX>QH7gy(MuCDT?mu9<*EKM6R)(_5cD4!Y1KXoU%_f~tub z%AqD?(JSHW`%L|1l^u%C?5zt$y!5IfXT%j6*%?$^XZK}2%#-{KfrA{GP|F%UpHTpm z5_vTcxnXDl(r3OKNS|fn_6?pZDSxL*Ymh1dt4uAN`Gboa#(;~ER3}bGl!zwqfMm=-J<+J6xs7-i zL~iy=Jjq|(9HG2n(gt?5wes~3_#2o2&BGg8GaA5)9>War6V&3aTDmmo`u+#(w*{PO z$=H|FtE`U0cY7HXpoQ8q2G?l!D@SQ_vW9v228Hk#mJeWIa3#|x&dAC6cdix}UQ9cu zBUs@U8edj9U$m5Zj`0b#roEKm*=Nip2@59{o+^4ND{D#qJ!6DoS{Q0p8-|x9=!STD z064+-uQ$~~tCih4x(gQ0^c2tt*Wn36L^!AeVE%N@4JvjG&j>D1prHZsZ*{KYj2<*xyNc!I3Sr2?VQEv6JO^W(FzyRI;soUG8GhWx^nIfw)N1bWY7(-E$9J7jK^`Y zzribaf>+|jB8ID{@J&eBJ}!-7x~_n&S{U!(w9w$#`@Cp0u8XXU&G6 zv$A@WWZA9OfH3%Y5YJD>z9w91VZR-ALQFfgBlx(*yh?kQGL|%lfOS*mOCDF9Df|c> zc-s7=r@1Nn{h!57TG_bDc3f|rQ--<(Fw~HFuiO^kDT*#DtCg4Uq6SY}CMVM1TfP#F zh(J7BOSryE?hi;#742ei1maiBgo2sSAx>HlcH)g&abiSu$We}gfaGWDFDvil zQ!QcXyuI1-NgRO98_n_brb_a~BF{AQP3JS) zPK*O}w@R_GM=h~+R?ZQSbja|%l@*4vhG9^UqsI3|ViWC4{DBC#j7i4smAMU6!1Ny# zAVi{9&O}ciH$%4| zH>Pn$rm{yopdDH)a?u5z_>Ra#S*4}I>OJ3{Aev7F8L&96G1Rb&j62C)AYBW=6HjY! zu$RvRAuarE#8o~10i#dU|L+aABpx9P%IfC6LyH1sC6fNbkm&3jRUrAVEw~tX1LDqK z8xXVutgTr1%Zi_4(9>F=4!x)a44uU>J3BT}A}-`ugz(+uBrBq(fRU|J@a?C;Bfu;! zDc~SU)RHjJ`5Y%So!7Ats199_?)hRp#pZwR!2$9_6>5TiInsE6aOC-QP>BO+<4+FU zus*Lijx#nKo3IOwuLJ90_hI=$f$2T5DPqr<)d0H4 zX#TdxWDw&VwVtuSg!s=-@XGy6m{5JjJFL%Wh4j0;A~iebU#YJ1o%}U+M}6kE`KQ7k zzgx?+185%rk$Do z;U33hV@2epkyJH-lJoDirKXtBO(wKNQk=%qOK^5zqU0;+NL6Jzxet!N7ZLV)C`Au>) zemP-=8x_Z?Wwb~}tHC2q_~C*9Z%q4_m!=k4!Mh^kJw z3&#U!Uy&q|%9;?pq+k)%FAn|)FN2c5pGrNh=Q?JJ9&WrlMJ)@6f)A*qLylDpv3WsW zd<2GsAkP-&erGC2jm2he$?ebB= z&^b50JOZ zTDl7oH4Dz}L$8v@6C*Ij&wbEL$k4dFLr&oPJ%qZZvtRKmG7}1lGUpU{d}#@}66sos zp2|b#8!g=SuseCI(L>1n-Ux|7gpN;>ePP=^a%q@mb|b$-Zr^tf1@%jgzQwQZfj1~< zEqevur5r^9&6a6g_3JyU%yV0%5tnhynmudwr)c~37;|(5U0zyb58P^AbmDq z+f~)q)iR9VPa)d6dQv{}TAp}!X1Q_=U4ib)DDmR8t)$Z)3L<~QSNDNB1#_)Lp7T~5 zMzNqK$K2558Qy3Ia#iURK2DDoaC!FhLZ(N5CE*k3GWMy-HSh-y+32}&UG1>(YTDG} zEZ5A$+iz8=w0&P#_x(SVy=gp@ecuN>(wSB&XH@nSm5^OwMvJ8+opoA5IV0IkcEd2O zmc)=E>kyR^l4a~<8(YSbb=wr+rzva99e*Za+ zq;Aee_NN*3EZ3zKcHd5ZcNraQHf=5E_CJ`y8g1q|GH2Li-8uCW?^Ao$`neL5g$)V1 zBTrv|-n$w@)JC3FIM#zpK2J3$6zYmzS&H0*DXNGMFZM)~`|UsI5WQRp;^L1fxL%5n zwz`UD@*gN+1|i64J8u~j^jB+h_}CRcHen4v)dTc0EH5@tCk>3kLy_yVdh!$f)iVdZ zCCH$ho%d+@sYl0XKRvA}0=;-|rQDUmK&L&bY?FtYC2zgoggS5n`{L0;&n0QH4Otmy zOwraJr4cwL?@Wr(K@;lTqDQ%MK&EncWHs|J7pq>w!GO8M)Q~$hra)( zqogZ7d-spemJL2EBd1fR9`Zf&;axVQ;g~xu{VwhcocCATID&8rIw|)J(;%JYSwdt# zlh?VBCUCQ|%S~v-gy$pk{X;JgrA=7eb$>0!%wWzPC!xo;{;QWU>(ff}Dqc%M+2mhxX-iU;!rhGV(Jn?EuJ!jczp3pgeUN>t)9pU^i! z?a-)DBtX@hKai5)#KGf;Q{2UmtU{ja`@}oGA5lb{Modm2P1b)!MP?2*f2f^XnOFdQ zEa6WVcroyVa%sB*F&dr0s=_Vw28Z*eDDYC7;Oo4|bu3F2RtzBYpgna=AK=y5MK0&e zdA;;hkE-C^TMbB-nD5E95DiCQBst?-A6N>HfqPJ!zuPQq!n`q{V|Rd3B-~kn_K={q zey$Ije&}-Bk>{NB@q36DgdPqd*eZ5ZQI;g8SaW4!6J}Pyt^(Mett!CDchqJgeiDhT zoM{l6wCyDjc#deZn+!l%a~h#LMOPl{w7n^gS&P9k7;o1=53a4Z_oZclkvPP^!rFUv<;;KoKe~9i$|ono$-s$A5+@g93G_g&OA! zrp!BQXP|KboxcCkzIqs?v zy7%gcZI2+*&i@_H4qZbOcNsu3ff35`aULUo4_#jtZ9d@{n@DmdQq(tL=q+xL?2_M$m#knL@ZPUfR0ZFzo`fC~4OqsinzSFajLCKnwlM|Q6rM9oe1uvSEx>F^6WAx8Ov}>* zAc*!uv8`sUncwbm6TFtv^RbCHr@r1tEMlz4J?&vWC{F zRTjnTKL4GY$DHryJ5~JB4q0a59LrR6H(8Kv! z5aly~m>dRXgd*KQ?@Jfe*8vuMU(_YPyEz^gE&+6n*d}Z^+w9@F;+NqQqTV7HMxwmi z&==glbkHn#x&45XdSqbMDJSmj{d=vi32YQ;r?9zdPTmnF#fIh7F;$?1G_w7M^ZKI?epJsoez4QbBvTb%$&o`^<+1RT3)-hEGqrb7t)7&gT#qWOe!crgbENX`*N|4 zZs{kelfvA)55%g6bNKMF(6a(#Ke7;PV?7u5sX}J4j?yctFXl0BG(Zw7##g{$~_5F0k}HxKL6eI^#!Ge!({F+s7kk6 z>ZO+^BXN<{%QTITtX0f?%b>5hOxomAsM4WZsF{sD=5_-l97nDLZp&!G6d#~AbH8{k zQ}Y0%n;8S9uGJtm0jxn2WjiQLnZS7&re ze4ok97r*}5mvi_?Kz0;uv+m&OYFZ|l6^J(=4UrWKv9@cq^PMa+%_)qInC+QcrFD$i1dEPNS z>~QVDxqo)J?P}VD84zPA3~J+`!85n{xk61@nm{=Ef|RkyHGIVz%lT)HKUA6yhvnGr zJ?hkdNKX>h-V`}GyjOeAm_#_`Kncwuj4Q=R)QaGkTR%@LDk}~dkShMUkTVu8EmZ%9 zMTY6bE00%Kj(1lGvZNSBHSuN7ho7Sz#*%hDklXfcxaOLA)8}Rv9v55NV*NAC+iX?O z_-6fp1@hHNgvgIjD`*BU6ft&0 z$+*((TydIW=tQJiit^a9nT!5ES6f~QZL_+4F)rlcwr@{%nw#r2Flw|fd|_W^_>2Up z)CXWgy*c(pPI(g7{NJS_^GR}-L#{|iKifMIUDC{}BNs`lo(mrp5HoS`8>>Fd$heUx zF(eeoxDYo$@*)`*521<|YJ{H{cPhcYz1jg&bz9A2I7al8A`34qy&G5#Ki(Bb)A}@; zaJ!_NN8+TkhC?hDi$(l$9FIELd-C-$$=#nbU_3Q2{@N-7M#6~6ezeNco9Y*AEt)`m zm$lQzhlqpUy?or%3hF#QSy{CTHo84J*=gANeY=C?6P~=>>1`jDm*+$tYdZ&d$eszwFw6;EAT%ir8xb7UI`ge@wl(hPln&MSBbHA6JbMBBqs_ zE)wkhSk1#6uyhNOFvK_e`)(j2T4d(6c;ZkUb1;If4`UQk;BZfv+cX}MQBNGK5&+5 z-e#6B*vwk4l71dD~pX-`PafzEhYI@eZ|BYP35~$*-fFc4UaYY8LytJgWc7LX3VnSwE>*^7u(u z;yia}b1umlae%G(Wfo77d|DTL+(_=!+KworXT`Aw-N?PJG=lh&Ov#(a{}}FjIRb;} zj8$liA!|`ZIRV5m+@rnck=RuizoHj@4ll&6HVoX4HK}y0!kikoWFXmkNs>Q39_<{ZmC3}1? z%=<2MtF)(R<53cB|L_k)=v2q?S32&*zsmhTbbSaBdUd%(#yI^Vd-im!XiW5U!{MVN zt9d(d*nmddxT5fF6Xen+>}ra_s~_d}SVFKY zC%QsxEHZ#-L*t96Fb)b(za)JnkmvUJvxM~99ahiZUXmQ%AAL45{66fJ^Cs*iT6Rn# zB);lEpDIqA5%HHss#Mo1bAD}4mMlJwvVc@Dy}w`agvs{(7bZM;(gd>QMC$K~byQfT z+xR=w_bNFDn0?%H!OQJ@Xp}|ry*H0HVbWPs#V#?7$DeH+gSXLSiauPKpg3*VPu2@O zBAs|4?CpA1a`cg=B-RqPRK16}3ERGAtTFmAGIznfLe`fy!0@tqHFm=m;VY)VdHU!C z?;f{vHOV|8V-NR|xN0=hU(RRQY7EDmpmC#V_RR4()F9EI(AS>jHho+8oMf2fcI}0o zfBbbnMw7UYy&JK=N_e`iF#uaYq`w$W)6e0rd~bOr@Q;R`?Cr)ymg5% z8X8h{$noIx;LgB^Y*sk3NRIX7=;B}1C0ZZKN*?Z@`p&^jt&ja-3JXy453SK6djjrg z$K}lmTh5gs!h9;>BH7&{)@qeGbK$$2Iy0Rc_Wwb~ALn^xqBg(E13X7P;`!k7ycbsE z61CF@w5`9MsCsWdmE@>4d(!jfuFRX-$$xgH9x=(^{^=&VyFSq-tipq~^7m3G`@}~3j zk*>vecu;?^K8<)h&FJ{crKY*77Y_6K?$A7PJT>XN&35m+ZT`Pljr7#xy+t}kmW4un zIQNne!>Q$~Z!>)iZ+YkE{$>5@qSD>BHGJ7az$=c3P^V0oj3ibLm+aq1_@O>HTzh_d zeqlp=17$y}yJb8jfKZ^sx15J8!9QGrd?aFkJBul}tHjd1I5Wce<7NAZe|fE_SjpD; zfwVt)7EPazVVOccn2iU2*#**(-&yBcXK!2b}yU`FOJRH9mC@Z=NN@ z?p2cUvCenLD}dIz&`@8Ofuqk7;k-4}5x=@`vK~188PRe$xhWOK=8Pp=f7&lz;b?GcrQw7C{*u{p;m&`%MuIB__ZgImaB zshLrL&@0O0!^v)xpqLmE^oRD(2;p2!hvC}aFymv$zlMYSH(@X4(hC`DOF!%HYkkqa zl(JlrB1HT^*JR5Lwh7Q1AYD&HC}x3IS2#4#3NhlrN8MvGe}skw(>0l{99WtFDi0W} zKQ(HdUQ_ujm-oF5&#C)+YVU2g2z9;TsHalgwjy7k&$|V)r|N(pS16vQIGV=`sBpD z@)HPi#NNWEGMxz8bLZC zj!rg^Kqv%M1N&xL2;}_ba_W=@G><4h1l>-0HR6I=$uL3z1Nj_0%f~4VQ+ydn$w($M z$b4&-)(g$jCW*i8HLSHqHR*E5!K1+r&%8X8W+laj*RnMd_1+D(e5a0@SV2Pzqt7*C zhcOr_5Qe9$>XlB+K(=|bW)T#m*Gs;eFq$gQpJh64!`qn~5=>OmCM@CuX__8FQN9~& z`rG^bnkZgInQ&udFL6Ljl8{I#{7dGtz#Gh#*ZB)l<^#J>tksgYexNo#&jc7}M|`PZ zTN^^tAUJWOHz%3jEjoNcEWm9In8!~uH_i#$RC{n^6pgE@7dm*~0qeLDxw2#qJPLG6 z#mAYJLHY5(R{rV(|Fede@5uu8E*Z7F_YiQt7;>8KCd?HWa&8Wa8Ds)Qay7!FsJ@&! zX#@Sf4gFURH`Wn<-XG}lbC51)+DnZq60W~15+`%}_ODE^@`$a!s^@x)FY*bW_k*N4 zf;_&i3=GpBlo-eSQv!&MCITCMEdVs7d#ONpqGH%EbnHO5Mx|9aEHZicA14LTG#s+U z@Xd<@+@)jX*(IWvGl^21i69VAG^W5BQ~!gvK42Yqzx|GsLp>8+Yk{rjGK$K`1cle=VY5+@4%li0cRMt6>j~63)|n$|yE5@E75H#qh@_D+i19HKHi&9?)|nA6 zCmuoIhiXVr)a{+l8)po>vh-jLgbEAtzxp~Yo&z=cN9OM)@4(>ovF=k%V<^5w2ks(! z4M}(IsVtAftuODr!X>6{p@KoCrO2mvGc-_xxi&BK8b4+34eJ;6(-K zpMW{!ImZkFsul7>Jdht+`R#|^_+$=7UTxqdhOBsTW0bT{PWw#+A95czEZiXqJSH>> zn8uJkkZU$!gK@mXSeynfo(0@>sR!><0TB0Sj9JLhn)Z%G%xEj;M?5NPjYD z`@75SNSuuVKlib1*OtZ2S=AJYx$fMYA**ZuF}{p7C>VsJHUxgx@0WQIfT4y3zD~;> z>7WMrb*Fhp%m%HR3o)5jx;Z$mOo6wd=rkR)dE2Sq6C#*UqF%=3`U>c)f6%OLn#w8r zzY~p|LER~t`egyim2TuZk)?WpH^JQiCt3gW_X+}LY7D~nDwnw=eQC>2f(AA-mg#U2 znkhpcmVwq6{*J6gV(^=+-&lbA)s2hqsUGZ3k&~$KpYx1Do|}+CciM?! zh%LwIK3D zJTy7YcFVLpAoK4X1^*^&v6DZ>(E)hx5NHw!ilD5>&3)nui^;br!U8bRxtHGoYH?*~ zy95njT0!Jy1wYIzNrr902=xP#xGFY%#>~dBP}oC(x%Ag2Y;w%KA#m}16ribM2M|r# zqA)^neF9otX#bvRoMf}BvZ1jf&am(x3AVB#_3y=x0w}m}L)0d$S0D74T@QifZo*Rg(7Wu0m^tXZ33C8_oVIt?_*lnaEubJp zcEvRxRwq(U!@_UTqap60m z?MCQ5F4?rW%Z>kIz;kMCSUxvK9mV%)IF`|yQ^;?L9BxvQ;x0n{{@D_0!}vO4@OEo< z#S&&9jTdV7B+%}P&_D^g*|d|;lc@t$!Iz-9+wgo(o)mWg3pZH{3bwIXcYMXix+YDG zjUHlG4bOG_VHJ6-Lsb*SjD~(Wlv9?S?W7)VU!qD~kB)Mekxuy2ThTIJXyK^DaFdrL zcQGCM2($Y&zd?(!{(yPt+x6gLVHudi-dGQpc`_pWix1+OfkvF2=Fx(q#9YUYJ0Y=-eU>lcaC^=_jtCEB=f7MD zrRLo-i#04{S!Y)TAAr_6X3%(crzqL^5;59#6jM%Iu!ZXExB$J>04Fh|Mr0fTLEL&**;|5p=G4Re&)WjW>-Rm-EpGojm^#V1rEhO=*xnk1tk{{OvS zv~ZhHl44zcIw?VhBK+v&?f*Hw{9JZ7){%DI$fSOSA25^X24dDS&Ue8r;~0uh43bHo zoo<|aF}}Vmuo_-n&RGFjg%%ZwBcRzOmO;NkH=Txdv3kSs?T(OeG+V67sdN9mzpZ0c zlO^Ulz9LA+{~Hn0c4Pnd@)ja>XS}6zC{EyE&D5CPChW|8ZF|Pd&!F>W*`Tsr!%)j! zqRH&iU%21Q9`Mf!V0PpJjTH{U`bSxpypWm{$jt4-P}^Y5L2jV9Iw=Vtb_e{%#D$z8 z{Zde#O;D@RxIcvB7UX4QQ2c)`ZA~x_RAC+Rt5E;eNm)7G5trO{DI!i*FRz@P=W-l% zDw0J0y~X|C6U_UL8^#&^(kdn?%ie&K#LD@y%?9<-RqUx_7Xbtzk@V@#G_Q~8f=zH# zfE#q^g!{v)_;GU*U~EH7`8?G45r%~tDv>KR{J)e^Jk-F?p(U=wZ@w=2liwXcVY1uS z3JN7auZ5<;QCscHdwTpz;nAGL9k{)*4xD4IG<^DSj9IQ~gzgf0Wkr_F-l7@dvj}=o z^vcAf%)j=xcn{vtr|Zk2&0OL-B(`oY8Wvi3iesu$++`5;2-5qC|Gl`)xl=PH?hwtM z0l*o`QoXA?*6!&LJ*GY~PsOe~@?;nk5QcG^ux+3t#%5J6ce&l~{Bj)F9pnLstZx9b z1Al^l{vW&BZ>yT3(}^g-CKaSGuRC880;IDLu=D?1QoP%DtfTp%2C*Ku0&3125R4P= z+oY{d-&)4lWD#29(6^PoTy=|5X)y21OAjSjQ1bsbcz+pdK$8v@jCFqV%_*ATc%L$brQzvZbpvL57e$HzAwTRnB;oaIV z#>YdnO`@qet^T$<-=ns~k?$!kc|T}dlAj$hHX<-M*qXzv9>_-Wa=uAXo7+6SmZ_hC zAQzUO&nUvShLs*6w$Dqk`(tl)OJ9t5GRYww;lMt2{Qo;B)6JPFjhaT?PB2*%W*|0J z?;3c^le}B>4VD720Yb1$u1s zcW(3gr<{y(ma0vaO-c3l`L!%H=|E13&l{}xt#t$$-lLOW$x^kj;Et`saaUn($$t91 z#|lo07%XAdri-GcGsf&CDlow0I8;FMPW`nhAJy`+|qGOmqVP%QfU83p5Zhn-KI zqcbPQMW*O!d$3}Cq+{Zq)YjOP)S1Q0G%~7~nPAfHv5fgjS&c%%2ZUS2(WOewjmoJ% z3zCTH!*b$&$)1=J%1_uG1MdSW$~cPb5~fh+S8kD5N0)o+wM>*7TY?i%CXnIQL1o{Zyu!B$*mfCRX}*>3raGM2VQg6<#`r;mn6ba4xz zV;wPi4X;t{5aCRS%#Jeo*!4)nL97#hr{Lrf#P@}u>EftqW=Vp! zQ00|lCX4mkd^dWGttmk<8fW}jdiaYN>bF4C>~0kO-1-^+Ws;_>=68@AeXR;io(*&p zhdk3S<7Mg(4<+a>_whR5d%p+Xhu#a!> zk-{BU7PTX_K#M&YyY*ZVRUI#or=BIJl=LWdt>^u|CE@!KVEwVf|xXI9g8 zCtmi`AGE%f!8tb0K+F`d`d*`t@@pH@9JSn$45KJRK>2Us34@h3h8nmB@y^hv6c)QO zOGOl+48G@V!j@vumMdpPxCh6R0iZ_16QHvv`+~n|%qza%`BrKZ_RQeM1oWWFi`_%! z&|RgO)k|F)=CA(5F)sTER2UxSb`-9r30PM}&CZwkKCoHK!^UzG5S5l?QPZbEsZ^MZ ztc$PRWKe?e*INiUHCi(uc$0bSb!;wN@o3Z$b%%gmuKm+L=x=(SnTP@LwR(Tk;8R(>n9)-~N0zB9#GH#~`E?sO=IsyM zNMjpDxJWNOuOUC(xi$AnIzDWV#5c8X);Vv*E$3ED`^ZN= zJS`n!rD>v8-h9LbsscKJIl%oHyw-S{Z3Xh_uBYsXS$Z@2kfeYqprbxA{7|I>xUt4X z=j@!kh61|`g$S9DD(M5Q^x_hu*!#C8h9^&-jTR$$l%%SpdgzK{J;eHwkO{B3OXI+C z-m9E0kD9(*{^1s+9iMXY7p)O5gvT=W32+ZMbl&`jIzQ}c6nE1X(<&hU`tdrKjtQlF zq#b6&`TS5Tl98oD!5qD8qLP4oS+-vZWmPr4?^W@17H`UekiO8Jm zH1ZsBSn(1B!=r0FG!^ba4f`NT|69!%rQJgqNB3nS*_CNA9F@K9HJq4QgEkhIsdBrs z>bo?m&pKU$-?j=jM<%viyKm>~n?)60<>_>!k;RVHe`(>15!ykd8&p~pMorh%x4<2^ z0(5NU!(cv}@L3lP_6dQE%7hn)IobpKo|D$1L1|5GyBdc}qrBz~LgL3dmMHJ4Bx5fH z-Dg(cbNRc}>PEj7dd*ispIdIo44USxz(>t`_X9*1SPB#fkOn<+i$|Hd3l}@PXf5_) zD;-y94`MIDPpwq@{)(42*;5+49Jk_Cpd+$qS9GF_Z3UMI_bydc8nY(Q;}R5%!%dRl zmf5>5uu6sq)kQF0_?>jsWNrn(}MoMzGVN$!DrOk-)hUt zge8U*Nw{l#^6U_d!Aa`3)J?T(2sUc<;=>H;i)rFfXw{92PEys~X7lbxM?Q>JH!Ho- z^>q+=%q*knibdA@-40bb#TnrW*e&V}`Ca5qcpcfymY5d^7%w_NJHsez$gwdLKistP zSMSJheE+b=;{$(?@t>(j(gebBORvc4?=~(hH3~Ob#Esa5w%D~jfd6%boUGu14S@af z@m~mu4Y<}~5Ccf}ThOmn4>Hq*H93uV3OK_0j}pdP5gWK%kko3d=&-Gj(L+2B+!fV$ z@kYwq_KqE1gSgQKMoF1Mn)WT3kd1^(bioJlMliQfWa{w;wi1}w3YN#zitO%hPR9x|yHLbq)=Ar{T&T7oD_$?{>Oyls8a7dT>QWsn9RrlrXuA=)m8m~P1QXPBa z$6WkB3^D#%_5OCiic@hnr|#;lHq6=%byGg~#VP^K^)&u9pek$yK!@YCbowaa8D%RY zZLKK;30ambrDXmx+6btY*z$7*pfQvP*V4XdOjmHX3f%!VzKo@-+W z%Fc$b`LY!jzqlOYmk)q!VY-wQ#Z2RG{H2waKlK~70i8Q*rN18n&|5R^P_mxua9u)h zM|l9+aO09=h|TJuLaXOxylqTvdh~0A?42~P?iX#3gXI*S<_HL1c~>!M&~N<0`ZF(a zgC?h>J(7d?&vxJVQA{bYdHMC9;`_++}wIC0Z5~(HaSUeFORzt#zyk1G| z&%O#j0Z7Y78rg*yJ-mEtg5*KxkgOOhlXh*yCC+dFZ0@dBUh!q^$@b=R0}EBFCZN9D zenalevPPxHpammN+s1crWoBRc;VITZl6)=sX{9=|ZK5&Qn(7?oF__+IKK3?og?q5C z6Q_)+FP}T*S;9O)9MtXw_IJUKQJKa2rDwcM2uk*U$T{E(WPqH@|E3-on)wc_7ZI_7mt`@2u{iEde{fPD02@Sd!*F_`tKSe0a!Gk z3OgssL0=)djq(N671b45pFb{UTx8xQQuiLw8F#2eIGuZ^%1%isCURgfBRQ}e4X+lA zzuhD70%s6-vwC`4v%8RPIY}H9^Hwmj`XRs9yslVs3_0k7#IV9cV7FG+KbO7qyXzM9 z;1)Mp378k|TBGAE&DF{8Y5g}xx187Ub26O@W}v`MU-zQ-N?< z`a>Fy9yoK_Ak;^39;xQO^FB)&g}Xnf-WaJ20>`ioHUez(z6!a~VPPA? zukYXY zdka&9He3`BIws;naCI9wNoq;Wumh!j{P+Du@9(4>Yp{+fT($YL>gcR5=D-}=X=%0* zerzcuZ`{1NYwf`MnYhHN}Fw!+Ggy52yXlEF%2V@#-B0VVf{-+?k}hg9B;15Dwc*5WB~e zS`J}~(R$ylflz+1QsqLDjGApLsc%8}tAM;!t*yw;b-<;erwnXdS<0j5g`uCDCOeBe z&?|fW@@3@9@!rw1M$>8XKIHq1gw@clmxQ^CKiq{z6h+YEQuk#as1yn$0fc+G zbT}qhgMH)RS+5C4?Z;T=Jw$KiVAZKExYV!VT@@E-xG}zlQKXMT%#KHm^880Eh*O#Q zR^Rt}6sb#E<$X&opj-9WDyDd%NqQVi$G{j_DIo6lmY(r>h5WiH3p5+U7jk zNn9Qw94sWTUG0T4$!GY?FF84@d6u@-`p&CW9?Ac#&E8EJGi4Nd%OCd#D6AH3%W}E% zzST;2U5v<)w1d z^uXjL-VhjQ;ct?RSMZ(wJ7=+(RZq}bAA_E|#f`exEZ;(=TE8W>_Bo2OZt!*Y=968~ zz!+eGpppon4L=4)aw+we;_O z@kF3U6DFAI+F>@_}P)+yf?s@iwk?%<-Q zsof1z?D16H23Sz#-96b~A_1Z~Uj8n?K6Z5YxC7Vrs6)vYO#q{(a>qI+@ts0SS&U`Y zcX#oT;+}7Tg&IcBL`#c_aRKg)<1Z5t2h0g>tjqouXq_N6H3^2EPu|&I5h`^Pobv1K zoxE~LGX98WBS21gOsZC%`Y_Otql9K|U0~l!w3pHIZ%bER-Dur}?ecwIV~uHTTF)gk z?4e!x2r-FJ(2+k4gNNxoCAPsf%zKmH#v?aj7)0IT-CMLd|B>0dFvq0p;vyhPxJxTN z&l(v+L0Kai+oX3zmTq^*(>cjIvoR7ZhiO)zVL6YB1URw*3;{DvVTH;FRtQsjVr&x@ ze$+i7tDkN3(|i7E@BDnP6^5ViM`xI(eT)7H3*G2@1&_wRoI?hYLHvvGcgYE8*w zOPB;OW36n$+*l?qn59SX*U$V7F0st%iPvigob=CjEz7qblD*2M-v{0;gP*|t=_Ef& z?Go1G<*2#Gjpl|?G?-_eNov6tMo_a2Fkj&VWaLv&7*wEQ#Nf}h-ftqdg6 zol*X6<6OI5os~O9BaC=ZDsPhXH*}YZudm7h8(!UlDH~WWUL=^d53T1NW>BgRRz#{5 zee4nlF?qp0LAS?SJw{8gFeaoa75r-hN_&qOkPE-c{$HPY50WUhGzZ)v^vwGmZCj7d zSeq%1A)^cgPckQfMm;6~t))G=ucA6W zg=8p_A0^ibJO*y3l|uX$lVS>0n>}5xE(TG4d%0F|?oS?y6~U(p!hvKqZZ5CV6j(== zLr^dY09a2)qDHRS4v&zsdOQuRN zs{;GS_h3g0*3||n0^tsg^hjF}=;2rBwHWt(GC0R!}RJwqn(e+5DYf3AxpcUAJ9JctdCE9~LXEUsL z0W$w94D+%6CAW=V-+)_lzzhujSt=xTR%uF3DH>PV`DkX*Bqmq^Q!D0L{LRs>;fT0P znKkF>gYoshHIh=20`S&!P;qn*vWP{#^5a4b-zMyCgvG*3B=By_CR^gMk1tEHA!9UB z(%%-?gvX*7w=J{gi>6#{{9ZHM?SW9d>)iOITJT42Dq3da{Oxd?`LQ+kqc^p`<0R{A z_%-Z;QG`mEkNN>}P~J@-0lI_{vK%EWB`4F*#`QInPq=Sjb>%}>&>BZt2DN5*>z-I} zdkb>DS1L{_ES>^(t#QcxrWei`zjLeNds?q<7_g_NbZp>e+CRv`3*%Le zy!nm`RRjB1;^9^+1>~S<1h7H2=i>!QN( zM28c*ND%#)1|15PJ59mV-iBxeF|Y}Saw8)VqRs{URbdc2_HW>g@UwM~uBpp5|E6i>#iVi|fBGk#K5Kohe9)zLZS z0K?mXZ(BU}A9KBp4rm}J@b7_~$HqzE+HQX?F5I}}kA6xw#alhE*!N~&sv!1=h@S&+ zZBZ(J`TGQ)%uNS8Z?>WGNsq*{2(<1^$Z$p_BD^?g>a8A&9{Fm zQ?-2lvZH8-I_^0ge#G{NbV}ZfM`=#o7exl5)Uyk$n4!PR_ zB~XIJ2AI6`AwEAE7vuNIapQWt&pp+E$sS_rc8@PNMCN#w^)nKE<~12UtKOYFHhB5LA+=5sE=DRtif3TU&ZRv= zUjwOn)trNarXA+ZHcEHg5runD4k8v3aw7CKoAOjf8h^Y&)bxNTz}$jO7#~Ls8GuKM z_0G_gu@sC~e_-FP(HPnp7kp$^RW#+Oq6ghR-2IOwjOuvX1DRv+b@GjXz#iNlY4I=g5P??bQAo+QSh z1{T+H_tdolKUY>mJCi6fD}z{-c8r&E7O`_(dG^v8$elnZ3B~J`{_4&kW_j4$pjzHr+@ag;waEe_I_YbYuG{o|ASks?`&}brDE93 zCc?m=v$xTBuYa;?s+VEBOieDo@5y^7HAye?sqh}J`dOM&VagWfsp;OD|3Fi)9I9$Z z$B4*1F4r8o(x}zJhw>Bacr;}%Ew?rI^ZDs#^B_s(l!yqlpJ?>YLL0dmT!$YCl2T1S zj&T=`c-Z#`x`7yNclyzMy9RH&)~%v@w6lyC>iI#E{&p=0$J;_9!*PQH%l!w0RPaY^ zY@A{9ue2&N)>}KaP`SX{a3KnN-^`x385@b4!v@q8NC+Cdpt=w`i6QF2lXg~*G1bl- z`tgQHQkmklz+U8ILVXd+By89)=x`&vMqX&t{fWcGO55}3l(+3QI~I~}@S&i=Up`3g z6>tf-0N~Mnl>YW;K1^XsiOKG~hUZ;A7sgPk237Kk{lZ^a*rau?drAZ8M ze^o6+2q{wgnb|=MzeZ?sd^KV5d_a6y>GsRawf4il(1;H7<9&!=o!W`M>z}_F_;3o` zp$Y92)q&-~>N|35YwYV`Mghsl-ZfS5o=6WSDqnNGL2>uB%eM0kG`$lPEdRFf-e|L` zYTq9PoTA*W$(&sr$|mf%{&xa#-A{0NZR(MZxZ^^_EV`}Qe=FszP9L!ji@Lcuona)IxSd*kzBHl~^yz`s z(*PSUhZg%|XG=(tE!UxGMC63u#omkErw((e5K;Coa0&1SHfwGWB#-AB)Dsz3a*z6T zAx01Ns~^iD&_g&+vjr#Zf-#{B!C&lK zZVy_y2Q)fko>$lmO1N3YZAWUd5OJ;8aTb#+5zx_VP+u@MFTb@EIe;t5X&F)*U^Z^T zPDO_iTJi3afPny*92qZLaVr367DcDP_1E7mXr&)qNHAHH29lIe>Cwjv&3NN-s<&pm zvRi{it`SE3-wYM(SS%sP7nWyb9VAt8{wgQNEpFs)=*lgJ#P;92k#kV1o8%C@Rb9rq zPl;bn5J3#U*D^c*dxF=OtXuu3`E{Bw`vfsVr!>UmYtVD|Lp60&;>zvEnxu85_Mp$b z(bd8Rp*|;QdlN-mo+0`Zdlce2&^X29+y){sd0sjyxr5IQbYl^;;f#RF$;fcwC9mK~ z5gY?C2w$tUfC%cslfpy^_KCwb^0knNn`AYY{~*SvMn?9}8>c{e`nEzO(9@TXBb;5H z0jBX}RlL_f$eU6!M0iOpg83D9lHHR8PjW_~;xd)DE93sG+GgK=ta)HAXcpTmJXU9U zTVPl7yA%CL##@n}rH-v`*O=SXZ|?95TJ|SY%47nCc37WsG)T((p&OTicX`-%!qX46 z@5oO6wsC)i26&6qJAEW2ssg&IT!&kDMXYb|UR!Ij#!`^ZY}DH=vG7Z%7yzC_Gw0X& zp`dEtUe*_ZH<7G>JTejemoxkX_Q=)2j2XVVB#F7!-9vji`mIqf>l+Oytn8V^t-Xs? zdRq&|AMv!G)nja{m2L-q3KUqvbepc{+8Nk{XP8#N<$}akS2Q+Y->ja$Rj?9A#UxxI zy`1Asrhmy223ol>b89t{@5rrneKqM;w{R!bKPDok`pBR`YqFQFcnrYxqPK-D`i)Hk z^J|}aw?Md?g#%ixkX0k_LC z=UL)mn))cU@RPUeRr`W`(`RYpNV8cS0Cm@l-%I}f5)qxMLQ4a0TepIfoC(}_?!bEP zo?C#J>Jl}Vk`s?Q+n(eOB5zKn$$xcdKA`+nq#&}M7PW>nl@R35_=ww86`g32z#URF z8_nI#tk#IOle$1kcIQj>P2*3k)ygY!wn#A8xJU(H_(3VKrx5g?Cl>VIxq$`BS}jms zXcsM~yi9?8s894a3Bb`{q*Nxq#q75!Uys)b`rMPYrL`Nz@4%zcDwDFW!|>Y}>Y79K zObc@PyHx_ZgU{CPzn3qBN)o2jj7FKNs=ZGH@M>MwMW3|b7^%OWVsRhF{AYjM?(G|kFv`T# z%4{fG!Qjw%#%U)L^AmL~4cfOuo7Mi?1aG&D`j#Dt(oI<3=fuDL^yOYc2Na;;yeq3u zMU(N?XZ~EFc^6+ut>R$ZD9DA|kMfrL$IdE2>k*uD_GmiojDPGcH{;cirGdEdt=1To zeXBI3B6!56GUc;?NdRnNG-|fJq`q}MB-n&*P`O8}k8~V5*m2!A5MSw$dc-EY^|Ols zB+JsHgCJSfv_o^H?PX0$dGNF-wfJ=IlWL*Hlx%^6SJJDB?`^^^sE2zNrxzC!H4Ph@ zhS!T@mRF}9Y4!aJ#wVNGiQem<6QB9nxOA&1<5oim&E4wT~su|8sb zai#x-;=(cHJy#;GWx650&#&bQHptQJ3xm!8ZFWFyzb(t7!YJ-hbt|;Qtw9ng$H&Ef z<<%Qizlb}a7c@fe5Ht=uRe8tK=&i-4ha#(>r?OAW-3p{T`hM~6FKLF3aed4%@b+FMDL<~V0SWvN zxo9?@Q6}RG_%swD+fDg2-MGTiQUBsUtauYc%?LCtw?icqf5FW1dz#$U$>OmE5mXto zC${2ZNwbjpt%cO@Il%ClVU(bE=rbs$SM%z|&8e07&`B)ZVj(E_HP21p|L0vKA6U;N zrQjA=J>_8w`0oj?xhvRMPVC~}3&vD>QJk6fT#_gbdd|}Kis2n*h_z(TfO+^&*d2nm z6!XJPjgQb7uIY-X>D%p=_R_7ck*R=Y3Q!a5R&kAw7cmt&pOE{g{nVlS@mALnoXLCG z?E~a-PQWH?Sb`JK+A&^sUM3aj&pFyZuEZsQfbJbERzBAOdb*MZuD>j}2P@$aaYR`X9t7BRReQ4iCs$y-@>d4Xi$%cCBOHQ#F~wDDZu_W%?`sJupHfX&cYBN@ zsq~*VX9BC*Iqgc7L0Q`-I>|xr_O_L_LdTRky~H|}A#I?zgjt(8p@DOJDktvQKd}*X zvQz_CHk7{!`(o`G!2KJB_aN`_B`e1ep!0v&n^Ci@^Rp_a#BV_dmSicJ`jhMGqJ=EOhLxYcOfJ;L>yKf}+>VYG#23 z&K^4ImhlNOs{6+mKRpQ$lkwlDxWc5%R&te;vB}Ve?q1N0yzvxa5c+_@nQKEqS&t~F zzQYzP6%MhS2721=ufX5neIa=@&m7xvhqUFo2o2UCYz(KE4`+t?K@omq9o=i?uHUqbt4L)fn3zx$0bUyeL4wy_u~Ka zKi&w0`efm+?q`K zx|}H*mA#-dy)7!kFDnH;t@ikaEgGk!$uy}nc0+yIx3fNLb8o@sO-x`p(rCuwrtrM zM%GG5)+{3Mke3$^>^G zd(KJ}2L9;v&wuT26SDW`|S}>xdWe1R8E%Q{L(=P$ZM3|xs)=#d+X6089m|= z02KK6=fAu$!nu)0sYI-@2NZpKD7HyjlrLMq{|6lj zV%&}Dx^|rQ*XL8_dL#)t%kAzLSHI+ zzL|V}eO`4(%`|)^kqA z*GY9Eih+v+7u!vC_znr)QS_7GBGLm$A3mfw_w6QXZ|HSBi0%sLN%%oPsiRkQ594}{ zO1wWSblL4n+`v`c{}O72^E&~dY5Q)`m#`8$v7gra6RL`wy`rMlbKsXZZMQLvxBE*x z6=}dq&ZS!FDzr%x5XB9I49f4*^>BgK#y1Ls|BkSO_NxHxmrW?q^@=UPBufaxBNtco z+|m8?{P6JY+=eG*$lL?uI8H>kjYp=jjxHm$oLmz4` z)rRig@@xPdU1f5+uFDb(px9?rnEk3ItDk@VD;6+COTmCKUcCt>aC~Lv{O_ajy{|H` zRuz6;uUNn&Ej8T{+FVS3Gj?6AfXNsiIku?DAwHX1jwad!uwy|<7sC~iVVM1fQ zc`Ak1UyKB6PfdfL>y1q?KRrzq+jI1^smi%S9JQU$L;sLfl{-*E2drbB%HZ23dD9ua zh|`~-Zw13{WU~8|(hZ7d$c-ZPT~Rldf<*K0GqHvpymRhVTpj#1yzY;#Y;t2xC)L&t6GK%OL0}yHd^C*yR1k<}{AtK;gRepJzk~8X z_va1e;_4<`PlJJZY8cw(lM2xwp>|bj(kmy3d#P{K|ea??b@j2J#xh&mEKRo4nx$~0vQe~a;h;m zez1Eux^g7*$L|Efif&`g?V8u0#sojyZ@GMT3d!(`bi+0(gJq3?ag&Qu?2=JZj(0Gt ztBc@qn(<}%)lgy@C5*VD%S|^pN^m)?AA1Omnq2Qw52-98xM&2C%hb)mwe09N`u~RU zZW@!!x~6WJy)g7C_>-C;978&yi2qq!QoJ1VTggDa){G&jmN_H&$2^=Ph^NTP)>YN4 z$}#Yshk~|D8{hda+>hU!*7bQ@*d9fv-=lZRi5_L+0)ObWO^=m9ZEy|j4_cONu`j!t zDT$qr2x6D_7s&pyI9iuYa-B+J9++(Bw;DZZ*4wQ-M715&x)ka68gl_#_m(i2U5e^z z6@m?K#<~UGs_B0)a2!=^Uzet0Lp1CQDwisg4Ng51s_fV>aHARmBf${TrCk9+Y(wMK zwz@LWokR%HspOpxr#y&!Pb#gi3?qiB8e}x)OUxJ}h_fehoO9w{#!1zRk0?BE)H|J! zRcC#98r@0*ID_;^>@Di5JAP3(IbN)fAJ;{srJT?mY1HFyq}`*lPqH8U?j>Zh>owvA z4Bz}3;W(nKVdvR7^g(?#w~_|5;WlYNMl@CNslzn-FgaBRKcJ>wIJ)4Ql65sL(3zNa z_BGx3slHd|Y<_K)aOsnGeqoMNZdQ@)r~Ff`QdY0whC(JBE-LdDrudz=!ii`5YCKpB zS6QO#Rmo(({zG<)=f{WShpcCxsKMl!bozR&%ANi5qfliMvuH5S2^yQrLUa7rKrmBz zA{$Hnyi=r~iFMggSw-ZmY zIfU?_8h$_O+_7@J0o#i*_9Vr&DYTbEpI$QgX60zdPN4zcnF|+c0%D#0Vul!Rx1K?~ zilld*E4EJ9xV1h^6`#w5(3q+?%^%qgdfeLL&Y136QG3H|^!Eoc7fw2yc0AB1mguk! zHoi@fB>4g8E}0A972I?SL*@ZdmCslY>9qeX*}SO%QPGe&#h?KXHdbx)-N5ObA8Y3d zk|g;WYpTTFSb{H7W6^Oo!Z8ZP;7xwYF1J}Z>e%U0@cZAHi$D40N4v}4y?OuS0nu|^ zuF))F)tEY5?@{))gGE8D{g-SJvC6r@)V&z1T0lP%BDB|DhjR zDOEgPKHH2TNPy8}TL4`^qQ7i5uJSu@IHebI#xquMos&{UUc9fr z!}e%EzM@=glW0SE%BWnpjSSl_x>uFod_e5n1GfEF6-C)X{}WrIJQU@`^oAY5S*I|d3;QqAfUyHk%6-xyohZoRk^l`beeX*HYQuqm_P4WHGTDck z6BC;3(D5==vst`1EWnZvt#)y%RJ0llpA&cW#=up5%&xR@qyf@rpHA~d*&h=CKWcJ( z0FC7>0pFkjnnJk_e)+Kznz4uU6G1mj@3^j-rED1Q-;Z2k*02J}V^QF60y4;kB&e+H zr3_ks`R!mTr}NuGk{eX)f+Q4^B2zOoAl#I=q##KH3j0-`Ai%hUT;52?-u?{coG)C+ zE}*oLYhvLHGH8S|`WPJbh7!MOqc;g!-`)Nt6hVXLCZPF?csjvoF_r_pg?nB`2FKKy z!K_sFq+6hSX+R?okW%EAuUN=bE~&Qfg_TE$+;3hSCz+MXDRMcVS7P`VTOf676L+Fk zGA*XT5x$#Q*uJXlN&H-bhTfHbdk203r!9j^lmkP|VIT}VG)=|-p&uFDxHSsV2z2jN zuoOlNZQSDh9(Gr61h)&anNkUlxcc2&@F`PN19s2hJ$k(*`{^_+O7w}!Un|GZ9Spr5 zK@T4Q0fV4(PM-jA%HuCiZ6OUC2IvWHdq-I%=dTcxt;12`d6J>fqf4Eqm+foS8L-l&Clw2dy@7Uw2=m@(qoRbUP;-q4%N zYRID^EVdyBI6ls>>%V*+zR9s&DtwR?BE1-p+Kh;*55gZ+GrpUu0UMZhjg6`#u?a7~ z;d`FDQ9ZceTcGj>W_|5&lx-RjiCDKEdy+2v;OL7UgnH35 zES4%ocJSN3Vq;0p-i}J0YQnx7QBNt(#7Euj%T;|={&T`&d{k_4qh)CQTZPh+f7qe8 z$j%}PjNGsfcMh1vuFZWypVV#Z_Gst373-8UEK}8x-_+|W-WGWvID7W$qjKq1fzKzq z=rm77F3oub!&*ju2wadn>ey!Cwg93pS9(v|Y-1s7_f>t**h5~Yp{(xw+_bgs(M$uP z>w6<9413q`t-Z%xImZ??PLKIHoO+7@*;rvR1Pt#=Ev1_Q)J{9ol|jr6o|=KdS2~ zQ&ktiZSin9Ti?`oH{C!nn6)72%GzFOCz_9PWld(HBbhNFlDnR%^k~tSkY2&^*2CA5 zP)glWg-^Oe{Y7JTdp{9a$qkOAf^0I+uXIplHHoY}v9xp@N+q0$v{)NZwaF8)_UDzq zGuGv~k%Rm?c93lEGpcY*k9l&ElVCwrp`1n8ZM->y5C43U2I$1rmD+}24Kq;8fyMkgzVhdA( zs^ZrLs03@#0FO;N^X;g*rWaE|Uu0fKA1j@rRBx$(PHD&9b)AKe(zoO3Dtj^a=R+6= z)ci<(t!63p11TIXy88XqBiUV!J}6non9*4SpK&|Xuey{AVWC&T7SIAA5{s%kp~L#M zWPhTe(B*i0&7*#Sr$TFD`Kz)WzL_U!96PV{?svlY5DkbaR+Hb?q?nN4pYSJ9^!g;e zXF+_9?s7gyO^)9=YDK+R`$Rycg*X1zcw~~NNZA8ZtyX>@a$9{ZHF^^rX|AD6x@FPn zLptK5b|q_+xK3T{!d1t0$a$2$!ma1r<6uZQn{CPx9jd>|=zFO^eZPTm3w^B#rGZZN)zEk2hU6FWgB%;hoV(6>(>Lq3`G+1+*Eb z_qA`#ooVu1V{T=S!yj%sjY8Uz^4g+;U{k^7M%GF&77K$yRLbb~EGj2S1zxG>=q!_b z^n|_A^9k6fShTtNQO}1m!O5Qn4O&GMr%Z2;o$MIV=|HxDxb@jOCd6Bgy?or$C z1oNsW!Uz%p5?p;6qtJ zm00vK6z+yOe=DwkUfXIke@(P(5NobAinUFZ+k@E689(&yRMJ?h;fjV1>%od>l zVyK#VC+uX933GcdHwwP(Lw;IU>6U$d4?~@NFmegl zEX`l`LBynWE=?0pX-_MK-<#^?`I5bWcK+D!Za7#^$B@qA^}XzO{sUm`#8zoE-pTL^ zIvU2IILS`YEf2n+(lceo zP(Bm;uWO8OxZjz4`ZO*s)N{0c@h>Ch6o5>tr7qHc`3=ZIu7qkhlLUpN5s}!nRI;OH zaDXjK(5*qmqOq&EijVgk-F#5uXpL>B(*nv*{Pa{xdB~?oTy6N1LHb!J+g6(sPl!h) zh#J|`N3U%6w>1e39`9*cHyDZ+i)b~@2yPx1nX#ADQxP*ST1;~lkUiu2r%ZNqWeQPlG_b9M zKz~Vt{rg9H#!ku&MO&+FXSx5_dI_ca3c55$-HW< zF&bpq(CG7ICM?(ZX}|HbZUAwZ6(RT(V(4LEAj_JBfx2^9Q>adTHp_->gfnt_2c-Cf zt!Z1BtVhmTO6UvASI@}}EhpU;Ji%hRDAe+y3nZzsedj?kxSF^F1KG@Z-2(>o#hxZf z@^nYY#kK9%x%03il-m_nuA@vjvlg3!wxTx%WlGO{;+vaYC%R=f+`aFU$zHn1+74Tn zUZ4S6bKuZfqqtHkpKK!yxLVPU-UY&jkjThaMaeq8;NA>>ctL5k`k1Ko{}$wMEat`fdBq-S=~W#kw!SB?{0 zbO=V?>r6xcG$so~aRb$I6oXzZs4qov?VKfd5)TWbh9MsRRTGS`agfKWYbY!(yhDH# z_0eDD1rq*HbsMNRT~2pbZ}idz7sXk|Ly=Q}*o-CU|EuGQOBw@tv)vPwbZD$Xx;999 zN%VPu;U_OD1p^-Ilugak0#snSXm9V zwk>o3Y0Ng3Ao_&3ZVra;_?_#gJVC9g6AkKu!nC&`@~CHbzQ}_|mOn&g?^r!}oEuF8 zQfWW{u+@PZ2>HpmPuH75`A;9wY3S3eH!Xi!$iKEenX@Esz(5JBBMoS!S!0D%x}aCcbQ*xEt61_Oa?HEF3Y zcl&#_|9Zr^dME99P25qn#43=q1q8c(5hH&gGU*sYJ%!Vlt-pHqTp7il#Na8S45_%= z^q_PsqXA{0HtvRC`aA0(UHE#RI-=3EUv`U;5BbpG0r3hNg%jLdw6TU77xGuiYrsqI zJLXt9mL8x717V=}s^*H;;6c^wyij`zD%=xbP|I!7EhXBxXaxPXIQ|$9j;K+|3W}#V z5X)vt!N|u3tgBGvQQ_jry^#3&+zA@76-=H?kb1DxI-?=I$av^~Q^h6fVCv*Usu=%Q z6`SH429EY*ShYQo5M!73*SP)0hvM3W1Ov&i?J)+-=awR9c}?&I;bkLh3Mj-kEI(tx zl#CLW{TX{>ex{xT3UM$xV^&s;|Nala7tx^Ym$Jec7Z=+USig;1l6={vA+oD ztRuZ*c~FU&f&gF)4we{QimV*RL0-?_*2Z#!66C}SZ|0!((13f%7JY%m3p*_#cji|( zN;aW*+{Q($6?)(Z2SXMXK&qq4k`s_)$Z#+>C(3ry@jmYzXHT4<0f-_lh?YjELl$3G zu?Jn7`A=78W@0w$?xix(6u~kU?!OTpH0B4d;w7@ z)4U9`T3CVvOk{sJ2?^C9JyVEti%-L<(Jdu|&7fr7(nn72$1SwQ%4dPB)0BrR+hO9_EV zLPU36-P#*Y(g(pnPV6R__YEvT$kjfAwZfeg5I9BIm)s;;S^u<{AHIt~yZ}-{I zy$3QiAdI#i>VO(Z$(yk^Xu$71V5`fu`|4r&e?2QLg<6a-)gTM56xe#7%C2sszaR`O z#wQ*@7j0Zt-YdTF4!+Hi1qT88W`*mw${Oe)Dg4PxNnhkH-R}UD!5r z*!o}YR-;bHa;WJJJMkPMZ=VU9))Nb7#Jj^_I1EI7Ivf4P@K9s+N-Jo`a)A`E2C2H; z1LsBE{3i**p(Ob1?QYkvDs>3z>y3u08(20B2Z zluZPwlxLtx4wbNav%V@899O%WD{J;Yn&2haxcXfNG*}A#4)*%tyZ1DON?oBR`I`R$ zW2;|CS(b`X~_jsV&?oGyt?RHxlZ!R-}MT%nwYK z-UA?DqH#8h|Dgrfek)Yvz6TDvx;ARM_~lq6>BWNOUqxeUrVL7+2Uq?&sO}yZbv2AeY4Lp?N?%nu>%5QU*XaIH(26gzH{^{^dKygn4R)j%T?dhMt5~;)xvIgeI zrpA`JS;K%~3V(C{hVa}s+uc94s{~xN2N*KXJIo=zs5_1Z`Qj%SsH=@!U?6n(xc%+~ zC;G0Y11cuEW^m}o3(wO3lwDQia{XT|+SeY6GTESGs8k8^aKbp~REFyHs}Lrpn$d%N zK@aMhzYKC1Zl;HN_W0GI*I-#X0&F3r8n0S5^EN-{^xxph(5SSsUJyCc9tOw#i#Gd< z|IH0IZbK+%5>0Q4^s9zJ?NH=@VaR^&;HMXk!@*L z3>A>hdtL^fs6I~)h0b6xIWz6fo;0GUK^69`7il**eSCaX4j5UPz!|3$UXIM6vg zIS{5(2mYdx^gpPi4@UpxCvd29YjC?^;0V&aTq-sy>VUZJ=e=m=A*aao@Ye{3${d6k zsO6pZNzU;PBJgkG5H@{?7sk zN+kkOtSZ%Q@b!lCZ%X#x#}v2-+qjI=sJF~ejK74q7btx09kHM)pu$8=Auxabzv!_Y zM34Kz?&@{g%ELPf=ludgV}h&2E7UpmQ#7bBegrPCbj*7dl78?GQT@P|QLX)po5s4__BfVtLUuj{1e!E!pa&sfuw zGsNWceNIQ*Z4PQarnP8;@$q^!;D3vqpgV{j6WaPjv8r1OBm0!F3$-e5(>)6=a(d*u zYF)byl9R@Smvm!|v$^GXqD=q{+5%xbN^lVwJuQ7$fbZ{yrQnq&or7<0+5c{VkV3ve zLR%m>1LSIkLKVCN8?_#Ch)3URx*60C>mY1B7~L>t`cIS^BgFI1xo156`kb=9X}jk{ z*m578WAd2L^Ae`Q@*08LF(9$J3UcF{1huwlOtIXUBCMJVgd=Itx}`(K*Sun*dy^$D zqa()_4YskHEkOnF!33rK11I`3#8o5J+;oBCEc#_??Q_un!PxGrxRYg~$I-o4=VmlE zQf@`GKbLWoi!V{JYu4ctJTJx_{-V z1rL+Nmkln=%l*OqhQFKQPN|mG?&McVy_p}@{`&lZ1QoW8Xasbj9dS;f|4|tGA=2W? z!OIYzjRo_*#A*Vat-b$R1DptZ%}`R$9B(;jiSSc=pe;4Impv3YFwiay8UQ^h3jXtC z!sUji^8C@7Wm$ zpy-6`*66#QzS)^uFOq{~vnV8+Z-P*Z2oSCVsqe&?|1B>Mj}Afzg|Oweg6KbZ1q}Wi2hza=A zwV9a)WEq=RB1K{#&}5~=tv5OPHt`x&_}WZ&UCmwbTaV#U0WrYSTeuokpYLps?a)&m zD_EC|(d`lBRb^N$zJ-9j{vglG-FvJ|{K}16Xb$RpL2-#&Q#aqL$%-6_{W=iSvp(Tw zcdJuacMZFiHMBlq{K=kL-aDZ_vTw4q;@18_^J``}tnF-7T@A}_GV>~o9Jvz@=rT^! zru|A$tL^-Xu5B8;CD4FgE~*}Xo7AVLE;HZxhIS7nAKwCvwtr!?|LV_h43HXe7rK5@`b<=(%X?&+TS zHS;%k;?_m0@iC0DLjJdS%9YTwKPSfGb&bRmSamU=ZhaJJ`pMs8yTh$h$O7iQhjl4- z^m11`rTN;NF}w2{yjJoTxLkXfqWlboDD*z`#15E;F3~lDjOZ!&(!R0!OkL7=gql9K z9$ilu*p+po4joAI%#ME7)=?Ey~izrfs|D2^uNljTi%!rvp zPk{krYN)zCZir4%8+Tm6?#^Zm2K<;EzoM0_+bcn0Urj5>yE7JDx*@sYc`!u-)P96Y z>-xLPzIW?nkXXZ_U9|l?wwuQi)IMs=ZwU>G`GGpw<<)M%6E1ROqcCV9Ck>bBF0~b* ztk9D(6Lqzr5h?=sXM4et?+g6ht-9Dywc0y~ciTlj#>diMu_QlIMssWyrsa0mxk_Kp z<2y{z{{EdYy`jrDG_#DSSUs&| zIuZOt|GTVkkj(VD*9DsDm>wrnmP=%I$eKwMd@Ze_zuG?F1Z)b@r0PY{p`V zu#KOKUqX(4#78&DeB@{**REfJtuyzZrJr#9R63)FcMd+6qr%*x8u|x8X_HZxBDj89 z%}Icd+Fw^VZ%hMN65f4L0f!CG1p)@QX6hRIqwL#Cv0(i5N^F;0Cf*KVAK2{Xm*XKc zzTsF5xUs!dcWbANptD{6rs%%fyW0s0?8ZY428-!lfq9&go)^HVhF;xx$FHqulA-gx zQ)h>0Z?!7nxy+Rd4j$dV?fNh&%~KCXZ$7TwZ;p!rR^;SlMu)DkJ=Wbpkj>}(mcLBN z@sb;E`v;wS=feLL-BL~3idej?U&1^lXL562vB_TNV2Oa-b>6|cx-m21ugST)b${(C(2qq8<%el6mey^u8iR7PB3*wloYoDvgMENEH;&alV-B%9$Vgc|iJE zhrMg5a1K!IFw)y|xb%evhxQaZ6M1fm!SDFX z2>s{v=c3@L>yGzE1=9}NYU-*vbl3`ZbU{p9Tjt+y9)fe1R=qX28PjV1@w;^KBr;=N zEXDwtz8Ig9p`ZFV`L&;iQ?vbPQ#&mqx?O!5aKvCbey(+FnYmSif6&{!X-y(lxL-O$ zVne!ef0EnMX3VKqSVXZdSkXo?EQf*p`LA=Iih_iOah6k)QGOXG`h2=3355CW;jw@9~PFUQz zqvH^*;TOMMhIn;|E|b0csL8LLcs1ip)Q;uau@}V?^GPVQUd2G=rz!EZ`vD(#(;6EG z+vCjY;#XuvD`IA4rhIu)zZ@G2g_%(JE7xoa_V4RY(|`y#n~w(r%AU$0@K$MdZ?Scl z|7dYHd7xNKkKtA;uS0^ksHg3lb4M)gKOdZEtgBJ-3X@DS#zzI1M%Gu4Og7$(1WV}y zr=66=9E$?`Lyyq^_!@Q<<`St>SHpiTN+DB(1s{d>HcdB3_dLc{ zsNo1!@M%r98eGj$C5sJVxc45ri{8p|`?qfvzn)RKR+n!f$HyYD3V8}JqR^8hsdRMJ z%^O933jkoeZ;jt3EO){jJ15|id*&}tS*Y2AOzJ6rN0q)HgA5jsyrwx82h5GS(SRCd z{~}BU^b^n_xYTxqPPt{~wZ*PSBjAZ|pzkdhDuk+!#z?_zNyoyb3`M5fgw72tdk4#Y zKbN+$e!r$B>H@>9_0Oi}(wZmLl9)|21-Q*)X+W!92q=>_Z{wtBz|#g8Rb7{IF>+~@ z23#{}!ILkS(}3v#y5;}IsXS}2Wmh7WYazg|Es7#qL~!PvJVH5K?8?W-t9%?;H&k`` z9I?MY=wY5u70&CMPKa5`VI~$6+w16m8{ftaJ&hQdHvO*VIu&n1WDZ}cT#a&jG)ja= z6}L_ty#Fcvo9SBJ@zeWi&iqk7eI?^-)xI--;a4h{UZo?0^L_N?-n85yXAQA6d=v+U z6ZIa!d9BFB$bz+&u`sxa1}r^p6*NK2rbK;w63W$|`aO%3i&;u8q!_=@BtOkwj9w$` zm|)s!sLC089mp@eWi|gS7e12Z zxP`V-(X{i{gX-!Agrs-%e#krc^ZS_J4`N+;rd2)!Uv7bw38iKkOvQRcr6@SPnD3vL z$-5JzJ>dQ@#}&g{`lcqflb^g?H$lx3bZ*2y|e}i%?#lsK+(b*UEn2 z=oRDk#I)NUovVCd81?C4&?lcJ!^ETEhCI3*|gF0?EDBG5-ih;O;S#ur_+tTWlk z-`)}V!8xF#BJe};Z`+^4=Gajdx%aPHFCIrqy!yVRqkrV%!8l^N^f<)_vt2M0q_~!0 zEld2terP`JS<2|d;E$iho!beSPrpPQBewBFUre6x(9t zO>5zmCAIr|_miAk^u?7v2FL7itTlXX9}HtpY`AO=oZyEqr{|;6e@(W@#nvl@G2(1^ z)>dLmEUm`%+8P#8Y+Xk&C^7c^iM zi5=OFXpnZ^m$!A^%fu{k8Hk>1uY4><^7(RK(vD;vP6K{rP%k_%bZyT#X`p{`(eR9` zlpL=B>&c}hI^M)ySLZ-(h4j0Kq@M8XUj>ZjT&~gkf+eK|<|7>R!s!jv89Z4MxB-k(b6N)u4;`pMnnTWu= zW^>v?-{`1ws34tG#~u4PWkK_L^KY=DA(A2AV60RaIdF>blw{JXV1e@OU_G0YdZ%2$ z;CH5pDE4xyZ0H;YxNr*Zh{R=Js}*m>#=l5F>t(n_1epd3&#QAIO0&K z%H-$^VofKO$#pN~?bI?CmD83oX zI)03K08LL}3KDQDnIxdT!UPu5W_}?2gO5hMy{pz1cb2U_NFSHc@ZK@VvjH$-z*b-> zNwx4LnNk`sPh4;8Xi_7Kk~)8thT|B#*|~x=*R~T2elGH__qzHQjv{+GRjbAT(ih2( zNi3qLA8C~$K^pV?;4KaKQ-Ct30nEN|Nn4$A%<|Sy$Lx)YO7yu_lSlcr_hxy`tch0D}C6L>4`2- zEd9b@JL;QekCZ%rna3f}`4!hQ=EN<>7WNY;gAN+N7b4+m!#cF&a{%x9p1pq)>%}v0 zdFb$t+-oj{m6N|6MVekXnBDrIz|J7_%!oM>HBB{$Y-Qh@Dn9}WekD(8K?FXy^f50Pm1Wf;xP8xtQ zV)PijK-IVIJP5C3Ke+sJ_(_m!|70tgy9y>~;X;rjTkH%C4Go8g^({$n6|);hFrK*( z?6gKuudJRKmG>0ml>J68C3|QKF3_Yx;UOVHb;72wQ9?q4TbH|SwJc;FZXpe#XGF~^ z?T)L=Jg@`AehJYjZl3~Bx=Y{`XaF6`ucfBx^co`eJ~>P|rUYN92;(&LV_d3_?@dW$ zQb00>A6MzSrIKZO)d)EGMS=Paz3z@?LoXqJpf^)gw`4?HLipAWsJVrIRMj)m13!HJ zIBDp{@aT(=$RVED`@rYsq*}UUQ;f8YN?F2b!durAQGQzbNi|E#%qWUZ+j6kG?3#2A_Fd zS5UR;4$O;Yxs0-`nZ9h?%d>+0H~X3^ud%jeoFe(+Nq%iYT!U_k#j=a-<^IaPCY@d$ zXS(V2bbd-ZBvzM6K0=*N$O_d6SyLtVPQw#|r1)Z*6rJin5ZDIohVs@XDI$JCu%Wi+ ztrsQ5BQ}ivi3mbX@3ya5=U2VirZ)rl-!p2LX@Fe{IJewAw}6E5DL5-RV&mq3&$3YO zt%kl?K3L9U{+y3ckBgmwF6@RD7L zwh`!xNz5|NE!Y`NwB=;ybgfT!{zDq+^eKpb;8dJ~zAtHM-}>1;%6=wZ@7kP8nC2;btH-W{4KEESGsRG&t}jc?Vj?Fi5laWIzhFnL zCV~vt+Ae6nx6IC7G`s$_>&T%GY;_DmEQ-Vbx56a|3sqyy0f7n~vl(nPOYQtj%D{Uu z_M``U=1pj;+I%aVmubirWICPguEWgsL8YX%l^{T#|F!Ji(@rtApDty^g`Ekup|dYW zUh5ASx76K6X5sGfk20yfICmzW+QZ)glCdMGROEM$y91SrlRT&=7P4+RmhaM(nw}SR ziX8utSI+R%R?CPvVjjN!xJeR(dfiqUuxDFjUT5BA)!BxQ#In->X9NdUh@5?WZKQE6 zC43vbe0fBgvMNghOebe{d(x{{PIh=EW>gXW8^t+tJMrLcq-~51&nf(GW&26$m=SYj zpN%{XI11ZWL-!f4)liQup~+jYwLTh9(fph8pPvAM>O90&==vxIkYE-tQ?nuHPW|_Q z1h!+O+G)U44%`vFCuze&13pb)Xn;`m|Mk?h)sr;fi!a%k2H0o_(}3^N3%&nda_2r$ z5M);p{q$Vx7@Ce;p+^#{4hU)5c%P2;K=nx#XWk<6JCswvtcLJfM(o<+odF8+{^w-ey~mm1KmL_w1sd(STl^?IPddlwaK`YM&7k8iYaHYqd4j>U7CklX4{X-9Llw zqqE~DDmDSVsy zxsZO3?Utay&J=i5z;{O0^aigYOQq@17tlU)$I+5IHptY_e?3kOF0AVA#&1_8ZkW@Z zp$b#m@6^`S$T5lAh*8(5^O-Cwt1_d4Oz>d%v+aA!2LAr;LtgpzF9g`@gEd|*cBOkA zV<-&qrV1aB3z73-L6Q$Gq^mvh78(5opl;7Hf^mF3}U{R8EnYodbt4?Zm; z4=s$r7EP#oJjw6wjFm*^J(YYSL!5p@{guh8RFksEb*S^;e&kBVN^t&@^>O`22156H zC!jY+1?P6xMpsC(6Z9$C`?Ilu>7L>p4mS6vXJHdofSnC8wgBn*={A*%I$UMq+JIip zPxtKnIS$@)YrBXvK6X%IKl}bj9*KRsF#XOL4RC8CxJ)mtqdBO1-x;Ibm%G~-%5jJrVSVWH9b7L6~A<_WW zfZ%h`Gxi~I>BroVi;koW&5kX7r*1*JJ~%et{~RBSwFpbrIs%U}V(_i;UBH0h0!H8x zXrGmFL(o2V>}TNP|JX?#F6!-)pF$jyAY;G7&(N z{G8n`^6>N6{+#aFoS?OXc$_h4Ban_>Zm5_oIogQ79Jw=FfvcQtSXz-WF}2KnW#I#ZR1pbeXJa*684$Oxp4N-N}eG4XASx+4KR`L*fvDC3Zfsx z&cHka1I=`@v*yp`O{iL3J!$ut#rV?^7gskfJtY#CBd0hYSvME3`51pR=W70bb`@`8 z9vF?_AeP`D@s5^%>b0%fI(kH|W2U&P>t&NZ|NhyAMoq6Id~>3|!qVSUx2rpO3O{01 zz~+2V=c|Mv@6;?)#|PQ?Ws@G%GWEy`(ye1wWK3gqeifKtuZr{t@3+Cc{qC9iycM{PxnA#G z8E6PufvPuGf71Y#t&2#fdISHuiYTHE%-e`z z$T)91;oUl>5$3VYh~(_t9@^F2t6E-hJ>IYLBi#sZRv8!Ww5F7x@T54NPk-NDZiLvG za!d(hqRD?;2kZLG4d%0tZn)OwJd31cA2{aiAGKV~nip9R-xst1wOb4PXI3zu-f?7@ zBgeN_@UzrKBZm4mC+Yqf7>VRIMorH}HpMe0e>wsm#CzCV)K|yn>bk_GBo@JT>;=YrB?q0>%xLFW*3-|XX zj=TV3N@z3k+K-SCz9molE(rnM{LG^#?Gcrq)-lB8<=a~p{XVH*;nOph1NmdD`SkfX z=p1>EEm5+66fOiPy^QE}$g%fnp$`{~6FN)pzJ8H+ZswGE&Hfdv4}Wl)$IIZ3rM z@MdMlt3BzH!L&Iyta|3TOs+`J=_|^R!-w>yNAPF=Ts93n+rRXPlD%?_Flc(wP}GhF zylelCX32jDIbZleMO0EY{Oo4tVQ+h_o9^9k5mD(v9G zeDZw#&G=k>H&OMRhu7MhXLPls063kE^_mrn8&03(a)LuAmN*@59115Q-WxIF%%2g? zdW_m%XS+ZHxFg;TQ(JhGR|bDs<>nU8Ez9I|`W*jSG<8Zdv{e*7%KMUTX}B+M%zO1E zx+G+B^UGoaIkgDoccuTLGezsYZ0^yax*RLba861?QTpfUClBHDUlWtpFP->2TQ4vn za2?sLZuaB6kU4{!Am&`}Mu|C*ZODedzcRf@{gAqGsbaird!qTlZ9hNbmW{RIw(IS^ zTAgA(ne0~^;~8^u&d$%97z&0MfL6*N3?}+#%}cq8%H(K<9rWGm&T>8^A<`pPkA4_>z7o**A#gQ%RZZsZD|u z*?t_|z9qSE^-~Bprj@`w5X6_G^TXmNtF@}@zMIa0?}LjLair$YEU@!e>VCycdn34Q zsoj(OA<}vALE@zgQ~}a zs_*|H?akw%>i_=nV<~B&D2g&=ZA00jFe+=ZRU|?o`&wi-Dusq7OGIj9ElV24lC=_& zY-7vFHpn^{%UEXnzK^cY{rTMY{m1WqJbr)p!(7gp_j$cu&+UD#&hd#8Z%NhRJ4MUr zNjxN|C*Qg&)qIOLAg8Ag{WrzvNzIwyptYz4oo}HE1YcAHuC$Pp7oMaQS@+Iqn0x6pGGfgBQMmrF(WSbB)( zjmk2D;yIn~PX|>K%EHyVo=TV=Ak}I$0H(zC!dST)lhM_E1Mhy+g^EM#Qt|R}O3{2m zswjC41RIlp+Ly6bve5Ij_e%{2CGYp7h}1%Bf%mSq@DFe_1y~O#a+zP z*}t4uuJ_%@&Li;>`A4x|TY~CpcJv0H8$m`0CRJ23a|)-5o#ws0eVrv@sI#}8MB_t$`y!_y-j+9C)o%e)uV$l1;XEsV2_f0+{BkmpJO74OK+f;X z{2Je^uDfC+l?&0A9{r38^ZouCgIkcVLvg4!*Y5V`8u^16_7s?UizizX`Y+>)yWH$U ziqxu#EYp*o8oU?x$ZS0R*jL;RLTLAfhj#oZTo`P*xs~f1bN6>u%=&)(#%kbaicv(c zG^v_>={)5_vSYqe((zp_AKvct6DT_ACcag7d}sKHqqobwG}N>p$&wsr@5$BHo{#U$ zCBzd{BZ#z5y?7un-nqe+tGzqHUJQvYv%sm?1cG=F^t?cfiNKqEl zthl^k;s`#FY{|5lkzj6N(t@rjS-@IS475Z`YFs420!XK3eSs*l>Ul^<-}f}`g5{Po zEuX-;&{13qva5o`+`4S%+Ws?kv$1yH%fLC7Yr4gOwYc+XD?uy@LL6n1QVMutvJP2K z%R7s7aB}X-fD)6C-;K9WI~9gX8`PTwH_G5EoUZ9I$aby?sofB_38)=UVL?8$YX<34 zNH;AYtfz4e9n*O5E4x2bjNbAJ=;~A&x5sje!byKTnqiA#-(VciF3Wb#xKu7mIP#gf zWksZ0x(A(^+lbbEVt;;Br@*ww$NjjPoS(jKci8?Tux#A`*YZ7{!3Q{SJO!BLN1)^{ zSx|>4ErWTZFo*CKt4ZLL+v6y30Xrg+a53F^>t4y$lP?fo)sC{c;ae1l4F;Jym^s-s z6W46#N_)5{3_G~4D;w98U`@usbwmv8EhWmtHA}jYlw%tQMDT3;o+W3$B5Q`k$w(Lt z+pLeh>2~&MIYTbuEz)8ZAi+|~N{ov-@Y&U{7YUDrgmpNYubL%k5!Cxc8P85`Yaex5 zae-cd)ssJBz%d_;)*WizleHR>v)4<9CS&g%0eu(^x+V)(FDkT2V7o3bX(xb5i<2m2 zCmc!M2Ff=o1YyiO6*`tqO0};GGLmDIpP8WTBnY zR(9~{q=SYI>3H`abf$FX&r)ypJ-9`n$rT$8uW+>;M6+ZY1$^;LU(Mp9F>Mk0xrZV!`*VVoI(NKvS!!?xLiT z+6SnLnr`Vg=uA8SWk8z0RFbJV=Q)BHRJ?A`fzJv0+!On{Y$xz6N`-sb-U=jVGqSy@ zy5;@!9aGC0GGr}ItFb89>aqYBIh2E#v2C3%4fd4NcaX#46ePE;(zch5rXz=TS z@pTCYy}-b0?I-3=TR5aheyq>D`I~pxW)4}RFNw}Xzr1#%{vl#br^K{N!Ts1B+z#jc zyOg=Ms|fFJ-$HAVH`q;a790X?s2vpP9!1~>U+3h^PEb?`JrZ{odh*Y2OM67Up_cdS z1MnudzZXXyYNCr(Zl|D#t~!Kt#_Lciy+fHUQzO;p5BJ*dag{vE@t)%MnfC!=x8kQ@ z{n54v^@9|VLb-IkfcMSj4 zHxfyM3up+QZLEgvp)e|DXs_5q#hBn2&Jhg`h9>jH{J!B@UUC6hU5Re|l&Bb`3jT}s z*5Ig_!FL=JEGY709kLM_PH9Dp&i1a|+6E@kyi$mYE|521KWU-G#GxLbzE)i)DOL$0 z57z9x==D(ZSmC(2y|??mAcb5|bwbUEE?LN@;He}J<>zpQms&5fmdQ#^b=259rJybvF{S%6nDEL2(Srb@)ktgU$Yt zvhxRBNt0f=r?v*GWu!o>PZ!i226teHj&*w8LO(LT3iYvt-Nur4$@f$*R&m`dDXn5; z(G~Y~=^y9aHeHLpk75rGCI zXz&(4U*w0|;%mhK+qm^}VJ-oZDY z_h7An1&LQSAgNoskjt+p*^|B&oH6u#%;a?_iHy&f8jAyPSDzwVy-o!D<||2%DWLCQ zjFN96zqSZpiXXv*iwEiUz0&DylF-&unzDKUfL&6NSR9#JwgA$Vh|vN!pBiudd!fgs z6u-zY=oTAw-E|=Et2(4}@T?%(HtK??f7v$;w$G4Fv-P|N0%>k(d6U`6loZ?}UKxDo zt=-)xjr7aDHWt)m1wf5nfErhAKsm}v{9nI*ku^a^lI~PQ-Ptir-WFB|KcMMJo^=ZV zcS!EOf1;b(J9gI?d4nZsSOh@8W&%yN_ z88{qga@Xh=iCTCW->J~a4MaN>W}G4Q?(tf7plwDE5xnBSa62r_#pxZF((u zJnsN(i&T9y_pH^4-8BSUo(%HeSC>aNbq7L1vseg;lU?y*LF_E3jC`ekvO+K53y92@ zc@t2+F8M-A3BH!!lwx0)j~sU2e_$vDs(~ddSG`Fw(PN} z^lj2Xvhk;NH^zxxL)ZXc2likyEEXatR*zp`8w;Og6F4JFA|mhM?UXIgLaWmYNaAf% zCjaFR9LIX9l(wlpM3&Cx4W0PRf}9^;U(=U6_1)dI?iR+ATzMR#2E7IxuTVP~8`1!q zhpFZFVgLftD4;>eO${>r)S>Xe^O%4)`@Y)nz-zISDd)8$TV)=tX+aY3cDv*|A`joUQzhbH;kosfN3RV&scVX%^qr(BGQ+dCo6s5?-Q=333Zs{Co z==fa?T?V>I{T0y%x^Z2KUTkxXnhy7;VAF$4{6%uQyPz)3UZ1M`(e7SM2F^mN!{>ow zxq$cG30TC9IW0<)vm+1JXWCFGz>v|GZCpx%vYpcjSENO0Ip7p)rn|0c6XNz?ve3?K z+cu(e@i3?9s}?~$%NsY`MLCZFQz$3_N_Px==aJ0=atN>7fqoB)0{zbWtKTNgf5W0o z#G01UkS1YQm1f^JJyYhMDGi%CRo*GeLPQtB6`G2q6c5#5iuLCaCA? zBkj0H72b_eysi%fT+os%cFsebUM+P=THw1yZ?Io7O57)b2 zj^(YoFvwP1=DBCbv6wI)v?sP~ye&DL1=W;)$ejnlNaBR^ZpN71+_SkYcM<%m5~%!O zBxP&zaOtDVy&i6V^v~t|I4Qqx{bo_#sm6C#m(zIYQz;(EQ38TStsODV2Og;Jvq7fK zbnj*ZQhn4A2+D*YWAS)3AW6l{oju-sCVJ~SS&&u+sBe)9K9aeC0*-B(+3nJQxzn$W z86~*Uw*Chculu522mbxZW)Qi8`x-7iduYb7oM*Nn^V}l1@0+(I*AKNVkUs2~J^;s5 zA+;b|TY~6I@$A>2iQ7!KEyxxU-u?|+?xLT$F$qB(3;^Jdo4a5}o9=ZmIS1up4!ZN` z&t`Rff=nv`9Jd|>di@a?afC}-MaObhSH7`@_P(m$F&U{x>n%TZ_=MfA2RzBPs`ECD z+f$)M!7>%N%p`cqBTCNB1KXMZ?Z0eiT4jgNi?A2Pkp~MGF!S3j3;1;{onPMgwoMxz zIzj%+o%dxo#MQU}XcqDZcrSMv#@HHUYQD4s&UccU7nSZTtg~^@3R!XDoEE5t0$N2J zACvs{f}Tm7?q0Ugw#%KD4PF7CqX!Q-ZGwn~ap!^R5G9=lnpIDQH_9Z^ZF^!7gSzUI zvwtELti+q|?#k5RgVaqJ^$Kiq&Y)y>V3Ts6Gmu zNLB4(3;fRsPPhx|iCzYiC&pW$=6s~X=aK4gUwv3JCIRJR%;`Oq;z{k=EZV~6Fohbq z;9*w$uG1$u#nQsh`Lm0xs_KKD;(@ZSUt zdF2kSTMhwi`3O`f;BP=;M9#z6Xjce8TfFwVOmn?}t|tZ;b6$O{F;ri*$I!ds&6o<1d!-2#Si zx4OyX@q$uN7Q>ZYnRl*fJ-~ZEzo#Lz31k3k+&ByJ4hc=rhr>-69B$}4$Yf&{WD62u zKUEnwJ|V1Aa1xjUncM8GCwC9Q*2fZncz_Gc;S3C`i-1Of7ZOkrh~*uugOyJ0Ix(xf z!{>QBJbDmVzv6YX4*a{>GKox=;P2WOo;}gp8m1r%kZob8m1*N$3y13CUjfl|{Hui5 zJeIAFxJ%wCj@%us^F!^pZ-+ui27^ZiJFpk(2c)fJ%N_{Q zl|=rq13ohDsUA`7D5zdy6xu=)6>ylAQ5>tWXKKSC#bf0pQLFyzU)tYRolAgmDgkgh z2V=>MnkxXTOs~b$l1zK?X?V~3Wh)oSh2T`7Lmaok)rUpV#k$|Io56~b1`}dG07Wj% zL<#U^`Vc(UL-1IK1n*2N{sL)yZ@g25?wj8`lt11mUc}6$KxlA=_QY~LnyD@d zC~1{YFbGgudRJQX5b{At=nHJF6Y+`!PG7gdLzHX#S%ERrJQ$dcpfY}*`5Gy z?6B4vIbrFFB%UDcypKDn8+>NO_CoYdMxI3)Z(t$US{mD0-h$7bSVr-C7BrPettM6R zlJL8K(@oaYc75d*b9cP@LS#zj-%ZBKyPp zy#5Qjs&U$=(`ZP$>&Uac@n6VRTYAu$Ctb8s{{i)fb0lj_e&eu}tr2AYdGN6L13V9o zJoL%W@#oi&-zy4iQ?dtxgvSr@x;!B$D1D5}n3MH%$=;BR#nrZxCQ;$n%qk?G_q5}R zBjeC?F+i3bb{BIbuZ64!p*pqm?&Go@)^#@O`lrlO)MK*rZbegLaYKijO!|JA<^k5T zQ*t9IKgU$4z2ne6+DVqDXRHMU8H*W}#^O&-_64==C#7(+3rnWYkTV#hr}D`7{GTU8k3?lri(- z2%r1+G=*fI+m~-`6^l9r=A;|gzEPu+pGugD*R_MW7$KC0CVX=;zKrH<0#cdq`|Gpb zw~nK>u^^XRrI;*~TO*=RjOhM*)T!ZOp#oc(f-@D3O7Qg-G)SKk zB|*}nU!<`KfhPCTr%sI90=C*4(xD-ACbmKP)%c;xpr@Ft&ychIwF#*A;M*kMTRT-; z=*J0ob2KhsOfGl%SV<_*a`bf{oiseZUHOOs?){inYV5ihE??KsD}zH( z4ccp>^?&|Bv3eO(pGu+wY!p(zXE5wgF@lM>g38?l-$V9xzk>)jzZ_jG_L`8BwP!&j zr>ci09^Zbe95}f9O-6?kTgr<}JHv`|nItJd9Wji~`Ky)|I#j2c0Qi3bXx3id=n_ic z-*tBKRT8oy^b~dgIon0;Sqe#!T~1afQH_jNo!Cn6<4W>1@u}~o#CT`43i9tDq@^|* zH%~V@ua(L#Y#v$ez4#0=VoIXTC62C@d(#?cv4hCjcD60~4z3R>3=g83wV5PZx30S# zv%k`c;O*&w>QM>^sGjcHeaWGmeWc;weZx?YQ5t?ucqZFf+C>A6wOsgBroiW3Gh`)bP@0)v%HnOMcHFMY6`_H@J7|VhlRzTEG(qfB46Y+21YB3d>U3FWkbbL~C|CYWt z2K%VyXTrkxT`9{Sta*tFPnzEqr3{>&FR(-y$J}5gF z!Z$IDp4Gxb$gT8iH&wvt$UnAm2}y<4E^Vj2tpUY*ng~858IO6VdwF9vwgk0vz?1HN|f{9krO7g563t6*}n*`tao%v{k|I5p(1k+pJk4?xmiz)DUXjscx;U z+O)I4PTq!2@b(7YOPCpuQyp*?{%SiH&~&c@QrS^msbH|Kimja{MW$$DNb~3iFUrb< zWThZS=K0VtW3Hk`*8>$VJ>tW#iyhUBNhKWPqs$btJD!QF)~WWN1oN0SI|>dfQ)^t!~fOU$FVM^BP^&nHjK4qU&&vrT2+iu6zZ9nN~6?k%3#4>Jh+H9W?aPVhm1 z$!ka}E{Q2PB9S?+qqqP5Mpu)k63{Ze__SFp-Qc1lXEuRP<{*1U7=I^&2))m=Xy_rt z!DI26ql!6uHRN30RkHu4K5El3$CjNys<1`+D}lx;d~t&mZT!-8Zi|+&kGu z)1Nvoej2-`>C1B+vAi3ovhY{Ph{>SQ@@T&vlOKeN=9 zHt9AMuFHKl>w;% zBp4j^l3inb=o{SScTcy61IBlKzJ`4_U2|y7mDIM|`Fi2Lx#Ucn0gZ97TSavqbKwtP zD&JC86@tiz@zGxn3`iYEZnhPvSrUUU=XOS-Goz7_wBCp{o003$D~MgrXCJqLjtC@w za6kOwyVdSpZ9=%u%pWU?gj3lpK)2gzsRhhuwR05_i4B@qg;d=-hFu`T z=}C{g>ZniZzD;@Pn72L)uyrlD9~@IB{x3Fq3?@Cx;Wqf}bD6b*;crwsAgD--MrxxK z0hMR7?lhP)m}}sQpw_1iNS$ii z=RX8s7;w>s5CT9aQ`m;IijL$INs%&z>3t=Q*RHxG#% z?!a-%I*EI?5sOs>A7jV*E`5|XSJfFrA}!P zv=Lv`rdzV|9S$bO&m-yh+jO15Dh~v>n6f9zM*u&LKU3VEAtVd!1it=o#a)=~$LEPadqKTpsIN&BB$kR@v#gO>Qkrd5u&GQP1(b(h zTJWsD{9^*9G#M}NbiYkCyDtK*#b>U~=v94+6YTJH-`7%8m~HjKGUn{ab#Fbd`$cke z%8$&<*yq!_ieqQo{b<^_N=E!NzOQ@0%j$Hg9!DS_U4U}@LShHqlH#JZ z-}qf#`tf(xVQg7mThAJE6-=86P&cHzj6I$y7YvwQ{Ajv89NOyrV9Me&QD?lcO$vS* zwJ_avtTrZe8)g1M=&rT-2BJ=V;ojzu5eC=QE@^^KhqXXrPmi9lZeI4Wqc=2eZ4>v~ zw~~2(DZrH(FLk~Txt0Pw`O%|o`POu8f=<)$?twNVPA6n^Zq z>;5-v6^7=Uj%jN3ZCVmJQoVhBu|HJ`Se0VU_RCUXA#YpFsfOxTnJti@8SXLWPdvjG zeC5hUw}DHKB4G|t6-Rz_5GJt1m-DS%OC5Gl%6^3f-OqJ3zNAq3(Bse(E>5X;%N9`k z8^Y6PyxN}BNm4BPPA~d2mKi zAgWShPamn+c^OzI=iPOybbJ*7%=4C)l(wep?$k) z7soPFN7Sw}QluW5NSAsX{ByBSd0iN^tssxsP>OpFE7`|C+G-9)fh7U1Ld44#^}e3D zv7ZWxXw9vaA5eae8SCDMoG3ySo6R3iu()hc_k2(J5tUIOhOfzD#L$4Vs5T%$LQi`L z^6uONQb%hy72$+s3!VjKHg$G@0ejNJ9fKFYS^h(IQ+?S^lI@(>q@@Nu;!ZKe=1mov z&6@{-jqw76jq(kd?#|?U$tK97?y%|EyA9;H^#{TH$OsqRTP&n0u-yf<`w3{&{cpcA zW`S+_0Bp-6U|T}*vFBxpjtD0&?Ln_p3X?3NS?eZ7=Ea`87Y;W+OhDhf{->BvY6N^R zdEV4l7;rLDA@w&KFt6pz6&GDe+GTLS_fW4lL$ke6!EP)lJkh{tr1r_OEZ>lSus`}+ zjH9owePWFFm`Z~x;l7CBUN$=-V zWY3wk-Znv-;>1E!;gq#xS136BjB&@7uf%gK=yu@?Y8JOFcjmiuFEl2U$t^yGpz203 zLm-^of371WWx|5Ia-j$+x>T1bU^tQ*o41C_!yy*;7tb054FnI7jQVCwDGqTPlAkDc z^_@i3(j_triaPqOv{-zhOvq-TNvM>UYyaWsr?o6-`_%^QvIQMfKiCOD&q?D=bDbFK zyW5SRdac>lr}B>s$Y*>+Q087g0Ic`;*r?HlbSSvm8UIJ_0q^QmAZ^=qN_7j6<`l=_(&*FXnUa0(*ek(?H@c18L2C;g^hcB)x<#FjxLbuo$J|H zE~E-`dk72)8?S{(lrsMeDt`XFelI#sm#b58xT4BcmMlM#WvfHKBGmWSpFT;R)H?sa zE;#=!?d&v}WQh{|4H8KBMoCsC_4MVsi(91U?4RDax&w6K&Hh$hare;!D$oYce|JN@ zX!^Y}-PtO>AFW34J7%uiB1gyWi+8oal5P45*q^A2u?>u}_rym2$A%!FE}1CO_<_;-LDA{Es8Oc%%3R5kQVdOH#`=){cOPW5 zh+Og0jXBcBHIuieT+#1$7OUIn*)5&pj~;g9;9xIBj$HEZWTI_ikn=GdEGS_aBCAUz zQLz+d+d2`R_L>>sfnPq$o74)jPsE|$X-}zK|4V{4YQ)giz=E8p4|H2~8UL>a*3{M= z+>V~JmtVO$OC*XDD~wG_CZ10mP#GKEK8XQiC3t;v^WrXBL)1_q{p&l9Umv9Hc)41n z>5A(dx1(!aAroH~DCFWd5q+x{6$t=Bfp zsyXDc<@FdA^fiH!!w{$yLJbLVv7lqq!q^9IWGc%Ndqzi%q6d$~#(pv2cyaq7a*TIM z;}G(5ka_>GUtOuRl=>k8FW)KnMrw7SJ8-_D`g(9ePmDgRvRb&kJ(O)mU8sF|p2w+H zK-J1K$Jwl%XbBUs!{W#TPVWzv`r;$kcE%YMxCgU$Rb4EkpCGL8?s4UxPI-9KMTuZ_ z>A86E4$CQW$JidNgF$_ETT0U(-qkpCl%t1Cwv$D+Nb$kNnx=JI2AQ`O_yaTG^*7IX z4i=o}%$lgLi`JV=rROnQu9ZFXB)fj0Mv#;PzM{&2!9qiahGoxuf?ZmJhoA5s?k zHrO;omaMsH`q6aBguoeVVHVUm@FHlyUy3XvzmQ_ggCzNm)S%|h+|dx}z305YEMYGG zu_s7GSc~BMv9mUB3?Bg!TI=#Vby<2`a=h)w@7rp~f%jr%uWVucZ@+KElngrpM{L`_ z5gTP8Ep2!DleE-E6A(yVlKS$EFULwE(Gk$Q;Z3I`eJh~>-m+^tC$)+Ja&PvvN8ax} z&?zrqEovvCLs#6Tf%D0$FcgbsLHQozjOna!zE{<{J0kX%TQ{}M*#S#gAkN1qGNDGa zB_WiJuEQR4U%_9yWOt8=tK7Gm4%nv$u+OJW@oU($n6bQg_29Az?&;du4h6m__b=u5 zlGNfcFM!$FUQv*5yFb(!IZEPPcN$vi05)Yj-_`ZlE~)K--y4BlGUL`f^=G7QL-SBP zGfQ|50KVIxi{7R0Z?ukXA+s&xuZXhWk0W%%g+Z+?0|3PJmf3eH*vROPTB zz2^%-@ZTDgJ+$*YASlQC!v8C5Gk3z}Yfp@?g?HOlj4qW#7xcDB3G!i9VrEwI?t5Kw zZ%0QQ@@G>sqA6az^ze!d&TEt*YTY!$Btti!{zv|uT1f+;wgC>cSAkhFs@`+{3|5(T zF?!!D?3LKLLx0r)uOuDtH4sa6f`ZJMy}Lm`hab9IeSI+3MF59>t?Uv1>LE~FIwgLv zpo)+2;RrRNwRf{<-3Ax9wOU`licl#BW&7O(&uL{zjO`U6qm|fa_IBNk)!x{>!N-|L z*L+E`ycW|0r=n?rtD0q%zt^`U&5s5yvmpNv*P_aYCVZfj^J25uA;fI&4vMEnhc63y zVlCMbd_7-1DPz#w;81smQ^xLZ*Z>zxsu5P-~ ze{G@xH~K}Em<>#OSn7z%46_Axqa3gsUHa=rk6RHN6!k&U2_6DtF(9&X_fOBM+v}Ns zHiKO|t@~WL1hexa!s)Kjt5$wY0;>4B%;ALn_q-zA zU;1(`KKw60-GB2%a-ZP|uzriILiS}r*EHo%RDVd%K!h z_0Yuq$Qd5(v%9f$1Hq4%PfmaYNGqLvgPm(<-{@qnxz3_MUaGU(a6lN z$2hN#!LN!z&|T#%ADU#?@OzDI6CVzr7)Q?b#Q$dqgq{$3>s#O=AP6-Dra6gW~9Sxx)5c131j8VWN0zJ6ISAXK8c)_KfpEs3u zw>z7)Fd)SaN--EQSYY|(m@^IeiC2=Yj~P1^lw;ym_+^hZ7W3KqFK6ZrI)k*HBjF=H- z`q$5!#q}Udew@+!D)rAE!|qESB`0qx*MFrNqV5UO6x|Oek?&&g>i4CTjm%)2a=0S; z_xR~C3*fzXOrT^*v=KmQGd#a@*ub593>+5`p>7GnHDZ=vz?mN8O^r}-m`x4N0VA82 zOKjll{}cZzGGe0vS-+C!mxwDUeonYQBPPOvEOK(c9MyOGt-^wI(M6~r2C*!FGrg~= z{I2rwUv`vuLpaU(-#2FX{=G3{@P<2_kN@70bt8CJ!MVfor|kt9d&JRAkaC*c$Ccpi z@u|-UrT=fEuy?cAv^R?6KYm zXd4mbNsyl$Vokg1M6(@|vQiKTTo&1S2Stw){#X2O#X0h)L%6w^8okx^LEZkc^%9P2 z?-zVcpYrEV2S+=C&uh}2Le!u<^KULNK9TEA@CGr6HIwRM-Si##&VxI=x+d zGZ4*p+s~(GJn@1vq_d}T$2*BVA3P2+7uA~>6lRMzil2Tuh=gTxJ-em!lO#rB?1iH%o^7ByGf4Q)Keq%G_Z8$j5cw+%O#*d+gv65n{2H2)^HGDx@m;~K zm;D$14breWpl&rGZo@a>H) zhS_3S`tXVaaJITZQfkP)v@O7%cqvTSY9P7ZM!qA@=7A4;$bC6!f4O&*8b9_0fHfPC&TAPysmD=pR&X86RRqFdA~V5) zFn-7xVPr_iH1WJFF$>`ox=-aRs)X5c)k%U$j0F5f^_$>ktiZUIF>u{q9_A4rHw16j z;cpTfR0~rK?M>i2xN+tZg1dR~Doq|)ApUiy-}xjkYu~i$9^k#$Q4|Hpg4kfP zNI>O#gH^)sfasA|{5&AV17sNG9Wn)SLk4N2aUM|wzb2ws^MBmP4?AAxil7b<=i<>p zAOaLOx6GRj_8?0&D>MJ7@NP8BULAa2$64Ed-40gJ{-tmxeQ3733?wK`c;|TxI9%*( z`_^dh$Rw9I9hxSN-s-$^(CK@*qa4Dl0i|*L5_B~E>HqnM)y|mwq~A8+ktk2AZ=7qh zq0OPcRng9QB+AL1)zB*OSEtcUzJbx5LgQNz}b=msNZn^vy1TS!p@(w||c=7*q8 zXQfv3_SyEkdT4j__#tSQ&^LSwFr_`enUsxIh96?%j)p**e%skmzQ)(1$V%jI8|q90 z{jdZAf99Kw%A+$>-X|(1c+`jPNlD(op-XJuZ1_gP-S#k7L+q1M0HI7C8^Ac zj)}nzV2xI18j#MSqa$9Y!z^j2uWOvc{ESMwu3;8*yqba_X;+Rk<-JTZw*}`4Zi{<{=6fH!oq5Ls!cq81GC3pHaSJ)&i{k;EBkK7T35XenxK79lad83xD{< zlYQcc#p7CA$z!!Vs`515VCA)-5llpBqBVc*qdFn0#H2&bLqp$|Boerx*yk3fu8hcC zJKf+N-xH=DMc`YSC6E(OGh@Ab`)>7R`RF)B5NS7_dc?TG9t@n1)R)9jqK@CUrgN3Y{xH)W(l$& zJo=!_ixEEEHlY_R=;9Dlb>_jR_FAVWYZ-S&pOh^G4rpcko{Dnt6&|*dD1UnIDAK;I zd7LfUZ$<-g$wp$L-)FX2B)AsJJ1o1kf{R8g?;-Hdi5+(ZqP2az@ z?lRuebGtcumyuCdS^c}|U4KFzj>xEHFrTR3P;$4M3=~OVTYgOf_%R?fXrI&8VYXQZ zQLQKf0;dFECSL|#5|9k<>lh97U+3q$1$l0sCsO5()R3`$h*=De@ z9n~z)g6eypGP^!>Fn`txjA`tU4fiFnDRZK^-#7cw^m89G8y7Gfh(*3GP8`6ABKirENxRRyJnc+&2s z3uB1Z;zz+}sl{jL;U&Bz+o7fLc@2?fcI$vLN61RG`?54&v%OW!zDmYyz#@FF z!jVQ`L1or@hZ@PU!rxg?pU56{I}cFC#J7z<1ew9rx#IEEMExdDWKpo_<@Z8%G=1aI z+jiKsrTL05wSu@Maun^?(s&uYSh?Xkqe2qU*3M=Tzk{K(EXW5wvz!Og`JP-0BX$Jw z;?gKMdJZ^$tgMU3C^gA1h6NR=imDbFffe)I1@>S48ry7{UO=fDp#kOpT1+gJ1=X4= zW>ztq%Lh){-|~rmHVOcy_W|YXXl$vs@G$&VACu69jKHohzLWWYbe7g8==%`pMi_VSmci!pK2I^ROVwIH;#X64n9ZhI{ag0&H9{pL|& z#b2w{xY4$qyLfD4J48JvUPbeG8)es)lFaA>22YPUV0o>IW{<7Ls9S)wP7jfLpPrW@ z%kEs|-zD``io8=80h+(xxOQord`CvUV77td+ktV)(V>qGT3a;4R1!)JJcR7(D!$7ph2;ts9DT5 zjC2|5yT0+n_zBP;>t(i?zzP6Q&>|h8bcHr*qR4J-dH^6c&K)4Op;b(*jJ85bx*JMZ z^**VixA2r4ry>u|i&*hMASmNQ``eS@78IOFJ(C2G=P%q(i#5(_ffg!=o~n@j>lGZtL6<}Bn0hHDl% z^CL_xu0vM!L8|j)^9E07Uo0FV?x1o9`s>98e}xqNnLcvYmPfO+V~6*|77^R6OIydyICAtcM5{Xi z$Rbs-rBMtZt1`wXQ!Lw>KIzmNx`2LREwaJ@pF}ix@?uL(lEUhaQbN#sqMnHV+b>8b z2;#jwX3UjqX@zo@NPL?!c;46DSMb%&j$M={;jkamKgQ%f9)8HP?N3z%>Bn!(LAub= z>jS6}8SLW=ImiW!>!D_$@fFuy*QtKh@!v#*O3w`K%!wVZ>5TVA@#-BLkQm5KuM}p# z+xa>7GMAjTYV~9#f=`j#*GMp!ze|*+&~x4Tc%r#uS!!#X*qWcY{hf3BE)}Id;T*Hu z%eHK~*F|DPX!!C6w7&WLo>Gfh)wd(X%1e5kQwzC&J2)A^F6-q|V1+*ACY_oC4G%e`{v#U~+IOLbaqx0q$$3&z0_2sl(a!FV>K-t)nOXI0_ zVvGt(p#Xj`3;N_TC$>cyDc>uYWd^WK7F-@;Pa4e6TTVi2B(_5;?;HXR*29zFQ{b<*)LRGVli@ENHD8(gy@}2Mq`cZ+Ci=p)9f{5@~m$Ll+HkZz=&A z%v!BnXL0T&WnxYZ@XI9e?@E#^7KhvmJ~75#JBC(b7; z4j^c14lZ#slKcJoEgwwOMH^0FPuVeV@T@p2f{QMiD=Fh}o;rtRWN8TTWz8q7C#v3p zc^fEnr#oSFmO?WBO#Ey1O~;cg7qLpEYhPGUQUnO5*O~)-Yl|Dvmci~e>;5RCjd2bB zzO0G9PEhJL^QJj4dns3}ZD-tYnB}OzhQJBNhNTJ!8QJ}ocU5N2J)2-An_9_O-P%!* z*p66^l2871?+t1^mK3IFtKX&$xaICAM&B|E3Jn8e=n2M98a1BWAO}txt6vbXMCut* zxyfWOxIhi`3qo+U9qvoxh4eDiRTgyl9eaP)1d;R;H{m+E>evl0<)L**j^R%ncKH!z z;7!eCEV+Gg#>N$`RJu-FW6(AV8FIO0i!%u4&eeVm-Z%G2_f^!dy+-*q9#~1+rH`*# zOafl(j}AdjW$-lJ_iM0aL|qYGj-r6tEu(3D(3Sf!P3K@`ea%oR=49~%Vt|u&-Of*@ zWLyR$D%I~Bh77-GRP*r>b?NDzvqp8Y{4UmK2)Aq7-SZ`b`u+bn1{~h8bo5FjxBBCV zo-j4#EGn|65nOp23lcP)B`nQ8cTYT}BqM)7t#&9o*|!SSSWwI4M6w{ETIU(;0ECaMV;&mpnief&70ujXh+6I z-oc7qVs?E&jaM>*MuW#wb-*H7Q;*Pec>yEV`SM{5TT*!&-|slKjh=bz0E#I^c3^ByEo2nMTRmXKp@>x z3A(@o#`*+74jG9m)AvcLb$A83f-8>vPG&N$Rsz)WzzMcm>K^?fGx_R=te%<{CGd%o zlD8KVyYeGUVV~@M%wS_DGXTq!DfZEE5=lGE%LuX472j>Y?mm^4mk2qi`Zj4J_4`ZGS?@xu~!G@Y|*$;10(z|vNr zr?WQH>4MCP-h_U4_qKWQnR6?H_kh!S_t46<?&{SvVE>;w_p z43KlKZW6X%i3L?-kdCjwXY-BBfgLg>fN9|Q&H?jn2GgsBo-dY+zU!VgG-mK%qV#ly z>e6_~IpeLFO#u_U^-!vOq$ti5McQ}37eeJFy6ih_bj z2~|*<4MAG4AR=Nws#FD$4kBGjKvX&?2q-NmC>^APUPL-bZ<5dvkP@ndK-zP~-+$(w zd+u3lX4ZOM@FItkztF5ce#2r)g{@)Z%v*|{0pRm=cGT6l($unj0bEg z+#|dFZP$kZyd?d5yP>vhPLB5L;#Zc#kM2pMOhA)Mm{nT>3it;be#sH!aR-2qHt&vg3R$lhnA^#E-~~!$jh8Cr zf&G8cD+kH^M60~~msRch%HJcIC^5IxqOBB6ZT;BkrJ-t?J0U@7jhj41`ArL#iDrQ% zc*o*y6s`7*QmS+ggCVL&Ic-r=zXrFh6V zRYnQx2>QV$;3G9W8^8DJpi^fvY6=hWD6Ball>aPvciRJ?O{6Ap$2PEe&5_q|=!VPZ*);ChJ zVqqQfA1va^fSE%(kCRjTIN8RPq)yXTo_p;CNN89s4I6?e6MAUPUYzEtCci5u($k-f z=w80HV)6y+;EeQkBkrz%4*qU#L0NShK6S~&meo=+M)|9}eB)LXDVsspdJzlk3nfGG zNwI>j540zu>_RTKrCb4lZouZIIivBtgq@1sL@J~_b*h*A?30E^QCSm6%9&!~E}oVL zbj~v}ayLF$!D~-}!wCk5Gs3>TYH=Aja1#*!JSc?~YR%Onzug?1;ocXByMN$+I`ixY zR?c+oCiKxQ+!*jw+KY(Ud#u>URDc1|`41p|@iV^v|3ukiyn1x($%KP5Q)`bHt%m95 zS5Nj+B3!6Y5E~V0&88dC@WIQ2h6V&LGHq(T-!?H#7r3!fhe9htu?HEQI|iyx^bwG> zj;2q%n6tomKzKWyzy_aKkJSD3t3Wk+kdf#j1}zDIxv%PPGjjo1VbBklLRvINkpo_% zQb3uo{@ImJ&eOp<(Vg*_`0(@L-i1HpQ6;Ov{o!}CECfu`)7%TPumNPD$ypzKGtD=! zlm~y=Oe1!?w*u5VH(;v7EOK?O^+^3s6pbCQfE}`FQPq-0FJc!)rWBKD?0^CY!jk)W zq05QJ4nj-ZylbH16ikXPj-i05w%u+ADz#pYT{$3CfmMYM2(^k?^{m0?-{ctrn0ewq zQa;?C^)? z55<}Vdm1!$fNgF3wdOOWn!Tm!|M&xFyO+PbOH9)v(s~rFkxQdKK(Y}udQdMP8ISZz zZKDk2R-0CT3-?dxJHFVR($kc>2;XY&W6D44vuFx94XEFSm;sozmz6~w$GyqWV@+Fq za`;}G8-I#ufL_*m-h6Sz+@tkBIz?VPNoZ7ysOEP~&NUOHz`?0kUypZ1`sW$1=_BKz zzh_ef1qnGD?zgU2;Cr%O+)^h675P3!D(vQNz{6WT2pJpRSfN@JRw0d{SPi~;rmpAq zVdNbMpPX5{HR@iBnp7XSMBb!KJVkPrDa$m&j-tC^ElK&$4dUDma&gHY-?Qg4;v2Z2 zlK?$a9JTTQ1W`y^qy+>)g{EMCIxFE3>UWZ7Myj}CW?!?hl?mfqc(*zACTpGwzpR*S zyQa#YwB%b{D(TNgDut8G)a*fx3;{q^?E1a~)X1A#E51CSK7afd!|9*Q2l@Yzw({E2 z>P*9DZHCK_Ybm|JbD8#@w<2igK9+$AKXIa&up4NRWAH07>WN02EPx&i{Zc;sgw>DD zb;{af%p5KOhNePBG=pgSOAjFD#Q;6b17yx3{;82s`AOqtz#)=77f`#)nfF&LY*C!$ zdwbw5f3w1;dKAk>>m-W*<>uP-roboB34n^v0DK_Aal>6NYp0k1K5Wzc7Xk3W72re8 z6Rk43a#|^5o9IErx6RY|p`tOF1~UOK@|M-1+L z?^ya2-s{^o_S9q@sc1>{bS&UK0pKE1F|@_(|9lQ1w+nzs=p_f2lybmiK(rB{^P6x+ zSHNmbExka&4gduk*llWsCO7XtOH&c|HK$fr^1HbCb!mMCd5|)318_0k6Id=YpBPdH z3?L`zzc`HmHT+Fo_O@LvKtYJzB}sq}#WW0#d~y+qe_r4OKE<|}mbm}!DOg|-B`v@p zqB6K?4w24kkmFN~r!7Y9MDC0uu{2C8yddc_d5Ks`ZlU1=rmMiwpN0=HBVH?&G#nE6 z&*u-)8D9Z>D5OHZ`~kf#aiA6U1HD;=W^cI~jfFd6CQ_`<0{3nKX;|v6R>ni=Wdcof z>z-?G{0@!^jg)~#!!hD-^Y@& zf)!Q%&Hv5A(SJ}OG(IcOwt>#W-|AY4Lc(XO9Kv&76YWzU7GsP{Z0EkuM=wB+t|@I? zGV^LY!q9Yf21P))*V*}*Ysi+Sv~d@5dyuSF}m>{)L!|M+st-l8n1 z?fZ@j?KhyP&>ynZ19S1dorc#6s=KlB@c-g(0+%Z2;SYN`MxQ;l?!ucnfX1XuxQK1Kni8HTSI(;$5lDlwx zXPw1&%308-L@B|oXNy>;)U%h2BLf^c+EOn55eR;fpLx>yA-B=VhX)0`55%-tFc3zL zFt-`o1lDR5n1lvkGwoSF-kGYZi=emV-slv4RXxpK@Zzdapo(5!z~O)&EVD%z&Xw8d zu#BaBO!B>-Kkr5Qe z{v9}{_Xz6;B~4f47i?Wm);VwI*~boBn`;TGzhjS|@vQ7+G#tXb>irgTjPL~kv!8<2 z(K75BLZ!>4gzGFtNw=XWjVh0e393QYCf=N&`*v~|78L+(mVXq9Bgt zODAhpU=fX5k_rK#5A{=OAKIUn?7VyEqqHd#hD*63SV@qmK#0IQj09XExvJKypoe7^ zN6|#fhK+Z2nEAqwLTWZP7nr<7Li3+uO#}{hlrai+z%6^{Q1lbt_u+ICe!`*cYds}eg?^>v%ResvFDc$nXPEe+B}fgvT+5*ZQDH;mhXI8*;w-` z37+-w*6qIdudiM@`B}M2d|%}_80$>-?Q?S1l;S{?Zu~IVuseorPH@FAns`*`(+eI$ zyt&22> zd;`%m!FG4Ri30OZR2>kE9n~r>558tAHD{OlsG=fVzFq%7_$P7b=mE$dZf>#JM7doN zLxol?hLL|_@62Q8x=YSJ*?>iSN z1f(1U4tz*Cz)&RyJ+oz)s%J-boZGM=2f444&qUWTRU%%MZ$BVi+=%+zxtefajiYnjrP=OaAf0K~PG3%;J;q9=E%^zz1s$O_ z@@;FBm`)?*9JCuQ(bxtyi?D&s@$vuz;|-4htZs@a zP*bsSqhCu~mk6 z!lRcglu&0u{O)K9spt$)IT`^>vBc ziqVg=o!=|NL17hHB9*oJRQe0?$>)z)@3&$dlS+Il?>uUP8w#f?GLu|N6t)xtz7T?E zZGvlcZCtEoQLoH}hjETyG~Zj+ZLDL2!@Yx8&PgV}sHXS)3Pq-z?ulq^I4ZoA1PiX7 z-7~hpOIrI~%y53^N_kPqYrFw}2KV~5=bg@$sKP*i&4{)19`nvakkc>M$u-LboCYTH zYPNqB{W;oJ(w(JD@j+%ynMS?QkBZmRS_;s&)@;)Q^i`P~azQHR9L$e{ogZW5TFm6s zHjLHmW>BG2$W{ZT5lfl39KKAPb5RO-{0Sz~@vHRUefpl{Mwc9tq1y(0mhrTnxh&y$ zj`s^bcG>Voms+utotWlIdik5-jmIFSmhT(#vss&ISsOO9`l+u%V(OXgCq>E!n7ZV5 z*jPmeu;S3k(Azwg(~$ntgQt|VnMJ29qW9GUsnFvXCPG&uMj1DL9J6d-Q2xAN7n2qF z+hBK~Gsf%C)l_&Nzx?Byio+RxRzlThkq*gbXZ+!aP5#IP!)Fd{nlmbBl|)&_+vxXws9CWf={4D~!T@%{3p>&^wzoN@gr}D#zxRjw)x`S5AGYUk z_~k_B8Waw-5`2j|Fg`-uca@VCZ2k#U0PjOiXf-ay*7t%HN$Uu!Ew)RzkxlMnel^g; zXNIXR1P4S2Yi`rW-Yok*i*6s;;tE^A?TpvVujOiDMc=o-S*wh(kKcaJ_gyGG$pjXv zLO)PsSvRP{?tu4lJz@JRq{;H?i6)-!uO2+T)xPldM0A9(_)Mt$CLwr+58Z5d!H<17 zBUQQ{%M-(T>y%k=O^(meOFxT ztC1Mt)DOqE^14*;Ys`!AR~;u z6<0wzl!SswiHr*a+r~b^{Or%1j-Gn{*Th^m7k3018e>kf*cR6n(p~Zmd zsq7JlH-^lVGl}MzhV`RC0X=euP%Msmc`5d%M{kNC+wZSbo}j}SZ2#a#{_(mRH|)J< zNY2f3QEI@9hODmtc)A%+gEk%+K!LI&H3@5+f^0nSBo8MZ#7pf8E5{_}?2Qb&tAz#Bt zLcMk3TyN7|iNi+TA?s%?W=eO`*?fu^$)5=Xt+Nd9O@)6uXV(a~bBlR7fYNekLhk#P zq_a6xrCGU%Us+QzSUkH#*-^Q=@U!7}Z=V-}a_)nh`|C-al6(3ML3Jwc2y26uq*!;@ zx2YM`V`IJN(V?tfaPdV6TI=GV^hvIz1~sWMfviPhKJ_de$iMM8Mf`UZ<%F@BKoms- zKBCe8w~07sgb=S83axNUezjH`umH#BVh}P|QMirYp6h1(4icaH`PBex-R;%5wSmt$jMhZ??3qV7P}DO(Zl^b@`2&+48E-G$#ud5Oh z-gD$-#w~Jj%-EEQF^Cr&r*m?EWl>S&R}rnd3vx#}T9qJn9Kg{1vmu`aqN)1o`mRM} zQ7-79^krG*?mM17~VIGihA?9(DzsD^d5t8chv@x{76MFur2My3tX zIj{NL)lBw+)y7m)AwMMA6y6fUacu_qx?XhiXvU}VB8RM>-I58BRuT%iTQB+MP`ikM znuwar3*uv9`|Z8r6Dhuiu+2-&Nuwe4Fha1&Mr)#ULEeK0TB7Lm*Xox#xn5#|U3)7o z(~T#G6;mPp-n}efYWk~-?~PRt)T9V)$1le0<~G*c_3wbMW09{6ORKNhL1SVE>yF2` ze1`P;7vDcP2D(>#0BtT!X!26}nAK8~(y?6o6pqQQRvTayx}rfT4+x#w9pu7Qw|q&J~*WUN}#MGAf&ctM_5}+|-EyzWd)+<6`7M z@0@`tG@TFxDdj3aVfv#o1ex_-2n=?fi(hM)gyD1xv)*Ix9;u0WTh;j-S*KM~eS?li zWVueKp;kF()bO^`7aoy$9sOYWvvhHFiGL?K@SM8R6jt|d zR9Zk(wvcFpNuPjGU{$|_fmSW>x%plish;$xT2PSPxUzPMF(tOv517n=-2w*LkIKqLZt5o&ObGTDz3#aB;$C(W0fDxY z#W=x5QsIlq$XC!cJEiXp@g_j0m!6k4+S#C8_cYxgZqn-<0bcwKNo)P ze^yHRjVe=z$~MhUy@}d%PD+hmsF1qb2R4tnAj+^FI?V#7IS0(@xNH1eFlE>T1Z>bR z)=d!ZTE~pmLswtRwE(gb`l|#wm)=C&2b$)V;&-Uf^Dwbw+<6c{y2?%>60MGj!zGt% zP>Mj68(q4YsDXcfuS5O_=?D&|dZ2lmGlb07D#ACK#zxdPG)l9w29nzSx@)nw+1 zi^!B>>kwmSn|7i7GHFES6?tGuKWWC6OVmD4Q#F63l)-uWg!8i$SIW-4((W>31{|RW z8r@vhn#?P4Ag7{+f#!4z{;KMqKpB>#>DWM=Yu|*n4sEv)hl~;8Va3jiyRlvt>nMD7 zNwr#Okmv2E5&fs|`#N^+FV66YP@xBcGdwjYdnoUb(x;XCv{3z1`eg9A0|+_HJgD}S z|5DW>_ZKVo6-5?i{`#-^u$^=q?pbQ=voK)KlS2^q0?`uK)qeTh-xWf;`Ab-up5(aA z19U0FOiL<1Lms1+u>_tWUme*2Zd^}?{1YI)C4Bm!r2MUS<=r(ge?n`Db{i)1yy7~Y zQh2=huKpE+zN|^+S*IqwHasG@;Zt7w+Kh3%7hb43jr(O)1AEdu zyzQewiCmoL8yqArYplI#6c`gbhok|**9n0zkQu+h%z}3rxc!jI0P5p=)+t=4Rx5Q`&6G3fm%5BK)_M#z^*FG;tng3mLd2LFP zt5m4WrkVb?6?`Mg+b}qo3dtCcew1B(Iw6oWy&9JQO7#T)@LU?_8x7(uKULb3A;KNL zXDl$%?#rpOWthlo3^;p9rUen4pKBUrqdRQ{-(srU`R8^UvE#HyY&LU%?Y}P~e;x7t zsm)wEfw&L_IAQnguEjbyhF}6ZkKm?B@F=t(U^NqnH(wufexw~~rkQ<;XH?#jO~-70 zc;M6!%|Vghrl$CZoBRwm@1bU5LXsxyvX`XtO)%HFas2QE?Ven^@qBqPrQm@;WxB;= zE0B0MCV-=dkNCVQ6ELazE_0Q(jB8k<6}6?&N7LCWH6TF@O@e;|O{aM)O(!dzb1lH< z`N_Yx(EydDX+NR4xo=SgQD65~mD*sRBeFr}rWNhxC`+V*i+-X7U0=lv`J<4^$ovRSFv3lViqQl zwQBzSG`4bYkmf8%wA!z&{oAecvX&C7mw-u2yF_n098+-3^F1*ZIaU78Q#aqbYbkqbwA9{s zX{j=ePiOTk?G$n}pj4RLruVOdWng* zoqjsTRcxGJQ_fZXznA<~IgESd#y(P^Tgb{fnogYneHsN;xNSvW12r`DpKL-@{zX%# z)B#qb*B$aY{X8Ub2%V-KL&JoC74jTq_!vSwX*$B|s_TUJ9w22Y=QqLtjPu>T%k9RX zkD2|IULug*xP?8Gb9jpiIZdEIaC%)p`P=@d{QX1faezRPXnnzI1g@rhOzaANZtY3{ zX>ynbpl`2q$VXq>Q^ym_d+~qjL5EFT1zwSlC;(`vL_oI>>LLPbeMvt!6WIxa( zUiK4xE6QW?-S{|P<&|UmBhsj>DN3KA!KC2k$=U?u5y=U$LHDt~wlg)7k1u;w6qg?w z%b_d4{d}SuX3gU%Y8lXt;!-(bMCoZ@bu6m2`(!e1LNK`*ZIn6W^tOMX^;l=;W5#2* z4hjqOF%MwW=5w)k4yPkCO<_GYMdF0ZOJZU5QVM*Xex^QDs8Z#F$!SC@;^kQKJ7Aa(9 z*D3be8#7W8cl*C=N)_QFIaZ!))gH_(nxEA2Ic;+H#>sEt_X}@#oN8y>)RPIg=qKpV zt(@4VaGX^l_Ewg|x2+U6Vz2Rn5)#n*%$bO+CEcc3J`NK(h58TnR%Um8?yHvc{%;G!RL7(n`>=Nxx<5#j~U;Z`)q#M8m>R;y?HY|E`1r)U(v@|<|J!^>PX3f z&7PMUd}u`r%2iXYR+)$?mLiqogvo)y)@qUM-jB<6ymmPzmuk@YLHc^PHAFU`6;=Mx z>7qRjci8?0nhN!6n#sEHvs~SH@`A;{qEM*Y7H;`Qxgu*iEV2Y(@1L;|yVxB~oe(5V??SpC*J!3Ph zlb28r#gavyqUWe7bp+fEVMr$g_ zm($r)U#$Jqe0DeZ108Jl`>>IV6tdhI+kNW1D*Q}B<$INjBmwsExVhAWPgK=OcX2*@ zT$ChzBiaO=ZW%=J{x-RL3__OSp5C^51|RWkPiMR1&}C6B?2|!%h2n5?G~tW)!FLZY z^pdw6da>XL*vf^G-`zewywwE9c-H4pAuaJ_u$e)L5ggbzD-_`a=AX+1??8W8^J<-61S&d6bm{nJbBH&_U!Xz(u?14|MOYD+ z%MV+FckH)KWFk=q4R*DkfXQOG^O7S6v!ztV8?aR%(`o_o)bVY@%+#;0q^6fj-H$68c~rRP;(tQ$GYVY%VYKp1WF zMeK1fi?i1eU>3dicxWHxls9RN&VP7^ZC|aJ^yLnkR%+tTb+U*z{V9?it_O4MtH&~z z$`XTe^d7tF7wdAM8k=J5Ch*ly=22QAi6AQK4E(FH2>KDB5!hI}~};{v8!e7C07 z_2ZGz!?!#X&2J4alC7cVdWN3g7oI&nrRch6*p?=@nH4HP&X$GIk(6yYnv%>wHDgfRi%LZHd1t@LL7gO(3~!NDc}GB zySdWL+{7>ZLhHuOjh-;qM|pN!7Z57R&t*K$$4Ur#2)>Jt73yfoU<{fHnJHr$Qz!kl(Ere8R05Jt>jm);k#cD^-UoMkIeFgheyHjWuUhgb8GH zXwD98XwJn1Yx0IK(msNYnPQEz3{+nDU+~9&`B%$|cdf37nUM-t3No@kJ)%x-kgM=+;k8qspon zW|T7joF08mh5qbXoA1(o80w7*M<)Vu_}4E(LB3|)^EnmoSgwik?CH+cq5t}?uCp^v zt1SI4%C#Z%x!&6e%$@`B*Kc^J_5okTE`4+uuv{Irf{BvW>2~II5qR=Hv~=*lqNPKg zTnV4n@1N1>{tjwxfK{HImlM9jwh7G~CmR1%pQbSj-}Xe7=HN8!~A= z+_7s2UO;L#UXJCzPph)Qe+(<1+ZvLZv}TZFM~xJYnHfhsW_r9%x-m4Yb#D2m)Z~+E z$zg~|+G9R|5i0#^KYwR#E8X0fT%6o}YHKLqO9D@gMVV>9o?kQe8};RWecGQBz}|N8x2k9v3kVu4zrEh zyEdusvnya|<>O&*X?hp6B191+?-bscwRhM@HLV|8q8uEuEFN8x{Gxew;+xTe#8$Dq zGinX>8S-4f55iUq^2R2O3o;J@!_(Q<&+u}H+3BD{{)Y;zz3e5YnI2OigN9~har(3v zAA8Bri$TOOkdF@fdA?oRgA3Z1&Zz%7S03ZxC>eT5fc%FB>5F;bpEcUsls)(e(i`cn zO|R}_Fa5=0h<@wzt_Azh!L0UhN(6c;rbL<0rM1ypLxDer+rz6!1oC2ilniM1i za;C&!`_^GwxX`eHW|svn*>n5Wfm+}yu;_x%Aa6ufo+0yM2qAgH52Onk$_ubPcUgTJ zLXiOqP^s*EPSSXo`eD#~_$5gfNji!M}bU9PAw_%fn;=0@A@E3OL9FP+mYaby$Fk^Q+0 zb+LBoSUDcf5V}mco@(P`U*3K={TRG}lgr>!>rd`C$4>)O`2D%02F+OlV=40?F^<{LrCbej% z(|Z7)83C2>$!3n0CnMGkKifEX8FXh@&|PGI7#Gz<{8ENl8?_^Exl=aM4~--y~b0S*BY?WCpw_xWE%kdpp~(k zH}J8x27M(i=%RlsMR3W0b8Xc2U!yNKOvtmLFH1ib&Ni?1d7`BG+dtJJ$%H3Q#~QjM zpkho*nos|h@tPpxajGXJWu(+bGVf+2zY?a9S`1=AHGBr9T8DXg*!cVMPqXElKT^h} zRcH=pnWy39fbT%0pj^S+q9H-xm6mcz7v{f`)i}(JKj7NmJ-lQ?*}Gf-b{nEe0|++n z=BPn~ZH3CSr`_NSzXYCLuJHVk@be9?=}qM3as@5pdpK1!+O~763xkQVN3$#juE_%|0!Iri))F{9n84vGF;OWv~XE;ahCuLXGpovN#XRD{cy!L_0aTZjL z3|g_cM$o@cV^ahHgZW?mGWBU^pLs7AxkDtg!M{>ty?Y`0wbGUvWS$R0z6G>}(%Y1CetcevdJYOGG0L}5^t%4^)QMDK z+OHK&yvQE$P|^4Xx(O*9V@kSFFnC?pF z9=txWYFQ2P?DcghZg^gIM9l%jrZ49m{c&Xcy8bN_QhfZ4BM|3dBrdOrKoUzv2~{;n`+La07b_39$spgfl~LD!&}9DkrzK+f7j zw+nHvnnA_&oZHI40ho|li1c|FP*!YaO#b4wD5gmJY-wD;Pmn{)ZJTVmswdV!1BlKC zZo(o*=`vW8kjLa}|m_9pdDi`xckHx}yBrhvN|{b)HAqbObcRVA?juMV?>{h~ z9XtI&{o-SQnVNQ9_CmCQi-7XItyVXY9Sic}2Wk>FZVcT7($Fhdh&+iPC*{gc0Eg6C zIP9O=Mo~dOQ>?K-|M2@o%pu(%=Vp%@OkrK+Qr;64BiCS4#t>R+qPQ|JjET;XqGvjR zm5UFfYYw-2QjI360dsi)Qnf~HcsOUP7}QXU*%MG=V#1e+z;_&N+b8)y``Ej$q>D1X zM^T|`vQqOCITa7j+ym-RyQEu0~mGIr+#be$|VG6<6L^Dr2<^wQp zR5QHR_QmpOPZfFV*&K*IXW-c;FDG(^=j6l2iV9dQZi~*qiiz2xEjxOM;RlL`&#*n+={ue}|b*ir%Rle4(@;_d{ zqy53DgXH<@LHC(?%Mrm3Tm&wXcrAG4+-%%@RDDAx8=o~khAoPJwIUS#C8A5wqr#FS zC3{(4s`e(PSwoF-;LpC^^Z3+~rO}&WLSk#k zYI|P?2`i`LV|=X+#tJMg zQ{wr$ImglL7dAGY-JmnW3EpB>3Jm2YeR`+V>z=EfrpIM&UR0#Q~Lt1^dq z#UY!X;W~-2hou#lOzBg3={ji3orLQm5wuIoizC^}CCEBisac&lb0eL-!u;xLoqpXyEdwq-JU9(-V+}SfIiP(}#50V(5@ltybaE)FXm} zwNURDyMdPZuENd`cdv?2RqN9G3?|sGKeE_6udLqbC+E8>vT=}(H*8GiG^{=^ESl-k zsI9orkf>7eI0ii$FE7UU?1+=ML~Fg^Mq8*8<%FL>C5QbWGo^LJ^I-bObg1*W#;0#B znu9N0KVKq#>G~Cy+kwg>RX$2=(>Z3J6PxyZYhoWnW!{W^9_zVN_$eHkMi(9RyS#yq z@h@62mi}Ouwe&{Q!|f90I=lFz>syL^sVA?Usn;d=Z&oy(u6XU|Q|Nb(;E_d8nEQs2 zN(_5k@%Xh(vigu?(iZn^TdT2imvv3rgP*eBdfN8%O#UPt+UUGC?Jss` zXs6P7Cmvn%3Vr0NEVsa`qwo3^RrA~L#x`>&epT{FlEX1?WLXe$ri&Qt8rTYuU;WI! z*j32ncOSkW75zDXtT)@pu2j{sH(9Enrb@AO#CDXiU7{Rb;u7d*xS2J})w~|T&)r|E z>olw3{(4|wP59Y{=&Ph_=ekei%+Gu_>!f?CF2)?b#b<~Y-8@<`UBpg^cHz*{=wY~Tt%Tgxjb$Y$#sL}M^KzMgI@Pjl}C2Z}SS^%AZru~DJxYpGNy@C_B( zJc0bqGL78la$hGghJfl-7~Wlo8DJVZE?h1CML#T}wZv-S;E&t~*LqmAZ?7uoCqeV@ z8O9AbnYG%BLVp8vEQas>oHt}%=uWrAeDG7wqE~|FzER7(%DftjEH&Txe=g648aCo6 z8iv8}Rn{!z(q)g|vWrtJ@L%!Xmnh%BN5-j}2mkjAsH{XiahI`(+2yb8D#u2Hm>Wo% z3q=Sj^pXSA@ITT^Qv?<;%H!tNL|rP>QA{$WLd7@C|1PvniZi_Xe|A(S38XQDw#Vxp z75a7?NeEy5oqkl8aty%$7`rA5IV}Pwslhg0c*7{KCKRaz`(#4A(8MsS10M`SOEcSifH3h$X{G z#<$y}QE*EwVG6syvCE5%B@4DcS|+zkJ)=80)ey&jCuN?Fj(_ zmRmfudb#10J%x7@^_nEMSu-OSLXu;PGWNclJaJ}I*698|t5-Gg$YQdUtyTO?_^qh9 zZQ*B;Rm?oK*l$y4t|r*2fR5@!+*x>&g9M+U7W|0{A3e8qoKBT(LuoE`Bj44*3 zA$}{r3WN2*vk?p%_*BFR*hb7$tBDKS@6$4YYhQ*1%KBvr(cH39`UPi7U)%A|4h*ht z+)QLIX;m7rkw~BmaADa{Uq#F{9F+@{ls)32rNAFHQ>UQ(>^tX&*zM+hsh9iyug358 zOFuMPle_w`pc*s&QeGZf^^@6rMx1T=663OAG6M_QkQ;fe+EbeeN@4=SS)@xbHArWh{{WBF; zxFl@xUo}srcA0gHg!ow>*J~=j|FqG5w+Ru{z~5j)xZKt7dehshdCT6MYh&$gp^?4e zuCe>mWz3OqBi1g(?EE!jBatXQrTf>Th-mAFM%fLsY%frJGMBZE4z|7_n9S+tmnYtM z&|iD^Q_q0%t=VPGmsNvnDlL91ycfFg*5S9?);>iwF;Bc<^Cx)O%`|X%b7*ebgi~N9 z-g5V=Z*e`h-a%Kz4tW2v{h;(MyfCus{Wx9Sp>ifyvk;O{!;#vT)s(gYzYj)2@G%^| zlp`=5*C?_XW4y;lg=`R^3UaG$StK5!S$)RyT}-g1!--OjM|Ms*HM$K!RQXM5 zU5bBsd-KQGyOWq{*aIr`oqK}{Q38!Ej+9cA9}=n11hLLP*X2Kp@Z_e;Yos+S6mtZh z=-@MJVusN8I(oVHc&Bx&tD9S%k=Zq#iQAs%$@rA$0)E%UmseX&JyetlH;C#h<|3PX zQ!i`H6BKS``SLYi(8#xFxezAwfl;UI{A%e`^acP zP7bY{p5hjNH`@}ao%xBb>znG%_vPO86pp%5_PIJ+xsNfgDsgXww3+h~&{^Ikq=fL9 z$lXh+2HW>97`NEDI^o$z?K5A;nmk|(bbj}(Tl{diDZ>)m|HP2~vxn35!xEylm@MdvkF7xtT{qCfm(ay5RZ&7A6Yo8Xi|QXg=_ zt$p@aG#HpC8YJdmUlFxl^)a#tj~^=eD*8AoNJIPG(`!XZXgH6VIZ2bCF%9Fh!|%hR z-BBkHk{!-9P98jn)gxvHb|U1YSTE>^JWhOZ{rJ{l7qL+liA{?T((xJM)Ct0uoy+8l zjdEQQzjg%m_4PQtJOy=5aC!>rv8xunk;N&trb!TrH{50uqcalK!V&Bf7~6eTrzty| zE5W&U2B(gvj>etJP^3Fc9~JDDXi2yGzrV&>@0gj18Pk@qRXBvfa@q!oHm@ixmytg^aQ7%;*C5wq49jP{ z>G@PRq>2hT2Z|{;r{afU))D*LyXi0N=x4E%@^y46wXMw$kN2W|}QCT$=vrc4B_b6?vPMqd-CQ02cp zN`a1`C9ZrFJ<~u|9@Azn9bc+`TJbKzDCpqSw|dl_SY^3;Tcc!7>0ru(BEo&sF)XXk z?R(cN+?MXETRZC9>uIV=KU7X*a-n}`@#mM{*U1898&dLuY)z zcnn+Se8)ZZ+7RAK^+f(=;qf=t2jHbvbPa@nz||!&52C z`JMnZ%ABuWl#L6%J<*0qP8nfYre)(ST0y5!#T-W-Nk61en3|P;KE6Ek$t>M>nHvJV z_GsTMyA_ACCPqPrkU_}r>BEk=kyB4oodx(1KAZ*S53mLK`FZWS<9!VCpwr=a>%et` z_DE~e+46l5fmvDviZ*1j20kZr{=E51%xZklX%!cFJQnmLy|jgsFH5asEa=cQWRO1s ztvB$8$YfG5($8yphe_u-!=JnnPfwil%Oa&+6}0Z1(uzkO@k_4a%l@|X%?i#b&SFd> zlJpJV8YcF&FHb1qA{#(r7*iqoeUyEygrb$wbP-f|RplM4qD%S3)wwR+q5UK%>JQk{ zm93=ZMGS~e{jj0-VA)R9;0x?c2Ge6tBigqzl{O92K>D~!_Z(_)TSn_@msW5oJY^Y~ z4(^~rX&1E_cfsTe-Iub2)y5FGYKqXbGibHEli~#5Ss0sb1xtp-)R@)@xzHM8X6u>8 z>-h)q0;4uwHqOOoS*VbdFdxF}TL+nTK^_ z!=Iz>K%X=1Fur54BmEo;Jy+F~MIS2aC;Q9YlL9T_EMiS&R~>yV^8r@&ttfmxpWI8@ zv9EBkaWwYJ(zr0Re+Y^O$t|Y~q7%qL;F`k3tb$;r`zJ{H*bulzmk`lC;}ds3%hM^M zo~yLE;J^K&FkAYlNz_AG#gm-<(4_ONmd5Wf_7!elmcBJb7`=WB`&J)<$pA}0w7;7e z!$#d}y#Ca0a*_C{(DVT|_$WtypohxqK;asYICMfI0?)uqPVx_}6v*Ar8qey6kGE>FleH)EQSK4Kd(yS->m zkgL{JOe4Z!Ou&|AiMlvR9OjHDay~OSXLTV|68ED*AWH+{MXgCe7igIl8&lE}#Ebk^ zUxd?tJXl7BaLMk(%O+a2%~27NAu*UDsniCns9DOvKA=c_4M`joDt8*SVVblWqCx=* zP@0q6Jr0u~2QEpsEty>en#aI)TMliSZf3fMR;o`rRro3F@ z@ltt5$M-rl3msJ>n}73tU#yNlGg>MkIg7`r109-zboCE-x56r6W#xFsBT5ldrplB)5`R8qj?#j(8 zo~?F`_ZSX8LspB^M?UvNkxLc)lcYXP3WJa&#$gInlC#Jqf_S5^pcDuB8)DLZaYYaqSL>&>o(aqQ92DbQ3TbQN^l9iUyQPdN1(Tck0&iX%zsCIkQTCou zO*Q?y@Wuv;ihzOw5)}|p%B?g}AQli10Tt;AO7DnB4+JX$LTG~0f)tT19cfWIBE2W{ zP^1JBN(iLA6Yu9)?>XngIqUCQehA4iATNkW29?7YVod|Zz0WO-s1A6lVENE1ktz09DjZ~1UG;tTf7QS}!^PcLzm zJ*p_FkW$dkc#ZfdC`H&kfRnBnLG|v-qDN?dij!ZUej|&cwY*BC#Q0(02<3(E_-KtoyPemAg7=ZShWo>Zz-jDA zK7!A)ZnnR)(mCQ{`#9;fRsYU|b{m&c1UftQK%A*-(%@5GC+@TItYhx6z&d+&P=wkB zf8l@CUw=7|fbHC8Gm{q6wvpD`IhJOr&oI@zlG16vE1AW>!3+WH8NR7&+x#zPntkwt zd0!H<0t)T{N^rwp1epCBY^ISCy;Ij_m{8a89IOeBUSKftLrq2RSwEW~zy)`NWkxP6 z=kC|Za+vl9x%Shxs}(b*I&iwAf@&$xS$cNPry^g^NB}-`kC+{gjAd|-?%dt$1bkGXD8`BV zGGiLxY0z6rP)S33mTK_x9=_+tt~%hynwCo!^A%yOB6p6YRsMQj`Oy+getj>7z)#wHD%?tcs_;P4ImnJ+I+W=z3Pk4=q@T_b9cu~U=u_4b zg5kv=g#48L66-4L0m#41N2cj=dg3(t=kVOMKc2~Yr{7*@LLu_2R_2@LoTS_GG1FN; z``shKJ51=#P$}E8H^?`U|K=MNkF5kB_uE(Y)ra4>gsAkg;bHVCDLYSt2akV5>khX3 z;`rlK3XIvf|24oQDk7^o6km7N=l*IVW6z+R6z^RZ;hmLJXR2>K?K$?i@nv3CB*)}d z>fs)c!&b<&rfw$GE)PyIbV#Q!JWuq$6P^aa#pS~jpkC-VEcfbg;XFE%wgsH*=B>VE znBFaa`;V*f(V@uMbbo_f;H77sv5oGh8GFg(oE`b(YKK22z7sMYOh`o_A7_FqN|flm zaRu!NSpx#Q+JU0s5QbIB!An44#Z5LYngvCgMW*V7s~+x`C4S%{^fG0J35;PQJ7Hs> zIp|(x-}1g(>on}Cyclcehe9soTq6P3B;kXmO~28jtl8Q^4?9<7`uM zrO!Xf);|G@pLg%^1|D*{wd@^YfyPNE5!6=z^_0MCZb4wGFvozgH}u& zS3OITKPYh*34th&bx81n;|FD^WXzOFf-w5=j&#bZ3$LtMqt>~M=)3-g--^o_^NUV0 zH%ls9c=c>`b!ybskd^0lH34BA|M=f}bDNwt0gOBTL|ItKLH%Y>Y;Ipydl^fDgcwklOboeSy8>16eGyp)oCWj;{}DDPzWr{K7J8Zd0CVL$(?;1dz)^t| zRL!osy8~rPrGWPoyq0F4__d~Ir|vTcI;*ghvSbH+agT7P9|-38(ic#7ax3ithd!r1 z*aD{Q+9lj3#wC0~66#sQCmk0Km71y7e&2107n|#Hb1dBf&(!|KYMjKmE`5vfaPv%fXksW>&nh$?u&Uv@M5=HOI7Dr=dZ}~m8YgN$k(YYeEUT% z##!emNvLr+5w$7+X-ZvBnDi}vil<00+lcjGnseUc$Zv>?oe;1VY(*yI-}FBi!U}U!H4oBc?k)ZIqUmwU z>W@GeY2vLkO=Vg>&dF|<@tCOn+)JMbO4dt*1`SEw-6Gis^Vs%P%3yDbPx%G0Dtjix z$%Gbmnrjp0PBfKfbn3NE)Yrwb;e`*7Fz;$E7T{Ove*F~rbnm*C zxML9eHK?Eg|Dj)1rr6>o_E51?%K3rxhy}$s%~IniCgh#-P-nl%6Z>Qj=c1~1$=ugA z2GN>ixJ|oLDg6_JColfava;A`oAPsQ)ID-+e91(?85~kg;Z`q`V#xWHfL^ zO+V4WT?w|p537gImkh-s1P<1nrIenifKjwjD)2e3FL(E#iK#RtCUg@Xh9qVUAh*xd zBrHv-6w{ve(F9UtNY57ZDPAk|X<@PcHPT7$h-<*w>v_RUD#hGEFbh{53(+UrgTPJh48}f8YlRwY=^rAD2?c zO)3m^k>JTY$6eSvmb4pBGx}9=u#Sd@C)Kn%H-y;O@kTz zFq8dBQ4xvizVpo=?O^Q6K6hqN%O~~2(-{HQsfTla7!t8Q{0(vCOU37&?X0YF4w>HvS9xmrkjzmuhI$xf@-I97%)e5WYPUDivxI#sFr z9e>F4z=EG?Xx98+Zbr!j;2_Xb_0 z#Vabzd@>YFlLv~6)xVQ>6&>chxGB1JO?C=2ML8zdr?80mL zw~OxdFr}o4@zDLoB(ff~(kl|S?Z#*=eD+e}cg5wT_nNY65rOJC-z(MQYiYtG8nw4Y!Y+; zf1Iz+CvCfQ_AHj_9AqG181Xr`DcG1C+jIzZ~%UQ9o4?@n^u{h}ekT~jl$@W>GKJCJS_CZDAJ3f^nvoMxR zO_3*{js1_cV4z9uTstQ=^%!t%_8(HauFcjM0k8isjBM@7dCtzL9x5|wQYw2N(t3QM z^q$MnS?k7`Ku~(V_UmSUi>2-B&aA2lp_xpteQV9Zu*7%Z0urEa$Un&!tk@v8HH$BXS4qUmy%Y#OTydERO-*K?fZ$&MLsQLWLM@9| zkwNCLXpR{-@K?!HG>eKE$RWQi_P4CZacZi-tICe$$tK!sCcP-~!K$3w-Ix!~m8bC} z&1jl78_eoROAXpq4jIl?DuMl@HQmLJK5wi37lJHx$ykok-Ex3V+ad zCaHEsT!ela^BU=Czqky_F9MjWY?Rr zt}sLNG0$vQnKGR#_2byVaYKC@PMr4DmS^AI2o~@Yc+OYH4Rf^~3lZI&K%9T0tWFYd z#JV+NLivgv$_MZC`5+tCxDyZmQ&Ex1Qy93YJJ4okbM?U|&hI^x683mJ`jt*Cj&XjW z`S=X7wKmVFqz%Z);kEDli;Ct;fO~)Z&P(OQltsK|HE%G2;D3D+7Tli}1Bi zk7OB*b4;k$!G71odnPoQC@e#RR4E` z`e^#`r;|{s(Gny5>+pg=z+irkZd|$7J>~U|)7YpcfjDnVzrA<0R6o!b0z1Fe1u}wr_5K8Sb$WyG~|#M|DI$8^NL&{A$dUc=N=_(ofhigc;uA1a3)K zq*#&v;>comi)(?{^F~Kg{j1nO6U<~hP3Dpnz z4+C<{EKR1@_jN~QYZ!P&aqqwT=f{f}ZL$tM2}B}{pV6thkHp`A!AjJKP1W9LN@>3> zaL0olEhFEbm7poLzRP3P7|Ev0kGFV%A>PlwP!BJYTA)PXVaM#(b*3=9?H;n621iDD z%lE537%kE}+_i11YZTl81qLI3s;esM5jDsUNm6rRvKrGed@e*rtcZBW`f7t@L}K9M zo*!bKBI$27kC7^9ymd=06D4*8c07C-KfRJAMi?*59QHxojx(~o^~xx3H`5m}tIz&! zKCNoJ!V`Hh6ryr5I0ck%dV-=UFl;Kf&3V<+jW-}#Rw$ptE@3Qc_ob-jY1I!KXd15v zp6@fsf^6ASis98dP0|Rmjc@SFxucgP`t3(hrCZ|Jec>i3Bcu6KLmd;u2P*!zNqR3a zc7?q5ik#kG92qiwg;KV9)sp<&`$gQBfBgs@B6@D3m(!WhUKpwHwWn6R4iVnsB2jbG zNhCS)X3og|v)@NsX7_yfZYzY$dk4JhB@_DjSXGje^@zkC9Jt44*P?xV!xhh#F!}kH zhVO4B;jqEhr(ULFe))Z}S{TFt!dVH1tbmcg>g#yL`*MBSQ~C1Aqj9!p_qK_y=>%SC zvGOIwkX`8oNEf#wH`>KfHjhMZtltur60TpFfc%eqYer&UR2Gg{4CgX*M5egtVFGrH zcc##mg#ZOtZ*f>z>MI4;Vwxv#_D!b7+}NYhy4LiEZ%(La*`7AIrOgBFiM%isF3ULZ znR?VQVZ%?rXVBl~Q=@~o`E$EG`yZi@yUr7$K}|lQlzW+)q0CpgXRMLb*G&c zzKl@nc1~W^PCgSieIYFDMb*W+J)RGqbbXvTt0Wb=onk?e=vp@7f6xDOf{4!5v{^f} zIPxf2#b&Hx-~6wU3iw*Lt+EG3XlQ<(5S}6a9%G3dUT@gRD6krwAvR_7+R2pTwKYeI z{imvi#$Xj$Ki%G#YAh?_12}IH%h6dT6eHcY0a7jme0aX-OZ)QDJNsrO_YEnvzr4vC za}DN*xIxJ$&QIyREKof|yFuFfC1k4I#z?V5)e8BK4d1E61Vi^No_~H%)E^3TM?3}~ zz7PC``FeEAl(Oii>FPG}EqkcYBW#5bVWdlMVh3zR$I~SR>$MF2})1$l=XMEU%UrVybzfIM#=F$~h!;0%IBD@ZEvDlq|9) zX>sw&KC~r+yT`Rm>a35;^qb`sNh?{k$l`Ou#mCl0H1=FPz7TXcn6ZD>+cSWAoF-je z`)NfyMlY!}`l7cL`FpVBGaX-G`tf%$eQ>K}%O#!falKD+7OW7uJ>>^S-)HZuHDc`V zVM1pOh=q)w>tML{a1%d4G=gu-O4%{$u@;PoR4xUIFB95RNI5;-6+C`|q??W}JgM%R zx8P+I!%^n%sUA1IC#rm3sU>84c~8ofn1@@--~CA!0Of1sSBJ^?<{eZ-&HjM&WZf3( zeSLS2$J1iTml~%ID~h~kgFRCn5Z~=K1rDM5E;6A*2-$$oj6TAbg{=gg*z)pp4b;|= z8jhZ(x!u(>_xZieWz7RXTh_bF^xmM$?V4e)Erd>80kzJ+H~#dd`;WAr4f**xd0i|_ z?i4k794X|=b^unn7t#U;af&bwxR9vL<9o|VkG5VjAcSHy_29x6*jc>s_w97i>U~Dz ztt-k+HlZr$>ham!GRiCPO9kDG<9}okYV^n&t7)zSG<71)!oI}Bjn7yH%U$z>YI%!G z`kza8)M)v_y_$Lykq$!ntI5JJc?+;rC=O0h#BKJwVdjL6L5IQ=dj+-|Y)EuIRk!%+BrvIp?n2r+xaszd7f_c1;w08=`ekw5azSElMY7yzA2z;hsMvYiy=g z4^x8g4DucO=Y_vpR_lVC(*UA%MCe6$;dhM#7pC1PqWn#T$0$}UL+JeoUthT@WMi#Z z&Gl53#{9F}&)XBjuD(IJE4QAGttb`V>%Ut4O~RojNh$SIh5VxBlJ%gXE+D0Gyzku;(ed$gLE zg}Mtp>6Ec4y%P^R6tYsC`1oWqdQ0mb3^F072!s2LOo%6{<)P6dlDBy;#+eIOG0BAL zQHMsQvnDOx?l_xY9(be_>fn0+LYSC)&kMuyAMl@7iB2~e`@!f;ER0;pgo>su=;tx1 zTtnwsbr4Zzq<6e*fuo6eda07OC#^~=|vjgfD|v(J#*RV zjVM)Rn%uhRCGZKAYsa^p>F0KjJN<+^xT9b9MtmBqQDj0{)#taWhSJ+LLpS@Oj0vz9!iTQLTwH@Z)~>P zJDW@4de|F=%#PJbQ|)`?HlvQ1cX+seN}+-CnWV8r%A=w*66t4b4T(KaIx3*I|jDDu2;;7I2O@f z6s)aCG-`ipqt77g;dK{YQ@$&{1r^_0^Ru<2-rCjRsc)YOQ`OqFAd648db7}$s-vHPMGd6X+{YL5mKlQH)YTLzJ z<6S+Ng-?S0B56 z0jTX^$mGLpVa}X$ZH&C6mHB*0cRg};aEiz4dHb#OUuZ%QAcYA)E%ku6*AGXp-5oJ# zMG9u**t6;W-CV2IN|8wZq8x8`J$m+IFftxrFGW5#X#beCPpDIGm7R#Xd2R35*CV`h zt-j{@3@`vM+l93P`UnR+hxd0}<%F$T!)va$`}cMnWq8)viAy41yRRik|1Z-ckaqjs@?Mz2%@<=| zYs+?ZdH~e(F=5!aHHoIY*PWUzI%#3UuBt7ncH&zuvrrt_+^FRB-B_Iu`o5uq)Dm#hWII~FFi}w_LhA;qX$SJ!d1JfrjXik zdEI&{n1n1oS04IIlr8+%=^P03l`_-I;M9|R=izo?$?4QJgRD?wS)=lLGKw{Q1jS%qNPSzkp1T3SKBPYO zl^b{iyypG0@d63EPEoq2%7YQIS-0w0M))h?gU%>Q;Jlelg@ zsZKL*E-+J9awt-Q)xhgj_C6>JMG~~9=98wSy#Q(X>VB(^RCL79%{{79N{fL-aI9O; zD3Sustz}Vd0nud&dY06p^vEAIQv4K&C`U+I>Y6>$|Mc%yl-lqIgHqRuyMRk9tC%qo z^35tkpLT;2_kq9m6Ufa|zg!Gn_;V3atVL|sm3~eWfI_{ZAvrs)+XYq|!`S)iI+bL4 z2iRyhI3N8yML~_7>kIUO)B}TtKl3Kt6t2gb?{@DE)4pp7#02*}HB~FrJEE>s5){(9 zmp?qcH%v3@q5_b5G?Ig{oq8aSFLlfj7~a2;am)-v#&?ZGaSn9T%79_L1Y;oE^4Z0w zn0h7pZv%%jXu>m;^1P)-sb3kiuRoxOzk_uz5PxMxLjQh3OQN{sL=&Se^P(QP5r`pf zlpvfI0-UyD-?L{Oz$9b4_o^87?fYSLx?ioILsZo{_y95tn|$o+#nbE7)O1R1w)k83J+C|Uej(ok zNIZFq|8?gf_Zn)-;x-i8*)Lye*AZ#$<(Qpm`U(VCZ6q?|&Sf`phZ#sumlM2^7yImU z5+2BYEV!ryTj2eR1?N-jEBPP)Q3Pwf8TNsC3vvC??+KEQ&x6?rJz9X1!SYiOfbJo! z4O~+~w6q$J`d(O zsWl>m`==Vt2jBn4z?i;#m@Sp-hX1`HjKBCzn!(BZuL?ad7E{6r_iGdd1^*`Q|30m^ zD&`DQS|8BV+%PlWby`DLsPo8^r-UkC1dmi$r}LS_dCeyYe$#+OsJA( zHWkf1gMXV)rekMC0By2jwTVGuZ8v99J27I3>2l9Zc9y;wLzRW)GVmpJls;x@xejRcQZWg|_rw?fbaw zI(kKhDvvimatVeNoR;`-1HBA8`1CMxwmg3sjAQ;MND`4)Z6xjd)NG_6Yv1}V5jd_~ z#bM$|jsJADiy`c79mMAs|GrEU(JLBp(O?aI@aINqMR**TdrWvC@G4~CD` z;m`UeRdYS3-l;bi9nPMbFMj{^>DGmOljHGD%GZl2$1j~y;gq>Ih+r=!-lm8;r5veC zG_D#v>s#h>W82G7Q6MyM#oh0{T-`*i{q!19=emhedD-J8?DWIr1dMbh@Fz z)bqTvoB%p_pjGtEE%QmuS^o{!gM* z|C-jQ-sK-Kfxwb|ClSL%=bM%2f<)*k}ebRCSzN;JG9fs9aMJoFR z@RDZ&NKN~H9&d^88EVj;3fPS|YS>?+I2A7KM6j4D+Xxiy&T^=c5KQ zD@Tf99UF7{$GshqYr@RmyraXWsN_o(A;cG1q043rjg`Oeg}jre1?&LY9nNCX^9VxpUp)d2|VLuenbEntgPbZRzPWZbg;_yiA{X(lAL%I7K(?op-nGF@@eDoXDjYtWhaMR}$$7VD6Mk%LOz*4D3fZQDBpTl% z$zNXa^nE@mQsDaD($nj10$0;Te1Fbfk}F^vMaWX@Q#jtPnheQAR8JG^Z+Yq%^gW4h z?~32UJzJA#{7mhim+sNO%{9E;;KZQ@i-J=cWy(}Ss+W+l~>`FhT|^3}u!etaZ^XNafthfQ^1 z)ulyig%yc$HfkVQk2WzzPg`=)g5g;C^$CLalm+EZodWGhHxrs-?+HA;ifUY?#}sy! zlVysr{oC&ePCkm_2EtlxD_4d(6tJBoQ(dnyM^twWQ!E2yACWMP;zTM%qhT$x-_J0N zo^0crU63f5?^<}U>Z$K;Zr3pR&K?1)If#Dp3O_+?PISISn7eJS5kn%3c5)cLAw>SX z0mI&DJK-isQM(lV09k(#*>xPgE>zfV!J{$VbrNxb;!EVzDaR0p!UOpc>T$T675(L6 zGj~n@_!Z<%WhJSLW>>TuKXEDkM^%5_{DTeY1l%5tMNQ7P=!mv+y?x&1wsA;dZ0%xz z$CN)o!$|V*;XlcQe6trJQZuk?T$qRus>bM~cm(1Uj>9d!fqy=W-Xak%EKE<|tdjAs2l&Ecqi#Hg!Gfg zk>fbds}^GuTICY$5A{j{Zb2iVPQ{_giyOVR((`jU9?POZD-w8dFRNYxvJkgv*r3_b_B@A+mp+ z30?n11S4Y?&OgehJqj09c@6r{7WWp)E$LlZ#1ZW7DIV^=FowNRVEJ;;WY0I>A|*j@ z=?4`LFlFP(-UZ3*8a;6g?LzK9elG8eMj0#kTZ4Db$?I+U-V-X8vsDeWI{Zj29TG<#lcmr1MiNUxcSgl^R_dNz+}+Z%9wiUv^H>icIm|14Xip!MFl@zZfJkc-{8f z+ynrG0+3(|@I@uUO^QGHgI72zyhiLJ?&-hp6ma})(sg(04IfvxJva*WTAz%()Oj5} zoxTj@*bhsy%@^aACmBy%#rL1*O1g~_zLfE9mcoQ=Jf=jZU3eS(!kha2zQklHBwj2J zU5GbQnA>mlME2TE^``~9e^s8!T|PCr%O8Cxu-E(Ey9|I*kWn~=<<`cdmzmJV`LA;s z@eNFqK;dS`qjfj?J${g5#xa%@ zT)mog3ZT#EJmb}elNdm&s~!@1f zg?d(pI+>_NKfIZ)QYET${_=Xp;FCEG~DBVb(58;7@eX*)NR!N#){j1Cq=?qY1<(`NbU=JZLKtAos}!+l4=zOu8`n zyH164_*L#guTQbhpp@*bs=hBKW(_-aLzg+R8RhQ9Oca~TeqAZ|%#rmeHe_SjgBF0v< zBt`kigv12;iAB!FUG|yaP>l5i*EW{xu9;o^^k`l1c9hcb zCjyy0Z{cs4iMJ0$J4{t-958SZjfa9vNz9h>q!0L zo#M6+oTcYm+M3yWCQ99YQe{x+-836w1Svh7rv60Ku(us8E!f%`H)!}n+}R`T;tz3X zy0#z`qInH4p(ip}?Iu`B{7>X7hS(5IQMH7a+xT+3z;I>rbE4L}xVLgv)M^Y zg?WoQgixYBXZ#vJN6$iVO%)Nh9#wQJTTLe4^L!+2)@0=*`r_&R8@Z<~K8jp$cK`76 z;YE=-WC*5&pLSG)oI>%=Ps;;hzrbpJKw$IStx*5W7aIXr#NKiYys3`= zK8#T?I6_)K?6j(f4W6=lr>a1MNEP+y1EZ!`$8phb3gx}y)tObQo6fC084>)PBgd&m zwLRBhpC)*i(BovQY54L0G3G}kC4%b^?EwXDV=b5L`nyf6cnqh0JW4TE3y~Eq>iP6V z&j&My?bvOZ#;y@GCU- zp6c^((@9VgphmWuk);#MK>oz6A4XLHZ}U9^Y@QV_KGQz-P1`}SQtF_-s^-F)Revb; zKqzoCVV}UQdUJ@_^~RGwLc3P*PI2+4G|}c>vma4KH-2i}e=r$&_{s|lA#=uWByS$@ z<7x{mx?yPNrq8YQ8v?ho9F+T7zOHazdi#cNZ2IYm({Q;Q&4d5^BfC|5lx;hndOffa z$vuG=!jx_;zri1`IYi>ya2ar3efGRo$7`?HfK@DeKyIzMqQ+y<->#gBaXy8Up!ciE zsJ`F^RQUK6;>1hDA+P%?M%2BJ3S}Paub*PObQ4wc*0`Is(7HCXta6lX{eEDl`AMSQ za#=xO2WG#OHm4by%P~PGHaA15Ammikiuy(!sWaxd+erg2JCdjClK8z;S z^YrKqIG-boXHx}kO0o;FD}Q6xmzm=8q|Z4Gckuek={F7hwxgfD-WU^g|GA1DVJBOY z=6`Sr(_BedKgMLjuJFaO6C!BO*iwa>a{|?^> z-R$4YzLeA$-)+4t)&qm~Eih(J^FuwgXOZXNz&%d^>!tgEbq3XIwCwA}vi7;)E4ok4cA>%WN2e zs^6{52hCRrp@__`IG^zV4XQj|RmLHa^%zt6UC30Q;Nvb64R)+K6{jCe$RT`!nEhoW zXaZ+0*z9LVb^TSlR>Mn<@1mXebswVscQ35R-*udp*XGO+E^gbl4D@P$)R(d%cPY$u zCL{^EAuM~vd=nsKrXy0olsOf^q0 zH)dNO^0IQRyAz;_ykiinV@HT)kSA0hBG)=d?=jTp#wtVDO-Z#3`e%=I^fn$wm3I+d zB1EGlJZ4*(*B6=4a`#?Ca8y(vILeGQqqS*4{*x?^zA|wMH}BiBGC|E}jG5Y1Q>`tk z9&WpVV=&7-SI4#ow?hKATRM@I6U*KE%)chI#2ns!EYkJrczD(JD_D!68?OmfvO2S( zxi9G!DEl8t=WGSuQ3}!6QG+!j=hK)_iD9Ae>T>Z)$Tb{&4M{eILLUs)Wc@CubJ0qW z4CzGIgrSk?#&QZ413DKzksDn*5xf273XBDy!q^I%%Y#Mz^h_b zx_31u453xUDmsne)yNelgt-kGZ^c5y^e-ZC!ob&*)h;x*^t11Pi^#E5l?j~SmNr@n z`F;mYw%?WerG#9G@pofAaanR=0Ex?~SjY>=(i@Z9vpw%B;#&70IS_egFuisHJ5BGM zcVa>(0L+eGQn!!}eI-1ojaR57Ce(ETK9ahNAdi;t)^fJY zHR{tBu0FE3)3%uXlLi}8wHCFfmDbLWP?*J^RVWcz7*f zY!!SYH=fJ;qX!RaoC~iSOizGvy%M@20qE}wH7BSI^eVHA!MY9wn~LUUCKTtkz@VE{ z`(sYciS52XvjguZc=nCa6Pz1^oZaxM=#}n0nhE1QR9xUIh6#oV@ta`JJHbZy0v~?c zyQY64JfxZ3BBi`nyCZ!|qL1MRW1KB{u2IcsDF%F;`J(y^{u)_wZEu>Bs=iCygs?Sw zt%C{Gv9>cGMBtLN-O&HoZMh9u0%Qyc!hh6omip8-_EP02-87+3>zEGI7gtZq$&RXbZf_hrB{9Yx0I#rm_-h*G@orW@HY z59LiSaieB-jm$A*>0sCfSZF2YC0)l{MFHy39s{#A5xs1w|>0w-0cT-t1f!0!fqA~zWLI}!BB z)n*1}bs&+wntG`G^c`OZnq4=tM7PBMvvZ>5{fqRqt4yf++A*mZ)5ediS$ZT8FyMFG z(LuyENvh8KAcMe!s^GX>!!GZTi#+IYMH1R#%l^#@ZJWe1|Fr8bK~fJ^_8BvV(L4aS zFgOdij|_Oe$@9U$5&l(|^&%!To48X*eO^>MLH-TC?jb!z1`nTzp(m8CdXrmGvEPSj zZmPMA4(I{!QUx6F(%+ZqxXs}SLJ#0tEHK8FPcY4i0ulrp$D=<+cZ_78p@KI%rc zul7&p0k*g<0(yf4zQSfnA`fY8z8(F;s5Rzt_zPgEh|RRNd;+|-Vu1-Mv!we~zM`7Z zTsOw^Vnz#{gr0&g55{QumV(4VcCnr~)4k6#&B?+h*twiCTnR*h#Aw+A&MSZj>Kgpd zDh5_%V&qAq=B*}%>;i)zmOi$<+xhmbdC&?x>qN?R?4Az;M4xo6OmqWkxx^SV`f3}$ zxi*@B#Qxl@>NimCU)yIX2Co5$oeKDvV_G{z&Lp3CI4wz=2L(~dwS7R`=p~o+S>Sg6 zc8l6zVBcYzicUd5G&l0%AQe+?2h<&)$m*1+6-M7-%ZF1%>u7+>uKaqZtDk?DGoht@ za4$wMs2Xz57LjFAj5*d%F9=2;np#LZgP9FuE5R3Kc7CFgfb<;;zHK2bx6|$_41*-C{-euYc8xUhiP>G@7u&XjAwTc_5fMZBzCH^Wer7IVB#GhgE~5)2p;%Ox6kOfa3~q;Ll?qwu-lq z&U$0gh;pEG*m95T*fx1P#N#`_6NuV=_k{;KRyO6^kKqg4R@@bjMv*5?Sqp4kRb~kH z-oO15Zm?2r=E{m~i)Lgkg8)=p;!I7udjLZ~yuX=o$)BaOBf1GgQD=cF`&h}>N8foa zk?*S&;zB9~x&wtzU|#1|pxUQ55ccnCnl|Uyw$?j+L5;sE->upqUs$41ZicX`VUiNS^8wL6*s*6jtz4@+kr8E*Y^Eij1YHIU1yUMm2q-3Y<_7f{XvPO6^G zAibwpwInD5XUuu{Z<0ePugK-Mkd#|Ash2@tTd-w?_nk(j{4rPX+|u3$Y4g-{dIG77 z^8^>+1PS`}S&wx=z@!k!`@u3$3y$UfU|iVW)dYK0Kb+G>FUg*)6k~1ol@xTH?lf`X zXP^W>?3n7|kNvVp=S2kX3)b}l;}kizr3$UQZKo^4;b?JZloTb>N6dlsIB;eoK0jxB+p|xP8PQR>iaj#U+tCS z69u;lk%u<;_;Gf-fe>l;;ZGzh3*xPU#*q5vO(M}wk?AUiGA)&5sWw}7;HLFyDWuP-qOkj=xhMf6;|PmjlnodXF) zG^MUC!vbE@iG6>|U{>LoMX!=JU!|J1UzGOJ0VO}0>^Rmiw)zT$%sfnqwIU7@@6nf% z8Cow6@An*}Obr~D$s(}F3P@5jJ8o7D<49PcrC8oo%p##zxybf_zjl}HW4WY?y<>nr zA$uJ+*b>OKRU8Y%PibF@=;2Rh+abM@rizP2mxnK+Qz~A^e`G@U(OaOJBFV>puI=uT zBNj=(?84Z6VLw1v>@C%?`{h#1DmY?5?rs6zzT-7nm%jLK83d$gL0%jHeyZ~>ma(RX z7ol`f<*sc7+BE-L2hj)q8{Uqr@W%cv0kD8MIhUMZxQh((Atq#pfJqgFFEPd()5qvJ z7Gu(0;DpGSk(~Ei7Pxyb2@kS2-j}h%62zXiJbK!}cDJN*;F7?jo+Wgxlz^r*v5Fa% z%)Xm15sui3b(+DHSYwm0_-gu~;z3;zy^-U+XERyN+&C80q{;*JC%{uM;jNLAxEH>&apkWqrOEhM^QAF%&c zP^_b+^|oy(&HD7J)Bc5o>XKP$)^23$^ldnfrjusTQDEbKFE$4o@k zFZKMz4blFM*57YD&c9I&n%_AP@c&%m`(OVfnS=B{-zy`xO)4TS)fuZy=$QI!W4P-N zTk~y3Cvi+1`d% zA+gzn0&Bh=t`e;+ergJO^?|^LQ4QTHHtRWY(A&E3*VG5(p(sxKw|{S@Zx`R#xx3qW zMU=0I&F%EIhKL*M*Yg`+!1&f+e4?@YZNf?3h7AeHI=$$o7d^o+x*{MozVBsdWR+Y&Cy zsZ$_kg(yo+bE3lF2bKkN3=J#@`9d}BKl6X`OkRn`S=NQ@JNX+v z{iB1RfQSf4S0Y70sv=E90xC^Zl-^MR=^!G#1W~#WilCwpq(}!Tp%)R5CemBzRazjS zgh1MF;`e#(Th_boy6?T~{=pwfW=Q6o{V99zIddk<7LWw3bAi%&0nTJD3NW-E8z9#^ zrGa|L`QTCW@~61jyFe?(IF@(=OWPXni)d9i;jA5#l^yvsoiX!f2nRzZc`5LXvSQ6ap zgX##EouHrC>lv7j`J`wYQhqG{e!k2Ji*Kh+_$lvNmCGSaChz<>6^%*pzz5b-V-(Do);@~ zyN9`?nGy#S4BQM}8+*+{Or2+xss{o!2~elh+^FoK(RGD7e!g_;GE>WTv8VRK=1d#| zaR&D%Oo0RqW1)?8jPs$9G|Kw!vr}rAxC|9T3D}Z=<2bD<_W}P+tN`|SZj_V?opWq) zw#7AX;Tl(H)>+HK6`hy{Y~x3eo*8KC@azMt1s;Sz?Sr0 z)d<_R*`Uh+uMi<$s$?-rgfD)V{fft1e#HyBs^_wZruZmcF4$|Uizk&3)xIzglgK~T z++>l!+x2+$#Te73GrrLym-_c*9kUzz?`rUls#=CRxhxy!qp}w>M52!}ceXAe6K-{N zZu7xc#QTC}r`u-fmA${%{!tsDzgj~9-kaXcqxG2&E2@Gr2a4FKDE9;j{*Z6!oJv_e z4P~|L^;9`Y0TL9{C_pKbHR&vJ=%3Qv|IHtLc<_JQ5zU$(&u39HB1yg&D5v&hQHQ~Z z(=<9Kz0~1N^?0dwqDSIA_AqJML{(cyp_imw3b2G~l7NlpmQa8-x{=L+ncK^yJtEY~ z7=kED8;keCa;f7JGF5VNH6>&B--S;;8Y&6VX;eY0J_~(G7xMhJ_~pxj950_~7^!%C z4Sb1}j?AiW!sPyFW_9MZYuw*|>yt3G8sBka?LZH8cQtAKQTLfHcGsEcw#V^GhTFV& zA^nt{z6H%zQ_j|(bwPEvHpH~5{2Mdvn%eyzY5&x|@_@$oT;i96>^P0!->;3z@P4^85Hg*{by#`u-753&kPH+&Gsye89h zwRZJJS9IW~m2p4j4ekmiAn$hyKm3AAr~yuUn4}!-Vem~bZu8*ZN1ua5yriYFb6dsz zf7ohiJHN{Ji+Jgc{c>1qGN5}hBS_@<)GhNwqX)M1)U8cCf(nWhJYX)_ zqrvRbf18w3ekm;3OeVwS0!PcSTHVuYDjfKrg63$V06|iFe)^%CWI*mDUT^fzpZVs1 z(;20^wtvz+dxJc@d{|8|!T?hooaVk3Hrx(bPr5HdbS9?Tss=*KpWo2pI}6%7J1bMD!lNz zFOKsb-kba=xiIu=^iS(mE!SqBv);=|di32iLJtuyk3V`V+I~}ebDvgnw3r}gHGNHu zqrRbV-jA`mT691|dpPPcPwYu)bNb2F{C?n|)HBV?u*WqHOGq>1_!)i4+Ak&1A zpfyDSSaAiZc2jEGFUmcicaY2x^VUwljtAC$LFn2Ayxf9C$u)A?JedL&n^WRb&aL(9+>ErK->yv*o_%%(`0^x~kxlIo($^1|0S5evymUcws zt7MiI@HCf2(v4P9^Om@k^&*TL-`WmkYdU4(Y|Vm%ot$i4=vpBdeeulcVV7Cut=ai8 zVKvoN6RLIb*!%;NbF}-}?>+cFJeW{qp?=XMs=;QVx9tV~&)i_%bJ)DpXJThJbjfP; zM!YEPOy2)+7wd|$<01=7pb93gViCbs5h=Fb@&L3xY3Xb6H#(^hFQs(;9Dxae>^H$Ii!n@5M3h>?We`kSu9{Ix2H?w zFgh@(AVoGjuX}-zT3OVNUVhbLa{3ER-@?2Bf%nKAXFgPEDUNf(8{5q<84Qj6IJ(jP z?f7Zoir9%xNZV%nhXdiHULe@tvAMDt?M%2BXoo?x>Q0LNxrfaSZSZ+f|~oj zZ}B7pABD8oow)SHD%C<0SGOy_kY4#{4P+;T7KCbx05ro%R>oM*hj@V;2P3nHju^!0 ziPbLeLTBkH{=plMydQN(rtUJXyfYSZ@2ymL?7TCySe0B73}%egIkTH-B^zV@#y@7m zkCb_vO6_f~My~s?U2Mqm1k|qAN1ad`j}f?c!xjkcazvH9FES^UYBhu(F}DYH3Y+Rb zUst#+BvexvZqgPiICtX(mvX{h=?`gCAI@n2I)_L}=Oq=u?D%>pz-bC#>*QUfGzyp8 z9OLv4%WnIuWhb$0`QE1RDnzEv#g=Y{RN5dEgxw~eWWqpOn$C@t5O3HmTAxrrxw}|s zzL2dw{l&xUocn9!*J`5}MWK}?)_7H@J6f)o?_qt-w{Oj%D=YmA4w=dPTJWrbL}P4e zLeo|9uu^raG|GKmSb#)Pg&E&tt?{ho>m4@=cXe^iq~xY^)VIr?xiwZ{adFr)JBjV*tq12Hzf8VXHiE5=hU1W=_$J2tgn&R1 z%#h>Qt&WBLmEt^nnEF)x*P3UJF?S|@sn&`xbvOZ}1NQHCMH95+?KCuh-E4G1mgIh& z>GN>5^WkV4b>Vy&r|umESDj-s`2121e}mvb6d4V?Mz~G>1*Hq7T~ETB&CAv|(%x@6 zJ*aEr{QL*X@pj_5O7E?uD+1**+42nUaWQx?L^*w!GA%(OxR)$6A|W@6f>?)l7>{mC z`B?ZF{p5Sbw3HpJr*-fO;W+i^?oy}&?ST`sjaFULC!MOMQ1i*hr@jdn4E|)f-+6i- zAB}e>pH#puE@*CU5R^Nx^z)W`X2Dgc-n4`i2ccT)OST_ByS$TGdUtM7Zr8XSfxqb9 zqDvddI~@23V?{9SZxZ&s)ouBp!<^jzuGiDcp65MV=Dg7E$#`ClTW{66fc(R1+(-Ou zDY+ktxeGmxrvUAPj6~IRLFCXrV>o@<&G)Fx-46iZ_)s_wp!Ga=>S+k{i|_gDPzAT5 z3`9PMq?$7Y;Qt0{S95pn|GXJy+R(gYbmaN(!*V4WQxJTrrGJ7I!Rk0cajFCI!~E>y zrP$kMYkUb5KtQKt?rF%)f|&)?T?&xZ=_x``rtaKsP+gNmFvF12AJ{~|-;N@KR5TXiS6PlUA@B3(cMkaxz|cwGA?mbg7hGr>j_z$qL&B|(1T zijW7nn2?N)7O9Wyar5qKMNmh7+T97rFPPGL5<%iuBPW0tPVE>YjY_42<;!2YU+7MM zFiRFISg-cre)6M>0zl4yVow1ixlqMcmTjZud8XI(M-?Ey9?~;nVI9>lW-`0)*uurC z)Uiao`JG{;L8zvIw%JdV_K$1F?uK6uRTZWkY~cH0%}D_qCaq7jV|5lZ-!#a%&wUCy z?drBjfEYB^j|4_;qE=+1XPiZ!+CSwm;n9$JuKiu5g`nn;S{>VTEbuPIK3r0`Vblwp zZ)c^TcYJ}(@+<4zCSUIFxT;TWo>+ODbzS&WDt0y;QDOWln1gr?u8-H9BZ;(7fU|a( zzHwtc0?P;XhlvxOe?GJ_3K4DfwcZ{*bzIB$K_@n^8Jx>85i98s2_t(KPgUCTL)Y8=N0>q!c2Z4+cqv?1}pFp<=X`;|vbR{aE|YnYzRBY^U?MS36seAKcuyXW5SX zNrm1ca`Emkt{q_U5J4?K?UTZSRdfxh>$IG>*!a?JfKe3lnlu9g>A=txG^Q5!s~&Lt z)5Aj=3`;F2D0wi6aM6Kw9Co-Uf&|R!YvS87COe^i5XqSUMFiZi?$3rsvBaI87EWK+d?~ExeJgsN%9n+kBX+^$tf*f*q+~MTMA$w zM^7-+*Q$?li4+$(nmE$);RW2Az) zU~&BV#(ZCEDRX*)zt~6 z6=d?rGKBx-ee<7)6TyPMw_Ay%-5-R|- zFIL65x51FxZ8sG(U`*O`G2$%IX2vmlx=dDU?~S)mR$e2|%A3M>MDLZl%DjF1EOO}<#OzHL<6hWV(hhcGH<`jezDAt1r)Q+gOSP`(&g@NExS&!w+O2n zR`u4J%FyWdr34z|k7ENC?82+D#y_Df8l{E!`k%oZjkOpTWA75IuY0SblHawdK9Gzo zbpLb@3Tk!I7KFQ;1A<)VSmLbDN(Wz^Au{6Y=YxcFN8jJ!B{j~x%J0cD4@#5Ds&Xh{ zTbMy<*-Ff3DT=N0L0*oH7#p+kIlcnlzz;)Jo<@DO(hD zAbXA6K>;{S>n^s%WKGbx3$$I`kzd?`;|jIi1yCxcO0Yf=3UIP_Kx)B~zw2&S+tt0; zKI%GXq@%}$s4_6jmeS<^w%U+)xY!uobSIXs$HRQ!2{2UV;MT>cSEkTWE#KHaW0hew zpED^-pr+D*=_R#B?*tguB%u=#6O6=GhNJ z>ezb-PF~>Lfp}Dpk=AuUEsU)Z7h%mMmc!K0I`%$nlNZEAXe-?U2qyt^=O`cvM0Dru z^gadfk8~4ee-PX!(8IEY-GSrss_G*dWy(E;Ap33sB+>9K0ogw;pTALiG^7Dgi-kbP z^2`$?!^IgX72WMF@`zbLl*$+@LHV-~cIOXOR1IzFV+hZ@Coie=odJjZkg((3ZWd6} zG{)|lL<9LJ?^dNd0F@UGDsMam@IfRrqcXP^ZnJ?RN?Ho?2Im!q{BNmw=GNAwC{=J) zHT+^bH2H^VjLmD}R}a2Vc3z|VoYoMSODY(2{^*U^b5w$rfdgvfR_(*?FxJg^?}P9L zAADXVvodw(eC~2mE^!Bj$zX7G6XyH|($x=XA^TjyaLRi&hUbz?&}(~>q($r^ZGs*a*W{h|M`Y104)u(9v+>Pz(uTp-A1c&k_SX46@t=r_k&a{sI!ow z6UF>XWOL`#iN)nVxda1*a}4@ZRTo?uvOaq==&H`eDUB8Ost2^N9t9jO>( z<L0TjGHRfMDFsz z7mf_;K|D@;H@)E%+X3@XWz+Q#2vx)RoiNzl@rN}&2wzcSW2w*zenjfiJ;<+^ZhIVm zJSiEv(?wEwx9Tp)dSOyz8uIrQ4F_n3QCB3Fl)d<1_=5Z#3oXH#_J#m%tJY00dmC*dFOBJf9} z^=GKJ7PJIkzN#CIpf|bvLM~1B)msYC$$K!yv28fleD<>@R)22en=rGz{wfpXkk$%! zj{3X-3oRRq|Kvx`W2M`UI>MEiik$1DV&sbh9M3qQ4cufnA7?*NPymv?-xM#atB=b1 zPlm)}mTe!-Htx%1QjfX$v2jc&GAM;dFetA5-5JlypeaOyC~bAXM|lM>(O{t_8quQ* zYOW0b7!q*c^%|FM@GU2O`I~5PaQxQ-*JXz>HmURw^Uh#DKR(!>EEv)VT_SwKooYy+ z(>SUTa~Sggk0?R}TP4(j|08x)Y#KSG+WT+l4xvIAN`$%C!N~HghQE)4&g2m8AY4G8 z!V1p?)^3pZg^fL!qW+^yrGu+!8aH?Ou=AbDd>d=gK(42Uz(^6ubn}-Mmq8muDya4F z5b%W#EO951(y6hkUt1r!J_>q2Hh1bYZ4wN7VrpVq!jhu?$pVA&Xr#7;ugpz$s=VB& zCbW_Y%zL7kKZCEY@xAb#1F?H?dg}h^V_gSBMQ+f@0KFLy>z;Y0GB!_sx!v$jP~|-S zt9chKLcUI=MXLyp3j;4PU@}%6lTb~+1VI~RG(`iud~|-Twdsc+Qp6|

#AMMrlt`jN8_Tif>{#D*}n8;fwd zP#>xN{BGB;kAL-c8q{011zqG_Fzpii9Ed=}kOrs)U3A_ja1cA{LCx4MB^Y{0EpX|9 za%%{s-Zp|n8=$tN!9!d=5N^Qt-4RWoVsI|k#80V$a@*pjV&w~RX3VE3mgG-=hCG2IqeB=K1*mt+oKQ)Tk;5U76q54JT4hMYMb0z)OBwRtA; z=kGHNoN_>)Ts(d}soG@9hkt}K$A@*O1hgz5-z+DA+A&NcSNtm!#fo#J_NzTXH>7hT z>Ufc=dFS)2glY;9or7$MG`}U^b-+b7h2{<>{+Dib2;1m_Dx3@#AT91qP}Sv(h1R7S zsD1o$$ARx57pXwfZNVV0=yT_v$iJo2Os1MQWArYcYyPTkJ1*m7{FF8c=?#vcJdPMx zOV|Y?dFJ1#Y*$B5dOmr?ARHXA!m7zj%#AhF*qdKLM^_zq1eIT!yhQhcW#2vhu6H}& zps~488~-zikB7vVFQ&L;YWyOUo^aQItjTfle|f*a7-_n8Ox9?pYMt{B+hq!1mIhUl zmh0sx9+&#!_CQlxe>h+?X8;1isw75z4f4z#l%=bxJiKwWnN5h7Q82e(1B$@iH;D#> z;Zf99WEGY+&pedFcQ~R=#f?@` z=petZvuS_H>Qp_lf%HX1u*Xs6!RuR??^AEN?SGH>c9wcn3;nL8+K*KxE6I7?Lq&LG zGmZw+L5>^Aqn-X=J>9?^r9B7@%hcu;D`}p8p{)oodv_!{kGXkGvlizV4oh~4j`KVD z2RV1a94NryC6I4y=);=#NH$i}FEuAXBJgNV2JFAqe|huJiz)au*<1uaEbqS(T@_#G z#5otnbHZTnXcWa-795&UCbapS0@Og0fyZlRqp{~JV=j{Fl5V!NB-5WTy0E`>odaZ^ zqPJDdtg-VY!DbRufto|t#z~7(%LYnQ(1U@+V z<6bv#poBTEw=>v-OBu9nNV{N!iOZl#2n~f*N-B1h2A7_!rvOPG2b(t^4nz0m9aZ^1 z1r$5<`sJ3-PR1veJ-v93W?Au1`gOTq_=67SFKb5zWQd8Ec8OPz%&4vA%u{4-UEK9Q z0;g5O+&QsMyiAUv;P_TsMh zs3{dcy>K-tJtSR})@|2fz-(0A^43(M5~DuRxi47O*^LA2zFonfF0^o!=(zyt{B>%UjwDGmM(nI!_kRuF|0u1O_%Kg7s zHD78Dw;VVosuV_Dgdv$kbnb#Wg7Yn38Uw*y-e^8jlM4v6^>f}kOA}>R;!x;#vg(T) zgE}3L{!NuiGv`#XwiYnl1G`vca&Ilg?dLz$9M7QMMwHBH>j%cTJD#0~E$xY7o>j)g?yR3r>@ zwWI)JOfb?(GoT2y5`$}VM>7R7jNA9#vlrjKgIbAx77}}FDx?G2_&`N}+ss9C(gp;y zJ8?ASg)#wl0Ft$uEKRaki9=BtdRX$e@a?wzA*fk#(T169oh`Nl=C-N&o$ZTA!lYci zx5hXK%1oG2ehDin*<%m#>mi-zMx8*osvOgcyNE-VbcC6}*h{sOR3bPF>#+snJEw*J z4q^+jtV6?p2et)YR^_guLSTRjfmi+Ah(#UO5{H6vw_(Yjww`39lKqC6w{TD*G)M9a zpDp-9;lx$i6Mg0bDLbT8@)Ui-$t1DIuXw?LORcN}ueOViaBKF2EYGe!&+*3f-YAIM zFl?g$wwhh&q&Z1F-;oFoF2Z8q{?dKY7x$1j#$Ek$$p@K1p6W7_ta;|~#n@BVEtnTj_GX*kRb;=ajKh%y;vXt#^4>?pJN8f5}n!`<1d^>R%$bm z+1+(vwHLX8i@LhSrlSl5Vc0&_6}0t(#j~t9P^_oimsQVxvg*en@E;~Ybx!Xh%Q2VK zXG&Izp~7ke&YrOYPjs#2<6Cv&yQR{@LWm*e(FzV(3>X1?53A`ty^^5~LF-kYiXA!+ z!nV<4UahPjAKJQFY2Fm~?u1vs4-gdKmYlwhP|yJ>u$mlNNn8%ZLDPUF$&YWIL*RAD=htCOWClDZ$xA$JH0#Q&Q&Jw?@o2+ali#miR(Du5 zO87Vto#SteX2)zSzTey>Jk}&Q5YJ+Q#@g<)w4rSMHKS!+mARUkc-6UmZPe|bRL#)q z6|~8^6eBHIk0#ZDV1A+p7Scfh@S@8d;VO*2n)AAm!MsGJ2)&4hWBYxqlYG~CE_8Fx zXsJw6`??5QYp+%`mIqDFjiSCc7tg$?U9>= z2y}eggO4NpNcGc&c=oqi1}lyFiNE7L_NH4lqZ&c{!)=#to%SXyz=X6 zb^^N}&?#IUm8=7Nmmw`6k1m4WqCnInRZsv&_*>|yed*V;r?k85OXJoJs(u&Qj zovu3IlB~7HxFC+H^9cgCRzy?PcxxXepM1dB9=tH1dS>#nxo>hf$k4$Ya{t4fO4iEc z->FSWu9)djDiBU;ibx7dZIQn z;&a-qsb}}#Gr2-egb@?DVs5ARFZc-0i_cwv$X(Hz{d^8rJo!|)2Yv+F)$(G)Y9WHv z0lBt?0#x)L*DrBsb}=INS9hdn1P=dvadV@CXqabWMfArzNo+m+oD&i0xm?#IQx){w zd72U8W_`2*`%ub>MG)U3!eRUK3(}+$zd`IZu;4z}>Ao4d5noU@7TzTiLXVqH4p{aT zL4#5woNi5E#{a7sv~i%c6*;=|D$hL8u!d^c^fXIWU!6UFYnIyc0eLD5^e{PpJ&ZOF zzZvN`@YEDEYpAwjD!1G6=o1|!y?Qxpo`Xd8E0N#sK^VNz$&?kR2%A1@ny}nVdYJ@r zlPpb`P4Q}%S6+qnWXNv5o}F64(odP|f8y0m?}Nl-TMTmY>vNAGa#!Q6XNnz{f-3Yu z?G6LAn$<8{2t5eWup$Nc)PKUpIaPX6@UOH@fjnlLd{D}d%|**$=)X5fRbcF6lznp6 z>ROf6=M!BbkAD|utw~UeW4S__m3x0vlVhtybMh%jb}wMErG07E-)DImcoq;bD(nL) z+-tLEWVfUJ*Vjk=OY%LQ9T_~*wK-mtQiseQ%=wjo`oN?SpB<|1ax69#*#87UA?7In zQAkA;E7Inw*V@Wybq*Ul8{yicyV5v2ZvTj{gZH&im*8@hM$vOd9$+jeh@ee84!V@* zv+VS7l@;@~wgeeV#+K<9=I-%=kj88FaB>(y^mWBG#y@4Q#Rgfj*uK zrpjmDj|Tgj^kv4Lvtaxc`fHu01NBjPIzpJyr=Na!D0l?Q;x)@kR2U-juh+pMU+SJ; z=Pf~a`oHJnZQq+#3K-;Pm+`fJp5=_0Q|gPZi#4^; zYRlTf^tS2dRdmtZtc{F%YAuR#7~M7sFwwCfg68p>x5wtb(VzdBoQIFFY8;^e-`t8_ z_f1@+Dz8gqynj>^uBlV_DXQ%356Bh2Q)OjhJcaA-p;qVd@1?)9VtN+55iAj2)0ciP zwqmVJxK(=noKFR-mt?t~Jsp^wB2)ClgHI@&?-*K`_SI;!E9~_{Jp6~sNeq3IY#-Zt z-9aRJ&-}w;TJn=n>l?po!|yj)EQqKZ?TqJ1$Y}EHAsER+5CGZ7GZUyh*x;2j-_7va^7X>&8E6c!Z7q68& zSqZ@PhSEoMf>cn>w>_Wm_To}@529?@?!@KBmb@?wK2PMsPg3{f{^35cXn^5$lt(ZH z8s@H7r;Brqy4!fVXIaSp&{1SFY9Oc|OvUS*q+`4O%;jxJ5NOeulL?ZO>ptGb5Vp$5 zY*PnvfYl=!ea~_s3 z8#h0`OI(Irh4)Nxt^AyEUYVr_HT8^y3U446W;AQQGwC5FqU}>N>-qpsvH2$Mg-&4? z$M1OoQ$EtAsjypv$6A;9%-w|+`rcSWWT8>K6d*G2=6t|p8oNGrrLb7J?(C76^X${Q z0SO88*YcWSgk8C|$64$Y+ToAr2^9_Dkf4`PN0tbs-=%Yn`agm%4Io*V@ZpoDsm@h( zwrO1VV$Zid+`KM2`KCue`||4AvQX!Zr|V{P;4 z3eVZ@hlMc@-`%sD2{Re8O&cpdZ*{gA*tC~%)j1hJ}CiN_3ZspT&tVh_pxrC z;gaNBLe1yL{qz&>L8m$(3T7k-nQLwN{fZ)uFCgrUSSYn!=Nr(rK&z| z(WX^gilbGdr3JFNYZc+Enb^EPC{1E^4#y)i9LZvM`vv#YPN}J@xYV#W{XM?zs;^A_ zUYO)9PDKM7agARV$+zTZ4-TO}3n!>7t4d{AR<*ww;+hZ#;+)3fT@$w3?Aiq#adO_rwr1us=WgEQmg9GDMnwyO=mVogH^Ml2vQQ5O@22{MUuV@RW5^jjYg5_1UKszvS8jP0 z2xP^+Z{-bFM#X(%{+X1w+NBuaXtn+_3N5A5&$IMu zNg#ejp6@;o)VL^(GM?I6=U(|K=6U^FY;jHe2i3>-K8(}w z$n}VzZ(_*OQC0#&a_8-JsxWR*^Eaj2VoHOMr`S63rl!>^uNw;q5g`|&d%U!*I_Wzu_*`9tZc_!)RSL(nQ8>gVM~n|IgH=ZM2-x%AP2!=W z`Gudwsp88PrFl^J^}hQ<%GZkVnk_SYH3Khu#k(SY?E1p*4ogd4EK6zs_~CnuXxw!Z zvHW-4xzB%u1jC)0*&1kVvdnyU^~Q=NUNT79Hl*yVKXN~3gyw#{<5z#zVy>d9K(d36a;z;tS038?99;=NrfNnTxi)MeAXaG|b!4gJ9BHOyYP(}5eTD6#0K6yl z&}LEk2MgS~5)l`uvv4_>5BB4@v(KDnf3dakuq+|U9m(w#a8upY?fBaRKGz%*;aH2M zC!fDrc4yO~V})?}q;zHT4ClJ-2%=xEr77NR+}eq*UIT-Y?#02S)J=H>&&IFj=stC( zeMmw=?Hr1bC!lOEbLBtWiri67WaQ{>V8}D`{r2`=*$K5c6XRb{-XrPxO6OD9Mva$+0pIsMSe%2i`l>1d4$%?b;FdF-=mLb z<1wq>Ix^OpPiJHBHO%x|CB2(^F?Mg|F->Od@%4&7J=+1VbWu_v1+a%6H(TSf62#&@ zo-&(Gbdrxf8|&HBMMn4dFr|S2M^Gcsy~VxL zG4HLJX6n~lLVx#EiGG`7|Hx65@vJ+9rGGXYdY;TeG(ZmLU|6Czk?xy?ug?#Pf5;BY zxwt5K?#nsxQqghL_w(6vV_9k?4w>eOUQEwg7TwR^!5& zPB~m#`6|N{Fk&j#$i2b2!$b%QWqhUL^q6g6&i*FG*^hzX(!Bp0y0WjQ2dzRkZ_K!p zPKyD>^0k>CGlU*iU%1-0tq*@k7_@Xw6_*I`V)BeSgB5#8zhPF9@CQ`NhS?*=?0O*= z*!}=C4X~R5k22RP1U;&zniworlA3dWUI%mTOY#7tzVPCPnNM3#qHc~P7V@;_Z&f1} zupI{p)YLr7iE}*@+^a?b8|LHAg7o@!3m96|o5 z@}!_vqBHMP?d)6FXzo3dLxjb^ouQ;W^AHo8gZ#ekn;Uw=vE{HaP4Y`sO@s$|hXSk$ zhFq~3t$b3XE6`j${y36$DoGr6=jEM`*sy&Rbj!Ru79wU5%zc&_U|TqE=w30`3Y z1yFU8X4o+%*Qry0FU7zUXQi1~se}M(kqk}uw??KPa>7|1iDm(#Dk`>G_iz)j_+X5I z+};eH&jx<`rONTx+%;A1l)A0Rs&CXn%$tX5$v0IhQ&Lx=zs)0=p8F`6>JvIj zHuHnHQGhDgXo{Z72>k#B$N}u8zz~pCq!y;=$ooo<2_u%~<^$OkljlkG5E=GYV(hO3 zlV-maZzNKyewOq*a{8u1n^4>+3yHUq#%kMQfOpi6T2p)ru;u2Cezgp^je;)NxDJs6 zMDQaUKU6xPBv1Ec{d_*n!H_FO$X*VYIiq387KV)1VzwUpnbca$CFVTy*DG3n$x2+p zKE*n0D(zqnWifX6=$U5X?EauNi8+tM$A&DAu2^s>7@!!KP;=u-|A8+1+; ztxs;Ql*Bv&RGhpew~b!zsEp-Q}9D1@a#O85It1@L8e><4$pbb zMqw}@?W?J%xn_Tm-_aekVHinpf{n?Oowct+9I7dRU-KTjD!18JWR_M+i!^%Dwe8M= zfg(-qO@G5ry`JjM_S7o1;@sWzX0wVy*77lO6PN|{7ffRzcCgmN{u6;mTal0BnZe=7 zC7X-nj;5Wi&t!-{e{1SY*5B8aTMPu{=B?_ToHy`G&;!k#j8o3k3cdqqd!DPV+Ua5o z>a37inrG*?(Ze5Cgk&;0rL=AajP55u?BHvLvZ2a_@FP5e0^F9RF$1rU-Gco;!Ksrd ziUJ;FILdO>1Eo)o0CRvvD`aRcAW+}<7@`%JCx0B}~>=ab^Oky0AI~f zVDeV%sqQc=^~Fv1BhP}t=Hg7vc9effuHT&H)5-5 zyn8Ii6ddul)^9|`SO0(D3t743;{GMCn1LVxrFKJ3!!$=L9X45oo2!jx1zM76mdE4v zpG{f}81&XZtmcVNAPlc{G@1@DkbmnQEQ2|5tPxu4*X;g4Gl+|JkmMJ1gG=5Ly9(fh^x;AUOUl^rZqVHKUc!MkJQFaLb05=nnH= zrPXxx`6E3_9CGHsI8#g#`5R~J?OhNQ4!U)p0+bupCn|u%Jf=%Z&ZCtA@nm+6+8x?k z6Z3wut>ahXP;9SM^2JR%HsqK=m*UZA+8Vzm@iaJMdb6xJarn^+1?cQQeznxWmjcAc zR+GrO*rI7Jvw_TkBEb~$^m)mJQ(q0}&b+?xcI*K|yPbnD?8(~fKAMFbB6_T`q&BnY z9<>tsD}Gn(rIgn89p05_;TjQebdso5a#YiP{xi*Y|9~)GvU67owvkKjtfct>GMQA4 zO`iE&!Q;dHNuNeTS7#0KM4WZ?9kYTQc#`r(I1iByFempeRKyZKWLkF zEw-~IJV-{&|Nr??+rI^2NwxZHn{LF=4(JHH%JHH29$BP(FYpU~S#(dJ!OpcxE z%-XKT5BNEroGjz3gD=`l1@zPTC#Pi$l|;UxVTEGgTdC=&?q8F4?y-{E$*gM+os8mR zl~!YkcPPNm*=d!1Ib`-Fl+Z5tq5R%a|1r}Elw8rheu0ac7u7kdyx+#%V}SWCZpHm_ zlt2qOn?y}_!x!DLnWKYj@U+@oiFyaxRd+I+AvkxA>`812e@2e$fvYo@{Z2Wydar9zwGy+s0jD}ACav1+8z;eYvX zbvR0u7SpK4LvM{~CL@ZRC$?PB$1Af{L*BU0^CvGtDnt5y?9(?j&%;Pild%d5)Gf&z zAsEB#&l!XnkStMzlim_qg}yP6_x6r;WRhjjew1k7Z_s6bx}89xCsw*+^T6wtk58(< zsEMu49Ssp%hx^d{{TrEAKRt)NN>YvnSp#xeK7F*tPm=whjQ~VI zyT6_)Dwtvl5GhL%o7Ec59ulq`+3V@Dw2k-sW;U%U@$Gz(V)EH9edl%R@u`-N$O!#Ij zy#ZMsy0anD2zc}V|IvE1kL&L1v7XZGhcT3L{|`%GCt8of{faF{*D46ItqRT+i$t3tX|WiOm{uNd4Wf3!J&cBp>{UG^8~ePb#DDg-bTaHX6@F z6$`L`S8+3Kj%}_BS_SGVDh=Tv1w^W>?iZwvq-C!8ocea*!s%P^M@C!40!;i0o`Nh* zGtFlC#b!V1EL-@>0kZtfjz+*i*Y24>e54TFWf1vys;+ zrhwUt`$6S;qaS~?xMTVK?cnxT4jRmkTEpzoa_1MftzU=DdP-hXa(q( z&kNPui^}S@jA4Dx z4fV>8U}y?G4&zVOH?9nGwGr|m2AZ=pO|R(sBSEf2-P727flCA&69&kLgBV5=R!rL z!nN1O9=mpQ-xMXB&z(GZ$OxA>=gMEH?#NjCIdICAIwb=*t>AIvm5vmaS$FGVKqAFE zbcN&0C5FJ*Eb7X=k;VoUMZE05ojmH&Jv#$h3LuCpTeIrDm@T-%y88m8<;fZ93cm&q z-#>Fhm#ABpSt>4npa8!bi~LjnwTbfomp=@2;>RdT_e~Xxu;?!@W@q6%5%R6~hTF+= z))ldpdVv?qyr+}$oGs6X{CyV|tKudUfuAHb`va9OXqEB8rAx}?9+iK$A8a`VerkK`dQTOF5 zRDQ|Zauwj*NoWS6$6dEr<%VJ)64VK7HNKzD2viVfcB+DOJ<@ixcNnO3I78l1>yG>7 zP*E9H{8YsIE_@M0PG#krpNG@raG1*%~W!h!*!1m1c6KD+tgzVtU|PAd`YgXH-Q z3UJ2K(Q|xVM$Oa4{d~4ersb4{Q0F}gP#<;ny5=)ARfxMb!2qvdgsBddo29{vn_(>} z03231I&`-(yc=~ivFCktwz$8swmSNnyXej&o4h&S3kH$dKxhf5Bd-Lp!L{>+2$reh zqNLtjE7HfUFFVzNC8LAAj1pYWCxuS2em?i=aC<>I!n$H5ZJq*zvpP33D%+RhS?&(* z7dne~RE*jVOGJ_a45Y0>RUpzxKJgixXN_cjxRYxn7iOh0)K1gG-1;PDN_mu7;R|?}7 zOTop~ho!i;Tz6G>to5p*N5@=3IBkRH#`Nc4udF1ocVILPH%lFZh5IFCXE}(P2)(+Y zbi&=bZ{aHeYm(=;9`7tw>%7glWqPOJ`>~cnlKK)#njr=f#NDSn2i|&VfxS7q2vE+d)q@azFML7puvv z?z!@b>NXQU(LrTVyp^Tl-omsv(@pg8DV?QDC!6^+3r6nW`rU7_ z_FeV00v+U?C*h;m5b8FrCZadAl<2zNw6FiL@(uX0+sYg$LAyV7{efy9dxx^iCTIQ+ zZEqeA_51gajuc87T2N#Z(MClm%M3}9qU?;KRMxR(Uq-1YX)L9rj6$-7Ft$+HQ%07- zj3rxx3}!HfS)A)#pYQkn-sjwp$M4*a^EiL_W6aEZd0nsPcFoLnAyken8wT$95{CYv zy)!3Fg|3EsG_flnTIX(Cu#cog(Cb4BM==F=P=jiNgXAy82c+sqXOQebpE-NQ#)^*; znEAGbUK#C&wj7<^cbit#f`LJdaMUJPabk}1P2T@XL`=(pfU+Ujs{w~_soShAm;KvX zGm!cAb?%UjtDXNNv=zFIy&}Fp!^%;YUrGK^kj;;Q`Lbz+_RUxXmxHAj#@D%2-z=eL z&#V*ZANOg(hV;k3kn@cuBw$xz1V$t zjJ};}eEDQu$wcztxL9mg;6&@;eNHIn)`85(%!D}CkvL49d+3pEjc*5$35eTE#wY^j ziCrv#bTvU%HS*%wmMnsEKnHsLCf34;Vf}YGQ+n^0N#thEF}hcMp`^>|Kz0*6XSYEq zYZ1E_TZaDr&Xmw~aUt7<-2J)Yk>I+cLh0}h>_hC1#UuBYSCJ3k(Ow^|M@_eXop-fU ze=05T>nEk@M%t-mmCx%hHdLq>$v+gB;FrH`zk^Cs8gW@fiMZ`@zT*$LYFp6zUu@G4 z^A)A#QC1RmPDiU3I4hmx{jd9zpSNGk+jEN2i_+u-{y4q{dx#aSl?b~|H;f8%Qj9!q zNf*ZzOvU#*H0CsU?%IRVW?G&1k}pX#KGpw7wEk2OaImaXgfw)3s>2hz!N7dtp7 zM~NFbigfh~udMEZ!dtA-F3gczZLu5IAKBe`<%;gz63_iVv}3+jM>)O^7CFMKo$r09 ztu&(J^kogUB~0&~d)o1u?t;ZQF}be9U$Y`|F4Z3;L;?;szA4Gge;anzHb7wguK9iQ zy-g0Rn_BqjRpr!CtsV5%iplqRqlfWw-JU_jPuSdzZnJz; zk~d-z8NPGAdernD@>q~KYFCi&m+%w9d9hM?hgjlLZHFjQQC@x+%>({h4fm?N%KsX2 zN3T$oCCD}jQ{}#n5OG_BN#1fld0a&6bRuK-DXFDL7hIV(cP9p3%Q>C;eK3KeNUrkg zXV+g5n4kNigE~LIFS*h=J9DA+5bGhC(QH{&{lahG_nvO+g!%)C!L~0e4b1WJ8({D@ z{5p;>tMzr*aaQ>Ju+cmr&nY9!qvP;#+|H8E+VBkZ*jt_UZMWX%ji=I-#!D}=+T@*b zw(~95eZfJD4B2=XP55e7V2p{;iLf z=i5zh5ARrlBW#288`oeGi>mXT(}<#Bqj#*Qo@FQfW4C!-kMm(hM&VA5T?}Z#Dc669 zL{5@;C&Es2IM35dDnIldAM`@U8dQEPuDmmHYijOW-nHIM_hKFg|8TTwsju=rbC$_E zOxs*83o-!=v43pbj^nWgyNmi<6*%*GbWrfjJTvcY*f}~iCQPMw*%~R;t*wRe$u-TV z2&2Q*wJKyqHr$ch9;fm(rqk$tfErtIL%sq!KF~)N5nfkOy9mnzVXD;{q^~iMzLIkj zZOK><3UE#83(^?G@Z*Ag!1z3k@DS?YGH204xvj2n=J$$~BFrzII*${v$mi*^5rB}$O4pUL6Rl?s%6&qXIRHRvx%ehXPUZDQ6HIRMucp*ju3;8*FAkFm(ooP-*l8 z8CAE>E>ue|7z$UorXPI;mXA9Tw3O!WxROQ=hP$FO4T&2RuMBzWOAaA0#gfvk6uxz^ z>$02-e8Rixl2V%|`9km%Np?o{L&dVY#vTH**X4jsml}$#wz1kL7r5HeJnT8EGr8`4 zxwA`^+QMNWp*yF9KvC^3+;A@CjUw@bJ5mbBr@&Jp{i0_h*oYD2(p!=@4Ta6-EMn>D zLYTuKa*Bh<8JkoZVrFMcJ`O7tGNJOsxMK!cnF^$>fs9xvoMr;={AuIhGM?3k6m{WUM{lolyife9lY?x9Q`9+qjD=-N@9)#e@v+Yhzqf!o z1G|il)za-4UB5G)pMlf2REyOz`0({pa1eR(tllf_5rGf=(&Jzz!>8XDy9#}1 z8RQW_7p+X!f`*%I|AA4sahp%5K{TT?8+}M8V_9sGH~CJPwnsck?4L+sLhz;`{;A6* zrd2?kGe3wF2J%m3ooMV|dq#8rQtpd_{DaATgWntU zLHE>_c``b85PUTPcbHF!|6Gop!7|K}XU50K%V9TR z<7$wlMdVZ0^#46mF*8HkMgBWevBUfv2<7ip*Gb!-M^5rq@~i^lp~;FVE)zk@b+w9r z{$913hg1OIUs+BUj_)V$e9w1VX!9`!ZuTL)PS{{Os?@n*!-I8j)|rn7y)*cE$fd$_ z%oCVBs`l{I`-rCDL7AnoH1W7=(9g;aIwR)ef-D$DYp`bp?xaW^@<*xBM0xxUEo;?qU04-r?cd4`CK8&A6Aloy{lDq8I`-v-|nU%K0>LwL|ORLuZhav!fLb z4|&^vd^DY3KzEc0_m!eUD^Asn2(8z;Y$IKKsa0}Xv)9kxRVeN($c?Bm0Jh&ztg<&O zLvxn@dmC0e<1)%1Syb8C)<$K&zw90T$2NS+7hoY${kAh+1BM--Ki?I2fLd*JJaz7f zmSNU8ruU3jT?a%MaR%l6TlH;6R$RXnQHVz-L{Ig+g*{j#8H`kwt zzsA%|?Mo@?WHpe8axd#c^f8vTPZ&^89nE}qT;>pxL&PeRPNkGp91!tORF zZ&AnDoh{g-p^8h5tAplUA&6}P5NoEF7m^|m+V_)1>{?H6ypwbtgP7@Q11Ounwti=l zAm}zVlV5*g0RX110u0WXJk%=6`dCtmKH2Z6 zd)h;9lgW%c2=drQ=;gfu3?Hz;XYEL;H^eKgY z9@gH)_T0xXM>vDv&Rgv%Esds`;Zpg`Srl$z$U9OIw8?FqI?FYVZ2>r=hLv ztK5vM&sq(}3{qHq%Q8zcS*}7|?_-7=OhLiA=5)8&_1mVp7~Mft)P2!8a2T2tQ~*%R zNPLq_>fDCexWXI#(=o0DfVBM6vlE8UEC`>wvrEhPr|9L!$jO?tXQw!GbUREgHE{&-Fe9jawYI1P%`ya&iumc@M% zf>vF&Qlvy94tvgN)SyFcBKo&-`i`|kumcXv>FyG1lr|>F3u%s=5u7r8Yq+bS+Wf?_ zJnt=DcLTIN)&I*s#M%$;RAu?qi3py4ibNM@|HtyHp3Uv?49&6bP)n^;j76|*jXoP% z%@@UeDiJ__SB~j@cgB!Yyo{h-+z@we@cye?VJq-id>1>L{FXq#mKd;Cpr6wPc1_um z;|RIO*>8H^S)5*xF;P*+GjrYDG3XikwIvw2j?qlvP6L+pY$C}~TU0V`~85IQsfmO7qjP3NF+u&7&$z5kuMWnOnA1;fG@^Aeh!qG zNV{@r-t}Bi>S$-O5>7(K)M15x>giOKW$imm=7FGx+HBm4Q(73Ql|A@982kCgQ*A@K ztFw~no_ zfs~S=_{jf~m*V&~9%?r$Faw27^8hUT%sFw+P!!?+@+1v1?0C!uXin z%!j~1-QB%+>c%g0V1Iwb9YD9HP$gk3c2z3_j;lgv)G=^CuHEDVfP zvFYVCSm(yP{tNxDgh)s z4e_!KQC;|i@Z5ZL8n+}sdj-|4M??%gBd2a4;%2DARcIvhEdy zIfc!5#dm|s0vZ9oBGv2$Yx|;zNnEsFb1wUmTJ^@CGGWn&!%@T(;ROJru4L0YEUycIU%Zwun`nSX{NT$^#IwI1=)*p!NM0Rs?b}Attt){~CRqjjhoY_n`HCg5zaZQs1IJhUdOb@ob#KVr3iaLx7s+ zK#c~YghYCJtvK<1tijy!TnVeML%)~D9P{qyl6(USQ6Z6P*UFvzPArU%d1YsJ;!4UX zLJOH|FsqYeIuTDz4q>cms-sJ)4C*qVtxHVs@GdCG#N)6uaIGPc%0`~Uu6IHG5M6&3 zZ2YE#cpM>e?CCJ?;1tWliDy7_>ac!qgvsT;74dAj?)%gGAFGyl$j34y{Ext{YdXZEi+0418T$4BKPQ~|kiWu;= z^_5Dv@7ir>_vLxH*cxn`@q6|?Sm>*oY6<)@W3v&B+r!KyFlpR^AnlbCj}fL!ZjLOW zCy*H1jrd4iM$HtmEvw*z=+XQp5*_1nw;z{G9Ow|N zgFXZrLv5VcjT-eIspIPOTi5j7=5_JmzS!P*xve0`OYl8vH1LM5OuwJ!mmza%ON12! zJpAsG8HeH44s0Oj;Jrpd0W;VylIW2NSFxq6}>u zz`mYAOo|z!K3c-MeJw8U5h1++;M?{d>;Dq3fQ(p{wtPb9iRjJvnSbHPC*JP)=e>p6I(#3J8YFNz4(pvhxR*aZk#;$H!pc7`5Cc25;jvAz=B}*T zcYC74M~^OyG|v_jpC64_vi(TtG04vDm-a#19MXY&V_hiQEjK!>|SoO-7$7V`oQ`Lm}4 zl=m$ztzLpy?G`|#A7mqlY)k9&#%`F0<6TJ+Z>e9e^ZuJVAHy`bZ;!3cY7Sg7?zx|dl8M`OON zfxP?>gSPJU@K8ve{+@hNJm_h}^@C%s#Mh%$>}rg~>FUCZJO&DIQ6J!yIR4S_Cw2>K`Aggg zR{WE{h%((Vc+bs43txU8HpzeP?=o(1>&Bj^k+OFVy;djJqQ+KGb41W4mNT?6b5}kz z;LM+Yy;laM_yZu=2qrK*(?dO;LxZuV>=gld=Aul`(@e_r{cle`8pwDI1fQgS_gI7N zuqy}fH~kBLWIZI6yQ{-F8pji?DGit_s)K+5Z6!!zEkf`NEAo@%q z+d>bR`*O(|y$1qVzus{NaA%|Fa>~Act9Ndz=P*Tmj0i0RM#arF)6Nn=CHEmP=T}^b zNwdQ=OIxKfQ(COImw8}?y#k-x_8w@N znLEb1Jxb%9$rx?`+#r^mSw-kM)tuUm`e^#sPuPPX1#QmDshyB}!sfME#k zT5T8$^jW(lp=MJ>1Y1pMf{=TdsuXfJ7?bdi4lBLvqd=aolsA{o%(kTz6ZENPPfQ*I zUdl?Hob#a^nEznc+v8M_ncb4xDVFLjfL_7jbk<-)>B7mRnbY{X_y~H9PQ(*^&!*sJ z5Rl-evpBaL3??^0;mE}>8pIdi#c!m*jo>A6Ue%)j4PM8V=?d?z#(J~^zc@Ce9K_?K z^|;a~v;;WP)eX z@N9%!=%ql9g{7`ksqgau#T}gm> z{_2r&fO-+|flsag=VWGwE{+8CTtH}4lCOb-PI9lX^alg%$i@w{Aoaxi6$owQ7i}5K?a@wi{Ao_SMF|;MWEj6ZIRYj zwwwTsQ|IhOa01TR;R>k#zf6r3Ff}>cR7>_v$TF_3AlG1XX04E!ksf;M-Nz+%L1f_L z`S~^Q%_?wHeVV0OY=HPlV1sL-yLcgmWfJ1#_mcp>R7oze7+U6NnzWk!p>0SGt|GJE zhWgDOy94HanNn2t97>qx~{KZ#qXJTg;i@mR zh&V_@FryouP3`<3a>jmY&hCsg4mfsf0p#$cx2W3i+*eNtxgI}3(|Op#75~T30ZF*s zza?DakA&L;3BPL+wB+^Q6x=kad*#@|a>dxg(qwWWc>_aG#M$1mh&nOKo&*Ld;z-X=lAJtmBs8d`~m3Q5|-tT$-{N= zYp_g%Dehbr8bHv4N{nCpjdRGl;g-CbZj4!u8J-ezTDJK-_?g{vlR>c*Csr*9jqz;8 z>e$_UuXUOFpe2T>CU2($-iWnO#F551N$gSXV^rzqQvhuLSG1Cup ziRA_7Wm{@+D>!$a$Uid~e}GCS3h%)&AF(2{+SXu=Y9m*mnG9TkduPox2(cB7)BF|A zz(3-cc`Y2d-3{1M>jp8u{V8=LQuF0|0(_VS?vJi_2-aBN;h32L*+Fi3cde4-k2G{x z;lHxlTVs8jV`YmU#eJT*qqpsqvw8iF0YQ#YVd(XXf~AA#qBx)<-0=wfuuRWqj-Ba7 zy?LsAYV%w7IKHa_vucNhm6ynZ&&qgAO|i>yJ%n62`=QrRrbtH7zy(FI%HEM#fg_~K zf}q?JOW_AeqFvplmcS@OFRy6)&vJ0o>Y((q0ZJdZWB#%rR z>Uo+0B=_^CD|_P@-q(oqa<=77GR0EC<4QVrgg6^Y=k>ts9}dQS17Z+Yn}$CJ39cM~ zstpzNi1|Z`CHpk1<~*m8O9ZE?yV(=bX9x_B4YhR{8e`$q03PWBa6Fj>>n;(X3vW*q{5rMl*EC(`gUOu(G7%Ah^5eDs>F_~HQ8ef^IAtUMmEy5{IE==+Hudrgl zhf$)?%y3AP9Vf@Qg>LUiG;Z0}6=02I=7>AlziFq?Zgp-?K&mS{$-oXlBvn?M!!40y zU-M*ZEldOqeRT4NxqbKiG75Z4tCy*1mW29@cHwY~-`-X1J@aH}0Cv`#@&$Zof*N}B zi()Bb_0LoRuwS|o%CtUo@xr(cG(Bk%^0Zn+b8>}&7zD&_TBZkdBsO3$P0a5)ZBVbGP|2;){0if1hY+-!orB491e|@5-5&=LBkBl{}VXLfDg zj2o4C6DikSOX0rquQ0?NSZL)<<__z9_9nU;(Fs`h-j#~q+<$+e zo?AZCIV(2i1@9uC z{Cq|HTIQ0ZbW~@x)RbogCHv;)Yu3%*P}HQ}-Sk5Zb^*8Fy7caveO&d#+HNSxd6Rmx znEl`t!|kdfKO?UtMBO>L{SVpdf!n;tmE{{S;WHceg8jw!~Q0YHe^Rf=neEf;{D&Y zr((KHR55y&cSqA7!tS*FGpZ6h6+2^13Beai4RI> zQcPWzI42Lf}$9;&66tm^@j#Uds0QNeeAL6@&yqkB1LpXdIi%o zGF^HBAVI*9>I253%7st4wx6K$1-0~`Bds#`MK1;QVOB$ke!ByI$!a#M@{o*U^V~L8 zbb$pat5WmwCzqo~Q86J8VI6o{?fDe3G1uAf{n51AbB+M8m5XnJJ;PE|1q8YPl4EXE zGR#GtClC!f`_~;p5yD^SywP^5JWd$)peU@z{zgqiZx&kk^)?u_(0Y)}Eukg)$b^;7hTG-Z8v8K^^+m6zn4^x4EgM;p(0Ibx zu*YGgW8O<{FhlUpy)8H`g!D^)J4Lp0DkecDtp2g-WhLX)cx%c0)8P+=Gs_j22mrCPA(h5XoP%h@VTECui2KfV z?DzryzguUtde?3AIHg~Kb#b?!<>y^{X2D0h7+w;_5?h1i1I}>kF~$9dr6%r`Dcra1rR7- z*!%Oy^TWKfnY^LjpHZ%1Yp^eGpGo6sg(7RPsoAfQI=NI@o%6H3+89r2XC{tTEwK(6 zRrzlAMx{C4YN*pMgZ~qkcLS6Fi)96-A%(D?CbW1cqKO8@(q>{Ojr@41~)6R z3-pa4P$UqOIi50kr#lB$2rE&Nez@m;j53%-PelKCeFfB=fPEHzxb;ve$TsB>8TFSx zU*zSs`Cg4a>crg(A4NdVjh8EsE_VFHXwPovJ6?xj;P)b?PH?F?B0|s0x+ul};>OPh7hIm$g|MVc0& z0k_P3akpH7YVu98@pJRHliY7l_}u1bX=Z>TzTsZ$1U`bcVKApB+FxJYTNJd^xXYZelzvIFNY75EA0Cw$sNZwplT1rvz`xWJcD+$kelBtkKE&a z@?LE98B1phBT;_CG2VP5EKrcvH--4+Rs(9%D)2)_T^Tq68yZy{W^Ue zsG8n@T$A-{)X?^F2Mqf-%m#@P3GsBF=A@Uevo?5&F%^8TB= zp8ih_btz3AKiwCN`k2IuUj8m>?DuP6bn`Dg{Bs|M66_%NFN*#sixHDZOO22t_Wzp2 z*6l{ydPejg0QcV&SKpJHnK%Hthk}>Gmway;;j$*z4GeoM6fph~*nPbe_2vpgUa{u3 z-s2_4epJ2ZNA;z{>hVuHFB|(>X#Xo(AUQeKSG(q#l!>|ZNhB0h(zK* zsw=<#?Z?&kBt8sqABTO$4VFU|(HKtP-lwe74t5<_-Gl~%mZBa*e>}e0X#;>O<)Mck z%%;QjjGdKQ2)eQ@&C6hfHtZf0Ps_7jrFHy#tf1`Rjp zxZFqMkzslqeNYkum<5i8r>N3pB8U=xkGv8KP!wdZ`03z2*7#U%j|~{y{+BD#+%#nk z_*|=18X%g6u*U%?sp#AeJ`_cp;t{j(OWHSN#!Z>mKLO}LyJdA-Z&2g5HospK;QRC; zHc^xC9eDl>G(`e{KaZ8ZscIMuZDF|(ecb5fHt5TdOj>r#YRHT4q=`yRwfUot!CU>n z$dZzb{Y!ac<;#sQeZZ9;>OnGyeUvn1`0MZ`(C1AM^AOIr~U;1ka~`Oho!NtC${Ve z=zIhG^N?Mza$X9vJ%QS`bfU@iI^c<`Ew~dNzTr8LzB;#1+omc)I$M>9yg@zR z!74y1^OakJ*&J(KR5P~$$gI68qum#>4ItC1V8GbdPWm%$2tF!vKi7ThK&rCir-iG$ zJa;dy4Ca3~jh2A2BB5(65s(p#>* zfvDJ%n{~Gw<L&3zCXf7_+Q0}3~=vVLK|bhET3#sZn`*^d_2VDW0S z(4L?pjeCF7&3{fA3}6ZVNLAcQ)D>tA{qT~u%fNIeEGn``E9xHb_Vk1u`l;mHAWpV( zSF!yfX{S8XR@viyG(V_4VruGgR(H9A+P`H4$9kF{RA)G^UYrwzGQOa1b4M2QGpwpw z9CjzS#bkR@{gx+u6ci;u{d73o?-DT2Ge0n5W7o#yS1oW#q$X~&6X(>MG9av{*6);( zcvTNAnm_EpBVKr;?e#1N1%1u2oT91@IHdk%Qt!i@3_!Mv!duR)V1baF$qVQlh=TG8 zoDO$`?FHaa1Z|}pjC@k}$CTm^2O>)o06jfuiNZfa9bL>?@Qmm)1s>zXfR4+$S44op z{10;Nt;MZtu$%AgfzR6siPl&Wx61-}CLZa7f=s}LrV-wE`-)_0*v=@#$FX0C!Q-%J zSPw?TRT+)!Q`nCOyg&n=To$5F5WG!%9vWAAo<|)&A=L#&_ns2ysCMrT$6!nn6r67} z=gtB^*@hqh<=o=F(GE{LeEsE^BS=%d9H8ILjW;HJ2jaGw3JVzakA5CNRqS6kQAp8# zOO|U+Q8dHW{?QZVqoA{FiHhtzgZ%Qn3Jp8C<9IL_E_cug`M zr{SxdG*ha1W^Q0iiu7I{fDC|&20+EkO*Qu8mWeTf*;>G}hP$jAsPkZ- z-YG^^dTg2{f%4#zMi8qYMiguXf*i9kdc8PsA)~P=o~BQqA7eN2_UH-+g3c$5$efro zefO^H2w@H8d>L@a*Dyf!ZstL zDxdfDd6Z=VkVUI58TVs`0=qT$-D(IpwbLNRRe~-ti##s35Wr(g)%8!Uc0ZN&n5j%q zN1Xqa`M+E+qKi9OS*iGy`z>ngk&CFN*tSGWU$Dv+!;3nGHI|j6!%|=CQP3*(mWpez zZ3e$e97!|$NMF>%le7%(3s|aIrJ_ld%=xHesH@zrIdh6VzQln206EH(!P^nL{bL2Y zW)o}~KTaU3;{DBe-!EYD`-FpTJC9iNY>lRPG?-0E`C(fDURfo&Rj*Uh<{! z7Hp@oCLd5q{LsgYpIu&bjmEcRYoxNaOdUh8>rmINf-8+mnVX48Iv}lD?)mw(;g%g^ z4R)u?&}S4CYku0uitf{-Xa09fcu;_k)=>^EPTZ`;w?f1<*!IV-zc8R}+pjEK*^ql# z-<56bdgP=mLTDO>vGT;0Dxs=GU?JS4R*fnj#&yZ3Z1~DJZ|NJ0-MFhTdXal@?A(uE z-I>)ri)|;xEbs@V^4^UmJCz5D$w{32G?pl+RvntxSN1FIGhX;m5<3S)O~TFgs*VkB z{T*Nzk<1e-*9hF|wtC(A;C$sqr+wY-fwJvGW?R2$GBkm?9~iPva+hUX-?{tK8f@3X zaGZubG`R)-(cy1$7P0VKu_jRBMPrm*%WJ$mmUsZgdI4Uvt1*hRn@&E2MCmmFgJ^Y(Y#9A#9qS-&=64~B(ENEwy;Iw0 z{?~6aN5Znq-mXq^Pi&FJpuG9H7RiKX{$hnz-Y3)Ho#aE*H5gfgnZ)vAE3>u|M~^g= z)g&3!cJJ-F#$JEO=RlfER*G-_q&n^12ftovKL<$!>#*QV8vZ!xisA#Uk|~$%;aIFL zB4^P$nj^@4!xM|>jM)ARfJtbntOtYHCwlqRWQRTZ>zPyEg(KT4d<(u3wsd;?%>D;h z@TF@oPO5byj=gQHXKySlLJrsS}@4u4@~=PoY1Z5@&KL7$gvGK=j` z7rtnppw!B^elk8lIxKe1@@gfDB4OB?^jvm~pWVs+AyDkNuW}zgg%44c#E#{Cw~Dqd z-c{3`iWd+PT+PwE|BNsk0_%29Vm2Vp^d=hr(y^A@9iFqbXwL z$n_qm9;4~HnIG!KjyEIkM@X*0+76(qpi8i}me0pl#z)Y#;0^^Ar1wUhvw}&oKmGZ; zLpulE#Wxp^;2(&t!E%#&x#Yb3X{L&6(wma;+oS`6KGUUqB|5D~TeMRIzlH*C5gka_ z1Xs{!Jg)EhZK+7QwXiu-m683IRS#>nr|r?TWnEf>9nJ(cDO3Tw6vBqSK9c+u(*DqAgi#4z2Xgvi4{a`7U zU#WOA=d2O88$aO1bb#(Jh>iPx9&0-wo~&qGXGDH{^*e#EM0 zMuwzj6}G~pKF^fi7@w9$v+`0)6(~*7N1i(hT?WLpnZ1UtfNOOGS>5X8^5|3ADO<## zYMv&O@THv2TYqbyw&Q(?BcUV5xy4`&mTVS+t=1I>?G_2@y`Z5B?H%wo#aDlb_e($Z z{tT+Bc99U$c;^$JGaK4PE0aeDH;_A3Rr641Yw(TQn)IqB zI-7(mg=QgJdHFuK)9UQ<#ETu3o%i7dy*M9|y0~N%!2{Wc_8V*C=USxI&30_4R5m4F zMV@~tIHObZy9s&t+jTnebJnpcY~y9rVHkpO#9e0TccQzxz^`qU^ZZc9>D2WXZemT0 zqDACvS?E#Qg2LJS;||-6e1b@J{u7Jw^$HJi(Rt4?uM^GQ+Gd=;cjB<>?eDN~MT4LN z+)SS2{x<#5qo^5kx*$-7i&$6G{Y@K>vL5jb^Tbf1SjGleXwQxXLIK+%YGK0Hf;!E}r=JL}vEqe5tj)0*f}$4^48^R-VxG%2wOe)aNdBMg_NlHYA~v>Qx3P070K8l1ft58rY8}d*v(sXqZ^!e zV@H$zUXozVr7OZ9ktojB?+e3=jbppBN8vo(_qQVY9QaIQaCcFqOITXRYaWn2p`l7< zL6$M~D|^cttV-k7PrnXuLf?n;`s{OI%2t*xA5RP4Ld-v4MJdYQC%0zp=+~KcxE3UFA2)>T@Sb#Y@UTDm(-Wm)vBJMQ8xLxnF*IJ655KnM z;B-VMUO4k2y>V2*yaTF1)EX>tJKx+b>pM8I>B#LVcnr~Ne$9$;(q4%G# zu1M~QZ9vD!!&#>}dWnL-4amrhM(WHyoHu71agMI%hsQL9U>g;o3a9hHU-*`=zw!&(QpN-40O1d$X^TkBB{$lLhw| zwI2I(13y&o`a|%T7U( zra1q}33RmcZ6M2kjvWOZn_mGThGReZTVK983+p>5+JX66HD!9x14})5JQxv}pdatH z38-5l%V`a!9cH)&yZh$=kvhMiDg2k&U(h=kuZrKf+eaRQHn}bg34-qA=;k8Uqo|HG z*q1-oom*FumY!TERGNUr1m4)!*q-BCeA&(({jJ!3T4LMjD+ioxML%f1djI;qx32a@ z!HUnm`b2ihmg|d%L5GkWFJEj~A<&*<^?lg#IfL2~M;Tw+l_b=3CU1HTR$`gnGjk>< zU;-~9EgLayLSbXlMO1ww`m$S-xVB^bz}yG*#!jd}+hafPKX!E#@$nc(J!0v%vrQ5E z8iCrJY`B`EW5`w;8#-7^sAetAck9~Fis)Mc<&IdE@a92fmj3R99c=3GpSxpYOY1kV zE~v^x+0FNZ`ad6h3Jbmby;YroQ_y?rSr6@p;2Ut{ujc1!04rSnL?FVl4#WxkY}Xj6 zbpD}+Q3o)%z^lQM+s9*VfW&`!tcw^ka`!#$#ZH0G$xjL{<7zBRNQcEq`wp*c`s9*outbC9 zJnLus3T}YJbTC*J8+X&~MNMqIkX3}@U2xg)-tvO%vYQJwMeep1s^dA3_y9%bd#%)Z z>;&WbWGI~emNJmA-l;Wy#PI6WQZD1SX7Gbg&Xd?bHBcG0EfPH=j68+=Ct2vcYDM3Y zIUil9t7BSY(fR%?x^SSy&*#Y1-%D9g{Ra7*i6~&MKrplDTngPTflgVeh{p|{4N~lP z;kP@KsQ7Y4#n-xMakWF)lp?vJg3Y^fRJEJkbG9s%PkX$C@lT552TkLo4w@zds8u$I zg@k2Anh!$3WC!aLa7j7u?34Vm5ej;-QGI^w6rIWNoAopwb?PVUKk8b@RiQaw4IGM8Q=TSt zX(sW`M%xJMft0Jbi>jBEhKe13?BBcw`z;5sFf+z|F&c4@GF7X@0&!<^ZT{-PX)9G- zuk&Wuj9Ggxs^b`UWGNkPq+y7dmghvXdP21lm1IH9gg$}@R@znI%3^n7hjTzb7XArf zn`{|k5H>&nTi>aC_Jdq&JdX+TD$!~=FJM{z_!_J-{+FrVq|WanMm;lT-||$c-(S+V zN9X5H@^SNmyF>`Q9ihH!+*broAL>~b3Y6);iT(|s?#>lFa za-@(c7|c5MajZvQc#--)z{G-+S63>U7Xe2QTob))SYuyNDPPY{5s=><{dze+4`jZw zLlT==4jQPcq$(WKt*5EP@%#zrQC)10(IjL=0|Vthf!a=l+~$tV8Txf@|YHR#e95i=f!@mz`i{`f{(%G!a3p#klnROgFux8OBTu`add`NznXVp7 zsT&BowhTcI1Ih)ka*VHT(y|I-NCV*bjZ~rn0I75l@S!@>S%ebD^(oH3&Vbej>>Ci{ zAIoG%0DG-@OQzZ`33$ zisQb`wrA#g=Mg8Xu+pu3z{o$<`AUj)w~OdnFzVt3L$g2~>yyjn?Y=lIMc0wPyJ6ge1OUSq-QD`MZI5uweA|CIlMS}MQa#sL-|q;EhAHdMzZ+93v7j>*pG zz-uf>%0?fW0lm%WK-nvA&H!N4PFj^N3r24dHPeGZTNj5aMH9jQo1|YVV|3z$Xpo%>g$1WuzmdpbEz<`NM$X|9dY>odl z61oNDCsBhoPGVM?CMoWJ=@?R8bE9vXdC31=v2%TUCh!_*=bhNKJvY=+;bmVb_zl}Y z?@dEDUjpn$G$;;PFBXJQ`vjpyYdrOJp5|WKYJDHT#lmS;xMWogrhH#qS!u-_PgyJ>TQ_ zevjvmjw3a7^Oa6b9w_FDW7=&nXxKN$Q#al5M=(#BWgbf zO56RR=V-pH;2j6=M5afsu)oJbylE$>dMiH7N8|3e>jh06Kw1Y&jf$B{o}8-?LdR2P zJl3M{)@?)q7skm|4%|_-W1{l1(6QE8n;Qhuts^yHhfgT|amn$=#LxZTau{ z5xE=iu%E5=IKuC-QXPm8jd#EjFuy%#&5&z&Rd3UE!ZszgCrG#&lO}^Ah zoVC5sFPN7%-j_3uY)RI+-B58JGWZdonl1$>)oTi+DgpNswHxmOXLjd$)Jqh~QAo%e zSLU3U%k15=Ii)TaeeBdd1WgUZlVL11f)INBOe5tO%oy?a6fmuU{MqlSXfQpD%*Ig}Clk)wgln6ChUDx+iC^9|$@`BWF z@6@wzwq0+;7a*-=AU^<}3IpCjNwH6bY89XxI+cTQ?IUH9KBLcRZJWLZg$gK3IF;iy zv0XXT;i&eKN=)z#qv$h_{;5?z)?af65NmzFT3GEa!AExT61W7bVPD@o)w&7LL0^x% zCUn4NOltc66O@avPuytOml_d*+sGZ z&DB6c?9kO1a1WxRYJ^FYw-`e6e{ks)N2z{}7}*i8Rs@*JPv=mP&WJ?*Pf(SBJMnj> z1rYMqb;MngX+!d5nBl@Y!<+RTt|QMP|XAD z08%NNha{@C>h%-z^`aV4YZ>Y#-LYL!G{{bT-AHah-tYm$ruE!!toRrJwj7t$@+(w1 z;_b4`AczdEXV3-`cIumTPJB(_{ebO%S>`-CLw#z4;qUzQhu@Dr+g~_ARLdqkq_ikx z)z@?5!LfXc{ZQ?7Z7V&&#UZ8kH_wFuu=ym7wV~We5HfD(14GQY3LqRL#%de*kvpErQ?Ftr0!qR69N_pT}|4sXY(eh)d;=mJYVCUmn^^x^M? zZ`^7Bs{>cz2mPd_ua#vAxVJUHa~0n7JXtAeuDB`s~dGFlgh$^rRzQ~E}3_Q;1l#F+8C zBFD;FL14aOr(YnfNu;#0jD8O=C|=SuY4-kzC@JP*oKLUHhoblc2ne;lF!_}Q^+}0> zS3^fS10*hQLD$6Hhh9C4G{*AGTu`lV#Y2Ox(ZM%Ib&IUab+!t9wRc*{ex)-L>=0j| znpqrxm}Z5YKrO5q_}v)%Umh_*4`b+0gW%}?dCR!h#l^}ti~5;h28#Jl5f>>FKKD+T z^!g0v0p#a)gqKTS(a3Y~g!c1_^a-w2Ts!SODCSRMYX$Lr98!GzbU5hk%8+EP`O~y| zUvvn(a1lbl-o2x~(JnUPSx2A27P;U}a-G|AlSfmHnCF@*@J` zfp|oPqe;Bmb0|8<8Ij#GIv7q5Mv_{Lqgr#B+UY}ZtzOK!@|qb&zeFQAOMc4vcAaBd z)(?g?z1`MLyw4p>PNBSTTvS*Pv6iCT-qekLhw2tCv~KO5(MW~gHa0MBZgK&N$v&*kcF(mq_1dNR9iC8 z5JQ1QK9Pmm8`B9uQg8^QN?7dMLV-UpDEr9>pIyqVlpISfeZrlRfcx3;Y+c@0W>xNysypW}6y^sCr&RZ&_z26*S@NP-LRWfB9Eq=c%to*ZR73nR@iM7Q@sg6rVMh87uV}$r#BlnT{22p0l zyCSbprN+(Bqm%C0)3rI7^`>T@3=~TaAZD6;k#r)DUg^IbXw!Qp7Aw=B#^<%uR2K>V zTux-?na)HX{khVf=ko*Ple5qaI2TA8`WA@CI?ImVBep&Fi{(gPw;R8V%w0mF?P^T|n z5qU_VKc}E!MEkr&@|leTNO;DVcw5%>+neI{_R=SOXW$ZJMOa+~zwwLOexXg7Ifw&% zLuZ}7&JS(^4pN7^a}^iqU_E?R4^&{2>Te@{YxVxLvgGaffFld}l zGx?!@?w-8Q4PLF?LQ$534`MEVr-bZL6nNL6?iuo)sxt+pjzI#mL?ZPMGf zzN4@X_(0v3!8x6+RkHR!KGlo^1I3SUqP%Ls>rjK7f^nowf&=F>L4TrBzitG!aCjHC z%(gdh$5D?UI_uwqx~#~VU0Hfb4HW(Z%4_U)tIC%HBz=0p-#@16wZ+cEoDU$Pd^($^ zdx&vZho2}V1o=-o{rpMO8rCj0oSK~hJ5fZX!K`A?3Q;19D!GLt3fh#j;Zq^zBZf$Z zRprFkqYo*&A!2j@st}$<{62TWlBhJ0dx68a+zJl5rvZBZyl7u8sZ1*lm8bQqpNe4| z>GS2>RxFj^Z-;FI35kN&Wlgt(Sy?IXS`0{m51ygh{|ZmicF6mUbbt@SNK~I_;Chv1 zGSU8$us`AUGp9e^F86Y=8H}ZpWYw+<@qB2|kUb)>hah?*Q44?a^m6M=(ep5h`~0=F zRaf++u-5wVu)(EagN74r^eSZjMcr{WQ}2xTpKLjNze77H`=dl5MdmIxpCQi`-DGjl zb?%&xhDf@a&QDSft?SUW0tX?VB!am^a6+m$H3>WDTxp0 z>=aBE5!ixSED{Xr6LW{{oDVvLheYTU`Jt_G85%r2tjq6g%+l~!gL1ncQzF@DnNf9t&rjYGA9RO>n8Csb8gX5ny7X)7Dt-0@BvJk%RL zJ;}6WuvYbHbl4Ivv-v}QOD%`j@k5M$s65qucl4v)YUy;N-2mgtaIK%t{REXCO`WV) zKfDfddoB-w2o41;Tvs`OwAk8xZ}pWcvz2W~a&C7_Uyv1eR?-oCdFgr_BAV~2we@q@ z*BNy>bg2m>`DRZk`t^QuLX^CRnMCTe`1OZhdoVn4=fyVPkDtlPQ5_4WW3;tt+>ux3 z+rsC|R_jm0nTZNG#^22s1Iui^6FP-(bip(Hs+sH%d$D@{`y_VAO@6zFM_%wE&JwoS zsp^SvTtbky>9jS9b=Q?FSD>(uOo-T1h1I>`aCSI-`mRo|pV<=~KfbV~sme0e$83@( z+Wt}#4i;q61SL$8maqIU66~ktg5oNJ%Uk%Te*cLw1m3*aas(4y9g6LCd zrEo5JI=CSy48?xu#C>BcrVrLPjt|}$PMf~jl)xl~x3+ot`?pW=1vV6!T^7jCX_^Db zD<0df0|@2-VgdAuM;%UvJh)8C!spu&bl2QW?Iy=pH|yMxX}mqvj2p(^5_Zn6AQwGf zJht*I%kdCSys|0kksw*8^|@RtpQo%Y_R+>BP2$x-2HrT_ptQb_A^PS3I=m#K^6(bX5;;dWli%i`D?>xTEYuH23h z`jI+cou)JJcFeok$y!!UNOSJY%_Dh7Rm~J+ZE?Ai(|??H^=6y5Yg6+r)qjs#Ier{9 zbR9S;5@1cQH`6DpMM5W(~b|{*I6G|hfwQ|s4EL~ z#>mEbyW=i47M<|EA2vAyZ~5U?56!bITm86@ba(eAvpO6r;Z{)7n)IyW{5|#-f%Y~> zKdAPQv$*+GONrNPH`R#7r(G@Ww`(q|M@JaGA1QQG&#^2nI(O^EkW2^Mr!zVV{-S$1 z?Ktj?{|xllqQOMyr+Oa~AG?@(`TG|Hqbu6X`2I+5W;fJaXoN9KkNTKS>$R+NEKhDk z7#WON$yCNB+M1WeqSj6emX*P)haHxFl=J4a6{!tj!Ve$;*T>f*iF7vDs6gpcX|qN> zwn2MO-gZ6fcOFiN<-cZ~YzC|SrPhl6Y7W^y3fiB*uV=7o6lFKgbeae*A;jBy#t<>> z)(~D)@_4jTqP*yAezYY^wUzffS?ZW2*7F_wv?lk3;&@wmE6VHGd1mq(>rphNA%ZWb zl`FGDpPZMjO}4$d#VYsoMa<-Po}&@;7mi1ZrT@A*zoSLFtJLUAyD?uB-G46AU)G;?ZX~Z`)i_3)r2**V~vH-F<)BUGJg=)(fC%p;`g}wpoSgSni?hDtQ!nV0i%R56_W$dmoY-jFxpAZi?QC@A@?u{HPCU7y)e zcjrkSOTO<{9L!geBGT`_BsZ0*2fp(ce3szfhG@H7o!01@H=o@BA4D8L-iJZLHkU>U zgn*36A@gfhRFx9s(Y0EYlR&Wz<<>n*20+}Oa2#!(Fb{J9uywC*tsp4zIY6r*@Xg~K z;;92)Mn6gMU9+D#^D*JJ4*7e@6e=eQPy!C|n4*z{`P0ZlvvJ1KfzMk`q9@u~*N>mA zEaPH}m3;CR;N1g*Tr$C*T>L3eZ&em1vQ*dMCl$XmO0XUMq3M&V3aCoyF-^0AUN-^6(Wv<{9tbJEF&>+dKst#5t`_cx8Wrn{Es9kv3m< ziWv2NICQ+$tPVUiYNbGBrYJ^1duJA0-wZLg27=^+)$uRy&rRHen2;I`>a0sCo6`;; zKF8k|<{m&ymgJ$k6q=(bomUL66roY*SQ@ce9mrBtwxSoiK`Wj$@Hr$v5}Kwpb9$`5 zOtkK4`4r$2b0Q@VH?=wxi0J)O>GTC_1p(cOZ=P#Ly+gTJWz`In<4dQvVvk-$g`mnQ zY|O0n@aFP#YzI)K>%Q9LLKrE{Pf%8O(nfdd!5RHiV>eXXyI-FRGme5Y%4h2}2ZuPl zgl>%N>y>dBmle^F^vO@Fku6W4QScKRm&yJ!utVed!D=>q0-v{VTpDDsTJ`{vT_w6x zxuJzclGWww@H~ebu0GL>+SwJ{sZQ5?6$i#KZg*|fyOgx)3~M?+bi3|(!XaZ(=gy9= z6Il?UP6b1bRR$TLO}rJ;w=}Fu(d&SVqTKrjZ`VBw1{tGmkvCsQLwbhZXGuRnQdk-` zTvF}~kYxbRPT5lh=;asiOh>P_xG^;^iQmv$O+wR<6}S!{$|}0OdaGYH-~+A13BR*m zdG=+>6EB`1gyn*BwQmd*Cyj;124C9?-r>%2(JE^Ol=TJb$_E$Y>!b59AoLa(6;JJ# z4ipFRY6kz;mZ{Z&5`zvvMROJ!G6vpyhbT}85;JO{IZv;n4iv@C9SG|<_Fc-c*!N`q zJLQ$7oH!auY7SJ0r%tGjd^X^p@Q!4gJiL3Y_72zHJzAt(o>`e1P z)!^Y+(bYGsRonGe+P|$6e>~{ZaUCaSkQL0x&Q$WUx(y!fiI;9uUa(H5kn55*oKI?h#jp(1ZdoJ_>b z>OIR!>G@Z^<71g25$u|EQb(V3(C^SK8u`2D&4CnpX1vcmbPVU>&8u*7H)VGlNoJY+ ztc71q-tpk^wedhHpvHgs$!)n=M{OULM*(1_Wp6;VSlq{Mh~ku6$2p8Z1RT6GNCp(< z+SR*O5Z?w$V^WK#MD{F2mRFWBDm=fL2(N}$ii)4oTS3gjmVxf+Ez&J`>(&j%6HO3F zr#A&1;sKlJlUHQ^^+VoD5hKt3;jNE}OrazTY@IDHblZHd=cvp0K=FVW{o70OW5hNH(T(N}+(bD%~>#^=oBGgzi}bMjZC_MczA5S)Wk?iY$iX$dmMJ{MU>@17%;% z%QLmU#Ktegvm2bHfYV#~JrDCh{o%h`1=Pi)2qA*_38GPDn6cCTlh9D&3=~-JN?fO3 zh$)$$)QhAKE%w#!(S072M~W~7wD|uqR`Y^87^>qrB58J2iIil`ji*E-k{p2iAAf7owpiK-^d9?f6Q@DSt z0eudBgwh(|zqWOCj907d0S~X9+~<8rB{rnnV*TQr=21#@+z~odW0HT>Sdxpe!X$W} zx<70x|0=PbBeDsH^Du8>;MF2ERi!d+5Xw7=yTR{sir)Hu7w)*O?VX3e5CyaWYR-b1 zfgw-Z)CLrN1Uv-ge1BvdDUJbZY?jbCnDukOx84>EojN7NNFn1dt(%d-;2U&@bib*C zmZu7L_v~dH_?$MC7)zS~yF^~dvDI;H2UkQ97XtOVEA|i*#-t zSCR|fnak4x(P&HV(mdkp2G#jAt3w_IpCx)V0^n-bP1RfdOw3qQCl31YS5%fsj)tGuV@iAeGh>k$5C-K4-{KCf{})Ycyj0D8zOmlkG(<5z(DUCu)Z~~=S;Ci zdjyZpt?Vco_FXuDAfe<414z=^(lAyNsBcvY2p5bO_7dh6Nz-?#bqKtN=&C!}kuUnO zcQZ1M>J|m=rgRgj=)ckiXK^OzerKZ*{U@zI0{P7WT0N!Q#Rk0NK^`X@K$NT?-Jd}X zdqzv~{b&GPZ>vSLEJ1hDI&QE1Whh01i{E&#>WS`v&rYNMDC<~q_>RbSD9oXLe4;)F zwE9z7&>u%r8wV`GPg0}Nl24?}LF<&35+#)akzY`k)O)=ME53V}(_kD628uOoZU=L* zz^dUjxC*d0z$r&Lh3|6gb!UKD&m?nN_m%P_&Gxi>zwT|0mz)L7Mgq&2<{ zF7ie#Fc@8)enYp)gQKB=Q5N(LAh)-3Av@PM?8ELx6CdtgU#ov;eH|@0g=*u(*Zis$ znDL{VDX;GVX%_mgkuKi4D$kVLUlKNfAS#uF%_y8-#isIHT7f9F z_{SJt`IjTm70OiF`)G&UIm?5 zHw%zLK&qF8DC9NV#aMFo@V->i=_xeV33lz04(PC@53zs*+W`guGk;_5K;9pGZB=It zu5*Fotv)T)jYd1bXD75sj3U(fg#{5!M>OBjmTW<9OV|}ey$!uLqXKt-_*0bhaq^IV z-~6zsxAPdf9j?e?1R8`Z^)q40@i7_yW4&e|dBC_twnGkeS$oN75K7G(GdA0>F<)&)Q305&%!XcK<`5 z9^u8h{{iF-{s6MiJ77EDJIX~vdW`-dLfxUx8{lkn(la2*hY#X>GV6c2d1>HZx7+mp z=5{N>gxHAER(G=G-g{(uEgB&Sr`X+cj}|lX&$&c*JFY99=+9q0n(C}fPY+`Ein7)< zsQVmy_K6nd*>az2=rY22C339g#6t$ruBys`h3dD&Mgw5*|Y6p`uNFpEPyyp5GKS z&T*5n_H{P$B9>=Hw8@_i4-I01v=Yk(@<#YDuYWkmsy9aMSsXydj6HF6^s2?aJ(Dat z>H-ws+h0#xNv;KBzx-~@{4W>5AaI6$mNza35QYQD;(kj_(yy)_xC_S}CWwJr6q?Er644&WyPA3Fr8Y z7fLx?eQ94M)7JL9xG>TCF_WNUpZQLa$gbo|IGeYDqB>1;YmVRnqz$II=JEGbp0M+E zmOkgr6sOz~_pS=Sx0o?S9^jut%}7~)vYs}cVd;lB7azupc z?bc@0-rRPZH)7*s)C{96qO7JS>NfI@wR*K4KO$MEVC+RE@7n{&;|{j3zx_P*41Fw5 z*8B`wyaxV_;s)C-OnGfWH+1@jl4frP&hXFRJ(Yq*DWqgaqtA+7bWOfFe}%`b9NshT z0tFDagm~9*YXLD4`qQ_Q#_jhGRGr-+L%1;AoQ;cd!ZA zLS$E&N+5?qS6{MA!)TMNm`SZsb(v34m`0x3k0_(?EHqHuTQNS7AirY7 zQ{~gddiHBz%rjj+&U*wTjf3g54s>K&>$_@zr*^-bF;r@Y6=+TD!)b%~4OJ@`&0 z@0WGQc&T@Aubch#TY&|JiMhl}y`sj;bm6DP2fyPN=$d>*H(CS!tgl9KS#x>{1#L&Iat*PJ zD%F&fx^;AXy?XUjdqK}lNWdElDhrCWbT<}7zLPi8N(%E_C%?I4^yBnfbbMXK-GE&n z{{PP-k|MhOXR*`u`AC#0BXe#V64?VRhexR2v?x7`b?jX$1#&q<_U;@L{ zB*XghWP zz$04$@^GbLpW_kOW-kF#`-fs3r7#>m_wj^{BgV6UO&@z~ikcl#+}#1Mw6Inq4~JK3 zZQGy*6)2l`3{-{v>|*2Lo4p5+f9!@C&hY=W!qSn>lT+ziJKo^ucMW?(#h?=NS`2k1 zr7a+lcV~a#j#Zy@-`dG5!H~b5n44|Hfd1LpBZq#&8jNof1CRM16NSI>ysu@+9Hdlv zmz~ms|LpfNrl_*ZWzHjr7?f541YvG&F<2ZQcub(MSEV%dy}s0OUbWe^C<6`9d$5uQ zH>0r#*kC-iPx6ad<_rS1%glFNEcLkKl#ajb43y{ACBe$Pq2J;}EVzkUHgvvmS z+HM~DrryXz*@U{Occ@dkpghOU2QRgzvPS2WHUtRytXB`Mtr37H(+kIG@Zlyq2#+^9 zd4GL}+5gLTIKtMHKa=uafJtYhXJ^{B-DaOOCNV(0K~|p^zu$9Yo#Ku)eI4ceP`AuA z2+L}6>-NQssM~MzXRyAO*HPVw0|zs$y3O8J`vQniYHEfe!>w0q_S1*w zC6!<Casn2ZN7|i#GZUbYWFUO_`lOg{^o$)r=Xr1vb&BD6B#aP-B zh1t`XdpS>{$*jh#OC{s_qMYs{bDR?5kL%galh)tu-E2)K{}|fuip9ycPM+Y$;osvf zsBSbzM%rzkR<&5mEWw@Vc2A0k>E;$`$h@c4!~5_7S{26$&!PgV5t)l=3>ya! zJSxDfbZfoZgfrn{sKk}gmunHMmYiP@S4Wwl_?JSj?f7HoueFd~75;&<5ii+?w6?k_ zT*29gzH+i&l%BhDI&doH>C-z3CN3cPn6b~154H@W*z~|)SZ}^vM>jXz z@-#<8Ao`WJaFGR`b4Wc~i7}3I*~`?CeTa%hSK6WCX_FJiZc49Bmn>R>^9`K|H)bK; zs*)r=wUuquOOveFxSNx;z&O3|A#G63R>niWV78(%=9elm(QH%pw(EK9TfAGi{Hc6* z{0=^R7d4$1vRZ1)x@+}Qvn1&kf(7B^m2KF_*0xkQ%`wI)nyTAVz zb2g$HjKq6ZO2;{c22*WH8Qj-acN#6ZTgZ<)I`nVy$w*2%_7Ca!5H6E{eDO(X-^gyT zF-<(>^nQ55;}jt0>pIo0Y4$DMudD^)&z<%X%Y2Rg(%dF+w5H)#pEQd}<}VfAw%_|b zbnV7G+{|uu-*NQoGcZWFE$n*UKR-t zjJ;kGBaMP?y9$t%IDJB!y{8Grt?bW+X~~vXb3rLf>fq(Gq1Y z_ESUfc(-7Tyh`G`7!6i+`J~la_Qf7(6pYzh#iwv_XFoFU!>&)h7$(m=Y!J$nzyIdv z^O&g;A&}B|zvfGyLi|znYPtxX?Z=HzI%j<8F-bb=g|d za*pjhcgxiI+E~+eQOT;UfXJRDeDEp&o+h8=`6?tdq&(gjmao8KjI^8(k8PG|)T*ex z<_{w z5vz92$^mz^&}R$`>E~|9*OuZHidnxCGSews3%_iUCHg`~gI2%Cg;Q_u3 zg9R>=bna|7dtCTyFJcz=%?k1-knhFPo@wXVKBc;l!b-Jrq1P^d^@x?S2;2j<5xF9g z)^}OFVq92~h4S7<#l#;&1J&XjM&bRoR>^BijT3$h03J)<0(d=8ot zE+R`3z+&lJ0E=l~u8}c>@T;#_fjIm57l;%&FjaD@KgCbIzomJ3ZWT7pAq@}^nPYJ; zrFDMpztI>XYDlGa~ zp3wThOUGyD&&0(KMx$lLg;_7nP*CWsaT;gEtfam(yDX4aNO92oYHm?^ zF8%v)YVmo#K-idc4*mjsZwkHBmS6CqPrBFTD5EgvX4ez>Wn5N1_QA_U*J4Tf z@MYNF&5F}%l;a1nk0|~v9*K29-Tg_Boumyd>|>gZq`+o~C_iMC>ZenXT)$$|DI%YIg^CfR zjX~3o!tUkxq!9EPKy#uM8VUieJ?UHBjE)?1(~)yF@27L0inFkrt69v zHe#!c6PYJO6f5p2Xj9G^v^+GPZU1XLn~)pN8J%3CW)~YCNcpA%h`i}ep1LJ$R0z;< zUUNX7V#t3W1>l;tgm%r7W3v`3x}htpM+T>E1xPq;M*6D0F95t$qw=n)6S5%4ubf{pwz?MqU_6;2ERc0K#m7GnAb{7+*-T4 zeyDsWP&8Z<1x5y{WnVs9GO=$18Jd19>q`mCHD+NY;@M<-a|_#n+-#nR9 zf4_nnB!whM3Ik9_OFoBuytGL^u3m4}V%s3+lRlOq^(y_n=TiZjktio2q?a0bh;=RY zEeaHA-nx!7gIaS}imdvNdO%Ic?(OS}oNFtqoI-$+|N85xsTJ;K#L}a1%01ZtXBQ_e z&fV2uDNzuA#Wh~YIPq4o&b6JhRe7KQg(xgt|Ku;kjmKU?Y`6zH!41Yt@`xGTpU(zc zl1;BnK9ww!kSW@)RfH-qaKU}@zJdkv?7%DwP3C8-YrifNDd?RXaX}}898D=k#N$}eqB0V=rCDZnq zIzXB3J_KxYpk`^jCeth%P(Sf9@qW8Q7HxAZQn zpU!-~WHv5L1)t9#5PI=I+i^Lgm)b#x*;`&tg5${vY%-HAj>u_#tVJ9zvGdckJLs*iETug$3Ugqi=P6Zpy*-P2|WMS%ZyPEideneK?M>j+|^2+zme)PGdBf^IQCs*?>j7=`9Dt!?=k`M<3TpqEuvQ*L!R0TpWh7i%;aE-0DW zXws`k0GWK;g#vE;7WZP{l{YNQIHP%Zz(-BBz()fn;z350-8vM*r^y&d*`Vtybs+uz zV-9U{i{=Ey96H=utu2;jpz?1*8DDH6Bh(jGy^Kbze?SYc*%6U6aARg5nR2 zJS;pArHmBY(_sT@s{-VaR5u`e&){I&QRq718Uru2<}uKsv?9G4i2Xw5p57{J1dnMG*Pm2|o#+ z$e*jp-f^u70OBy}<`xnr)a><)=s2(YJ~8kz+i~Ij>H|o=H)RK*<;kzF>|)05EmD)co!c_ zdPV^|CjfT&0PF_;19nfPEyY$9h5)$xg|_rA4cu?&lXA%ca?l}zWJ)=cw`K`zfWUtR zC3P0{9kFfi31Ljl9%TWMif`pXeEMK5ck@OCb2@D@T;%us6`uc0Ji$NhWG3}pz5y7c z*yKMGPt=8Z<`y}&pgGO(?;v(^$LCW&j9ze_( ziLgxxdNX_anbYL5B5Svq1>c(xW@ZYb6qC_?E_m+9p?$QBml}mnI%ETKmOYrtk)kU2 zpNS_GO8f5pJMjcyOiqcT#YBl5eTk4k_*F21RUPb&>3bokHoHG?N3>6hqs0Kg>^snr z*8y!RXZ&aOzma16%Q2hA8Fm$A(H+9TdgRa?dg=t~`(UY2D`Vz!YyZl-CsBtDwupBF zqrtU$3P<&@`0!)I&PRv41;=6)&#W3T;M7^Q!q9a0RZe8<_ixg-{pV0cK~{>3^lLRb z{r-JcDAB*jE7F2yWQ}4YeU=?oeOP!7D9!@;6KJN=7TlPeGe12+JHps?e7XFLUiVIM zU)A?KwL$6s4t8`ntMvvfw6VZR59gConHFVBZyo+bGe|`uow0B!`^3$;$T+G6do%Om zI)_x~Mp)P&D8Kihmy3J_`KX3>w6RaR8w?`5+pk*|&13Oj#RtjG(8tQ81-`%K_I&_w z7OQelewrhJhgR*_oQ1*Yvb0wiw69UjRX}qnI|B;@&e>p6)DWx`3M~d0#EgT9CS%1O zA`51UA($8oU7in!$QX>dp!TB)up`^QYd2X0L6pq}kWx=T`Js#pAP>KmV7N**XQ##epbwyfGaE)&R zsV2}3a-S&+P&U8K0;yPv=`8+aR$(Gc+AN`6sg$FcC*px>DM<}bZ?f@-?zS(0=yU1< zg?W#{)N7c!dNFry05U$>q%I1txS*-uOj?Bh>n9yu7M@*fd96GI2Oy8mrib=Bl42-* z>mNhuW=_0okcOX$Qs~t^1WdHo+LA}P34>l1Ds%b)7$t(y_MHL&@ZX2ceGN6J;JZ)gp>5A! zrEP-T@?#wV(dLqI4WEAs5DziV9)net;N!`h`%9>~WTK1S2E`__I|)$wj5wt_iyz%P z18^%W>OKg&OD{9uSz*8we%?34s+O%NsgLGIdPRAL-^YYl4k=$rQ9gkDTk2lO3sSbn zE(wnr0}ekbC#PB(3gY!??o(E2Nyz9eH}xH^!-9u-R$+eF33hVnWJM9nDtxoLSSY054(7)JR@zzwK$c>wHHm2N(uo&cd)+tMj7 z!2set_wmFFUVwW#S8YLPssL-Zw*!&!@TmG#Q1f>Zxbp?T!L;LEJx5RJ&1H|iVXwDn zk-JrLjck0rw7}g-rtk^)Y7gzzD})m1R-)$GQC`#uUSjwGL^H3g`qYUxzCCD``}z}8 z2M`nYCuvxt!1*Ph+WKUMeH`*KG_ z2FbNxIQD;OQxf3YcN;lvq4V_BGMVetNzgv<%;XFTeE= zUgbyc>MTb>|C?jUiv;xZ1j!cF7SJTjf0Fhg{#OV!;Ca~OA_I0Q!O>w%L%Z7Y3p}Ve zrTy&@<@Z>gHCi#AXzf9LmpXtn_j6i$;MH8epX5=MI(4INGW|q>Xv1Pbrhs;Z@#NSE z+F(q+RHu2-gMGaOB4@-54%Yl`LN_ruJkk&!1jh;gyuNxI&TmwjDfD|JYgEjXbapoX z86fE92N1jJjHw>S8T;^Cw@%efOI+i7f@*_luEr7T zuyy;Gt<_3bvnU1Y*&TDT2b|ynE*_jFY`S5p7NF!C#$M72Y;mp8VSz zDypj2wihIMEuE=hORI|W3tP@(+0)1CNoR|0?Dm>C*U@>qQu6xB>3dW8SK$j^92}Y9 z1)eT5Mn3U(`dU=wR1xHEBM)5GMT$krmUD45DInLJCHep&@dZ_`cslt1bpWLIHbIwY z1uyAd*_+i0|9nfr{)&bQ^6KbX>3n~Vt!;&yO1zFaO=|i~ZD5ffYAU;ydBEF*z_E)L z=RPkXaRvQ(=??Fcm>vVermbR{UDIIi;2sGJn%~AF40yT?x~2n2FxGsPji7ha5y#Uy z$^1TCPx!&tclFlR4ppmh2%dCT_uEo*w5CT?L*A>~LPHK9pV8q8M~Jo?h*qs((gbAI+sx^6PG0qP~IFMLM0UVO2WLz=d*=Sbs!ubAVw6nEbfE_zGjWP^XJ-#^XT z(J;>iY4k8TKKfYsq0jgi{?Qb(+vT?|ydix?Syvg4m}+g<)+SGv;u1o=?Q;uLO|uwq zPv(zLXG7=4SUGjWZd4uBOdM~ar&A)_CJ)!q)tOab^0ZF3d*!+Z81h-}OT9{fn8@xmEgM;TcCqeQwa0W63sC~6TBhT?>#gxr{A`s6 z(nh=W+)VwPyu_b}!t*B{`T6>87?vf^KzGILqmZq6yye&F0>#mdmQr?)=41xtI(h0s z#D)+MH`gU8Lnx6`PHUrrlyt?O)tEh?$F1`fJ<^ zd8wJgP}pnuRQ6DSrCwmI=;j9S-xXvMhP3@#aSL7+3A6`Jd;n4 zRIEQQ+q~`#wc%%@{Td$8(Oo`Y-|QRc{u58ymD(883Px`fJFl8I-t$)OlW=)wR$Cqh zU)i<&Ac@h7-fK}wEG`xZ6%?BjnH%ya4*>X$)*3M-jq6d~pKtOVpP=bcIOjV)St9(h z`hiVC<(<7suVjy-rfC-}m46AJ?j_db%e_>A_*~xDA|JoJq0~}$!rK&=fc!Eb51WP_ ze~cVeamsDTlgJY4s8WrOzSU>%IP;1X&Y z*4-qFz0bfk*|ll<_Dj0=WTj;BY0e7h?)mYwSPz^AUT;G$?EY0>*yL_b#sI(Rm!a?K zD`$10-F0rBh?PJEYM4^jsnl5-GSqvPuPo!(5ID>wDD-0R_R)80>eJVsIC`xa;tf53(Ao`Q?Agi9+*+aD*St70vaBk9#kyEK(a?oioO|gOsccfOd!Jr< zzuOwHqR)UV)OFM)+H)H(CmcXFR40#|HcOl>$2m1V?`jS$(+D3sk=8-zXYzy4qVCAl z;g+G4SL~=gMLzh+OX1N6kXWnT;seOjw5kJ0CYxSCYxekx@owUnGpvygNZ2C5|6_0I zN^jPBd|gcxWvUYN`0(@-qv8rH*juXE4pb^rVkddMnpHlcU7tRczTi)2zb*!wg#YO% z)ED|aJUuG*#OCpHNiS5L$_FElI{=-x1eZ_2Tbzi_RCgH_R=&SDn&ohrk9_jl9v3-L z$0A=MvdFm(D+>wXlPhbCjZcW$cAs6GTr~JB^gG!p)U^AZ6rqH227+B~LjrnK7F83a zpbXpWJb-u_;}@uSw5kYCp{sJQ_iVd{r3L^iV6)tLYC(rjt^W?24v307fcz9KYxlr6 zkybo5N6GprF5t4ni}JftVjg3|0ObK6vGY4WZn2RlqNG|{uF-R zZ^sL{dVvWl(02)CFi@%SqxctKKWt?E=t4D<=$$@Nok!VS(G zk1|aS*nTTztcFNSkdBhim9hy_RbI5&*ZOYQc1P3-p{|UDljRRqo5czNPi(gGZ`Kk)Q3ar`^(3ZiHttkp{ zSD(7k+{!Qz=4+xK6y|+HdYq z%QFyq|1+SzAKL4io{*LGzK&=8j@{BY#S@$PjPjzaT{RM)j4UQxBiDEc* zimUouuXT4Vr|nNKaIcm7%vQmfjEp7`c6FoJ8~O2El`k8Nt&B$D$pOqywd0pmgeH%j zoFa&`Q*G6){5E&G*f2a7Nw#>ntZ=r0F9fKM&>z3=^cE9;cs=Cy8Ht%CpL3&Og-!>c zqd`Kt@2pIfBc>m#USrWCm^VG5JqF)ARlQz;62-T%Zgo~Pf%cdGFQr#JLpNO&@c^-# zgLB=vgGn~3Ei3624CWO zPL_lhHokY!hrh)>04D8A7$_G8=nEH|ZeQ(!&zFIV*6uek3JN+BW`4&Ecj7a#0S4p! za!`6e?$$Ez!#{ov!;}luII|W~SP?er*KwAzG}Tu zi(LV2kGv9ZTL{{D>Q^e~rjhK-#cF$=Bze^7IGf2nbkG$|)q;rj(X&yz10xmr-ibt*fjF zN`IPeG+<$RBzJr;qS8O^SoNDDKgOfBHRasBBj1iHWJLVJ6vfx8nw|Ihx;X##{O9_o z%*gj#O?nd!U??m_Ent0K0!u?@u3hRGOL^Hw{W)7OELU>$yB)K&N6~`5bXeRbuDu{^ z!EwR7pC%{U$9Rtrc8uM|g4LZ#zjr{A1;bZ*4jgCilYK%fL`Pg737~Asz=r_&)gGos zaRALcYQXF$H5WtQ`h}g>xMssH^^PmXet*ASs;$oBe7t?FCF<}e_GYs$kJW^+l}@*o zt;P)T3ve(v3-GmBd;m|dxH9#d0;Hksw??|%z=%-hnko)TU zD7a z9E9De?woJT`84CnvD-@;k{THV08Wy}ZkHqfA~}jy!CXED$o-U_63;7mCIj-?mr5{mNrtk`9{6@4*lQ`?eCF&=&c_MYtP5%iNN%I71U z^UU_sfSZM+TYJ?=%!<3s52_gReOB;lN9G&=?%JtPc|<$nC|b>wIR{Nj23&L-MNk?(Ohe5goav4w@Gn&J3)+X z0FMp=9<9S8r3LAF?b=daI6C>(_%HG6E@7=p^(N#!;Ceq1l1#&MX zjlfujBLA5^LKd!ekX`)^FMW-HXzB`>jg1%8%8B8YbVCT z+z-TgKgwfoz+LDb{XKh9KbvL#a%SVLZ|!~ThQrQN@Es*i9m{^yIOOVk<{S&=j_Us$ zH|@>H^``YMkJqzcG6Wim+_vo?VuAb$S)6xjJlW%Gaw=dnH1#vl7ID^3S#eO;EsGY1-w}UT$v*>0JWog^r{qU z{~@1;w&Zi#WZ!*|!cIazK?cvQ7^e-#(X7J*mOxxvNuZpp4SUT*bG5iHje4}Eyv|>y zy=}dIpMDyzXIz-zKiq#5PBai+B2799&#+)CKjOa1BYLv#8!oQ}5#|YW3Yt+_8tT3F z9px+TR}SUiDhkv@s@*!uF$862YSSD5qMHq*M`q&R)!#ZYP5}Du2gv8#!aw>BBfE7c zkkCNJ&iXZrd%h2Vh7RaNuH0L7)0QuiE{zsxNW=z*e^VP%=*SrYYP|avkcvymyT7tv zO>zmpp{Dg-43xv()kr#5yqNMkO zf*(=@X}E+Hy|)5MDi81+j3T}bsxKC-u@j`y9Ob^@7vewW4`o(pMb0lk7J^J(1@Zkn z?ICzot{`(jPEJxxMq~Nc#HkhsqqO0^PLR6Tg%$0<<2EFpE5>)pT82eWCdKc-7S`00v22I7vnKwH^fO|uGE(}4Dr z$`G=)3LOD7VtMdd68;38{2WrycX9cZg6dxC5%tdR1FzKM=Rc`!O>ljl_FgN;`HFks z=tYQZ=HQ)Q05#M-tiyqG6N4g65JvW=Y_IB^Z@6+DxE1feal+s*MG+-n3+JJq%k{c8 zuk3XHN2{Ha6PIgw@?G)V|eJA%>pwxxX7_H(29||qyK_yZ*R6r!3{Cpd z0B|pvgQ1w#v443Ef{+P9w<2mh8&#&C+p+xQZ)Diy0eSk~$A6fZ4lw` z`~3#?=l}Lg&6uYUYD~&I=f4I+F#Mc)Zv|vZG+B-XE0F;Pkui_-Kve=)6u-;+t(%O^ z`g3>{A{c@=NM-L*kNrCC^M?%M06hwH@?{N(Nj%c$(c2u?&+AzJb|x)69J@t^?HOi( z>C!>;9RasQ4llHAm(Ca@!vTU!GkTHKE*sl!LA_5#g)^$0T*uD`1+$NfZOInb{p+^C z$Z%{KnTJ!Mo(!}#Fx5*|O;*RK+O#LIxCH;c(1I*eo*|vT5&F)#jKO!#n!oaPN&<(E zJOWwZ3fuVP+fH!`0B(Sl0c`kGUZelLuDIV<%phi7p7w<8CMdenD_c05apoRDe+#yC z_h89k?#%Y`@6W8N9MyzusVvyt$bh8myC5&4nIO1!P?m!cyZZo8ULp!WL=kjIMJs|1 zVfVF+!O#>q(UU_4^>Qx)D9x)`a>ca#g2`Lu$m;9aTXr_)=wy=1JF8X_dJtL(n1W39 zeB3Eo!yiMt3Cr-#7!0%9^(&9(;2#We0hZB54McyN%1DlohVMoF1&~06fEN{ripVt| zc>u<40F1}WKovp5m1dPOm?-^NSvqK^(umAyF&@J#M*t6w5IjUay|?Aj>;YcZJB3=| z<1he0c-E%7K{h=Acx+YuU>zWO;0Y7E^#?jzq7U~Hnj_Fs_wP&C!iIY4y~%M%67?UQ zkZdSG52=DL#x1n(Huqj0GhD84qZKjQAq3Y3`k?@50^5NH=XimDcwhV;m5qmADcl6< zJq7|L+zSxmtO4`g?Nks?z#YEOiIDW7)3hZ}6-n}lKEVa6)-A8!g#zZ>?LVw|SPf!M z>(h(@$9mMNA+i=Z9cv1(y5F%`+^-&ruqy3|S=!{ADj)xpgh?by^X~7(z zBA#x4k!AQO;5K9qFBO~URS{8RHg27?d?1*DO>_x!4rE}>qoiDg;dQ9~Tq)hbjP(M5 z$jN`t6PY3Ah;^4T9w~->F+2@4)h%PY^@n00YJM#sJtf z@$9g~E6QtJQg_cac~L#M7l^fcKoEam!R$ZJ?($xPCm4QPwwxA*FF^ygZ2{m!(cd_+ z*9%HK#p!ilZTi)DpRKu!TfmO@J>0^HF~u_|-0jciWOxlagT4&vA7H4p%b!7v?4y1#L;$KZ*lq4#fCK`h z6iVQO0KTrqC;I~raV8Pcw_w0_>StL#$#Q~JgzLU>OOk9)2>!^His<_ebn*4wUm>Ii zDx#{-snU?Jsb#VBGtv`<0|>A%N2oOXM*8%?6RK9}Evtb9&@&E_D1-4h<3h&Zh7Po5 z_H+q7`n(g!{VV{{F^c$zjIFu1X-i$gUkWl_MC2a{7kFw8V1$#fNYWQliXnjLyB%|K z34c*Q)Q-Jm z>9&O4v^Lis6?*V|?G^P|bnE(ds!JXI$x}A?v~dsoNG3zNZ&a6{PTG%8sz-vDz{x$4 zUWQkYwuBIIhn>j92rq-!9hVSYxgL^j990HS>G~1l)YL_v)8n>=v+9`EL|qmWl;ez-te- zy4XzKLv_Jw3bmz`XQb~`)0ECwhjWLa2e)*@w{{Tsufp!4FGPO>^rn$#g_R%n>s3iP z+8eO%hmSt*XTG~N_1%+^oCxk(S`Ei+*bbAssvcDT>;;Th4;an`k`TtA@{fTOWf%4C z=g>g)oUr)MnL205Dw@{+G*kBvGWt=TUn!E7kaTGzI>xfJJ}ROH=^5$YXbeO|{a2`) z&)*@dIN*Ol1z?&sxmFSfvReuO0u2e8^sjDi3+Vt@Ux>*vg(s>1ts|hNM>p`vJ_+aD zU!UWP?>dv^=oo+@LrD@6)L_~Z;Fxs5GqkQ{2^Ey&S_Q>B7> zsfOx6Mu-d@IUsXd_}rJD4=D2vUPtEv#(^FYSTI2&9}&n6+5vmPQ`8t}B}uvmW$GRP z-VFeEITj?R@=<<}ZaCCA|1%y^DMB%{e77YmLowSU)O%b7GRZN}8n7^d(!R~yJ6`Bt zY+TCj(2POG=bIq1>$j8gQ6&&$W*eHJ9GfsiRbkqhq<%}nusO1e1P`+RXzu?Rf|9H) z!)HP6x}=LELmD`smA+vkG=u{vt_uV}2*+CN*YD0ATf#F8_%UxI00;|$f0F9)gKC zftV0lSs*wX`2*7&#Y2`3-*^nAw%(?-?rt#TPJ=+RF_MQdG9=Ln2*@k{_H}Z4psBY( z_bQyYUTYT`4UJ5md4C+K7j-8f_N=19anmbb6T2?8Z|t{Q`QIL1xpgKVtA{aN;eZ=- zj)YE3J=Me9_(;JbTKiMOxgsO|wP{MGEecCT>^wvA?!f2COTF#SSD8~28~4|)foW$c zPp-LlTcO2)a1@3XY96MSIt&+G3?b3N=r)}UV(_g5s|+)C=lXTM)^TVfeQpM3t{s(H zHefMkp_dC&X~80z1$s#mwlkB!aeVB;svso7Ar%669*}R*#rK_i3hl`dU%r3YaBmk;oYJ4aA)3@Yy_&M?Ce}lK zo-~C7{iP`HNHq74K3LFnsXe>`dP0WIWG`-t+=klEf<>IOQL5|MC)*p5x^?I7gbQYj z1haxB==9Hu*-0c#c^tm848!MVybR-J!FIWRQ`X6%@r=#5)jyS#$0HYdG}E$BBYJuY5E-MU(gFX*vu!e8moS zuElq}I{caitCPrl#0g*CSG)?Cs@8!}I^7qkv4KUuhc?KAfv9i^K^*x-Ka*jndUAT# zXVDfb-NNH}_#YDQ*x5u-Bcl@W5*Y-W$FLW;IMW)nUXd7M4J+JR8`z4G)IybbtHYpe#f zqX)B?q2UQWVt(y96^vY-Jw{WW@{GCa^kC;;Al?*9?Me2tOR!pW!w2@~bDZl^8^A$_ zgu*<;ce7wos^?fR>>dlofs#&xQzO_7z9;a_MSzX%x@O8Se*Mj+<~s%Do$f;WXFBFp zU)p}U@m=!qww&;cXF0pJi+{|$sR@q}L@i!h2*K>8TV+wvYwA=2YO(%uw>6)sZOoUR z?hw+4TTA<9QeJ;F91JA=JW?Y+k}(Hk3R26QK+3PZpoXF-zW4;^y@|5Fkv4_-Cph&Z z*(Iyq?UEJV!7DV+yHL;5{^L0Nm>%)@+KD!lE%Lw=oL@tFtZE?`xu1UWqx3=4bv*@o ztW2HS$6?+_Y5jKl|EgCk9Y5oMrz@~v+t(?cub7fl-!TKS1tkD*c|Y~mImEj(S(wt;Ly7IDyzVoC^sKr*ALIswfr80YmUw=|R$ z1C1gEbi~_DFtS@M@g0Vl+q?d2r8qh^+E3at`IR zT-(e=@jjz5r82) z%HNa@aHpR;{*AlrkiqP=18fv|G9T>#)q;ea8~ng*G2h+Q^CbZu>@1U39P7l*?9J)W z;=Iwq_R#I{!`Br@3$N=))%JvHWJYUD-eX5z@&ErlE>)#wsb}4F1>S_BCQvZ{{$m4T z%Nq{N$UzQ@Jhg4R$~Dim%N}@PQ9)t1X(m}90*C?tv_E6AF${XRtPg_sfbF~NKs5gkm$l}5`u{5Qtp!9?z zHRexm5Z3i*N=lvn1w4KI2ou)|e}kdW^h81+Bk83uF4TKNuLdS~&AU_sFT@x_2}4J7 ze0I3z$%uCab^T>(@)xQ|e?I+fj(3?Qtzo_vXPlLLO`&6+?P=hbN$HuhQ`_id@893w zlA1cVD9F%w`TJFEaTPQPftl~nQ)&`sE3;g}K=dPX>gr)l*iEeBLxsGG+lQVAaUt6@3p}TAY96) zmN6T@F>_sM01|aTxW+Rm7=7q4(7tP`{Utmr9%`{?u<%MfpW>oxYu};%8@t9r@4z3- zWA+KolUlzkEMx9Mhu;vFTS|YrPX2_#CCW$;x2x^rrJb>{qHKk0;%&22qKDAyb@PBr z&~~pXXhpugiGwIxsRsS{C3-a5Ai{f1r%YQ&RP;17{&%`2-V*VN9`I>_;Rf7t-`SbL z-<_g5Ps{G9sH#C!e4XrD%$!GHhLQAZ7wvm64}*E}5r0@bb`oR9f@wPkQ{(CO(nje5 zZBL!?zs)`6Gr9vsANB-jf}lA&=b@@meV-Go%ob`ITrn#z39UY4kUE3!J2_zja{&*T zN12rM&j}AYIcgfK#0FH|N#hniurfX~M!KK2cz`K=5`2}axqm)$USq?GO2E>JFDeb& zz2D%{>2ETKM3nqCo@`>lxTp?ea zJ&3)DH=p11+m9FQJr5iR#r1DVt#G*78YB@gW5Z$9HltPO9d_pXI)V4O-9Q}eOm&zI zyw-79b&A!b_bg1hg*Vh7(FbSd572=)dK$)HoIx-8f>EDJ_$6i1PPQ$Zkr0Qi#4 zf-x1$Nf%*$S&IvG7RBg#peiz6-b2@UhQ#{>4ZrOXoN+^WEBBdl@(iBh=O`D>YgJ{W zrP_(kne!s7oLMk*?uAI`TpjVQ1)yMlY#s|j+Hs3EB_E|kH;$@3pokczYB{@n37fk- z#fi{9Q}%oFeiaJ2_J#$+z;~fWKw`3BLRi<2fr#5Z)rV=?v&kxpWf3CCU5&?H3NUW* zx(4W5lxY>b$4Ep}JwEGD3|QUH&SRpvPQqd5*0+~2^08jvc75veQ9YtIen<$#tta?` z%sZbWSKU~Fvq}^#&#N2xf}n)it#Bw0{6{=|BLFVe{(DeN0k1!wMTHOBh0J8@<#~gcGBqfCEqKT{f3Jr}79XY=JzTbxMH;n9BJqube^dBua+w%fv zw+9_6;@O}lU*{l)`7|_ro~L-2Y+ap9bdy?;IFwZe+e<^I`QL_`fTro5xiox#F=VOV zlkRUXwx~^CT>ogmNK3ns4#LHi%Ywm;gBM?;CWA|S8xOFJEF;OXN_xD7iy=dt1Y*fv zAQ$SAByq*XQHB0tbrO#xK+bJ_SVMdTThz6n+BrDbIt9hQFw}bWj;8;iwH>`Nsuu(X z#MX*8OrG<-4b!}2?<8`$u4W9};DuR>LkGxIAMq@JVseHB>)*`_E`AWLgJ>LuW8h1x znI1wQb@-vodAfs<7Bp+_kFHa*ZSVq5?~TE%imyr|$ub?EaQ7F{-#GE0ja1;0{-oOT zZ%}nah(b+mb{eLvX8M4qYn+{W2W7}@OVF*2SR85g26I0TeQ^!S5slp&C_9sW=`a<* z=%FO9?}Em`qECV3zPX@Z4LIC_>E|cGf;}}3hH}yk+#oKqjjYp5XvHI9; zjQ7^D0sDJD*R}5ako$NydgGOuPXcT$+oO6IA%SMtAl3eyuj)ixrWr2-)Rz;ZPcm?8 z_FdJT92kK)w`1$^=ve=m-0Du0gE_pYY_r^J07s^^?f3{7(E>1{3~13Re6A;)THP7| zEq%rsY%P5@09wXm^3OHd)%IopDwUzcf~b-Z^3mGV<;70FOB#k=!C4$gS*JMn$BClM6(Fog@d~)&F)JO3m-mRhFJR*N;0x zXpRwEp0il-m_ml4ngS0OIY4jkpZ-QvuK-ffw#0!TyM`BL{7y3cZ{F;_O5|f4>EfqO z&SBuqypOp9m`~Xq$L+aRqYI)_EEnJgZE*(Jo0|&EEEE7aBng3Kw%o~2u=LEjKN#sO zNR3Ai;1~2e0L}dcXs#I@j?JdE!CJi6zTrH@{mSsI{sxSMV-5uMVFi5BBwUS2LKR&A zq@wgp&X(stjTg1OW-O zr~#FbK`WlN!TdmhV4*;;5?z$)h7JKKkxkcyejd)K1X{LqjUpIGK*v{RO<(gYops!5 z3a-g#l0mEhW^NGn6m9;vHe)Dj`u5cwL$bXr*eBgV|A1n)$5PeHlMSwu(wO&~s47h$ zCRFE1gg9K2KTu8=m4^H#=jI+8R8sKWPnCupt=2qPF#T$0i`xsD>?M=LF~)#5kp*jl zB9@h@JWPBthlkVs#QY|)F~AQwO(c?C;YFn$IMMhn|C#g;N==j3TdKHB#b*ig4}fs< zbn+gTnaBAwuVK40Ha7eYPgV&~HWyG7d+(ikM@py*K-VF3ZxG`*D zk2#59idu)>Y_P9^oG&+UzKu<$pv(GP%OvL6e&(hH<@1``RoO#ZLUkU}DRNOj?uYoOB-W&%Uurpf9FT zAO9Q{s+Eqr6-*XU<=|kN3U<^C0YtmYNVBeZ_PdX&C8T-}Ts-**PhZS>fFc^7e+MA2 z_bUW}WERX(dgyox562cb-uM9i^BWF9Xh+E%~LOfQDIrb)5ZcJ+q_kVIoix%_s5@U74s| z$CzHezpmnni_EpX*N~hOr-wA4E_vU^K-g9bnzVNTupE$V5Y2r@0?>DR{a-Y+r+ zrEcymnzI7mZBBt`kwDYE#;4_2eMHq0bPeS2@vRtqh~~2%-FNT`1nREnzb{tersbi}f@liGDOiv4mEnj`ApSfV;(Q@+ZPHJZe=y|>6wydrnIlCAW&09Ze6QApDS)gMuJ{oc^1i zmjFLYG&MEFtpCRf;;q=|VkU;aK0mZ?qL({#uUkfm_$8l)bdr>{RF9XsJRRNt!*4b)*1>x`Z zi$k(c4iMq>wZW|jXD}XRezYL!OJ~hr#JtdXe@FsEQj`t8Tt8H^2I$`(&~sVRB%o)t z{xZ1zud@(jAGcwoCESStz1=v)g2@{PqiB|Yf(g{i=G90#-@^>F!)k(bu^yDgO<1tnik^-WFj9ov{4`MPe$ixxv^ zTF=gdL2-R?2fvA4bGsGHNP9t$@2!@I+pPe#2lVOc+1ahilBekkzX0+p@_Z~LRDQ!Z zM#&d&WSON)|B|hq|I|BX@?_~J5<3ZX(&OI>pb^dI)mOmUzl~l(*_n(Lv$y zYb0R>0@|5ei+Uk`mY$!e*XOzST(81KAE?G@N6A{+8SzI{!17JEox`X3b9@>avY6KQ zZ053+vy9YCKkr&|4nIgv7(tw6!M^XIMu7GV0pJGTWywRC%Eaje?}y>pzN1btC@x*d5eQKXLqsy@gqBjH0Z?lgJ5f-&sv@!jqn4Qm{%=m@?Qs?@nh4UXN=~oZla;QJ=hPdpgdDS2K>LuG zNBg|Wj27hz(!Eq%=2{+l1(sEKZ_9BM+OKotw_i%+fi@0jvTp&-6Mlhi!-9oo<{B^0 zwUHw=98Il(1}KpxAe#Q&88=T)xWGAmmBpnuI2EHsbV4iqO62q<9SMX`up6p%s% zbekRq@koM8@pZqKYFo~@*t^p|A5&E7SI}h8GporjXAG2SGtfyfR+Gp%NcPN&Ec*#Z zB3Ix0O+x3CX1OU16T7v)Ilf2?$I=k1&SQ3AUlToqV!Hm!zBqaRrnfikT$!4r)iV<0 zMV9B>fM(JtZ50FDd~u*t$h*vPIm4X^uzblb;{8Z}{!W$2$3Tt*%Dk+>Zqg zL$~?M9#n5aKrcyRj$$QuuIVeY#eUZ$p6*-5SizBue8f7r`Sbv_3sBr*Cx45tusL^O zHPZXVY}TVu!n4(rRNJRmjplG|_+~3evo4^d!gSJkRb+daOL}9_MAe}L%cD)Qy%TzO zw6ZFo|o#EVIP~nE5+ETCd-ZXlC}*+_6h% z5<@n)F-16Giv?^A%;X&w(Z16Pt>)+l%|^%>FRYuSh6hkk;m{(LZGv5GI~(l`m%w~A zW%c8P9Xj@{PyodjOKoHG=)u@HwKs7b6a&~}e^v(zZJ!nMTEbAF=`Q7?zdJ=eb`A!E zX5U=6Idl8!li3uIhtnGaxIx4Un{qa^Af*i-u-KC5j-aRuoFWxfBJ)tx1oxa}Mgn}r zgBAvf%k;jMlO-X0JdnpHA48j}1#-O$AX!d=mS8B*S?MARt>r*GdJ$St<+!>3S~Oww z(C|YcQg5%hhIy#DZq3>So;crw>i0xdp^-La%v0emiHw9&^52@f0vW!UK~ugZoX+g8 zLfSYol83{w>l5qQE*vil&V^G|>;sxIsVWY^*YX%=bHqTvEyXzwTCMc3dkO zeO$4f+(Vapv{R2Boa3{5wK>UH!s$5eEdKbQB}y;N`xyCetj@v_bOkUxr_VFlkU!uu$p^h zsn02GrzWyPj%V^z&l^7x_n3xZfuy~6sO2Lp*Z}-0^h?fcU2^(8YjGWBQNIZ<+}T3f zfMI$alyQ&SchyUoUQkcdoxUdR#8Ian$b$U{N`v`C%x8(3+mU~pau*g%2ySOi-G9?dx}j7|9#K#um9(A?I^NDG{>R{*_b7IT5p%br;!IEhSz#; z9c!WmUE~<;30s1(U~e5!^njSP3>GZLiVC86|7FeAzQSrCWQcUfidV$r7;tIXkwDjU z{4bu(g^ReR=C`RQhWA#RMb8f}aidceB$y_fBI`envws<*_C1ci+Ojy?*c(;PLyg0C z+M_oXW=?Q2mCW)|&$d(D$H>ta_e1}ApQ?sj8fJX3I0wZ4&HxqD#MpQye@|HH3u`c@ zj{Z6vOkdi~V@=KRae{F0WiKQ#;I08)K#sG+K$fv%NY+1}ZlkMHJb%pKnMVs&IG9zx zL@-TZRaL@HW6(Fv!-PAn*s%=H3}$D5G6h)=a{EF;i>_O-c*QPZsOXayN~g3SKcU_^%w0A# z{toSKbpTaBs=t|HNke{-Vxtnm)cU+%-+eanW4d#>R}x1(R&e|&9E1nQC`$_?xz}9^ z2{`D5I|1A39FAP$*SP3AhG~H2&Wx0~;f*~wE+r?vb8XHU!I#Pk6XqB6yJZo55=xap zH9x{GANY7Fkf%z{jI_qHEQNn27h zWbtX`Gtcep@8il`T_3B&a9uQAc|p3@-2l20b(IFp{5OD;dJK_)C_%T^o9#r z3nwaPe%T0&Ruyjrh|vDtO(#o`b&P917vmey!9JK?T#?`HG&7Xl^|kKWyDR(sKYEqM zzmm=KteiLdQ6V8A5vA)-fsana%cbtj7>hX3aqaL}U)TjNImI1Iqwi2&73a;>+B>(;-)M9zSPOhCOR0VQi6_`x{x_;k1<6F- z{7NYuJ1r3brN)UAIH*3T{>@i`sXJH(K;R=M1Oo31wlexVa(O7FklOC)+#{tIY%a%` z`#Bq-f_T9OMJ{_v9e@J~fJ0I9VNjWKhyheXDWaZP)gzrUZLwHdD@DcMq_{jQ5ryxz z4-n~CiANhhJALt2!Qk+<6Os-RxNn6`{fj3r6Fv7L(mw_w9NX!7SU)&rXW|GvWZiA1 za>okhVVlM|xd*X>RP^;K zBK|Rl^4yTb;zZCGV>hiHAyp7|t7G+exT?T1_xB^JRs;LIw?F3GzE4w`Qd{FX2AGR( zK~-MFG#cpT^}>0FhNcp#3$x9>+c~AoM16Pg`xNY*a!R{&^iJrF=Td#=6k-1O{{v0` zkAD{X{b1A|aY!y%C&z03F(>`)zuc4J^%Hzbs#9h>wVyaHrScMPrS?ZUkSjOJ)_@<4 z30NFvcyu9G#@#eU+5moQzbOL=?zd151w2s`m{exhb_)@?4(7x-G_Vh{M9>f#r_Vu2 z=d-yN`07)X!b$QG3#x&+9C6=P8D0jXTTN=P;8h;HJ%)KkH*s2=Y(({K9?Zk?e|JKh z{E8JAxLD#hkLoJ*KaI_wO5xcHuy#tI9O#porn)Nf!6|6jzL^b=Y z|Jb0deWGAPnc{w26u~h1L0<+YyZ3uW&Z!Vm{Jmu6kTAmN?(6Y`!G}m{Vk2$rP6#El zdRJeGEx;PzTi$6kC80IB&irie4l-Kq!5iow-C8%dpd#x&Y8+SNE%%fK+Y>`-EupYC zAmG#(-;q5$duDr>@OCAJI$p0LXuN}Rpv*X`rYyneMW=KB0XTSLkl#o3y}Y;(EUP@IYf#lO=UAV7ZZM0=ZYDWlY$ z{6-kAaF53x2T;Q8fDRlYp`)R#PkJ{cUIHo5c4r+aDra35tpp)N{ukh&A#GBDdOmzW zLp!6MBKUP!K-s#xgy&_#Z;=~aAIl6M{7U&H>*PFF_H|nbvpe8dzPi@uoDu%JLpwal zl*!S4Ze^v71?4jl=1s%5O3DfgDk{sPJ4VgA^-dh``*Jrt{+p=h5lq76de}o9O6_RW z8-TO{mnA@^uwazU%K@sU+Pfv7&+cj>DYEE%7A$Xd7zh3K%1JvB{VMMvx+mI(^{e0J{0E+d^AFZl56c_$j+ya8}CdxwwxUKriP8@8iwOpeZRD@6WI32Lxh zcY4z-bRk@2waJq!&^s4`(+`HjP^O+@!A|xvP_s1#`4E~OgW%tDLCRAIq#YjcllePO z%9+u;NJdGm7wF^^OCG*wQdjV|n- zJ!$Wma6#QP$>FRAB!RG@uf3rVBldOLUOcX^S0JQA8 zYF3nv?`(D_tuu3^wU-bTKXeR z*&Ee>3TAIQ-)!FIg37P9_~7C%e@AQRzC2oS7wOw_?nC1Cw&+uVKW=Gh-`Hy~nlnZM zT+--$*Nm;az1;l-iuBc2Wc~Ao>Y67dAQk*8WLRLL=gGoclEZaeM^4F}2Qh@Faw!FO z-L{XgNjjdKdL*`U&yi10U;A7CpDgqL_D}p8Wtn-|qQQkGu!>?x#N}y|uzRUzM#pI_fr^NOU$vYinBxnf{+~qU|(smc`R9$1^a4`qHHb^MCs>y+zAK$&QNw@K$SSz zjpkljn^^Y1h~!85t4wsMJXihc_uW9EQ>wNB8>k`dNm(CTuiwG=s04p?p>EwcsIg`K zUCN-b5zk(e>9}=y5tEty%0=eI(HF$0GjuweJH8slk<;8}a$ z<|m&!Xjec-bonV`XFR&st}G2*Q+X$spwa(MQd7`=AV1>pX|?;~ku5k$FTS-@YQY%K z4jmH1p+P+|@mRRV!7*+ZouZnyTypWR>Y@_WM^Z5!AJSuU_nmxW{?a5c-7w(XGq!ar zKbQAe>;U98;49o{77X2a2#~xOZ`1I9(e@l5dB1~WI3kWD8$V~>IZgcJ>gQNUb59x^ zDSP@%4t;NPU^BRnc#6{`LiWD(j%}fG``FqHW`bWj&nxqAPaCYeOz64UZI4!g4J-&f zJT1g7xt78s*cqT?MkLDYhnRY#)vMErc??Ja)P>t$LHJHdEdb!#c%Q$89XyOO?7nsk z35}OU;^Dl0(iC;nw!Gjx2lY!&)9S6QJ1+l_5K19+Y{cPf3u`pLA09se^dZNI18yS#bU* zcj<-0UpR=aK&u#~y@nbHcZM<%SPru(Afo!*)?(!8(!iwj3PK>OZitZBmJtr=R-8!3xe(X4}G``e+HChsDmtQ(*^@#1*wi?o!Dh1Vu z6!sHKm?d5Mlu#D7QsZmZ;ga#{_y=@AV&*l6g8lp#iOJ?|uF*SnjwQfmq^Rv4>uWvI zK@mgsU83~0-@5}%g7^c%(XKDzQ&gPzWY|6UunQQhD&zHjm-Jul7LBA0w;!1ta2z%Ts8{wfYM+~! z^}k6+l+SGSE{eQ1r6xJeKcm-P<+0&VO;SrqSGKkWA70GyX5G9g+1goX@zJBl92o{r z=>iqc4(g5Be;d`KAMMFt!Hzl|XYYy84>7wkY*Rjdn`wxnnRneSxy*uX}E6_Ctlw%g7)86S4q2fmTB<}gYxO@*Kz z>U7_tXh7`vH1v(8B{d}iZO@?z(kz(;*|_ebcCf=2VYf@VKHfpC_(nc(YmjG<$XX)B z=N+Dd7MP-%1({>CyHzI5*4jS>>TG(fd~thV_p~5dsyJ7mc81+;LH@xRkaW5PQCl(H?z*5=M$2NUI8tF3xf0V zuG2T{A89J}7fM*H-tvGCDxWRh=bs=yh#fGm?+6sNRyxvg=)ujU$gwb;54^PxELNjE z;X_JsH_kg9ky1WpJ9oVJ8K3BcG7DyO%#uOU+|GAis^Vu@b0motX09-*YQXrwJo4sn z@EXrcb?ArsOYGOY4!vl3%;iuYS-*9Oq!x6^iyNslj{1W;k>jPgn&RaD<2D8kIM+VR zrjQ0;x{O(owW_QSDxGXc7)|qMr5llUFrK;<4(3aqJt%1V!si*z9mNfoaIRH6S77gs z1>a{R~(=e6RB2))gV1ZZ|9xjKG?_?e0sBWA*Q z1jRX^YhdeF7Q=e980ktM=MJFi4rjWbX2ISDrTNx)U7V3%c8c6^=R%J1{!$NT!G50a z2t4bvX&ca=5kT>JM}yA&`%b^ueuyHK_{rp;_B}>Oku%#g0Re2#?#sF77gg3l{^eDV*qw( zc?ti|+}03W%e;px5^U_Y3e-4^-LL0Djc+m2qsy^i&xTi!R1^!gvlK)3s2KjYx5s1Q z&*%rovGmK1>O33nVSqSO_F6CngTK0L!s$pB?74{^^NjX?Jf~7rey5jO&WP*>!~HkC zX)@-R`F6Vz0*BDsq}^YbOM2i@MP)Q4HUg?{feJG00A^NHe-n&jlB7x3KfMww%3^z<^u~qlGbB^n<@9^Dz6WEas%SI1ins$(Ru>)@<3~ z|Jgoyp8wuFsYQ$DwY|@2yX(bGC~Jl_28;ygqZexOJqDe_J>F83CD#{a6PuaheOwQd(@TMh!) zrFg>{W;M{6mO5vIQ7Ll`Ot!+ypj&RB$i)tJ0T?#!6lXQBJD0JWS z)U!$HGV(0QZH=KB*p&YEUAN&=1+KJD&})qH&ovINedk!?f2mPSnk(>u@_Xqjf`{=A zRZLgQ9;TZV|B|a#mE_^OmTkxOQ1?=auh;nH4;TCSj2iB~mY7ttS|VovTL@z|51r~+ zmESi57a)@#{*sFrdDU;!ky`f5=9QtOdq~r_ISS!O(N#%D@oFyrXH2d|&;BD&2^@$) z7dFn|=Gt9Bt?Y6NY|GjCKy9m5BA6{OKO+`wck0^Z;5%l<__3h0Pf_McS9V;p(S7oa zZmR3b-*Er(FgNd;j~}EI139#hHgEC-|EBVf5=4!th43(uYb&n8^XZYZcRD?FGIh2Y zhXtMFeD*RJ_4X~%#8NZxq{~Nl)K0InPFB(%455<@8*|LKzVR$}WfK4pI7xv|xA9hN z0YMwb8Q`LDBV`O8CLGwPT%eZ@rZNRl|E*@tbV2I%>p{TtXSgpqjNmv#4RzXbb{)t4 zop~)RSl#0P4nJ%l^kMt|SbOiVCZct3bPyD!7%9>rND&nfk)~2YFCro;T|h;;2uKSx zDAJpNfPfScq>J?4n~F#kq?3dqJpl;;Qtkxzx##SC_Vaw_-0%J|4-b>9naR7}_gB`; ztaan;3;A@C#p9z};zcW&3>ZJf%?-LX6f%ess2A0UiEM^Aye0H_>u&^x43HR|VfYPC zC2nl*OM(q94zI4DlE0SqWNJAebX7DRl#5ZY3t+29$2fK0&D&Ub7%rY|1z8hImc1|`Y1XBW$Ta?*z}$5l*9!Q{)em}_ z`a7Vb>UIaX*WBJTHyH}h+p~u1att_v?fSv&Fv z#NAXslWd50PUHpP|7I(Tg4vWsA?T`LFAn(POW^|=BU`1HJx!Ks>qeb(lI6IPei#ld zFy#6&SvidNXI1uwG)W>bpMGo}YFE}}>S>vY3ngP4%SodRJmq)w0AKch#rOZ#gC0gC zdW@UT&U+Ico!$d6s~IbtV`*!!0-vW-_l>imG_Laj$r3m+0QNrOU)w@4O@VX^5(F^_ z8H&AHevI;IJ& zaECUBLUt1WoU9kQ(Q)mDoG#&fQ0h6uDl&jh`i1BaM8Xb^O(5o_!MulSZ3rS=7}PLD z#$no(F|9RC*RIxJgG0wkUhqh`x&{<^YewuGSAHTJzY3OX7`D9B*A?_t@)J?Cf%@Wi&)@D3H(dg~mgw43IZ1B)lkkbxs- zyOJxvatVADFYfxz%CbY){e?dvF=35NiHHj)u%lyG^fd;hTNyhaH+08Wz3kOaK$$-e zs(t(9{34;(hue#FUKTaG`v~l?^k!{dy0(zIJFub0=sn4-&7Zj-r?gC6Aa#6hi&awU zV=pEHmC8^SQ-4X2oamcb?qXoQQ*m^bzh%?%Ua|ag;-apcSe*>Ry%}tnnV3F5nr0Ea1Q0`Z*x~-Cd zxeffF_=KJK?i60CeeP*E;*rV2UJOZVuETJz1v- z+!zSbs#DJ#xg6Fa>Cn(SB{QXeMkS!cam<*#)YDo#uS>_U_C{K#H_;b!LR=@ca^6=z6O1>ko(o{R_;U3Tl>nWu-6ty^fhxyPPHM%_JFCtdtq54u0=D6O&iS;d3icY^X2T8}ULwJS78NBhCc& zd9(`?h~vV>AdXXBhYnJLh9TM4-#-(!4-_}wA69WWnY0_gr^BoZ-w-%dWe?g&8v7yM z?Ml@r@PS5jr3FZzr#v9Q@~PSeYkYImx%6pXb&YwyWsgq$W`FHu>Y|Y7M3YnxpVYX&CrY$61VLZc(t%UgDqCBrPSG`@ zYUy*TJDYeXUYq}n(TsM2vPmyDKCXR^1)kDl1(Du*HOC+lAi4gr)xz6C{kTV6UQ?Pz zO%(&(SA^J8&gXQ>(Za8ptz$?{7~^0CsreW>7z5n4TU`3^{5h5sTiZ^B1o_2<1Wn0~eAw+TAg5Dq*qQvWnw5C!RKrNC zgwhUdn?#V4MgG?K&jkR~ey9$*J72x|e|*m8|I0?TFb67%g%E-!87Ox#CIiFQoxVvQ zGOEqnW;viGPO6-LKX0MRvFTaLHO+Z`yvU zl_ZGxRSQDo3QzSE-0~zO)Z?YK6@I679k!e{cp^Ezc*T-`xKyV9^rk5B21zJ$7Ddaf zA$FJX%kQ<<-`W)Ba%Ya&f%e2YJ5b82s%?Dx?d zODrPLH)QoFovuo`gApdgxt07L`~4(EFIm;hLhE*f&y&Zx7G~M|^=V8?E;@yz{xqu> z1XGZ#jIiQ)$yvqbj)9_B=Pq_9)_Y=@zE86a+7%BsjqaZ0pAS~%D+Hxy=RZr&Y=1ZW zB^?7Sb>}{>7OpfOap+*dssze~w0Tg;h5dD#ABbt#0S3H&M<*^E`-fVyVmq-jkljYV zvbRdg!ioR2ELwybrlQOjawKhA|I6`t$`WLtp|DED=^H5_kMNUE1EEeS!*jEERbKa- zT(^exB_>5eEOMNFm419v+2?Zho2Kp+;NqpgCCW?-9f&{2E66~bJxHOLvWQt$OatLA zUggZtdWUX_C)EHY@QOq<4e`88xLNZheSjF?%C2v>sQ<2|tu4X(J*KO6eDYC}Bz zn4V%B2ONZYG{j6Q(yw(qdIOlBtB}Q?4eKbIc-+%1tve7Mg+LM|ZvB+bfZVDZ_b98h z_iAVY`Ty61fN4eoyZw8oLXqvk4NEC2XA6ogl<5h12=jr0z)ZxF`d#PFRzX9|*gI!j zjIb`D&X=pA;3{>Iq9(N!DR(6IVBx`gA%OqSLc&F2oQ#F8)z4*o)Z>&u0nC%klXXwV zr3u2(gW^>wZlcGEeKENPRk>$HnIRHD@VX@~f@ex@|o*OS(hOTmt;D$=G-xN8q=Enh!<2 z`Th@rRWY^US^5FionBnC$!SK}9$((S1W?)w_2C@Os8|CR1yv1YZiPggYz)X2HJKq* zqQ|`ZzE($(eB};h9H-hdPoIAZ+E->MD8;6TX#jrD6z_b zM-n8y%{H-=l)EL{X5UUwPo!E$>R%vq=cqoI4<3b^EhA}P_&>_G!t0|?q_T&_>_t=u z-0pC8>`vYUTe3TNW#=3x&NNod8c5Wu(9J%2y!K}lbSgf7oys#%4N>qmg>(jFpwDrj zk@T?!jpQ0>1MsgzE5hb$BU*$l{O^Mm*1NC3UNX1hm8S8{{-7pkP|w9$rVEp7eyH1X znrrD+k`0Y@tmsq~G^&;Mk271ZPBT6)*GXzpJzjBRsW?9>J=7prs6{K04Y%s08I>7H zdv3WN714|69#1ynPKbDZe~{n`>4&-Y0BcXw)OaXd*z|FHV4tI$VBw`s z{^(%K%6}aZ%fdNX>y1cHv8IiE8xw^y>nC4B-#19Q>k0r*-VGjqyA-zJ4wZDPmXE^~ zrT0T{(^|gh^=)tH+|62^GsI7i7C*`6KO+6S4?jnm3iok}tNi*45pdzy^oSZR%Dovb zK8^5-DtxbG#ETe{a8n-y_qr{X2{%GEhdgNnzjZm0%Khj7^RMq^*J&bsz4>x-Mwec# z)IQX1UCrHuH8|>iImfoH?s&tCFXzMPe2_!!6HV}wby$P_F+Wq}p%|>ms;;>=PxhO= zMy~Rg> z_RYH=dJ<8y*_&KOD%Rg99V44|xJBdwRI^;P7|z-?{=u zw(glbXgi}|4t0yhB(vK5u^_RDi7ARBR9=UA8 zS>UC_kO6V?ku_!Z;cw%QN$Vbb)r=V#m1e5_96|-Ve+m+H^rA?K?t_RvTQa~EI&f3X z;nbmC+qc*RO0*aSeoU0+2}_aUUFC79Yu}U@a(YoNQQE69piU{(GCj}19ybiF zdcdIXdMznT$HbR(^ptwe8F(COR3#OMuiIXzq^yFqst5RW!(|8t{fD|Mx&oWj9XDPa zJ!S6K4?kV_^m40%voJ#(pMqckPfxc#;gz_2B!Ht|lYiuwPsP!mv@`zq=0FMIbb~GU zt4>8;sl73qAnxUpdWe`3JUs8^9w-0IeH*>ymbS9xQDxq*7&zqWeX}#wJZ|PXIt?8t zjOdW~u#zS{dm(b|&P%lUuyg645@xXeV_=XhHuFQ1;F zy?^a$IW1d-=TeY->;LsVhJUkYO{JEN(_-0XugaRG)S*5Z_*(660_sqG3s8riDrV_! zz%`;~0$oB7bk*EZ0jj_F$7Mb*X?xh`-s!jyY$M#7bW*bCdHF@=Z&$2i@6h7YyAFDz zWo+@rm`{&f3Z`rl8J0-_(t;g8@_h-se*b;VHKww^x_28|zJaC1z747SR(5+Hznt^h~W>~+Z-NGjGI_% zpHEOwLCu>ikb!RVPtSc31B?8`Oa(5UZ!%3trdr`)jEeSv6i?3BRc)xPDA+ zgtwTq$HXBFbDZQKNduZ!OqR>`^x(?`sqx^wXP|Tp?w+9L1pn4P_yNOQ4L($aE4aT?Y<-o*v$H0e)+9-d& zqS-ZH53}a#X|vxjt1D{`pF}N2pB&uVb;N5fcV$%`{}`4FkDcmiQD}+lG9JI-S;clEKWa;cwxuHa zs~b)775NzrA<05JQ-QlhSK*}=Py=GB%uudwzP~EgYs1Bei$+&2yh+bl$n1Ue_hfIk zfg6gs!~EZMT+35WQOU6ZK>?p}UUN?)yck&B=gQ4QDr=ahs5q8XAy%6*{y%g5?MyMe^c}Dfsf1OI2Y;o( z2X}WBejx*qwGZY&`Iq$#*p0FLG2v`20Lo1$TW70JLg}pOlz8rULEs3zYbm|YKXtwp zwFCqQqh?&U^Z7yHwEXz$MRQ8BTr|ScMujV!@i+hE4#L12sttV`rz#N0_X?b!Zlp~z zTXSA$X-Pt7VFohKfI=&*dk$~3mPJU_hS`fk1H!{?5QMQ zddrpV#oQke+lZQ7q-AFS``y#&8#{PD-)IRiIcc-8&+0)~c@uG{0GCzlrWDL&bRD`o zZT{;nY$d~<`WLFQMo#MGJI1=T6;mrr6yBZ09EaEbkxunfBWvRLgt8smlKz!0p~H51 zWMuG5WuYf@JLf3X!ra-#cbR4vhDly~2O8)_C}zMCGSf(6hOpal3=o7HmfXm|i#Js3 z&rPT3-zuxTgpN-(S)=-^nBdFvBbw=yA@evwZFS^p64+2k5{yp84JcU2KcvWjOVX0k zRlHRW9E$R84=}#@vwCep1Z(k~kuU#~quD!d^Uj9O1vMWxu}9m%r&+IX0`sqxmAd|~ z$K8(+-#I%k;B_Bwrdk&`J=h@wD!N5`lXzp?Q@mm}zIJ{y5v6Brft5D)jhQVKD|SHX znf(BI4eEb<5#O|qpsl#Tx%|nVdIJvj#}BY5u7Hr{>6q7?QU0@xwdaap*f_Ca+wCZN zT43*7eU3ntj$eo2Il(@TI}zq^q7^m24v3JLXWWHOr^3Lr0B}-v&bV%iU_Mhtq6U0C zYCaMg2V+#on@WeAStjjQf=a(r5f1a(!fPv7H2!DclQdl>vXC1;J~)&-aGbrEe9&&A z>oeDFHloAaU;F)J&(0;N<7V#zhCkVm_oD4E2UkdkgUWBuGDb46viYq9JSq&YOA3Xs zBao)0WMJFIc6F{2);Z(*Wo2@#7!|d4Pw4L3kJ#JQ0zR*`E4rr4)}wR7Kjl{fS^`0e zKbC%sntxNbK4(5YoE!TedS#mogf|_>ICadP`;5tt@OMHL(-ZG@-Wf%jHW`e>1h^O&XQHtxYo?JtaRav00nLpk`C)i6QsJ-)9ud5>$m(SgO0t2Ih`O3%<* zZ~f`zXA6YB^j#(a%-6AEs%mBDBxp{*ud z?lsj`)?o9y=$*`qck)yU9<1_Rtsc&8Ww#I;oMXLAU8 zBP7TiyszgBKFiQ#_9SgYlj@L^{@KwL?%TDRLh-1|j1Ku@s&@DkeyHIu(<$y|ZB`C; zI$EoJG_RSiyi3i^7L&GEskwSze9I|>ZK^bc179?bqK#Y_AuyHl(wZf}nDH^0j0R64 z=f3=^)!ti+0J~U0(uLB+34hb$8ZT^dXEsPNrevdKf_dNigq-5x z*&ILlN8)u%u@6A5Gi!1uajvx=1|vFDQ0qa;lsZjqCj+(LAnUvH+bT-@SU1tX_=Y9} zA{VH~WX$CsF$ihG&?u_8rYNH_P{@xNnY5kLFNLE?1AL6HsgAJir4)#5^TDqX=$-OB z7m%IT^UOgc;NPX~ZdfJ*=kL%~>Do97JQw7Jl*-Qj7@g|5Wi4zB5}rMU@C0eQlr9UB zdS)mXcmY~D_lgxbLbmK9E(U?*8-U}Mm$$7|{hByXR(gN9WFz%iMGy*QFgMop9zlV^ z$)g6J|0}X7?E8{|C}TGxX}Np48RbtZ?ueqAwF(3{?qZ}d%LHXJ?2?T z+iA-P*o3AmN~^_S=oxsUU=RW+K2DhfYEdu{jMwv$1Mr&OzWT0q#R_0214<{U3?tF>Bc`x#Fo+~htAIACmAm)7bXiGoOX&n zit?3Nu*W!Qv)I-$v;;|37brV7|As!ALMjjA2n^W}Ct0I9GGGKVE3>nEI`&#h^VEg< zwG~V7su=c>&;t&C(0GLW4O|q6LyGq=fkfAK8sHNjzXru~DnqaNpC%3CFJe{)dd^>$ zfrXisF6Ai|(p~iVb8+&(b+7zV%&_To?oTYqArYzWoSym(Rqc7JvSi>{IegRklk8X4 z@)rE8oQ92!jnLKC&reI*1JoV&b(=?rrb_rUx z!l@Zil0u1AFvlB0WT0|}Fgg4BvJKwcTTnN^=GhOc&Wh`OGv=E0;`!xE_V;b4m$ZA6 z>hDmOn;(pEkhnOPW>!eErgNBh!jm6Tg2BGp>LOVw40g9Za z512W%uhZ}_4Y$0^J{Ec5|Mv}Y9=}Hhgd|XM#3ljqAQMR?|t%XC!NL2g-O@{X{Oc-zFo;)n|RV0&7>(*SLc)Wu=qtv{KT)l z$2x14zO7)Mj~=%=1Fxg{1^-)K>J**AA)tup{&q?T-Q6(%RUr{nG#Q|7K1XrJ)EsS( zVq0g*?}Nc)5N17?8m0F@Wq}#5ZliS)efcom1=%o z_Nbmq_n~4uPp681zk1O#O*iiWM_0iX_n9ld zyUuh3DYZ3J)|iZjlYzxt>sIfx9R%Z_(uh+8g)xF!p@V4gl&LD`1~<)3`l1)14A(>y zf`?m-G3QGUulws@&u1ZF5O`y6`Rtm}wxxq!ffJwTNa?OQ9I#94@T-3uxoD`3n5pE= zhaV4g+`|zwoA|yNHmRUc5E>{OMZ_ud4daX&x%aH!?-p!O`SVtfap5H?$k zPQE{0l)~qFYt%Zu5fcYVYmR|eZWtzgQFrG#h%B#+bJULR{z#YoQk#`(?{bXY(@9@8 z<=guKh~*E4jZX5mQZ`gwuTHo8#E^kF8HtHrWNf}t#3y)dZwj-CnO4D^Y*Gol_dAkx!`6-IIa^*$`I{g{N;bSW`c{ad4LyJGBwwUmhpMN2=b|kCv8rVM&DzjG513 z!T0sBHS~s?(NxDM=%F}O{EFYMZ;WZhuDr4}&7BPSLhJMn$r0|4$RkkUtvn0N;d4Et zDxq$f(5}lwA4)&MgACB&{kmrrBM(h$lXrBS)l9IK87@WU9aITUr#2TO z<&z8_&NV*1$ve-w6r_Y~1at4XAh4xxxqi_Fq}Cr^CT#+;f@OJdG^MB>g;LzX8pTS^ z;r7)iyKF8|5TE8D=q21~5c4*GZ&r9oDFVqiN(Q`O=rI?oO)mW2{Kv*p!a2OnyyyU* zWq%1C(se^AN!qu0q$=@uN{Sb@{3TAU&s0b7G3V6VQ%qm{n`2uhVC!r1eQ%%CW?5m~ zdoR7QQ4$}&ViW-&Nb?dD^sN?^RmI$x~Nzzo$H$i*%>s32?eDuCqQbxtfxVq+50fg0NTIkkIjaqA5dhkd|>8j$YqSj4~ zk`LjXN;!jKUs)UY4FYlWE=g4HKbKbd{aN@^#8}{5%$}A)++Rl$* z4t1Oc!<5k`pxVjq>6d+FH^Erq+o9tb*~hrSz%*8G_Z)Ao-7W4_MYhlq#?KN zCR!yYyOfl|f!o)LCGte31gxs2-Fd=@PIfOd|4`NP7<4sSb8DF;6i=B)*%Ll*iCph1 zx86@H|6k5SGD2Lb4}G*~i;UZ1#~TwaG=)BT*7c+7iCf4n-{^zV<;@BZ>r1SVFoGd_ zlsC?68XF%!q+dV=9D@W$-@kJhSD%(7j$o@14FN??_9BPURx6Bq;E_gF?yTzi5Hmbe zjwZ~fqD+|Y__4-(u2LgH@Gy!%J3?FqoZm@tn}pXrl#P`w!y7m;EJE2CC@Twhw9YDx znwBn4Jf6h9sPY8ccN;j?rb8jngI*E~5l#9DoKcfZL$fnnLF0|H;sc6%n)p9uRmpYT z*#z10A>KLx0OLJbo98D+@3Z{i5&8!<>kS!jLrj)&VS?UJCM5A6K6Nx}J_lvi{JZwl zvlntAf&b}-iJMdtLHY){{qt=1oZVHq(~|q#F~uu?$~cL+pe3ejb{juLbdZ6A!16NN zdh>xw-IO?PZagIaaFptjic&Wj(0&#SM*b50H9OhY@vd#oJkD17dAFnd$`L+L6#^|N z_6x+ucGh2Sz}qGzla#Wy?i|+>;EbuNt9wL>cO!_B0mZDzrVsPO75H@2aHWf2cW+`Z zq5Tht3IXR_l=?4^M(c{CyR*V>Vp!^2~>?PK|X)cBotHX!4G{$Lfu7bN|{b z=aCBRa=*WSKFJ4mX!sq!XN0wl%3ryM_xdVx@snr3)iDnyc^{)%c7t9wxo^`x29-+s z)SL=;Xdn47pvF|6aYTDewjwQkupc>l+XwhqT)P-)@1q^bz(7gn&)?orJ~&f3g(ub( zS)@x%JLsFUh>myIrRC_jb>4f|z)&bvAgYyl!~S_nlAqu4|1@RE|M_LZvxf#3T_!%D zhF{*#I_3015G)Mkw2GD!j$W2bHC8VhaH*$XpaKNs>Ze$=#}2-q-cP(&lWgl_;~?~O zx+Hc-L-etxr~P80)qfvjzK7ll^O`$T<>aK>_#xlX%p=P4qoY@G&6a+n;W^uo2*LN4 zez)a@oAR1+yrf#WZyZIC#m5ypngtUS@o_X}E-_CZ?qE-fIuuPFW8bKekC4BroW`u% zwjj!;8LM;6>NprFuwL{8wz|3WBby|0O=^mZC#EL*k$qG3)?xaim&MRKA{MsC<0l?5 zbg2gmA(gWs1qEd%;?#(FPkio?SXxiTiPw;UFNKJKZ``Ed*U|J`NFdApn;Ha?wRK&Y3}o*$L*A2y3xyoO zwsWrr-fEM9_`75v`WWK3qB7r(23)!Ux*J?;QGC#SShKF8;8hPMR|xbi^vYItb(l zToC*IT^V3Va20)hJk$iM@sQ!2K3ykM_Tvruw_TmDpQAy$F_1 zLul!t0zUul80j4Ro@L#LzYB7JP9_7F@?mzh!u-LCnd8L3M~ikFhFZ4G3W)4gZ1}Hl zXjdD7rscM*<0MJPEOrG!RG+C#Yv>hxq<%QpO2XK;A4?XO=S*6M7pf{64=tL1mPk#P zIJ*v^;Q_0VZ>Sb@Fc?DH2pjP1TOHQkE_e+IGO!<@ZUzSEg7r~=dd5Wm2FUs-3>|^I zg9JNFdT$`hn7>^%Jg!R=i3pz+s~_DhR-_~0*6sxx3~|ZpX>6=NjK5i)EblyqE#dDD zDpI4`kcBb`;nCjMsUFJZryIofbOKA7Twj-9J&G%nC zA$F82(*=`^g(!7jm%AylB$1S&NV9=gbB7(X=d=1jr%5Ze{t zj_3*<#&!^dErY9SM?aqAX-hdGNFsj|iy1syi3>BL!y75JBs;f%Rsz4Tix~8q3{qT* z#Blcu;*zS4qPf=L+@SrT7i^0rS{K=o)S4^Pk^>;Pq)_&BO15W2-iCqsx(wap%ZB*m zG+n?Wa7jQGUSC0^mv|FAA))1?#{0nGiZ03$5Z*}1W);f8h`q$H0+3zGPJ~eaJwU?0 zIxg<}-;PUwE!=Ni2l+!~HFR8?nD^`!n#59esx0wb97#iW=N;fq2F}g-0(%Fd?TKJR zGgHC84Q;Q3f59AlM+T;S(^m0T8oc(OKJy1ZFZ&{tZnA z44NG?C!rgcDsrtb8F>9=nq^9H&h7D)UBiaPVSPtXcI|}(1j-p=GBVz6tn}c9ZG`*B z@8^~Hes)yq%I3rKj%^;Nd6=Lw4V(i#*?*3|Zud#VAm}}zk&|IQzR-X-LE0p%~Gw3bPJ?7&Z;b zSVh#x?lt%in-6f-HVO+zMBYf?=SRNa;kiRQ;bGjL#fW$+F_B1l|NR^$tFtUT&9bay zI&}N#UK2}y726}`+vQcS03EthZ6X{eS`z;;_;B&l3YT;OZ&6T!{=*xC)CFY``UF-N z+yz=FrfMCA;o1Q)(0~j;c!&{X;CJCK#JtQh8Q{o<)D#rmu*|nEbx2O@Nxe{4*%Eqv z{`E2H?n|MX!2EVDpN8ds8RB?S(aYc>{X;PJifL->u@_&3GJ}i)<4b?f@|RoatF+dR zmP{v^mgec?2;V+=`qfL7wSK^1=pWD88TYqV$Pv=_Ldn2dO(7Y`7fn03*}@N6Wl8MV z6w)xJHpJfs*(ZLUoA_AX-o^jAx3_C}TE>^IM6D9@5AUKE)Ptw$fy2N^jQt*Mk zC0E~WPq`2xQwSIck+ymD!k@TFBi(w?Bi#T$G2}kNidJuzjoDw>kieWK?nAH$ce-Gd zyiF3PB>5o^V$ip))b2hp&m#^Bw`-^EQDS)mkDN2e8UU-q67K_hc;?+x(zJZ-ezP}& zbqgFgXo$pY;k8;FBo3hd7r`%O?2!S9;vjr#ao}*)X@bz~1uG8tZ9?g0y4@m_eog}{ zKlOsX!hId zKp_WZAM&%yfoA(V`}$C6@y(J7DP}>MtiTjiVxD!(!cpDZ*^5w^4Mzs*cCULtCJo=n z5kxXs7VJQ@AOj?;JG5;^t;bs=f04eArInJ(P~3;S^4%4ya+cI7H-o>`m+P}O{rK>u zTJKgc)1et@Kk4(;QYL!KVzkvM_!8oUu~n692C{KOA4LtX>FlkURPfs7RVgX8(Y+>( zJ!vIrL+TNW<9HB}sh=V=KKh!1se`$#V2|8#0nijAAp?7SIlN#;CFxS2Rx;G)FID1i z!$1m$(t}`$z(*k^!dp)@otRYYp9^bxbUF+L<(SJA8~g0k!r@e^=`K%IZFYb|jb5tX zeDZ`(<;- zL}KGR>I9{aLDvRC1BLK;vh`GBS*Fc(q~1}Hac3FEYf~l@t5{v2CRjgx9qWUAZKtul z@K(V-L5lX_6V!T(3~AVH^{zwOUhw1U5a;zL2{>N%`*pE%8>(LyUTg3~j|)gt>Cvl3 zCxpKG_Dk<~=9mX;^8T&QM>GLTHLWBACqcHxyaq$HrJe?&bR5@TzLJD)U$Vjm>4Rlb zK;7{a<^YS~YJF9@t0>quVreyPJ~_Q#?!c937JgZ9xbIf8LPwmZ&s#QqIX1lf%}QQI z7BuY!qzs;@HM|RBY>BYnGgGL2uJPWHMYCp{o_R)f=k^eCQb&g&p6OZmtP`6t{aV6r ztE$j+7X~kq944~2Y0rTU;s``od8bm3A}M_P*vY##(&gR=XZ z;CGQ^fZfb=B@?2jrQZ1SS!u9F{_NLFlji!)%$0rI6@s@D`UGwc?JH{pXLRMKOa%_Y zs@O^&ImqTC>t*qc_!#EL|yLLj;tuR%C%Ca z$h209N^2AONABO%$|en0XjU90ULAED1tBQx7^D!pgUZ?vM#kKPoo;=}ZJO{TcJWhp z({?AzT+Yls-xKw7<|@aD^(670HfS0mi`@+W{_r;3-L$d(#<@}F$!nu(C&K(>nx5;h zy&ky4v9M!tPEPKE;52>H^BU!tWSGa16bsk+E4ti|*glRJR>Z9bii!pnDE@ZS`Ih@J zP!Q^t<^4dZ>=mT2h0^bCjlCfk)^uGq7jr=IU9zB0(g zAmzR(YuV5R3`xT#4Hpt%_}hf@3Kg^zqy=#z_~*@m4p^0NNdLEA@ZR>C0gqY#e)d-` zclrcfO>t`<+ zzi!Zcn|%I``uxV-;{jO9<8ooG4yS}8IsM&`?5nH#ueM^xRIg|e^c!}@5|%-AIjnpD#C2jk&Qd7zL(n#`{ z)U-K#xr14kS?W@2NZF7M^))e>F@C@KLE1r9;}9?(VTYio+eg9(!bfu0Kn={)N;RIj zBZ#{5>#t^tjwSjDpTAIW2RV*yT zVDr0b=%Xd2v-qF9we0~SD7h{{&e1YHb4llqZHHT12`F7SvZBU8==E25tvAtMrk?96 z{j_DlL)u6eeiGCJFA)sRAUbZHMUGT0DtUeiak{{r6Y}DiZt98S-91V!yKbm+p}_XQ zgmH&&*((JrtWlrg=U_FzW;MOWIIXJJ{Z4tkZuUavChvBq-nC4t^L!35;?HU6FFT2U zE(GOh5#7&aJJgIBe2D0Md6>56`)>v+n)*<^J^G;tYJVwcbc^U(IowY(ZBcA^=}G+P zfIwx=VGYpH9X;zf4a5Tdz6~%ef1xjfrgJYIhc~J0lSf+3(zQNZT@-&#<(km?=*HV zu5^A}Y|5rPBcr*W@cP6z_O#s_FUAeaEQ}Q{9uGZKGkLHIrS&&=LY=dznpSqM`oYguctCtTL#3WH06$8K1K8JNszK)%|G2@5*5@O|0BUPS-lrlE$V!C zf~RMA@_S;oCy*reDyWSqemq{8>yLp3!1^y6JZnRo44g+}jY-{lpdZjaUv>|(Oo*Da zBKo$2=7w&^Wl~^jBdc<+Yf5!WfebJ>>AbV!F3IEUe%``6h;X>50Q;!J9@}&weC%`5 zJ*4>(KPv|f8F&_*~5NJK{V$yOAkkYk__IR#Je8>NAs+9N@ZVyUezYaKa~ zjA%{7g8Xcd;z1-4h0*Jl%Yc{U~U~&1))-_AqBj$NRBlynY17{^M@2piv zq)XKw#L>?|Xs_Z_9fEHD01>bwx+V?v%GsR^Pxg;yZN#W2BJA+FL?->1<9hn#EtIuZRz>fO7C zLH;9{*LriH1>VEyO&{Grt?HSY! zIQiv=_KBa%VF(A>TKi`TZb;6YbfyNmS6h)4U%XzcjJs+=1eIIA#eazWtn#jZ`}19ucJVV%wX5e z(!`JoOWIDSco&`s$^BfDYB+pdKuD4%l(yNG#EB0s_}w7UtAAPxGuJ&iR){7Bf^tu@ z62Z7Ci>pnZ%uKX#fn<4m(iqjOEs2VCIGp`JHY!_uXVyN#yqaEIj zlP6F0_&z}eDcRxUkx*`YdgsA-?-YV@ZZ$2hHMWXZb?#>53DS@Y10JNCZ|W~kP)#hJ zUp2zMY7r44o|tk~&8bk4VEUZef&CB+g9y<+*X_ zAHHk|fZhC1;va~Qo1d|6he3AJGep{I0?#!yC3f8i7!S)C6&m%ZFX11~VaIA+XJ@|2 zXG)`aZA?Zu>g?HN#0lE)KLg=V1`lZHApQqh?X#3d$!{f@Az@h(TeUf-L125Sg=wdt zcE!n!A%VJj)5o^g?{k)w*?O=0zDe*yI+wq0Cy63_$p8~Ir~&>Qsm@NJBQ9UR`wuRE$M6p>kK8{r z7;bfNV!W|RfUkA9;NY1St&~AP@T&v3E&uztIWBZHUU$BXbJa-X4i*;UF~wOT?Q~kW zxuu~-XYztt`2?$9l#Xc4uMfvF>K3R!;Xj~b=sRvOuN=g_^H(Xr2Q|lLO?577<8EA)tXICgF|o|CV6dN9eP(A0W*6w%I%nlr z6Xk;|bj2<^+AYF15Y>j9&&WVc&i)9@4uQPZvrD;UBi_$2XCK5JdR_bnd#uu%2c1{8 zD_wi{q9za>5k^}ZXKc_v%%K7A^Pg`0+$%NOTOUMelTc)UigNaJ+uAIfD5stiLqGM&z**(vB~q;Z zw>$UZ2%?mkn9h8+t?TY&xJEj=ykO-hZnR~+0Ve}vFhfOeD6ZheGs0T5g3qkfHf3e% z`&4&1Y)Mm%P#<({pjU^q>QWcfmlQ&ge0%A^xn()7w^^i z=hww)3&_C7Dk`Piy{w6G(+J={R$EZ4oDE15Q_pfkEWW3_@l;vOeONQH`DUe-j^XxI zGaksBovz%`vB{8Mwc(6c=Ly9>ek;P(N&(YKKgyD4LW1_Bt1z6IxaE%ZMg(LF3LZ7? zQ0DHyokq5Ds*ew#Z=Q2euH@5uFD5Mb?<`C+_^_9hHh8>%kFxfHP6irwoziiYmU2ky zi^TUW0;%^!5fAa!LEeZyXY*giCG7cGiTWBzMy7VZ^3a=Q(; zd`RC(?UW=!^eP_s@*QRd;PlU|9l%1Q8TGsQ&efZXi)z)RZEt$flo;WDKS}y>M~5y_ zr%fbQ^oP!pXJ*V zRfZ0qE-zkbIFac0Y0dbeUH-~v9d~zyuv4s83VnFv9u}Qa`nhOD+iGtWYy~Z?mLCWv zi3E3j{yo6z9mC+RwNQnOez^Z7b(*QcF3&%X3>;U$rxxR_ae=`|x!_8Ps6YuvBNEr= z7pH~b`JRWjXR!33MiI|Q2&JE+fgnQeZ*26}4DZgh- zc2m++2YJ|H+&eteq5RZjKQ^ix*8)x0*EOH2=yUVD6#Vk5%d>==clhL2~)GScAeN$!RHNkc$9+|bDb(_(he$djl`ENyo5l^ z6_+qBqm=oqaNqlb`gu-9g8^|4u^JLQ8;CdRAI819~)6>~< zRg|0i+N{HJ#u!*ClJzq);f97lf(~$6&!32dP43|yVFw}wFjlWx&i^(`u67eiVr=pb z=kkH5hosYs^0m{mOt#)YxbXV*u$xJ&A0A%tzk_{h_9Rg3q8mEHc6PpJE6n5`Kp);z%_)MLXhI|X- z5pZ@~B{8i<7$2b^IBylfb-t|Rd$&MUR@snRjB^z?!iyh2}wDDn4@j~{Yja26V?TZLC^=XInAEM^u`fX zPRA6%C%4vbNHga~kEfhN#r3I%x8Gj*!1>=9a*I`idz^qKFb;lOiv*$52p7&j3{iX;QUWB`*rLI&_ez=On& zAIH@8WWuoQ9dq>fm%M7-N-T7qx~w|XlcD^K-@lyMR^B%mwPFvv?k|LAMB)hsSnn=e zCfCT4JCFEv+hlKpgwLH^O%<=NpJNK=b=uy-31UwKqss6XTsA~ePzFb%$)2PDhO6c? z6W>$VcFv_qHe9zBi&ktGsCSugLXN#S^a>n-vV!sn5d*r-#LhsbpRjTTxepe$ekX@{ ze>t3UiSOuQ`u5sjA!#P%oIUj>82~8W(K;@|><=F&X09vB#FIOJ8>y!{xprHJ1M}Xd zG4w)3Z-~WV#5}|J#JHU7n9=a8<;~YB$D|W<1dpq0aN61`0JK7xN!YCo-a}>rGkyb8 zX^64Ndi5uU*23KML`>nNX}6Pb(s0@4^Fe;=Qx9q4x9OItUGFLZ#452sSpq*XREdMs zpDBqIyU`libnnv?+;``0Rf4T<#tqqIZEM@4yQ<2EHWFr^2D4RnjVaH@{a97?XI+?MsD1ctuN5cYLMM0-}t(y=(^BrpsWuz1;eosGd#*B zq`JN5PB*dS!<`;r_>vFuLi21+M(&1YwmdC%yGC5>O=Ye-Z}gZWl$M`s{~j(3UyFuK z(&BCt69d^SS1UC9Np-3+QsVYUJNexAsh@dFFsPrzrzJzjrM%TSs2E<(j!1VMvpgIc?Y=;`&X++QWb@1m^)mv-oCEaOWSpVBp z=h%>Dsxqe?QyY}7#v`)JUx0hQozyu`Vmk+nA14OM4w6-Y6(ZFAKXp*2<4I$WOp(qG zPuJW?Z1>p*&H=Hz$&~k5wi^{AXB*y=6$Ui%f9L{Ro%WfgNJZeOGYpp#HMFlfKtGd` zSj_Q83D){VxG10+hBEgaf!zQR{EKQQBzj8tT(YRIE6`Dw5&a4&b%Q!<^&14in{1$7 z9aWzTS?~mH-;9y(DdxMrjLzZ?eFI(teh&BnHz50d=A4?$b`+7=)$bhitB<>1(HC4A z2Az3#`kUH9v@j&&#C;Jj&>U-i zZ~Nai>Sy_8U>6UePmMugP=POW)$gfbh@ayHdGAB&D0bL}1F?%rQo_*bzCW;ybaKb6 zrjs2pV=iar=-@WP*M7lwtvypA-{qeWE0XV0q|)!$i4#X2U-np9UgR25&QnA_{DrtQ zvPRm(v8Q7;SbpPiO_rbC+)vA-5MUHUNO1~NDou{ z8>&>^*D6=z84KuhB8zDUxC(CUqySx}9MB?2iKl)x{Kt^cGC&&gKgo%ltxw^RLqfJJ zfSclh`@Qk_&p4&kdPR?);e}h_LPbB$0b1$lv3f*N%GUpFA!BpF|GLug|8+GmWjEO6 z9B?WJj|X9IZFioj%Ld7K1+ByPjL9d-j3#>soP$_)4p^J(5QEK>Rhl*VuG zXa9;G)&;%>f&VC=--RlmVk`EK9oi>7tDI^NZ#D)>*3-lnua~^beiqo&hD`ytP9_S1fo%p-0U6@6`q_*Ze|ik`saFLK+Jos7e>+OtHACOcn&a6 z3Tf|nPw|cHCx^VYj~_&opR)>VyV7LJYp>u@p5@~vHMO<6``pQT*T_Tc7|?cL|64DyjAQ{aJaLZP zSALJsODz7+FV~W@$k`}$V)koQV}j9J*t7*d`7TAlwGzNz;5eY+*|r+9dHYO5vb(M} zxI>3DsPBG~Ymd-{K`x5r!WO^zzm1$}NT8Ej!A!`ILpgKasFg-oX8uCki(YgIz-mYi z7)?fD=)^ENVA5c-rv{+)Ler2c(jRW4%Am$S&_ z`J3R`MvfW6|FEiRk-tNOh(Qy3$~oW$HzDyHVBk#Q7tn?m3;Lv{I_g1iA_a-yWj!(E z1SR(`g)Z@Qewv1pr+7%KU(nFYAvsjuG0 zJ2rA9y?t*S|9 z*>y@RBA4f!z_VG@N{~frZt_5b>m*1shFFKbv+CY|($g1x8Q?wKz57@24+x}yC3mIG z4mD^6;wEr1^?m~*B??k{nlIjYnwB`kTZjr-s~X?I2#^r}z(=_T@R^5%AT%4{ zvnfi47&`*nl*?7)EhR$^)8w&a%rJTwtAMWT*7-`Xnfj!d````Q*~0DGUWq{CZJwaJ zu~QvyiABn{3+h3;eJjf|1;5kvai-{2?P%jkF>xX12;_^zV$H_FXK70}NTQQ#4lgX) zr?KYefP`owJVY{NGQf6Il^2~0q{KZR{vD-c+<}D*7dO*ZfTR3vCRYoXpqdVNv2#Gz zvr1KQ$Z^&qv{Nt7W#8Iw54*%y^4WKbUJCHu5Nv3`5Afao#r=^o6gU=?JZp?~X+ft$ zIK3iC+$4n52SVhy_(($4*!IoBREIu7J+0udQteQPcStXK8&m_|t;Wz`CE`eK=YUJD zQ?PjwuVt)$``5Xe9jnlLqpc++6wO5@dv4f=mh<<;mY?K6R_l_a?>zcV<;KnxpQ(JA z^5X{k-%gHBr(Mr!Y(5$Ja&Q}~)8T-2G`PEx-Fd1d?PHI(&&|N=uLPpZuQmJerGCEy zV<-g9-6n;=UVMc)Jj2-bdE*~|!=~^grh$&GbHFvd@dq8I+2HK8;MTwMty~S>A00aj zT?u5Y41j4NCHQ;G@rDYqolJO;ItF@Y=njuKr<^Y`O5E?f%@90J&r7IN5j zx>mug(A(&fohM#}R#2-f#9d{tmHr~gt2#Bap*OymwY|8P%u!z1Pps0|WY3y00oeR3 zr0p(Q5v}MD1j3DNV?S?N8sTlz+!McZ$3V*t1Dx=i$!`gBC&z;sm>yj-mJbr+TrDmCd`e3xnzmO)#a_S@z3Sl#x z@M{H}~bpF{*vf`Nz$t#PnI7`*YENf zQ5&LHu^YIeGJ+d=`bWWBI+Bbow8t=xOao35*T4OpJtv-<;_P?QbgfE~o@Z0uj#{)W zb^o^YW8tAI9$MWWVns)kisb;=DxYig_JZ%^_83T?=#gR zOhjiLtbY+=H6!QSEvZ5lji@&|ca!nTPyl*=ry8SgjlNh;O6!u75%n%ewtccH(SZv6 zD>V(y9n0YGB9MwfD{y#66Ai=qq+|KfI*8<0UI2O$KeuT*J(pApE1t9Z^Qxh_$tg{b zS2z``oi}iwo#hz3OZHIVXiNa9XYd?AWOe%so-ISshoj3$*IYh9)iJ8+yq%^0mUt#g z3La-a|I`i)@MdpJQLNd?_#m)u<{`p&R7kJX-PxG6dkb?%GnagKwO%`uK2=bK@wWbo zeNV~Ny0k5tr*>echG!t(uTQvD{jc7cU{DD%AiG?IGcP|eo}h!b#0C6(GvJMM`%ocS zcPGA@BMDRGQDo1i&%CZ-RT9_Br@QhkUnh#dJ_7atCfQz=>5OUUWKotzGb5M2y2!47 z&O)|dU+l>*Xr9e3%}%jQk9sp8p&h`@{RQWQy!5jGt-CzOsT33*z=`_>sAW*kfD+m0 z)d#qul}D&IvwlkAL``Elr$`YKmrhP%6cV~tz(l=RxSds;VR zPNbt=1O&QX9hUzL>(U_ICp-%_?6Iv4*ui|ot^VLtK~&3CsungpT^0jF=G8`}7MESK zEejn?`gx+`pj_Uiu&!IcC}kRtb+Wau|D8e>N7CCLRthw?dz25j1UwwtGMoMa>r!mf zTiLb4-o<%~L~gwEILf82?|A0GtF?2lx%p5BU8``9F!QU)Gl33Z7t#-Fe4K$d$CdZx z$Q2;@F{)CbY~w7|g!kWnJ~>`TGyk0$|aQKZ=RT2t#ht(#bjC>ce5y z_A*z{tfafVIHFdfZG`lgO| zM^8Rk*yz9X#%A+OnU`qnj9p=-Lm;iyRw&d!b_4h~+npRR$7Lp5F_NvDYtgNDPWE?; zWGq44+h1Iw?pe;#YVf$8pl!RBb3^1z!sC^$hm1C|=K!iWTq5S7SHziVw`Fp)vF3^y z*Rz)Ro~UD5V{5KZgYWeRCzojME_Q+>AG>tcGh*{4;f&umVtH+Wn`VSsc%HR>G$6cUkKX{ zYFZO19+M>FKpL6)uAc&1yX80U0uP4AoCBPeWAhc_k$yS<3TTe=9Di(a4r&I{?WNxE z5pWH7aIfTKw7)n%p%8CGJu9~#*a3Y|u&qEAU#7&Iubw9bbJHJUrPsR)KAr;_Nkf6$ zw#=#`#Si;d_F4}ckYshl0~`UKT@89B1=YcQ%9>`=S;4k8JWEs~jgA~1c>aN*Uhb>$ z&e-hl#z9MlGa*ZF?P0YxvMB6FLLkm%<7U|zb&Pk^B0(c%1_b{hzy$SYe3eGl98%60I+oWM-O8u%1?x#NoRnk?kT# z-fFsTS5AYa&3c|2!h>|$OMB`E%jsz;-)$6AKhir>ZVhI&;gy?X0dvc}WF7WZAZRf@ zCjEcH(2ZP_7vhv3($}>ZAXWk+_j?b#BVcG4OE%A>MnQPX2>|w6NAn!8o(KqH$z2N0 z6tCvz(lG@S41~%TPrb-c+?I|Tw(cY5U~*xx1`?)K7Ee}KKWqE*&M1*rV%BkmS zMn(tyc3Z1FXHxX&hk~=KM$}biD$8@nVhh{mtP5H1rI9wJ*ZX=8x6T1O z)3I{|9b7ThNm^squ5Wy-W)=CAp#l#!NW#3|Tpe)P7-cKvWptU}!oA!7F*%8;j})eQ zM6t7TQs5qcqE{eJmQ`nPyv*a1e2Bp1>#;gOGfa=Zx z9ALaSB<}I*&rL@S|KEhY#ka}pD+Jlgz7?| zd&a(U*>AlB(0^V`c(g7BGNJ?poG*rFoH&Qc!sTI9Qr|;)rkklQ2IpcN1Z|l^MEaPt zL+H1gpHq@F6fdgMyyOI6mE_y-iXO37H*o!Xu-R`p#*3)!3*|0ElAZ?dO{ZC2I4SKN zF7ny*I5xlh3mzs$xY9_H!|aAf1d;@FD;vsKW1sL&D8U}6*$D}J*5iUw; zQ!WKpclu@@Oyh>XUdZuRVDfN}nOhNfreCiy{wJKi_YtW?LCmV6G*MZk8+)x`MX)u2 zqGKxZh~r17Yg?Cst0WhN{W~!Q&o9`*6&QfcQ<5Ufa$WMs*a{nB-TLQIPKZP0H_7Ay zU@-&XKKu*DoCBT!U8A;9;2w^@b*Ujhw?fNb_U>DXAb~-@RkANss`1YHpX5K?iv=7C zkfe#2WMmaHYAMzMl^kUwj6R9kzd3p|r18_)Q`{!;DMt zu0>yle+%RjqQ8rfUa3z0fvh4zlrZ}dval;|U}~s_SbeUG_~(OT6*`c8I5i!y@HOl@ z;7qrD4?IyuB!CH8rWc=`)Efi^Uaf8jG~(-5m(g{qBCt`O=}xp=(K+Ct_fsR;=Tx~M zD6jCAP0?><hOM8 zSPOwTt57@-RHKnb=izrV3`j26G9*C9Y8q1R8|qHUvU+LqZ! zciK|gXgy`TGJd6>19)kOW8KH_xBusw^iH93z)G#{flG@iG0_4>N?S7B)(X)llZ<2M z+PE(q&q)p7t%0&wjzxC6 zzSu%dl2_n6FOdU_5+R~Cb2i&i0*9J~n-6P$4{f%;Z{dwB5@`2?bs2X6ZE(|A*_L{= zXFi8AMW9oKP@Mp;b;ieg^ZL~~=x*Ac{+$@Z7owDH#MI80o#C*{q#OS{P_XNpOE)nc zpZciVpT-cLyjm5{gdS&xM$!^p`!Op$FTuL1(bjuSun&L|6g z`={96{<*fyWlLO4va)P|t1yP@3BTd(7lqXHTwD{?L@+TsL!J2EmP1lDENAvg<3@8V@8rW5El%W>y`zPZVF zoKOi)U60Y>_haw!^4)tbdH%Rvwa6L@HYX@m&R)#^aEduP%(XU|;%Z8^UHlWKMPxT! zH6`4*)yCA>aI!PC%tZfD`7Z799JR?oNEa4XC$V1_cn)Zp-R_JdMxk}0P-v&HBOvt; zO|2pqY{PXOjfQG5wFJC)2pHC-t_(uLV?d2;JL4;G_8H-?pWMV{+ZQV$!wi@gB-Vv= z-9fKJDHli*i)7chGmiY*N^A?^9PsoIKJ`^El`G4O207q?XKGpZ=Zv|J@5Ap9!w7~L zO6(i5LA`O&sN}@Dzhe_FX0fQE&x z?K~58qTLpCi0sMF1-@V3D{56ZXDV6 zcCs(Fjb#P0@6o0Ztdy;9!M-6;-Kfrv%W`W}aDCRro3`QvNn$%x9cu;+h}JuSy`xSj(j>xXALu~8GMimptXo%FKH>l%*Cbe{!Is4}`Z*)kDmKwS} z+b7pq4cwK&gSI0(k@s-MSH9egl$Q!tSo49TByptLCprF6Fi(#os*)qekDMju`gUHT zQHC_bm*zL+fNsz)zm(%=9DIEKCL(_|SB@Xs`y z?PIhRtMs*fiEzVPElk&*Iz#IjKG+C9h4xXyS=QOWXd_?(_=c>9zwNBRipM^p;2Pu& zva)QtN;0jNrdfc)uP!$0o_AQQ^~dsCQdQa^M#PVPT%eeI1!DU?i6J9jJm~QRxW;D2 z(=yi4TJNc@#_?f^Qc6g(mqa)hDZr;I^|B4^fwPpTX z-Myz&QL7s{TS6<$75lU0-H%J5V7(A}(tHRjt_LmB*LmY;@ye<$2HdTGXVY()&ZapD zHMRAJ!_mybm|aQeZcVgQ)YA$Mil=w}dQp>8k~T?^;EuMp5hCWpoO1VBxY%ne423@l z)YdoCBIf3$HMy*HZ_e!2(w1V@zjw? z27PT?+14c(s3Bh5bg-^bZNIl<$X~v@j1yW+EG^iPMIMl$g{=m#VWF=tUI;}3jE@BR=T(m7r7;767cE|lehsqt9d}GaETkZ zEkkT2mj1?!#`ZFDIe;c--VVt&a!h?w5v}KY7==5*r&NSEmTH-Wq8^xNs z0*sbZ6~?I4_p~S0mwuz`c$Q`$7Wegr5u%1I)7&aDN#=5P48VTm%1JE2qXb9!vj$gy zs1a&U{QjIvU%6Iaz&(!r)dNYvn&xZV&NR{t0KsVyv?l6GJx1im7^Lj!2r#u)%>P)@HqKJL0+J$FBviwkao9-#j1Q9&n{MV zx&3UTY)!6rv-w$^OqRz-X{KOmzXjbN$rtkY{RP6BQWWFDQOD6eup5v)uLz5QnyI5i zHp3_>GH1FDlo@`}<9~@Ic)aw_eXZB;{;pR|wHbaHS7HTyhEueo$i+Ju{}7=hsZF<| zU55!`WH9VJ(y3o2u#+L>J6sl%)Q$;4@XDguV#P>|+qb-sZur6S$Y7lZ^qbyg3l^e} zY*Tat-+xT~p@J&}ev`;Q9JC(gBd)=EVIAPvDkYRnBnor`$7VJnV>w#9wDf>gscHT7 zC_`85y7QM398a`Mvr0DJckaOii`!cpZ^VOrBT#&*!z=@dC{Q4w!kI_W=zy{uu0Jc1)fr5N&~v)+mgX;%{d0AR7FU( z;5_XWutpf=;~K{ekoZYM;;T!+Nx`Fhyq5OSA{SW0b^&+#yglTm;mwAMNznu`AdyPt zOVb71xCOql4R84;QBh3(W8pt=vtyCbeoV^sdsW>Vg%U}SdgI-$JG^)TFCh23AbhT% zd-CFWYDu*eV-&Pc)Y0s^UC0eXbkBvVv`p?Rf1YPz{HsTB z!fz0B_=1M-tul(7{R#0QPX32rfn-CVG%C>n)`GQ-ga}_S>J{vtz`iwGH$0XZhZ;6( zR^^$o*^&)udNkhg? zt)#h_Q@w)PPOf2tZPL(fS2mdI`-^X>!4s>#pk3Iw#kc7b+j*%@tY2@)pHwRoc_1PrtvPlNwD-hu)@dPJwOnyt-Vsk`M5_4bQwM-oG=_C4m z+AwNWHSryMhwmYYf^oY5tJa|5+J=8A^x%a2Rbo#3)1cvEQ|DmtV#)uU zRk^hW+o`vhH)>@ay_iOs8n}2dL*n!77Tc>SKAFMrgCx!Wd_li7S-#{RT+L5hJqK|7 zr-E0^|2DDmxws$A_vA#m6%yu52DAh|nu?L7sg~^{=u6OB!ESIv<1apr0wVX$0S#5d z@3ZkL7v?;K)tW5stIo3RrK?(f^iusw8gl4?`O4;&k^VFZ&i|0xixvP%g14pq1PA%d z%h?*W;_Y?ta;xNnJ;POuNjW1|792I2Gc+0tl{&4irxiBj=&de`0X=;ZtDY6WFJ@}y z|9`ARI!XN3+!}LgW_~}_@T?Iu7C+dOvlwcwm{i@gr=lf3v|e;jt;nrgwhzR7Iye}u zoDutH$ix0N`7I#+X=}{pw3^sQ#5v$#pbuT(nm>2I@4+yCVRw5{!NcgM?X`-2Bg{p@ zA|!s|#m?h4v+>UF@>IzNWH>q-p>*FvS(?4C_$a!`Rj?*n-x?zjRs*Z>L3qBc#(w&9 zFzWHjB#+|yeKBxvyyc*l&J|x!o6kwKzv>Yn#Tp^pq-4L|N2rDEN!CM_BLvN1&R#JU zh3|;r$ajagj7hB~J8E!;u!9kU*N^%sUhDi;IAvREbNhL(PjZ(I!}96%DxSKVl5Y)G zHTmUI-!3^93D08eNIl{K|5??8Xf=%H>}@{>Q03wbFzH>PeW>N|mZ~C@(zO(aDfar- zqBqp|I}v&9Met=f4^Gnn7rv6rfTK3gbSCF8rp@WM4!<*Z%I|3g(r5~3TU%>JzIm4M zg8trBRNF9;ZD)T46yABM66}=7v3Hz&-O_S-P9B@vCyqe78XL%L-qGY1RhMo_;5&Uy z|5y(|_>I$AC4#XB#MU->jQ+#K60}GcoOaGui_4*y^KT_XO3|?1O@9ZG&)aI+IzpY_ z$&cOnzLw72=9TAsAVysDvvGC1$e~qNqk~{oS0WuT3vAy>&dN19k@c|a1epS(4udyn z8*6LVv~Jn)8;N$hC+f5`>xEQbW>c(_9&5{xu8@A`Q{pI4wlOxh&>p1HzyOTMa}V1m zmZvY%?pJZ@mE7^X8Flk(c5bx9YwDqCJ`gReOOSMH)0@D5k*tQ9bz4l6I(W9`B79uZ zn=GRmGhgj(MW?Sn9@F9DnDb8y@wT-NnOm+~EZc#j<)2}Q9~+Dzp8}GmA5^z5Z3g)6 zwH|VNanKgrh0s7O`&dCts)CSsge{BgqG=Gj>IK(bJgM|obOrAcT=TUXQv88&AAHuiENfn3XRBik!*5dmxJVHu`iK%m^{AOzREea6lc<0%)Q zrj4A5eFM63IUw4P)MI<}@Ms@TB*$`Jc{^?# zf17Y0w}D!Yvbl>sndOUGTw|Jl$mE-LO)r7#8HJs5|K+H+j7RN& zkXxj#d>V9TH++5{n~2s4t2-L2Q{i1=7Z`WXfw3&(VFD}cT$)i`X);JitbJZ(ZRmGX@ zEUzy{l|Pa*g6-)~thdLD?wx-rCGo$KI|Zh>@Q*^em#M(63cIqy?3Rnp`M1V@#s~Z8 zri$-}iQG<;7O41Ama)*9h2wbhhGH95C)w)-Bv9a#P|0y2d@W(Xogjt$KMH>?PhvF0 zD<{=yd(GvlZmiL!sZk~O*v@sMl6wl`!PU_};BzokN3v7dk|pGq(y6%bj7XT^cj1>F z{Cexz=YY3-v|RpUf{!TjLq^DfM__`l;J0%;t1(`EykfZAD=D)L%Mo zqwjw9e|vjZAP!G9f9LfO5WxT!5R5MCeJjSc#4;g1Hl@!%`=sK@3&hO>?1$eD&x$mz zr(Eq7rTl#ka2}51WguT;r+O70+d21I|C1HYanJ2ehWGkH?)IxpH?DxgCEZCC{p*CQ z);AB4raR;K8&C&~jDbfn1lBtjRqVe*FA%k19JY1SyP)%OdJEokbFqNHzd-9M}R@P_KnfQAPvZ8PHaeIGx_CP)I`I8?2TEP8F zp~_%v%6*(o33i$w`CnDR-Ex}}>k7p_7Q+VRc{(yy!FBH_k#EypU+F}`h+wnnTC1hm zTo<*xYof~t71cV5n>L!&A?Av}Q~PrO(r9)O(U9`h{Qi?Md6kX~MVr|j+vPHKf+oS0 zMrly1F4Dr6O0-|>qMfmBYph4!0Qyl38q%je4K{5ZoT9(^aOYY=CiDeenxw^;(_GYbmPPYP{PvbgT>s zT`{VR6V$&-lP^kn0Nj?`y5Q?^pgG%zE>?Wxf3E2D5fI{{lvDdvDESuec@d^gvc5T8 zBTWuM)yGOP!g(e0=3DnR4V)@m0xD1n)VVyR6F$n^Eaw2L8)YHwz=vC2aB;f5j}QK- zWgH&TgMCTIeT0YK(G8uSou|%7aNazYs>YJVpMQ17e>XR@C7JOLegw&BJ^Qh?L74;C zgG->?%x{Q&Ou{sgUbUU98Y~!~efnHkAN%qc`EVtl`lZjc(_e@{`{&u$1PWi0WH$;p zy~MdzHj-JKG#-b41IPnUkJFbzm4N^Ml=uh)W_F6@Q4?xl=>La_usfs6=BI9W+!+uB zT2*5(xBkzV-(!5Mz0vsPhJ`hv`tBW(vjHGu^VY^(<}b3o(x@-0K)mU@(BpU=g(s?a`$6op6^EV6v7;`8a z9cG$Ks=f8}{d z8O9c^v30GXtdG^Uxsp~Vo)4A}YMWtyM>Fx`yQeS8Y7Alv0ZFU@|izvL_UmBhb!TpES_t;sH3$ghI|8dd@{ zodTHVwXYBS*d@#ITOL&?8ex;i_CBP_VOS|^KxVuLXI=kQ9vU(bOdX7fW#?V7C#!P! zROoH8#U=g7cjsVu>Ua(~98mc-x7dRn;Bx;UMfL>~Qsq3$(_aT8wysovLOF~+W`XPHvPHoAFhcIYD3n8Ud8UA?#(mQc=Lm|mhp+Z4^J`+ z++*90e&}5ZpkELEmwzxa=uUR{l=MS-Rqd0)>pATU25#&$A_S-4}Gcha-W)Ga8lEd zSj6q|`zXwdD8bfq&w@qqR<`cq4UYm}z{;V=M?GSunPGq5I6dNNIwfB$xxpg%dt?5G zgCBp8+sB2M^qUfYi@1NJzHrUmT^lKkBT514gLZj#AB@g=9AfVPI*@eZ>1WwFu(9C! zpqqV{a&3=y>39{y>gn+pGu%}g_uS_kaLsq)VxiDIY_%Hi@qgD_vK8K1t^tMZb;v`8 zu`#1i%?cM)IZWm|iO>Tbq1HL`UbNPw1$D*>He35#c5}qQ=_n-{38`?6BA>$9(NfJ^T4y)X=+sg%m$n0#Lb^ zm25ihAcXQcu(B9RxK{ZWB=ZLNq>ICZwj_MJ&WL*L3t2zvLY0I3(2IRNo!t5>$L@*T z%iU|cr2R9vWElse>A&fpz;IhS5*0*v9C;4-xyZ`Hrc-wsSGXv4kV0voTqY@&_%8F+ zV*urK0B@1idRYQg;EO?!*UKuig~88@m62mwKFoD&$&DUug;KYq7Mc>j_X#9v0et;V zJz(SqVipbUtGPfd~kaR5aNMjBVBummxk!{G^k2pSe9d;!2zH9Yvy}U zDjG_yetzo#Iq0b>W1oAFs!89I8vRAK z3nzf}#(A&6Lm5Jb93=dPuk^h1`P|=<5Ocz8xu~4Xm!|uag)WlxqPipkJ?-tqHO}psqw<=E?m%zHDRj)aR zu;Q{-c-=J^a)C z6FYWG+l@|o_=*OXP@`C?XYf#qI-l6@Yj8)*G=Z6Gr7Q+&U5@Mf!7GB%=~f_UtRHh3 z(!0{QIe0gvoda5&7phQtb6kTQ_toyhM{_ML-ME}9DvI=jAdGfN_a|=N6#e<_%L?E= z;PvgdfYW6xjF_d$KpG06ZbyrB=P7E3o7f4L1_txxpBSZlHJD}s6o!_B#(j&=EcI8t&s2b_$FCE#cN?M=k-J$cjk%N_|;E1-ZF*;SqBI(Hk;kux~e?=9{1}UfEB`Y z)Vh6hT@p>|Ghx*$%RZ`fdO9ZIS@8njZ~}ZO1N33?L^?<|diTn6hrV8}6+ys3klIzp zc;qlMBx&EeW|W|`%A??!ufad59g8xP!CPyg9z7VZqp2^YNz+ex-P?Y}_EK^@sBWXj zgV`3N6I(ZEOTWp>9xSHht*geVCkv68m`W>dMIGf@ZwTNk8sX z^c90>Hg`)lmuGT%%RdFON^A>|L4|2{x3O694i7%|W%{se{I`F$-~Dr1k(H(b2L6@gPnaFB)7pg4uPM**8%{ ztG%{;yzLI^33As(!lOQZTi@DWA5!I>w(jNO@$4t(i!iN}5~l!A(Q+*;wzAC{t@HEk zP*r;{>VqqA@Y;>`rYPikiZsK)l?ddle!5`79|W3$tYJ8yL92tXX5Kr*3zKc)L8s>+dMV4}5NZM;M@eN|hoYU3{6o8U7L@5)ZQj zu^XVulbN^XOiGqy>#J)g+cwJ_nxY@M*bLL;RVzzM*XS|m&}w@A`pVHkJ#`MS14o}l z6<7Qt356R?%39ifx3bw*%p=wNPw{2qMGKy!p&m32{1xKA2KX-M7|OG1%i*TK;x+sE z#9&&2)s_ht-;G%qU>S_+Y|Pbb$$6fUQ7hy5OzBF$;1v}Lc&@TIFowW|7ls&PGe_IJ zQ0B_6jhXih=}4`xFPmrZIX}PJAO$fm;mpg2uinJG)LjI*-J#{id%g2L2h12Fr(b=B7U%TZv3Hk2TTlGX9m~OxZ6{)%F}I4kegiu;nOo zF<`ufn->oxz?L+HUUhl874pc!Z!Z@6WoqeiLcE@ZlFOqv;gZ>{vM1B=s`nvoKUHsa zpi9^DCVyi&p;?uJ{MTh-68Y-Y%%rlj949HM`a`+XlXFU|iIIOXR4s>Yn3%E&aDD06 zwCO~aR@M`y*p7)aqYq|v`OZvCFS}qL!xpC9zCyOKPo|)+my{;ktQ~wHbRVm=9Ww-y z{tlc};2wE8&R*p|0KfS_`FDY0r-L5XC&GU&R$OkCIxR- z_a6wa{M2njSdXsdRme>lWbMkMHI3q?EDag6FCRTIa98btaC*Ofrj~juLMH7G4=?*O z4xz-c2PWDksxY#0i#Z3>I7RwRVR#c!!c$GoJmDM{Tw^)w17^oc336>_c7+nw)nzeO*ouJ0!oJWFe0&o7pB z)v!KQtU_X4O7E+cx(7pQTj7^qCsNrAsW&OZa=({t1knIzGU-$*WNmNge2Z#oY7tlc z`sKxtOwzX06H#Mt&L*uUzM%*c$tFt6sp-yY@v0)$a{6{JY%8QN?iQEv1V^!DP<&9y zZ1&@+!`+vuA6~TH5_`O{KygH0iP=C7N7pa9b(3zkXa3yKY>k_;!@86`8uD^e_8hvT zD`3vK%bz?nWB>k!S|7(R3~(2O?$#TT^>EXg03&Z^>GS z^l{a!-GWO`w7K9xu1g|Wz49G5YduWgFKSGi7V$}M)0Fwz#(?ubtJMEy~+L^sRYSP`3)}_vyf2`u-=v$H`vP= z*qR2SoZm7H_^wiyswdQuD?33a)T&#~Js@B{_`Nvg>KxvZ4|;-OTE#xZ%-I?`t$vUZ zH-463vvoGMwdt68xnj!US+sRQb6)h|L3*;3z4fofI#pR>viK2?UAGh@tjl-zZSS^0 zdxG^MrFi99BlDl!ZcmK^>qqk`L&-jUkyo@{jX03?@H%-})4tu5=O=>oC^7F)`7Z(j=&H;0HrahOc^?;^8 zRV&^QW$5{PwgLr;+}(_ekrS zUD}%~02TF47g)sW;XocDaXrB#UuVBcW`1Th#n4M=%J}Xc!U(Y|^+LI@EJRB096%?I z&J_2=>vm2!jibgZkZnz^tu@V!jg3r$0gQ3$>#-q&w{sQf2j6i(;aP1TfgIl_z^}xm z4b$~&r^HOgoP`=8IcLWyB?HQDxMd=!{hHsiffV{TM01^O7el)55gQIIFm(051HK2! zp99<{k2#836Kw)ns>aOY9`{e*dmpHI&)$RYrU&3Gy$i%j;K2Z&wT?r@U!Po>n!8gV zwF=Rv&T;0IzELEkcM}rg=WD}rwcqt<4u|goF?2d4N4Mk+k$tSq!Hvn#jpbX9IO60o zi*QbWm)x6!THQlv&xg=2afO%RkH`n*;I5IMfDHxbfM9Z8m@i(>1!9mCuh}JhI5lhUVL| zG2Dl&*<@3_Wl-l)r{dLu$j7u9e<9dTdv)+afW{t@-HPs&9`NlEz4c!Q{D>US+>19z z%iZ3uJGT^)q&L=nQVfI)sotGbeu<$(t>v7}k!|E+k(5`qG*H&6ZztPR`5|$wG36XU z!*W_ISSQ&cB9xMTd<xp2h4V2 zui`*>dADia`(s)s@yZUqR~46z-AT&KTwg@O_C^OE zdR-n1^r`Qz78m%{CMELu#!EHI*)L>3PZS_sQ$P#oOh~vFVv-XK!#(#d|L)-6{PsEb z7G3M$2f>F2I?3-H+J3_ZH3H!!9c-i?TPjsns5EA{i>NxbZH~@D&}_%=YViK>W8AU?+9${Q4?_TZKGFq*>Jte;rW4QP?(bZ!}PppYY zuS&l5SajKK%gNfI5VE`B>{bfGLny~oISESRrVmGCbQ4U_x5PpmCH8h^odWzNb{^|9 zxpjOBRdvGj?UbVrQ6jsSSIDVNy2m2wt6wFK6DVRZ4*hrKePXuRqfvI+&qfFPw8E5c zMA)rBpGC>-6sKSga7u{4s#iQYAb`|;6}C9CPSkFR`oCy<@2Do5wqG;|N|z#n(jtPO z(gZ0=3q?Rgno1221?f#dqy>T^AT@#tDndXy2uKMXf^;b&9YXIlkWfN|loOuk{oZfy z_c>>;z1LZLt=#`)-IK{&ZGOLNX0BP)sIIAo8;n$06-u!3fmL3vINRUmwC|;fN!K7o zVoweS(RCBx*{)=zG3b*8=~q1F=cZVFf8202Vxd`lA!yZ#*9|l&tI_57Bm2TW&|Xv5J6AGGjdUVVj!N2+@9y z?HnO%{tH>i=O{ieO8zvmyk<%dHZA9A?k$30U5@*5iQjy!uecZ)UukA$oYUCAP}a%s z%E7_)#PrcK06*Z?c?~3q(Q`)*KkN}PieOJ)5ta>nd#6=s$$FV9?5-ogn7$9e46}#7 zq5$YaSqX@_>X(=j%*hEKD{J(|(fbOCx|~^bhTDq|D^DjHIVO8dF2w7Ji1kkF&%Okm zfl42%60iMkVk5|I>>lc1u{Gd8Bz=N@E{`IoHR|JlE+@arw=?YCaZ5`G)-NAXSb~&kX-OK55CE2f3e-yo^*<1YqnW$(+or0R;c-qPAO~al$YNDH*Zb3;9 zeU?NmUy*nB%*M4@?w74NHoth$3{A*;Yz*Tu~}UZmpQ!mY)VT0eFGfREm- z^IZ_45y347txC>Yn7;gLRQXB3U?0qj-_5<3>%Qd|nu~S-DCTE}Gi~kHL`xg3OqOr5 z3`^`T&i|;)(S>rp$PEme<#c>@FE0`qDWqZl(&5_NSv@@eSSs}FKrR81L-1O3ipzV2 zGaF!wsvH-wEUwJI7ib_6S8FNHcxL(2k-DM=kcbQnQT&e`rGd`|{6G=E!BBmI3(c_H zwB`&WQG0%zX}i`-QfqcxR2@7*dsz0Y`nvM{^B-w93dQML$XBrxK)edbegVM@(JgSX zdT(bT_Ga0}h>rc4>HAmTzR`-G`bI-%{@E2&32DZNKWG?~Zg9!d4b1D8EN-*2S-Cdn z%U@PztR66ZuWt2vbNDNU3^tdq4dP^8w>dG=Su~8aKT9-_0lDmiao)${2#ba;_DsDm z$IFYJUb`fvu576KEaWQfjQAb`--Lt2APx8y(zE6TT#t3i3Fh-oI_WJdVDk`vOPQ`S z1WRy8T9WwLfaAx)ZyAmdWM1<*UDDYXpi~L~C%=U5kB8Z(fm>`v39wQ^QcDQ1GsWCo zDVx(~s`JXyn1u^0D6Ty2^7VWjK92L|Oc}=X;)`ri&xopoM(pBz$IFFTF6#idg(oBX zB=G%uoM3^!ocFk;D$%^kzkCXbDe9Ed<4S+Dkx+5k;g|SoH1agT6pL6$iQQ^=xR44z zueWFbX(kH+2fT9+wvDZuOuiuX1*+2#Lh@hdu}qI6E8I&Uj*UNU0_vW zo>nv)_FKzM+Fk1u%q!A)TwgoBZ9j#pxO)0thW&EHln>3GS2+2mThlc{;5_W5LX*s> z#|q>P1pCHS@KUX!g4NU!OLBEkdfK(5W#O90h20BTm3uK!QX)@`#YbuS7XhzSi9nWo%ns`rcE!vi+ro@LfX+KpJ4tA;`-vs>uN)O97(DQmSPot9I|g#U+GzQsyuPDo>K$RB8DI7HIs6ixi!4S7x&OTT$QLFIDPFpoxn1ba4U# z$J{A+jZols!s~bgUd*|mu#xgVz^Z(P9~p~1nc7yw*FA?;72O#g@LqUD=P@&j+iXAT ze;vv@3un4z#O1cD@zwd$DsOV$rK(pCl(SFw?gr`d36AU?wyOr??-6qSRBd-U%sx9s z%L{OCs`DaqMuyMj#1GLwb$E3*86w&FiRV*&543zyf^WdjC=OM@jVuiCw-_ec*sJzO zI3=wbP0Q8WrGo<{??;4g)xSVd3H>U>y~QMofGp08NrQXf-&U?I&?}z>S-36g5iO4|T0)Urd@Hp2!r76$0oL<|>K-9P`=S|%pgRG~ih*j02= zRPEOy3B0;f>TcTBP|5S4I{oAIFWW#&@Q6-BC3!NsVX1Rs%IO*T==k^P0?!3Sc7gI| zV6r7DZ!k8s2L2)9T{IU3P~=Uz=Fm{7$j%|l&g`_p?|txfW%u_Vi?p*M4-caVziIsa z&w;-)i+P@G!Yq`$w=JA!11R@Bce0WTYzZj@*Z1gDKd#7BdH&?&7R7t`-t{qc!`B}X zgs4NKMu5IsAbZtok9XVBf35XiNt@DlVro%~G;>`D<2W8vPDGX?_~s$Zl)JSi<-T zAjR+}3Urw|-dmmvWOThp`Qyn+_<>itpyzmzmp{+sW$HJ&RLW!aXDQF!)WS;=RJA?% z>powwcUo&j-;UYL?hqzXG5sS)*UcLLYdh*Zi-`_4-3&m?wTFNBAHDBdPB5K57bPHj zR$J}=aC7S@30vnt>K7*24FvVz9hdwdE7$o_!6wUgTo)5zuVE29$yG(3?N8Kwl&&5K z4g*LADaQ&siR4@(65TNV8_F>@@sQ__ses|&u*=vT&9FBJg6^Ra(#XTb+2gAy-Ek~Y zcxYXn(^8g{B&9peWhMK^tBV4#6eGWOWmX28A>UM9)ie1uK<2VSqu)bYq7LCi;p0wp z7}Z7gN&U#!pi6kf{i3Sah4Dt_6?$deyumQxfr-!_X<@RO6l~vjED_3o8>ide0Q)@!+wadc zFLL3o%0)kTqIGghqHtwD#?}by!U;MDwYp41`3M@?ayYhBg)rjgilSEu=wUh~C-9KonF2sqs^-&{{$D5)2X$|< z+Err0v7upPgQA5_QcTbe`K^KNfIv=O^1oDNe9EGn6mwR03bL%7DxEY1KS-kf0T1H| zD>U*8%kRu9JRN zom_LhE9r5+`cg|2CqT`lv#V*uj1LUKq#}18o?^I#1~p0}0nQcDllDunBG^i$VbAL1 zuG$`KO*F#|45_-b`%n<4SII z8t7wzXR(K7=Nn_kJHY2br0coWZmxAUKP-&d>4kLj@foZx6VT|}z~>aeb&HG8kAHyA zVb@;;>zmNM)(pddT2$5EYq>Z-iTn6)8$K_HxCa1pL&`h5*7aR`stk3HI>~;g(~66% zI;^-v5;j0Bv0(6naz`#u18iOpbq|oxo`ONcg-Nckwd^9-9{j{@;KtA&q?4r;I(7Qa z1>=|3?U#Wkn_ULcXi~X0b@XzJ&IeS%eVPcJWD_P?Bl@n^IP4V%0yo*nVI#~ZZ(Z4a zcv^(|7Q|HyiR7H5VPfgh_@fIF4mPF>YL%W4UV?SDSCirQs;Ob?_8*`(>VuOjzUu3s ztdIsd(OqqAM>g2Bs0VCX`SIIT2Ax&s6DK`%7@tar%&c@cmiWs_6ETtsh$%6nZ8$dH z!$Z=bQl#bed&pnoPx_g%wU~H_pa3-GAL={IhEQ!7o=kN$vz!Vf^2Vv(naZiuNC!tg z8j|$TpIPC$Rr6TQgfv2x^o38MBOqY{=mTF0CNGiv4W<++4u0r+>qhRGDQMQvy6%KW z=(zdM`+<+C!#gsj+m$61p4HVQe^{91xvQo~kPoK-Zc-I>1a~{X^}q@p`%yjOh86|D zfXA0!ARy^D0v6|nw0TdiBCPX%3!nf#1zVil?f0K_jI0LL1JO4z1uQPSy8IIqSsB|i z&e37IE&S#W!%Uyl?~wRVDDfKUi`tbLs1zx=0X)w=s&Mk_i{7=%2xmKPn!E5GZ|Vd<~f7e~`2I)=#-td(xrWSAq^ z(6{ffDinCCXnhTo^v;(`jhyw((2}wtD7zFyi=JY~$fp<2(o$ zKtIo=p!5=Fi&&`HF0M>Y%zWaQd}HRPNW5(Cqgs}GFMmun+&Gi6Rg24N2cCgFW#&WB z5U%faHeJO@Cmf^+8w_D$wVC?7gN63CR~Z&A2-B|k$RyERm+jeidPxDe?7*uKymll+ zsC)pe&On3d0_&MQ%im6eNVB@i&-%u*YllK_RRXX3URq3`brPQ2gfNhQ3o?;DtI%dy z6WltI1=-Y8$MSH=i22RnRGUy6De$Y&c3~5NAJy-qtTUNfy+F^$^sx0UL5dL|54H%{ zIkodp23$%wlqT4#z@uv+oZ#DhmM+FNc9JbB4)QldAr^J6!IRv23?5qRwC zVzs*ET^c(48J|#RmO1}Xp23gxVy@WF5%-lF+Kz+g=`Lo=JpRzdd6w})VUrlCNbQ=o zbZdVE`4l1WY%MOTqX`Ia^FMkt)-h@L`x}sHaC)lT%l#fSBPJu6K5;kd&&>t;Ega6! z3tehPpwABQ-1T||JJ(>E6F>o&4nLSN8^WNwuVoKQh`yJ8FNoi`@bTK?W(F+7Z2=f2 z^H^=22U7Vf9JjN;)@tCjP}*8g0kBa3Pd3bK1(J4-jqW#Ar{%uFJ*h}%bco2Zi_5Gk z?d{uhr=#6s3jzr_1T_rt)FA$#A5!q$pE%2JFK~ zr16K-v7V2*NYrW9)#zO4uOL)w8e2;!lNY}$gx!xhO=0Gjc%p|)%^f2llTVhE)c;u{dv?6RsDId*AMR*h#R7C=YQqP_Zh4t-!8f75*VoB zJt=-C2kf|_d=DiR1N))bptpdaCqhV{p(b;UryyJ#XviBsf^y(B9v z631Zb-6})#sARHXu-iqMjuz-=CUtV;nYpVF>YHw7P`XH9%_u=zv zyMExbRNGZm^#^g}hl?OC!Xo}Rp%3eI51Y~o;UXxu0MDxE(#ISWL)v-WTp*~Sj>i?% zx;_s6K4u2ln66uB#(cGl0TrF7GO+|TaRPG(kVVCGQJR_1+Rj71jwP4PhsYyMQ;&@= zxha3pIdjckrtlT9Y$|knP+bYmP67PTeLl@RXOPm~gE~Dm;id$M^B@W>#GeZEw{h`T zej5Ca(Nr+d)M)w?`|a+uY+kIMPTO5JCNE*LYtvz{ryo?CRRz#ajk$r4xtQf@s?c~K{7A#k5BK%^dVZ@}*6 z^~Qx3zMktP_j`Y6#@FM^uA}^6KeV7ii_+XgK$REm8c&mG)9(iDEZ6dJm+z^uKRqx~ zwE9aeD7iSAUjx5ngJ{(+G)a@vh#|OA>OTv;3B8NWjnCOs;)(Dh-830m94W~;wnk_3 zD7+nKw2KpYF=LGpNx9DQH`u4|IB#ONBEEQxuZF&O8uO*Hpy9jdJwSC|_wh$k;h+^29hp)w)U96%3CpDXQ~nOe?$+=FADG)eHr?Te69`nSp(AP4)N9}9=%JQ^(a zxHo-*p5BKHZ0={UUbPGz@b20`9Wi9cl8{s!J@)7GD?ac;mARIWr@g80mvoi83g!M} zDOUwag->e3G|J_qazWiG*b4HYiNmp3>IqPzj_T{v0>RNSj5phr8zuy_syVvQmAmTe zs^KZFi#4~YK(h87I!w;%BJ=|lx5rWdT2_l>v4E%t*y`4Pc0#Q7P^v}K&{pneJ~@^? zl{B--)_&)$sBrR`4``%xH;+&GJ}lqpcnKC^ej@Hea*wi`R>(u;AqFl*RpGz2OA{OF~(4{C#E;^N-V8>GDX z5N0iG37joT<+jt=w4C)HkE^R+YU*-17oN%oE*;3@fIkd?Rs?ggkr8L)XyI;tR`^Rq0VPOCp=Hj{&|vN*>4-ka{l)@>{fe`1!PkS+Lt1 zrk`A8cVoJ{S}(mi8<4>!LkQ3ybmHuRd$=sv`l+!x^VdYBJMcxGcE5PdQnZ}s2~mZg zE`9NjN>OaWgg-bDq9EbgB0Pp6R)owuC@uY1_o8`Cg7A`Xuw1HV^-dkP?Wk z$6MjNUV{yWStE0s6mY?A+Uel>#uv9%Kd(QuXOzCAmu+Fwb+!97e}dX?(sv?90a1sP z5-Jt|(vHs?mVPc?T@|e%DKWafn(az&_9E`;p?v1C7@=^e163i`($8txT^@^IU3U@E zdMoy7LMRP1$C-8Qkc$Rq_-PRm3&9#u024`r#|!3BaQD)vr?UofU~0Rw7LFgM z^n`VaRdIfPd|`C%HqBRy5$8kEz{**w8}kSFHp4i{XTSpX3wIuhMM&%y`-n%n5v(rZ z$M*DiJ9#_Gqmmw-eq>J*xdO2uH8uz@pe_)Y=i3plpM$4;f`M-Y`5S8zI?(zlk)w=h4|sX)!`Ws6a**OZ!7f*-%E9qUBCn_J z#XJxaK9z4B-}d?(`_nW5;U*Te2oNs00lIvF; z%0$m862}v_E%f~ijTh?}1>k`|!wm=?1>^w}B4@==D36Oz#Rb0*({BfV+y`M5pUA%k z+~bm$gwNa(cJYo>i&%s)5FY`nqoSeyb0OTD^mFYqX*nB7U=-dTKZ`H>JTp-u07WQn z*Tz-7fVO$8pkxn9qf$^DD5o@gb*ixfqtkDZGOT{Bmma{_iz$F{iPiYedRMAkJWtmz zT+*(T(Hy)xZu(0{9b^(J8aghh_-+9nuEs#A#B<+Bn(hF4%uU=LJ{E`Ag5i`VEeST#uaFeL**?+KQa$=< z>0mMSnmzM){EyD=a+q4)&`I7Rh5}%1Vuea!M;ozZssW+eSd#_$et$#Wz}Z-$t+XXl z4*fmDG!r`}mu>Ib$DikVgoht;NYU2zsg zs%=-dVsHkW@$Gl*9&!KCnLdG{ZUo-~qCZx;85Pgl|6`VW(@LyWzUFs>!8~8RTbh_n zP1WZ?hW6z+83X=7k(*MFgu|r)VK2KHvAT0(tp@&!xj0vYJ!soLJGHd`Cen!9 z%q=RJf)oI~;vwu7lW)uQd26%--*hZ&zN{8oGeSe~uLeO*z$h6)_s%^;n^IK~%p=eDo6lutk@-~j! zKy#+6I+ew8uBXd^zl`S9tF}`#Y4Z@YF8&>Mwr!SyAcl`5XrBqs4%l|A`abepQUiH+ zOX^jmh4irKxfhy$UcOqrHAQ=Vb&*uu1cI95=wls7DQa930Tn;UOenhDai%>nMo1n%?sPEt&MUQ6Y2e_GGe|*vbkvsMG@=1!T@yzKhJ)(WfW?gprisBPjS z^oQlf%A`q4$&NHmO-~2yYwU?X9!cpe+>mvqUGkd9Tjar8am*Heqw2lz5J<6>(ZFP02v4 z!;CC2uo`RBq~#_y`OQ{5s_K*I#BxK#MNnW^NplrIj>g}VRE~0yjtspx7%B_(C0sl6 z5{r76JZ@uTUldYmHh|f=AaQeg^1|C2SKiB(j6Qfa?tX0vsa)i?gNEZv8Y>bVt7`}@ zd3t=A-F2$3b1Ves!V@j1^H!50)Q(iB#?XI!JHTizDz*W%h`11|FJQN7HN@M0XVBz| zo~IK}xj=d5ZvTg{i!ZxQhna24&Av{*iS6&$L357wYYuN$Mw2hDTPdVK-B)gG@Nj<) zZnk@6kmHbY>C~Iv<}ZTIG$?wIGq^t-RL-`Ao9jOv;A+H6)Fg!G=A=htiEO&@BOJ9P z``X*KFX+-SXx;uHCY&+VvzAN&RPnR}Y20i@2!Y3%P}NFoXDmkLW??{zMvBpJ=tRz@ z$-1%1-sHCk?z;|gqW9i*daks@r;=|{qkZRs2Y8NttA26k0#soX>Xb*kJtQTe@^D@m zg0yslIH2M+d+1Dlp6*Qbx_rj@eIzvhmU^2RmU04PqGlwv&nxO?;}*1=UXciXHEA-M0$}$0_-_6* z65d+M72vo%;pJyzi5Yq@l71xy>^wLfIwbJ9+aYf1s>BaPsiEEIP~OlVFKh*Ix{;|J z-s^`)3UKU1!@2w(t}!<6!v5zytK5;=?5%W6BD#*zD|E!aE;+yX0KZJ9mm zhIT*F$$2t=%&=W7^)*23l{dSrNZBnjT^7T+X7-@5fs%DzxuhG9jP*q307^;DwDUUz zvFbJfuMSwnU;w0@M>4pWC^vGz#$ao$=HLB1#e`YG$3G-(!A#`KTSFhWZ6)F+1@Owv zavDOsP6)@TnYQP(!GQr$kg~@&N?jxhPL;#8L}VW)jF%4F`^V0@T`xFnSCcFZ8?P>+ z0M_}D(*c$#WR5i9L<&Ig1qE;<=@vmaq_Q&U1w~S95Q?1GW0Mzwku-{Y{_2UQK^h7R z|FhCGOtXL38fdfIkT2GWE_* z*xL@O7+^b1aDq`j)mAU^77*N1lif5nIQ^2Da)nWvNR2gh;Y`4w8~M@*S$N|R#G-bg zAI~7c*NtO)V`mC_-1W1(+>WX7xPUM9w8#6%$4{?DN>4ZdQ$Vc0wJHH4$rm;R1qUU~ z#074)t4UP7yzuFwU93%`>FKEondaq>Nk$^?)PdT~J*(EcYE za6$z6YS@~)IHYFd|Bfo5++)6)POAS9`rkd-|?y4k=pr@_SZ-(Dc2@YspU@F*L z0HU4m*QJ4ru!?tyhszYeK)e~o(pOQKWDmRdYoOQz zY^3=+Q+atKiyWkg1Xm%EqV6qGoE(R`)kuH=vozZCHn5dgpd~$NBuug(J7&a;4p04J zx%RRecy<5C1P_K(o!@;ZXmJaz8v35*e2+A~5iY!KKmnAS_9WZjiSPr&vACH>UvViO z`IbGG$)IC^+>Zp;Adzfmgfu=;DdC|JwWENZB|l&&h{W<>R+bdAm`J8V(8PRcNe?sr zH^u_%MB(FBbnGP9v?48;i%zGF{e-vi(xeDzJ2*?A#)JHY$*P%D#hkX~PX0&%7$Xj; z(r4=Vjqw2A8Jft{q_X3rtmAMh_53yg&81S$6e{&}m7IX~B1+mC@lqi-}J@ zutilDsat@yidR~DW6W`m0$?Do<1?76zM&kVP8Nh8x_=7&$s@cS6Eiyads6d0XkOAuE;3^xSR)1*rb22%iQzNXdxPJet`^YDOGZIAuD&m8O8&$f)Gh4Jms=D-@*vC`hAzE~b*MB$Rf@G)%>Nq7 z40ufJ?e$%CZ5)4R+kd+LVhMfPrPhQ+L}Ex$2k}xQ2+g-}Jw=)V-~_VxOvA=phiP{H zcbC`yT4D!bL^8=dbe{301Z#eNzcKgVn1jhQ!8Y(c^>?qm{&TkUXS8WezJHU+Ry$af+UAv=+uCMVyV+{0VR zIZ`FPv$UoR$L70ZKB6_Df6hF5cCt)=#`aydrD|ow>rXs9w9!xb87q+{($U?u7M8b z-6(*s$#t$P(DM|)WzTR5z?K_zbj>aIpM}EzGabb8&->aE*G2`c{#(aI)FAqgTi;$3 zFPHwU+-^YTve7{j+ri)qU#eS{S36clG_0R7381sj z8;sR=l#$t60yezM6!>y%eA+@T_?%?dWkV5K(sRTV1YcOGq@6gj{f_go1`P!O7WJ{R zJ#H*AWOkIDf~#pn(|h;-wV3Zn945D|9?I`iA|9DHl=zNPPvk zomiNip~Z=FgX&=DA_MnXca7Db1D%}#1;xpWd+ zN{>g(EeGARhQ$0hpVEw4vU!gqU2VWOsJ|5=L|X6@9$Pn+FM44kHAjsv^nb;db4FR? zZ=PP#YeD?wO2b-N78mSA^bU22RquvK8%Wg(hNo2ZEvr)f=#9t6mZ<)>(5%103iF8* zQD030tyUt4rjk`~JG1-Iw-P&#)>UCMMG7x^J+joEhbM%=u{l*$cIBaDjpNSklbJTf zA=^@ZJ0n{!A`w8^LhSV!9TpC*;X&?+-lBBQura-jSu|NyQ|x zDH%R6^tm|_lAk{Dx~jGR?TXfv_pTxm;OL6hjtHlbHEc>@{uXBW61>zNJfKdE|=+$$-15?H1HTo%Pk7|Yy{gT zHSfNA5BWPVWsDYAlF5eYS;XsnU`K`D2sMrOwlpVs?mVZg@k&6V*vyIE58=nA<_3fA zX*-IHYT!uP!}7BVrN^cPF76!w=sJDx^hqc#{OnM{(@5G6=V6_K`Gw@u*bQtM^e%FG zS5w3KI{5%NPL-f|w9Ilib^MUS!eO&sPbaFSd8`VbY#^A#L_pU;BjI1-4yekrlndtY zWoY{nbu!Rk7}8c5XKqx5#EtzZ5Mrw#RC({+-I6)kKTtLieI8Q|RzyD$gno%y6`n*l z*e~>og7}HN_Tng_=@7Qfo~(_rM~A6y{HLcjM9r=KC}o4P4;&y^2%6i=m6H0V^&=kc zqF0{`g-*@5SB=)2-kbcBll{p3gH$vBV>a9WSQo-UqCvDoWt?Is1#q@WXyb6qta_H! ztE6=6Ygk51pj0M%d0(w%RhX>8hua%&==}eoZ`dP(^n9Q1qHQd$67oP`CAm+?l`njRJgDQFpk{3%4 zRCRXDJ}(4HZCBsL6j(2Pxko>D=5)yPKhCy0(>@FB1Jdalv7UvkZ&TS%!T<%p(vMZ= zY;DzlH%uzrtR^8#UwjQy)5D=!D1eFCW~=J(%6LeNZ=Yhk-^;I-l{|_pWmA0mseOCg zH4lU*TopMo-B@* zbiRe9X#QJ2y=_0t7a`DMKLlk7Wkt@j4ARoun~$U zyjk01#XlA=vG81btC4Xwb$%xTgZ5PG@pCEZl&dOc zJl61eq1oR0by4`@DnApLcx6PzWWkG-AU>xUO?=4q`apMJM|!JtO9**v?X7*Ykh#xp z#;)+4Q2%@STNf{l!hRS$nKl>VsznfRWjI4^o;lW+WH~| z{B_t1<6!FaJp^+AsM$dE83tTXGuUr=*Q)fp8L{zynYLEMMEva#YkoYVq0Cht;U>F~6-R zx`aP{@RWa3!s2S^yR?)LmVP}we!*+}*cxlp4&!wpb2WATn2a|QaBa{1Hed%c=zuw;oT6za=1!uR-k_2|NoVt@)W0ejvvI-z?vHxy!C~bK<6& z08XkXSj9w7`r~EG%a)7v)lwTK;T=DqodDWxKFCD%WS`6wTF%&Gw+C z%@_pvV%A&&mH#mO?k9yz!*J+1HBn2bHRMg`c{RrBbg!*G<=$6UK59EVC>*}ECgpqG zN#yh&>UvmPwc|XD@e#(Fx9+@2=fzdUx=C2I{}?>buLvxjc8!&f-;TmtW>r*i=gy(h z<2(*mCP2ab6@gK`Z5e{p5X&-v)xAvtymodXH*KQ`7$BA!7mOgRXF-3*g|T01@)SU1 z&zO6If41fNQBF<-rg7Ir(q8R#wVU^)#)N6%WL>yJ?&*4E1*yc3?!HkDYX>1W@s$m@ z>6y$-BR>bv;sTvwAGGDreUsGn=lieZ9BU@7>5HW6W!=2$KmN$+F+CDN4P@cZW_TYa z1lHAUfH1}McxS?89k$4qz=K0S3ZT=iITL{&GK;WP?u-E|xAkaeGCoe}uD#KtFi~Zs zn7Z`MyK||Ht03{qpD+(=aOh}CC|^`tkZDaB?p}kEzLjmig9^-^S)$zazTNKpUb=3K zLOj=Foo5V}!&Kj$NIr$ctVIEwIuQUZwQG@Eg1kTi&tE;aBjIf15hY9tJ`Hnl@S%0q z$}lwXp=nMv{J&l{7nWo8!d=jdlh{*jKPe72X+yJYd`l(sXwty|Ha zay#-KeJ!lITX#@zA89n8L%z|^!j+%h0toyjeO6;gppT3qpFYt#{Z>C#!?(Ki-pzhu zhz<1*ppbh4RVl~zvh80hm8MHRO4(Y9@dWYNG(gbI0emm^${k~&tQQ=s(VAhgeL<)w z)OeVqXZoY zs^}Mm3%$K6?j^F8WpY>G{b{E9VJ|hO5~$ojlZs2|h+sns*<$FCl`8$3<)q8q+_%v43r}ULyI)qnz4PToiqo-! ztCiJcf+5WyKX zXER0t2xO3{UU2sZ_BR!~4o#nYQ!0gR+`*`31Uy_gy&7kK%0^nRHiShMJw>Z>%B$jI z9Lvz%j`MN7QZ&;tf6lh3@AhE4@O8LfFRv4>$wv&9W{h5{Zu+pV?3TxhCrH{58&gGe z_01E4U+hj>e5Zpp({4Zrj)+%~eMvSV^NH9i*(S2)-dqsm1+X0j|D}gzL7sQ`I9Mz% zh$zPFE%;hKIFsRtqsCA~F(IzYJyiu>ezC3SrH}c~%PRTDvSBg}s`Hq0EkTlbQPA5? zf{5Jl+^)xOo)|lcO(p3`=!qmzKW?kD9E5v^b1T)si?gT4`||@pCLgx_rqBNFdW!t; zYu!&$>1vlm+j5D=`_RKrc7iXKQ`hU})P#sygJi+=XV%slQh7zLKBN@=LCiDH1Lkr@ zjysG10F8@?9j6@2_74p?nib#~qyd{XArU7H#*QonMW*DdMXMs)9s~~baD5Jvt!pyW z5V&2MRkTP&8L2H+ov&5=ajvs%rv?S|9}GVyX|7Bf8cB7Gy47olw|g?MG;{x1ddyPp z`L@stt=9Zc3ikgW*!{1b1vL?Z1W^Zj7Bwf0acg8$(QuM{pHg+2d*ec$P11$W+J0tN z@3K}Veu-meeX(y$FFpr5P4JrU58wBqA!zow%h{Y76<5B?la{ENX)abI(_smh&=Y%S zW6Jg4g^2$3(7%I1DS#v%93Q#85klqc%cWO69zG<*3zW7>M;yMh(0JnI#q$QL#Z{sm zY4^bWTl5uM(r|p=tEz6T8?szl8z|r~su})f<3gqy-{=ip6LOTa@a^*}Fq(rG1ea_= z@Ej}_lkq)uE9Qth^Atld@}p*8`I)WHubwuxJtZHmq^pEqZll?keoYjc-=Ph7(bJO7 zRc-*%9~ydkBiB$?|K?2@iR~?YL3O`C6<|D;o_|DR>=v zF{?edr+^`%H>O{t{2L!J$3?`^F8`@d(U()b>OK62mBuvIPVs7jgqsUjK9nF9Rj*4L z@?C2nC?@O1*u+I7{w}(9y*H2k?K8KEuVE@8?QdZzh@%wLl( zk&id*)T=KPvkl35W7poUQ=3~)rYUjs@rnoWnQKY^WFoMXD z+wbonEc>B$6nPn+^Fc#`HRp48?Il_~>U~lk0LT~cuzj|oI@$v&UJ8L;#3@A0dtKZx zc2V-I4fJ-$8~OXmR99PaPMUt+kWV%#v&;Z+Dtge%4+T7!otI9K53V<2gr32vWo21x zn%D8ywakv|I+m}Gc{%th{PcTWDK#S$Klmfy96%PxhPV@s*j z*2w^-hot~wcE~J*RCO#YdZ&1QQ}V^`!t|Cw`*K%hc%QzFncK%Cy)N|~fbrca9Tx|j z)7GYwQ*l5#1u!=jUEIKv;d)r7y7PuS;E8ANc@luX**lQ~;qu)e?KTr98>oyD|%IsD?@ zE$I=|QwX0mQ~)=c<0V*0zWuJfZU&X(9A)c2;AOA0R6XhAMqA0SooUvCMYG*t@tuPN&rB&IS@ipdA@cRj8LqgKvvWv5(2+A9_SX@YHm7M#A9;Rk~-oT)3=k%yg4Nh@i(lRxC@|CC<_6#Hk57uU0k zU{2Z|05Ok(5)$n`hYVePcUesMa1b~T!kBK>J92M9*VzoSgW#^Q52~Kz*#OnF-XLmD za`tDy&UjJuaYSM;xR@%pLA6#~Q)s z;b)Z-LbdT3EH#y>!r!KK?xdQ{oB{=l`q*0U)vFc`og|Q=y+v7kfCqywu8~JX6#7WW z7fsMF;K{v21WFMJ4t6|#s=xZP*T*+8y#S-m-G2cc7n`Ah7b6i?QL>m zkE^Yc{twT@{U)u|#w-O|egw`)W8t{3yUK~4leu(HVGIX?`_`n2LvRD?AU2`Vx#8Gp zV$we)F5%Mw7mZ-f`L3ao=r0`0AS~N9A}Ad#URt3Y-8luB6GT{rKREWn`;9^y0*y9F zLk}lIs<#I0dyZo_*VS1oVwTWtW{=MdbPSN?tlE7{a5sPruzBE&+P)>JVynz!7gy=m z$Bl9yKZc?X;A5Z}IlxPztYFZRDi8l7op{xebXzk0B6w3gU>P}nnA z^}h>Ge=O0i%>KnNKHIFShFkm&J8@`kX00vuPWN*FrdMYz3KK6DE7-S2*U7CGeUVX5Yc1wNf# zUKFUX4aVhR5ZF8w|N5Rz{MmvpPSiL4FXiXA2HO3WOLsaoh_pnv4%k0Z^DxidF%nge z>g<-Ll?@v49cya1v_b?)e4})+wItbjI92;>? z#iT#KxVu-gSbAsxxH9Z7WZ&CN#(`c3kz8|;0$qdK%=a0Z7o86T4?H(9srJ)U)B0xk zY4dYIaY)6n)FRdM&z^XGri!`k{ZC&wDk%T}b(AdTw)gD34|TC@M#bky)j!{<*(x9) z_k@nUl0H&RI_Sul&dhr%)w#PD(0Qm!7@XAhF}r`Ceg{aWxUMX?@eUjCa z3O}oRC;$s`qp$jTi4*h7jE;m3_jiLV@MUc)DAu|Nl~gv+B;p`&tOE07v~)=<{i=#h84=JQ=RXAp(%rf z1%>=Sti5?WlyBcZd`hS+lVppGvXwPk$}&R|LX>?kWM3o3I+i3`BZUwn5)rbKW$bHZ z3)%Nnwi)YSmftzP_x;@0bwBs_zJAy1dH$G}7iP}$I6lk!{r((toX5x6{?L7hRFc=A z>asgiu3FvO(ca<4mqxtFJ=!;#AF`SRM8h%4Vf<5xEzI@@1m1bJf}yTx&oqn-(ForK=;_CQ6n z>!J4b&8?_TYiArPma;|j$@1)IM!5$;V2C)m49B8ecq*ti4E~MpLjR{1D~@jU5W76D zi8r@bgyW?4G>203MvUn1zIWPscK5MD7WFvPBn>5Er%N!mu%dqgqT)69@ z4H+oxOAhvmi@}w!?~?&+W5_xG3FJa++qDVw`q)7~4GM1zXCni@KF)yBI8PhYkgPv3 zY!x%{RsdEXpQ3}$^YfDbb518q(mSI`bB_qu@hv@EB(|juKKLfx5FGzCP^oVs zq)$Ak-p#}Mb|SoL)xtlRt_VOpfkI%CI;U=jh)u`OawBbjqY3uULU@8~l1x$Uy#r4l z9$l2ckJ?|b8gkg}D{BX1e<1E>;^51G2AFe&w$*7;zZEC|1@Z{B4wTnfwPb}-_nj1ocs1h z^0obe?QhSUDdDE$1zz#&mHM3drQhSysMTO;G7vXf;*4?Kd_V!x&bIT?qhHJ_{XrA- z*7bG_y|E8fbRuyR9vIkzVO<;8Bt%j^080zbcd>J$9h?_6;b2I|Hy!nsAOmahfp!?T zO*G8vO0W|c=5CvOohk7-ql2M}cOX${j`z`aaCV7X-V|vd4OV`|vNS27A(E5Pu|ehy zJO(8kWFQ(esQxHXComX20sEF7RPQ|stJJ@JXg^WZXiW&xS{aq0TBO*8rTZeXWwK%a z1Qc|=0;MGpg-5FhS(<*_d%Eu$!vTDsR}N|fG}fit*^`@ zIs-<9Xg52U4Ko=g%M_1sBZr^?Pgk1Y9J6U7lA!rwqqQAK{09!ywlL&(N|V275Q+~i ztp99P5`&EjoT09VcR(3If-%YOY}#c(E}-X@J1!+$!Pf>n>Y{8!fJcW?e7TcRg5a7b zrDRY4k-%jJGP|*KIn)enV+56Q6ws1+JeVs7qxDn7@*c}+E5)t@hhkjJ98BElfTsxC z+cxeIzd?)6vM|w3sb(%UjyZ;rK4uuoM;zMDVzMT&c6LAyL{cz#Axa9UQLLb^!kst2 z^lSQUDF`TjoZtXt*M-)8kbz`mk#RZ51-uhW3pNX&j)R~TFXIY=6=s*C2s-l(2OH5l z(Z#N!uC5C=KM#BGW}3XPjdN2I;G2;ql-_!qQN_EEkN3mwSyqlnz%CMQ)JN&zinB6R zWrVFpwJQ`XOnz)MGe<;-zN5=%@}s)x)%h)m3D1jRoJ}_yu9U^`H1_#>FfNvI*p`P4%BwQ zmzrIsR$5@(`)F)UqsV^E)NVXio-Q71wiob!EtdbC*Z*r= z>?ctB^Lf}6yd=>Y$fGGj8l!HcR3aZTf0E}7L zEQlx;^1NPcj_5u*=g}TLVrl=_HfM$ExSmVGO}Q(=H6|t5cT?YTFlBu`G1hQ#A&Aif z7d3BKTsJR+M_r9N&U0aO`-kXSMI9$!%#7Bsxa(W4pYc<#J5Qwu)Y@nO_aSaL<8Ysn zXE0VIBjPzt^5DJ1Te$LerFz`eUwPpMt!grNsQEt7Xml0Z&Z0wi`l5JFrMJpwRw=6a zJa)6$HUE8|ZBzRolCbA$$tNI3EzXf|Xd}f;H4aGGf}9q;m;^uKw9q2}{iY1x>YNS( zvy-{T5jp2JO(-ZrgCJAON5R30*8eDc7WN>vS4ljZpjY9t5m2!P238etie%U6+X&5K3b~D6N$QQP5CFbq(z@ zB)zn}#`wG;DB6*_>pg{d`59nh({IX3K>X~RzfZZS{R$aiA}O}#SZZbkS-y5Yl^VMy z56f6wH{2GErEb;AF+`XGz!U)8!14+yd65|j{^rUGGO5{fnKZQ zf?2Ds+%+Rsul9o#RjJpT13kJZ69nDD`K5wyGi)0M$zEYOztJu2AZl0YvV+FC=RpQy zYXh!ITJIls%D}9}2du~M_N{J%i5%1bBC684qKV*gX*UX4aqq0O1BlN-AR%pbLn-j~ z61oMVB$Uq8>i{Zd(@v{89RL9eau9Y^d2qN#+k_tYBIG@ig^CO)2LGy|IE#b-HAn^u zXRF{KfVaXaW7}BE)cv}&5a83d7b&(p0qcZqNbs0DaDJ0i=8+=)M^>HBX#_rG}cSbRM7sb{lT3AGF^2+(^%rj>y!hk6XRxg$^tkaS?9@xqb&yh5%J`x&U}dHiwyZo6Ud@#q)X7Wdbe|D% zBp&FYu_-wWU@Y+lOXE!_WMe7U3W*wRq#D{uH!liVu)+-QT;JiUt9$(*I@4)Twx#n} zws674e83?tQL{v~^3dVcL{Pnd;^RKcWf_&-KBI!LC-=hlYrba698=D#Bn6995H(3uE0E??$ z+a(^fnYc|YkC8pyUMzu+;Fz+8c8q{oJ^HcoTdi*b{Yu#6g~MEJtdl8Wa4qpL<`faKE2S3!T3S9_?2)9RFU zllv#U{wgg0Yf%N%T)c-48D1y}g^mC+;N^Jio#Z44qL!#@4y(I_HRw$GbWuH6Q-G#{ zS-e@}`LJPl;m~1sYUZGxm^q{56~ARz{hvxnT>Ei{)GMmD=ei1`q5eA^!ndEpxh}?BF%qu)9C-}S6bB8*e-?qREcK) zL} z+bzydd<(l+`Q-*1sRi3fRqeIxT)!N%`&yhs$1^CE$-);pX&rzoIdT2v?o)hB`zd5w zR4ccf_0D)T<$3LY!*D!|hnj3qdKIh{t=CIpo$Yjk@6Q#(tAi0XS*{-Yu1c_^ zMRY}2XUmQfavu4gUD75ct6uq^VaV|XBN_-3ue6LMg*VUvY z!6KUC<~F4HI}sGShku)ke8<B=Dkx zm}ew08Qi{mkj0~{^-hB3_P3oCGqqNFC#3fU%0|;)bc9`Gz$Pj}2h%pg_WFYbz6Kj` zRL4;kuBe9jYf-z&gE|!#?P1K{rRd$7Nc|Pd*JL+_XGhm!vZ$ zjoIy%+^Mgzb>RgA_AxIq-ha6x{VAMI5uun$>vLW|k&bZCy`g+QDjc(@(~n$f86^X1 zVm`xP@FJv}Q*@+yG355WQJsGs3Y>?!WT3k;$b<}(>ROV4!IOm}3!jI5B@Rw)LpOgQ zBmITe;AB8>n9|->>96*-_Rp8;LW6U&XN&pE#V&8&Rq##I1+AVl6LJpSFNrs~ z?;``O1AtqfhhaaWRz=WaHCyThhj(R1J}ft6&R#SnbxQ^dUF77`onA(j8Co!)Ww;+H*i^a~b9_YB zCWPP=Al5|&BkLT${iwSWJmtgwDE&I7xn5~4sQn|KOP5duTHwOx;hQ-rlwA5}6p=1K8E5(vp>8F(UrMP=Cq6nM10TtDZyWNnNLI)KK)WZ$Gj-^jIqg|g7 zBfZP+C=UE-{H_c7p=zx3ZZs1-;QBt-c(GDza2elXcZ+5RUBTgiQY)t$>LqVm)TiY| zj{u-&*!{7zbJ%KGvegs6@O{)#=JrVcL#mN+`$%KD}Cs5>z3*aQlJm zv)e25OJQ&WogZ;&GJ(=Ak!#AiP8WGT=2mLf$T19%wk~Z($JwVK_4=$)m_x=!7KVest{1nFPt`PfQbRe}E42g3nZLC}ToU4PZmo$L1Zk9Lh&>pkAM2XR%-|FL_?O1kM`;xD1 zf&MR6^KAL)z1aP28uXI-IM>>feJNxi_x%7ONPQ{bM<0*@6^l7Cu#~^MTf&VmlwHk~ z$IDb-ZD9oqi>i>DVUz{(K$8Uy*0n(kx$y@u?KgA_)DzQ`J*6GG+=O%bTzLe;q0Jwi zgxK-Uw^@*Ye{pErzkHRvhMmH{A8LV8!{_)$)40U=bm~V1GynKMaePty!AJ8t-7mU( z2<|t=LpxZy)#mpTLHgCTNOtR1rKnb-pMg0PQhclT{daMJ*n5pe!0oSzu1!;CA84ma z<4(|3+JTx!Y7JE_#0~ynZV{NBx_!NyV^@v!WdeGkv!gsTrI z^U#xllX7b;%h8xQ%U_C@Es!m`a^C2Jw|t$UwH|FTl4wFwAlT;O$#*TAdY+_*soTGBj7hQ?@uZW%E<p7$6R!gk7!YW_D{A3JtfQblNeooWCxhTP<5|PJ zSXq}H@WWAR*uAJ77ElcB89)sYQ7UHJ=QlN;~HKkhMWsD62yV`LlZEOCrrGfEP~ z!{^XV9|)#}Cf9KxxpS6*rZe7oF6>qEYLOjp^FnqXuzo-D$Eer6Poi5SWLt#xdKRP_ z6^(IxDX(*6(S5@dDE8%7!cFMG@x!}9;D1sOXcFsmxMGzM>6U+O7awJ$%j0s*Q0gD} z>Ne=91$@N3LXp(ZZ>=V%)@AEqqeJbBnzM4J|2X+pXHPGNzn@yNo8f%8PRDX6p#B~& zx9eJlpPRRIXgjx?=l$Mt(z+_A=#P9>lAF80_$Tw}$!>;6SJag68k9B(y;SB|@}Gx} z3;t$?gVq!&(^w<}FEVZSIIhAMS!Y;9NrJ>|`^b5)#`4RGZ#{|y50b&K3DQwX;$Fj$ zk2M4lLIw_K z&)D=C-&Ul}{}ilBx?jtZTkwU?MDTHpDZT&g)f|6@ccI=J^XPGb7bzsxkqFQlxBM~t z`kL%9aL3mR8f1VHOpesxU+a*Qf*+kR6~-;`3Ob*^yU7|4!+IShZktZ%UfIkXSIF1u zD&4<(GA9i#mg{3=Kh8AU!Hi&|!wp2W>-g*g+saVMb~(bl_wd7Q81wThCkx2{ zwjXoq!qB?M&UuGb`)_|-u3vDp_iy{u%WcBk5w}+RGuqhkx*s!Kf^N8{$$#XA zj78`^=g$Rd==RAh1ejnfsYj@he}oz~y2N9_D;O+Yn=Vt3=1_^OHN)5C2~!JE8t}7i zpVa*5KWryXBi=Q)im!d7K1S!K%yViQyttViXzuBVOJpuxGyote-) znyhtsmmMXSykCJo!ZG7t1Bqv;X3HrJOBIcUIw9u}9`~^5 zXCa)wv0!q026XX^hbviIH zUO#vaJ%W_Clop$cle4y@Fxd?mJ-Sd+1PB2Y5#8XV{Ijb2_?Ag${G(wIo( z+f0SFz3NfEDNOhg;VW!j=UC?|rici%E;W4+l zSStKPyMH1vL^Z*dV@GyJHfRjnN4g`upiA_|j*?z{wbtza?;Fhi|9H_oCk?P)?At}+ z(-Fpqh}Lu8U!T7-arur&5|#{r%FI!t;{4T1Aw0jNI+FVfFa-Xg;@Q)H7cr?LSR{*> zi&>lu5hepaJ`^K=A0Pe`!VM;IpcWY@F@bMWDf1{D8PmoDbCf$Blvt)fH!J!X(lOoO z#SxDF)YwFJ^jT|CS?&G|(*>9R()tH1ZeA+Fc6@cGskhSmTY; zP7%{o1>xXe-gNv%?bCSemE(;u6gHFthns330e<@UgCq<{Gzy^EjTXN3~0R45ekbnmowym^xo}hY^e?F%UucKR_u=rA}nnLTDM;wD2g5!#Sl2jm_Sku)IGp_6;Zap|GO=kF?31 zeD$=#_vWu8t9xeL@2Pb1$l^9SNRs%W>>yQKbxR4Cb0!aVslM?9HaR-*y_Jp!m(_|{ zQRMX7fNUW>OWwMwP&vKh-EX9iQSFJLC`MO!;Bk~wLDHbBT6C`*elaJ7S?!2gfp)W< zAp>k+-2SKrbQA<sX(;}61yL!g^$g2uoG z{m+TsYS&LS^rAsm=$x#P*`bQ?xzVIg>UMc0ZT+putKU(NoW0L4s8Id6LI%S)g}9O z^$m8C846qY(n7KZtO*&|R>9K5Vp4JwZI8UdGCyo6v#q_W zNmLq@JYfy@xw@|8-ENY<;C*rZlK$9dQj);G^*uh4JV^Xq`}xVf$y8fR$O1BwJ;k%K zj;b!7M7zWDy;{yUucxic>ms`TQhi;8i!Z$t%Zq`i{Hzm|A~kn1d}!Bgkh31^Xy>%? zbt<>h`;DL|qYelb7Q4OK|6hmL>*%=oJBnq~`W(g*R0l&j9sEv>w=u1sMN%Up*{VIC zc={nff|miUX|7PGRvB$(cl^@qr|gmSe!d7P$n^EY*1B_mhWH$d1xsBDv}tD2+8I}J zLL-sXKf`P)jar2=FsVQ{CyaJV?8Dc;>v(E+QF&2%xQii1pFDhSJuy3-nEzaMn`&{(rS`it(=?5~jYE$shhZBuuTfiL zF_g2|$JRZ>7%Q^0pS<#z=XphS(MqK>WKV^_NMfbQm=tk3p-=`+9JEo3mFcSWOr8qi zMpk)Ug}H{|d*vHU^pM*?-aE?{v0OSoLXZWL+Aoz@*aKsf@1FOFy;Iwa^ZIjw47`S= z{uuq}#O_<@cF8FL`OX4bukd9DRLQg%{5ZMxy!eRAIIm_pK9cgmLm;pSAxrqcz9x|? zy-e34A3DoIdE8s~_Fft`aNj0&H)Sx*_ypw1W2!_i%0F%cJ9R5HI_qyj+yy|V>@Sjr zJA-cXFf|UzI1((q1&Zp;reBJc3)jp9pI>xyjyf@^*lh4zIy0xpS8mkz>E4}-cD&mA z`%#3=fCZ9(bLPqFAsKtzqu4^fk?mJi1~#{6WEX9Qzl~U(ar@}~BCLxq23Ri94HA&=&gxoD~F7wXG`{3e4q!|N-{8@WhwiwpZa(BAJ*13 zA!7gGzy){(86cz^x1$cv?#vK*(;6}rdf{S7Boy>wC~sL^r0bl1-34|$*U)dZ-0vbR z)OAF=sC79%*!L=9-$I9D6M%#uM9j z3lgSUiRHdCR~b%2YG$TW#*0<_oCRtH?SQVM-VDPg?rZQW_la-X9K6e(tAqVC$#qd{ z&t|S3cOx+VY5cC^cQTWD%kwLNkvOz=d(;>0dTZ+?k2%9SPvK3_Lx>ZpCm6=|qbU2x zhQABgcC7QO?r|MGpl_Kho@x4ZTNGR;$|Z(djFCO&6m#~;@8 z;LoLF+k2j`?Wp~Pkzoz6SD&U@E-3qX=1``6b1(TRCy;Sc82K#VUaP<3g*eXs(>eI4 zTtJnQ_8m;CVZ;uQT*Zp#=mo*N_om!h%fZjFs`P0p6?NSyG50lAyDweReCT>5$78uk zlNa~&g#4uPuyTY=UFV8wPhy$s*8L82({$0Ap=ucu*uar~P!K>g1-&En@rKubweyzo#0@N z$BRv;#ytX8IHmZ$-SC!Tn3RNGA^qv6$uEA(E{#s7^Pt=TRoS}RGILe2 zl)$*Mog1_M-Qt^ecSJSx%685g7z|>|f{LG{YXbU@Y5JY4eM0y_1q!%^hQbb*=%l*D z^AJX12RJt6j{iOQPpc6nwZNMxzE6Li5!41XcAFCTE-?%9-UU>I*4Y@a!1?`+ijMSf z%_dao=fBvS`7d9Mm+>C6mn&zUo|A|pa3VenJ@?U?@)F%JlS7E#cQgB)*|D!@Z*Hoq z7=(lI$2~nRH$FUzERP(nK%R6xqj}fF`P_)geF$Y^8Fd-n4JX_=Pm{D%+5Rp~;@P$- z+l=v`I0xry-h-sY`?JZJbB=GRxRc!i(LS=cxRJ#F2-;6d|8&oNVOpjs?xWsBwu18Zi_ z&e@;lrW$KoH}Q#59H*zfvCs|{MEQJF`*{cBA%T901vj?A&2LK)9O7$h@3xo)tP>3` zBrUu^Af~veeE~WUa2VOK8w$wsdgII*P+&^8p$WwWk4Qt9Q)@f)mVyXHo%3sdy0FUA z5FAIcVU)+~yG$9$Cu{vqU+d~K+oSe#+HLo^F1hp~qxHLS9KHo%kKe)$^}MiVn~piy^;Gk+4y`!wp8CN8DPGZX*Vc( zxA^B!=;WqU_Ve6l)QKX$gt5Bg7Gc=TdkAVX>Mu{T-QAGUOriXQ}3Mc4P6rO;W$7 zMR@mk82%blbIB_5p__Uu4-fVuj1`xRcAI0XkyrYLo}1w`b!Mae9ed{jrn*8a*OY_s z`)86X(6nX|Tz3Q{BHEM&IA_f)$3@*4y5Rm7jsygLsD&L_HWTi#?WW#BI*rq5*n7J4 zU9c*nUTl6MI&!4oS#=6KMD}H6ds|n`d#BzWmL;Q8Z7l8OA-y5jzsnMJ+el0}ovFQO zrVN4Y-Z^Ww*30R)r$vh|I(|%;=sV$Sn0Y=iX7P;p6oOl zTpwLatbX%avO~JYS3(&oK)8m}T?Jk7Ugr+-Gju`lYct$At;vWT@h*HR`BIXk|OO{Dl91;vbvOq2szJwZ$Qx&(JVglN26Y3euDqA`PlT&o5@^(GU_qc z`C&Ib>XmCux;hm`($K2|PVW)v8s*r$SlcpO%;!IMQS|tVkA))LBG0xS9%l53lx|kp zz1ihBKS-4Y*9gV*?xXJa}Aej>4WEh0JIG4e(I<+AiYZr$DiR^|i0Uu&=YM}! zHf9Ta(LS(6f0@Cv;Lfli)E>m>qB7c4s^vn6SzfN?c)`?9JIZVva=5buZG}=MfGTL6S4vlxjMEOq;Gv@1e zItdpt`N_c2<3N66-*Er#)GN0aenO|REXRXO)4yr^JA-JR2H-H1kKB)x_lwyKfZ$aX zH77`O-{TK&5#(;&YXGV^#+1Z2{`65X0<-F<|X&$^$7x~3=C!I>-4?z zn5DQa&V)SZT(`@D2*N9@TPr!;6x#25!WXr1Lde4?13Bx8yjCp{fAI~`di~?4KREbd z{i0b7)$eHzeWD38oSG`U`PTkMP*>md`8UQ;oV3-b42p8*G=cTFd}f`SgY=kLqow_8 ziJnNq7te#KsW+6l9Vk#Sb3lhEAp5{z#mJ6{Bzl?LP(B(=G*I>8UEn6W=^zFc5$_lu8^7?*LUR2V3l z62Jd%T=;j-H?R`lE%+lHtYf@x=1vhrifI75L|6N!W3@97Qa>4hzIGL^!=YJUL2(S06d8H=U&)e=r z?R^FOuMo3I=M$BO>Wws3$iP{L=xb!4=P?vJfMnXLK2&J;=UyP4K5}+LB3GS?Y_l{k zO$Y1&ap1<(II#>Ath6$mlS*cxiXNnM5_8ix$bbW)MCc8&g>(MS%pTJ_4{%(Atj0qG z1Nt|YV2n5+q2sg2wz_^6tDZey%zwe&?GO2uGarVQPi9v%?+!A6i8xqOlEQZ`~@ahT2>8oD}p4!?Zqp^>EL#as+;$ANs) z1k$GTUX%lCJ3$X;gRpLr|JLAS^LcV4A-u|Y@{8%P=api_w~*hzm3i*Y^~FMI372__ z(_qo_U}RK#6w8W?ylf^3CcUq%ZSu7_@Va)YAf|zp)d0vkwS{4Vj+wWh8C@Hh5gyG} zm&&m5k%V*a*zV%>+nJA*9jK2V!@fE?!;f!SgfuQaDA#+d(mif zl)2np^hw=M+z8S>HG~Dz^b?DYNpIFUS?vPFt0|h8JEioAy2ILcQPN+D%jrM;(@Aa< zwIv@bTUE$VUA)j53--6ct%RlH?4OV9wa?e=N996YDh?k|v`kn=>qw}gBMD6Lh>s4sI6eDrYSQVMGj>|h_cuePI4YJ&5U3`tcIXYop8~qyT(@z(V z2IG7_t}0{xlM(@pCo-_dluH4-cAE4%I1}nb-3ZF|@}57t3Uzh2qUfbq8sN`-AE z-}DgM2~Vv71KPG}|{OP1Twu7V1JIGV4O70z}w`aQq9Rt{@WgrFAGA&C=Wj zYcFpHZh_;a`4B-77syVP>`v+|3&Q$%zs(VMvgq|KTiki!ax@r9 zrD!pABj}_1yc*mK(~|5`r|Lz;B1q**XdR4AuhU<$0gFxD>9aseif6hnwI5h#-Ceu; z$JjGN{ukq98yRStnSu?AfnG5GWSWo(e-;pfx>sKz|G1y!t)LL;0&z(B%=lE3*juRF zq&1~RyZUXNwes2d$x9?!u;L6ZTFR<=!a1}q0qOuZ3Wc-ysbt$r@VYTxNc;2YxB&u4=F|0i$)8n|PH*R>b& zcu~vu^!XC68~fbh_UXEQ_Tb;zk4+vTVm})aN1>Yojtz!~(q2=@*B>V`Sk$aV+#+kf z#6Z?l@|M(oM(2ptN&PU7crhrj!}FaAsc%U7S~rXQ@RAIu$SM_-jAhRTx04Lx^M9pD zIqls^J}n}8M}_$kZzOD3wkj$+9TEMhn!kVy^a@bUCyY!i8M`Qa_%=-m8#ar2Hwqt% zIsovtWI)|{_z%`G?Q+^I7yTpFCg^7?nA$Go(8r=8^x8=rn~27}v-0dunZE1gz5v8e6eHmrITOYeC@4tDP#_!9L4R$mF~tbEa=kWL8oy2NII(_6=Mc9Os@k&aNN zi_Jfp|AnLZcS}m-4Tg$3{=qysMHT`*e3&V>Zb^c)zOKJUmu!qNDUyqxdyLD&?c+K>AMsdokGbCdis( zt^+BOQI!nbVM|&co;AzO$X#9&d)MiI>Q3R@dF9YQ9Mr_OPJJ>|t9v~dw;=>{1x*Ij zl)Prn>@+}H5A5T;ik+fAwEGo1v3cfPycYBG&*rtaZ#<4upN->Y-a00Ay*PYNZD3?e z*RV8{E-8ZQ%q;41pJG7F5&T zzhPj~;O?h;J0na?w4%Gc)fexaGdqf6q9cUL>JkMK>$twMsF|B`x{5VZ=SL%3k~dl# zp_zk@LPKJ9&u>0_=%`nCnS(d{ipqI4z!ooUFvQY)a7JlTxc7nc38_oG&r+s8Td%o^ zkdPP104A0sy9kPy@|4xx`*G-}JnV-#r2ADc^iEG>;0Wn#5EPejND#wYVpm$Qe>?%% zuYQM2EhgKJ@AjYEefs2M_2vcIOW%wHE}E*)URweVBM!}PlL2%Wa$}wh#6!o&jR_>s z%1CyC%iNmn?j5`q))x_EJ*)flvu-p2&cLkt@mGqx5Bna9Tcmnz7b34vIr!Wm;#w`| zLlCr9lyX{TXfO}S0Eg2RL`thQq+Z~IlUX?b$mr=`yJB29mli{oBQj51<6S&WIkIT^ z@9*A4x4L`?3oaTWv7#ce%y;0WuBC6#2M;aOQ6X$0y%>lX-zY&HJJWi1{7K@qxhU20 z=F!rv8Oe#cnVkzkF>`6s&ti3DR^W|!MzbFdq8eXV4G$?3o_{2lBfj{BTaLL%o? zl(g>9;^rL>CNG)Ri$Ay-zA0;JFwN_Uf7l>^Wua4d<4J^8`^J6u9TKY6i*YWZ*tf!^xghj5*7LkDmeNjC8 zgA$5b^L_-7y1VaZcwa)xFqtq<+r6L5Yf9b1jifqTG6Rk zK?_2@&6E@5-6s19QrNaf>I`uoKg%Wv-$*=hQ5?i*)QtfK=R0VReq^rz<&=q9o5I~o z*GM!Z1JiTztgS8T za==n>e!A+wO)S*|cPd--lmz_pZWg0RrDZ7=J|eVLkTzekYuI@{YKxU-Bs#mGv#Mp% z{w7GF??aM|O$U4s+uhR&9IT-n7X^+#Qp%@(n_=AbGHg$GGdIk*8x^*vzWK3sTj{Lo z1va+XNcd)VFznT*UjdgRPg0193?ys)-p-=062?44g$*VT8@s-N?4SrMQhhfE%*L;R zHqrQFV~x$8P#rL}`x;r>_8dMeQ37(ANdd9$?kuuMmv}bG%`jv_h?;1Iy!uf=iCx`g zV#kH!gy!i>lH$PTcU)(YsUpf%}PDAXyCk zR_1aqEUh`usOng_Yl47!A(QU9(cc_L&Rcs7k^F`521cKGVn+JQP0SPTJ_^eHPkqw~ z1rhv!xggD~_;8($5L8VHucUb&om~M`M%F5%lT0P{P?d&5K9=XFu}X1_RdbHr_aMGw{(^62})Mn^JHquT)E`u&X+BnX*ihvgKzYkid7QE$~ z7>sj7*Z>*GMW8w^^H$^Xnm-6Wj#rP(>$W+I>}vSvC%f{GqUO@0v47ZRw31vxmtHW0~5+uI}b`z!&{Dh;OCx`0zTZFP6!8 z4i(uv84=IcTL(FKXr*>|D~KA;oRaL5fNmw6FPxHT>8x{K5=7y}j(wT%Is zykGB#*$>^Wcp7YmFo!(M(|$p#!5n5D`;Iq}LGR7R3--Hsb`Zvm%DoC)kdO*S^SRgGvphxd_mI_0zy_oF#w7$u@q_> z^!}1E59S}R@a3Vk<~P^`tvXQ#yh-1bZZn!nkrn6C89;++*?_DZOlPIZ$g@SUCE14_>O1fU8&vTO_-9V%PT-%79wU4ura*Q%J#%lNiRf~N zA2-gVmoBdpNn}7O6gK$}u2bKwN+JXB1TrwwP6o~qw#%`8O&**CD}3PGK8gJo4|L=s zvg++<#dVpwiw{!WY!RkaZD$bBlv+$;Pmbv(j+`J$~?9`KiKLO`bvj<)0 zA3oPH8s_Ip=DkrSE#e*iE}~hs??=!{yd-YHIO^^Ii3fqy!aL+?^C&!%N!M_|aSvQo zi67zZeqRWwKOyIkcK|=YLaNEY-!Knv6hPXy-ihu_raUiF(IriUC0Vi-X>Y(&Xdm10*~Q$|PAXfs5&`reuL zik2y!Fr6B|_@!-A^SWRttmW@byh(38*0&pE_h^P8NZfP%`he?aT9B(7b<40G&6X^k zP?jL(xxUEhb2w<6M364GJSijYGEf+~LD?Zl6utNj&ZpbYO~rlWuB^T2AN zx!5iGDH+$AC%5#!+)UVi#8B9AxL~h-JT!Rg9}JE9Cw0w20&`(Dc@hF@6sk87WZ;as z_^l53R!?jR#ULi%DZGx#pgSj)a-$W#`M;}|E(y2;d<^II@FCuI&hy3_=Ik*ft{@RP z*1H>cT0^}RLLYvX418hh93s`~(G~q;DE_m^L;OrVln?1EbE-LL#jlZpVgsrbGVphpDvBvDStSz7aLAT2Y)7+up2x0OvUEdjXVOTK zCIxQ8{|G=Cf+WIAUVw6M0{SoHUYF33Mr=obb@H57EtZm|UE?#`J@pX_m?mhLyx$WU zCm%8p#luzQUw?Pb+|RKhRz`xcquSwYX{pK6dm3XezGHhdt{;y94i5kRKAo7k*BE=u z0YB*s8E9sVA_JTsJ(x*fz|!go56`e*)ST{$nq7k7n;G=aO*3uWGXFf5Zc2@FMv9iHUy@D(sz$R2);jy*U zLKvx>3_LXZ;9f{92?Uj%F@)4-INQH{Z!6+~yO$u{dP-Pja6!d6@#l}VYQDzN7+urg zxi`y#xyG>(155~G+^pyh$_ecVOk5L`Cxmm>mPp+OKVZxVC>@Zot`a7O&+wRD+e|4| zuSD#{R2*_ipsX2nGU`3qKjD~yD(K&cp)J5-`~a&vZcfrv#O!h%eH~gjy#kKKDbg6P zBXy0Ts0iVD+W$DxZA_2uv!yUG&5G`*H*4Wi33b-T*bImo+vW>UrWpMt%knzkI!2z& zfX!rqziX1tQu%fpuO?t&zuuJ_Zq9^}%5;e*M%*%^vMWDokFYH!aSBpIub7VxYtEw) zw&gP#pj1=t91j(B`h#)(jwb^tkz`8 zt)@-eYuo{e&V!Bt5)%T}pUh{~IXho9Xd<0~p~u!$?G(cbZQT|!+$ySp1|2E3__x0> zuF)aQ9uZt`{I!0RRI-4gBzcma-jhB7RBVKzHDxy0ht?Otaz97~6l`oo)|7Ps_>&RA z581zPttYiO&Zf@MG3U>AQJq&9PCr@;Fm)AcKIP9Wf!Cw`QqZW6h5aTO^ggsMZ*Mw` zB^1IeAvc+?p9^kO2K6kwCDj8I&8u))s0na1Fr9>(Q#>H66CgV- zgs=8$;Pw5RKUMRxcCJ(OWB;~wl@ui+%)lA{3C^e184ekPP_M(c%s%875DRq{NLCP1 zbYfv+RCa95D--kC!o?%g7-9x|d}@b=MeplvB{bcvM+vJ&bmr5B%1N*WCC&avL)S%^ zkotTFK5(8Dd-5iZxh#E^VeV@5E}?ddO84-WO||>B606OxnCWUm;fm)pm2p9N> zu|PK|{EE>ev0C-14wwznf{Oq4W&XBC^lbor%kYqS7FmoY1GgH?s|;u0)IVubOUWSQKO?)c6dcYH77WBjXjb_+k?l#YNszn~^y7CHqH_pW3E4M=4 zKxsqxGLcH&zM=Y`P{)6|8f!aC24ZDXD|J~BTsKBMj(c?oH4KTl3;d{iX1RImYuL-M zH%3APY4qy;ZW9{&F-W4iR|xu}%H$hzB?$;11OLp-|FE7g8fzKy4O71P$4IiIRf2&A zDd%}kESc%7E&Ow#F_Z%4@5L}6e@o3m@rh$TV72%De+6?DzaxQ6B?1z_?9zXht{H#H zW;YQD3STil<5Mf${)-kce|Mof7|IHj0TgeMv=^i@o4axGvO_1#$e}l!z{ccpZOKgY=fjpWvN6=EI~6VupbVWn53qLqj<57Lf^5 zM^sJQ4pR01PBH8hA;>sc2%<@63;x6tkmp40l0n;84V&qXjqZk=Yp7S9OL=-#hUE+f zbOXN(;@altxHMoF^>>1sH=T0Lw3^a5 zgZ))ZplCRL z^Z!ux=J8OzZ~OQyN}GfVk;z`!DoU0NMM#=b5<+FqmdHAcs3gl+iV#DTvLyR%%9`wC zUj}1I3^SH7%=Wv+`|~`{=lMO~@9X<|{xt5nulqXB<2=sexbFMDMgfQhHrY)OX5y*V zZ2?vlrVG+Nkr4|oaIQN1{{$|awdS(Nc=tCn`0=N?e z@H&Nm?y)l=+0X0)|2O4bZDmSNLD~N)4j+0*v7LPG`SQ~4Zs%tlCx3AM$~*FZTAj=J zzt7e8a6aqW&gIIol(fE%M9Ps?p!ROLY%9a`owWeGDeVI~g$&aFbCzx_z(@4Df?0Zm z?S_#g3n%WQdQauJP*oY7?O!aLaQmxI8*|*{)G7S_Q>A(7GyCwrEo=Q&bQ(TZ`Lg`C z%LmRNGn<>3hJiR#JvbxAd1$*9sK{zO^+>B=C;dr2jHJtYXy=%Q8in(!)l0_FBPOZ} zuV&)eGKMyd^!HcZj~r6Z%l#?wI5Q%sJ?c}CMgbFgo>dQ9697Wx`zt0y$%ap!BsSA` zvQ8rgXPl~TWeDbzJ($o3lSu@PXAnh7BEg5*$pMr-AAPtDD|;A6DRv$9B$JQ{`_Lv)VN>>d-UyETd4+HcB)CbUsBV;J!b#hMXv1V!P2 zF`>hjwgBw|R285FWQbXe-HUKa`$I|`*^QnmN?iJ)1m9OJCmb`}@vHU2-QdhCddFY+ zhy`85FnBThQC*guOlXHV6Po(`KW4LOK=z}j*0MdqLbXR9C1uqk_K#bR``BMe@}2F@ z&5Sp-DGW%KX8g2cBqpPX7vJ2 z)P2B&njF}BQU5*e=zonF{;x6f!!uYS6*gRm*MW1i{r9JJD#>Pw$uzd!U$@MP1vtaNqYGIuddA3N?PZFW#ai1$!>QNONu=wEfk; z@Z=}-)COK2VtpMG^861oW%5NU?axDj@4W=ZAC|mitpbv-Zm$AnJD#dFZf0j_NHd|X zc9Wv6wL7JeNp+*Ks_znLr@kh9__Ly;;x8Z&Hp_nIZl|F4pPpSukYXgDStz(oa(V(oe2^$d5ojf$UvA z+>E^TyV~Fbh3{^ky3qZk_#%$uBj%Ngxe<4F?9CCqV1(GOdS|^q^h55WJ9VRhD`yyA z{L9H-;Zv3`vO(qS%SF|(**d{qsi%{#_@ocR9*<$pT&0h$r*U6>Y7zPU&m$QftHOGg z4$Th#V~(M6K)pR- zOm&<<<-pqdZOo)z1ONHJ?O7SD(hBhrHVB0JUX~WU3*5=Tye8i$n1$0IQDYiMmAqGO zjB{fxdjr#7^5C9B<%K?H{E^c;ZQjMqd_8a;FMjwD{&M%_?>k?Vx4nZ`S|%e(AV_e4_;Taaw0JA-5hTJ;JMqj>_eZT#EC4u!u(y%<5UL=fq5b~hR1AWpx zdZFWtrcm4|`X^izfeEE`d*)ET!e>78J6B4*mOp;;fh(IFW=tWgC;b0+<6QHocQ)3} zmD6qZ)I~!R>iOkoj86zUN8i30ZXI(k+izu@Q85ai$)6snN<}0E^nQH4x0Nqi`|55{ z5LsulYue;vxfEuuKG7y!ccWV zN_mI9fb6!xZ1H>;GwGMWne6nzp)Ja+ZgfibpL^-rN2OldmG7z>eeoDLU35&q z=N&%As4{s9j6?u5kluc0%!E+z7~a=H>-jd-?dg#_cE$PriBjT0^^e~rXIh%nCIXEe z*DmrCVzzHfMB{&1re&2tZV>0yQ~UhQoi5OF^kNTnczt*wxc>dipa0GF2kgw>^gk8F zuYB)1s>JASHN*SETlM0{UOB2p6{;*E34_^4U* zq@>|-t0Emnob;$=%RGYso$u(8DhUhM{}mN`fpI0=T<^7tob*<+upT}G<|jMxX1GD8 zA!J4v!q5zle7ky+8a6t5od-LUk%}{8y>4Vb=@-QEe@tlKDid|D0INwnn%l%f=e$8v_FjsDqa zbad4+KU3>uX#zhl_gZ+=Gx%H)YZu6yM8~U?{%44}|$rTvEgo>In_ z8kcCrFoV-pP^|5!rNfj6@T+lkcbZnFT&%9WoUs@SzT5irsD+-!(;s>Ur`0E-LO*&A zbX`^OoFs=GunlZ!Uc%6mW|983_>;wSSsztONchB#8Dp+;1f?Mj?ckB0S z$5%Cv1Ke|j$@syqRnG)(eVd4C3Q|{V3_LNQZk5+c%%3zK8F_SmL15tAw{{6tS)&sV4qa`? zOjt?Zb>Wo^Z;WhOi13rGx!~%fDqDA3b@B_%hV(1I1}d;q@9-X-rga?wjPyo8?&3W4Yli5)lUKQKn;Ib`SN> zjUl0dlFma&J|g0kx`E$Td``eebA6AeVjrqk-UwwrIQn6ib<1#?ecG`T>;nsP3ValV zDaAM+ht#J$wbe*Z|7#?KK1d1tUAlR!mXVQlC6l{^t&MmOx885Y38Z?j zx)6WEi#|hgXuy7{7J`WWOijF(%>E2RbE=`oB|r`#9bA6l-ICu*x?8J z^2Jo=k0O%iex#eXo&J9QYK#9Vk~%&J{*-4>Fmp9hjjTm%O2nU3H@C*44>f)XjVB1) z8xr_XQ7I&ydV&k{R=6j7O8@3zoLoiJY^Q0OW2!U}-fY2H_k_}GN)n05^Kmn;Nx~UB z>F>BVXzA#FS;A#!wS1=J@3hDU;fRPJP0pUT-;p|$rypp#-4A<91Z}@zzrC?=tbF@r zk8jraaZ%}KKaZd0cxkj(??p^sOduG?k0aP5C!Vk$xe}#+7O9`R06%<=ihId~xbl~j2r$DpBb3mF)7Pl!?i*`_iz`}H z;$fcz&F?=}Ji~TI-JN=R*r)fZp1Ljx*0{0jM|)#&_qgfEsQIsKEgyN&BK;3`MmHl| zKgJ~L4>t&WW$d_z)S&nVSWnCc&qLq>Mg8pkT~lxdl!a_ zYXit1Ki(bfl-jWz@4z$mEsZT+VgllPMEJ};YiNGCnzHZ9m`{HFk=}8nYVF&lb1tVw z{Piqo(r1L=FjB%=` z!u~tu0r@po2s6QAyJCiJnuflk7}TfAP!e-|I!&;YkSQ)vrR9UT`F?VxXS}<4vzt?a zHJeRIOi`yqLCb7m^%<%t#l9|8w0uB`TiEAA4^bvpR$kUr&S)kg?qjZtjnSALD)r{x zw3u&J?(C4r7vjQXQ;th+D@l3kb_~v^hatY-y@??A6>T@IJeb=$@jiP0YV=WWD7qlm zJ*#A(Bap3xr2HcAoKr0)mgJf<<#K|8y8ip2;q4K%dUwgO(X#^MyG1W}MxGTCmx+n; zShIzEF%9`IO9SD>Mb$;s0elU^;>BB6@^{QSsl0peHbJ~S_{NU*ea8wFHtvdlZA;#SloV8+Z+o)y=`5yM2z#)k85kO_ zKdOYe(71+F3G;oe$=nk6glv1=mb}Cfhq5c;cejXoJd2okj~`=n-ih-G*CM^l$LI6@ ztqgtnRhw)#<$tbe<%r%;dU4d{Lm4~tHV8X+e6L?Xd zL*7eod;}i_$(_rza@HA>QZI!sz%H89IwbXoDzSUM2Tng8P48X4tfeq=FyOt1aBl9O=b z>Jjx(J(N#M+yH&}OP$FRNj%tS6!^drnH(h`B+-4f6vvH@G#D0SfL*i0)jv`PfWQoXiS^RV~>y$a9)q%R&(~fJgRa363nV zrL(}MY$Vv0>hxcD#9Nx%@ ze|+^m$2~m_yFYx?W_r?>Pg*DaNAKyKc{~<}d5aoK+jrAU+tpqu6YmD1h{%K4gcDwP z>!W31>*&#WiD$cgcvj_i2?145{* z=JszFG2X$+%-IR(M`N! zWL3DN$FCQvFhrNF&My>ThR;q>f0#)W=@5T$#txYMHZo;*a($jT91zEP=k>mpLCy>6 zXgyEI2EvvSIgv@43tmTXS~~G>xs-klb5kNK2rJ9*sV?7+ZW{6adgHx42cDAyIY+uR ziFp;shde|w2}AOcq#H_&D7u%cDtq%*KhfjGZCyL}2kI5{33= z_g7wtaXS1q@{RufgO`FYe0Y8OFb|LB-fReB3p(|?Kt~^bWdM}OMHyt>tqED_aao|nUm*ivZ|NyKAc z9kmZ8q?Pu{%?woQe*N{JyEw;j(7^wAG9D2zO+2;+rwgDLQ-UoleK0oqG?l_iOOeP9Qj~{-&ysu8|f* z)JZ2I{wBTt!jtJ9Bvw>xBAHS0eE$h)56rlpfyl-9S`_7~x^4zilf;CApQ894X-F0H zzzegA<8D#a5ZuEP(Z8M%CPPnLyC3vDTK~t7#sD@=5k->jqW89k6g-dI+tYb_vr7(ej*YD_p2m-#~oDa6dW8gf8@3DM?<{JR_|%bsaY>a z+05h7lPgCId^HvJFRWBDp{F6)s~CPslE@d*zR8Veo@gE%$1QsO zA<1t}z1!K!t0~ObQSK%b*dhWWHIC&mq1WoZQ#nK3fxnhqoo}=|EFB}*582f|ttt%e zYNWg_z-T#W6!dSC=E_){-_)~&GB&54)tZ~ zwTw^fZXWBXb9=MXb{exo&;{r~aIHQiW&(W}d4~8F`x*%+i8sUoy#154%LjjX z6yW~)QLvNndbfi#3yS2V8=ntuN;gbFlH?lh;_=yh&X6kmX$9()j_sGNHFp(%@Ut06 z@FAK%zKPftU&}*$n?9iKl9w2T$x58o%Lo&48n;x9eOU55riN%b!qas0%8p_NKk{S` zL%2TsQ5oQECrW-pujF_|?$u{fwdLA8Y3$Oi!H0HS5aoET)nYG8n6}(Se^ZR!@exn4 zp5hPB`&4!EP;ZN`y}NphIk&t(Td!$#>OF%xDMEPc?H$b*mw%k3UEY;W5~|xGWBsQt z(9TV17Z#TPRu6ex+T(cCWim2pclwf~sCB++wUo=q<9{eH+hkb_kFg0%0N!3amix8Jg^ zX1zwet|{@Wh$_8Sb-vFJ*?#Q*nwj~^xI0mRZ+y&5TioaLvU8eq| zN^gV$4Pj}fU0V3f@$8}Xn9fxtYWM|h-eWxhy-Y~Xs(0a9b?{B6lghlXH^fUQ68Nt# zG7R2hL*4Gjnum+4^r8|S4D{R1ti?1F(SGqAJyK;xX4mt8Dep}GTwE@xYMai_yT2YL zfLKD4A30r+JpWgxAtZi+V;#QOwZq&@8#!A1Uay{Rjb6~c6C)JXG=D(`3=QjDFmU0> zYHw@^;Z?R_LQ@W}_ZT+d3B3Cc&+X}iEp_cYR~$}t9PoTcRmH5MF|}i>CKAQ3EVQdK zXRQ8dD0d|pBktrdMe@_RJ#;c?zj-Yj*hkL;J|HT$TT0pvf4z|J?D}o*n9yA&H0I_< zLZQjs%@g#UzbnKW#}6)ue`7+?>*$B>mfh|g=@ab@p=bT1VKS&9%QIBX%VIuoExVLa zZhoK3!Thilk%F}w4(#LEC(~cg3d+rCNf>>(V{}&V?){KO9RnT6M-sExNkk)xgX`)B z?v$34>bySjfpnlSruisWc6N@>j_hYaoX~AN`3c1=W1mPNr`4vw`d21I-n5(t-@Z$K zUwb4XMx;=&1uzcUj0sKu(xP5K${e_-ll#(n2WnERztFvxVI?!>kjA}_3B7zmF|G1W z$esIyniTKHZk{byBzD#XvQel+GQQpkDYA?h=s}eH^&Y_QEq(67@A2#4YRip1%T{+y zLVY?J24(e#EfR^F@yuRR1aAdr^j5ljDj){W>0=M{44yJ$wsUn6?Wu)H>0@%Ol3p$1bf{8Ywl^MnsbBHxOo<=Xo;GvNh^S0s4=0R&3WETsO;CqRd8ei`7!0r z$Ha&IS|0J@4Q2z<0w5~a)*vG$RIh@RYjIOBZNbBdF$)%0!b(lgYlw)Y*ekrK;F?)b z5w>UWUUb6JYj2>yN14Ia{rbn?QyKl`OsM6bvtw9ipWqBPy}w&rL#!Vy9*x4UmdFHl zSnU%y>;UFrJ$2EE3B}2bv3{HjUWBRZIeqKD=EAu+Lo9|k{JPi~pZ+;+^bbgh)ll=~ z!^j+wLTHU70vtfgn=n@Xt}TX}S~SF=d7Lgwtiws0mJ9!p?O;8$DaZPMW*a^UK64!d zGnmIv^w#qk*YZ6-1gR@91VMO3Bm6paFEgP%A|*Hm?xYZF1~Udaxv`-#7#^p4!q(z+ z#jb(MZcULRtXb!t?p~01jGDIYuR@K?X!%kVX%fHXYzu2k`LL+XF)JH+A*-WtgTQv3}hUzEpIhBa@-?n+r4dxX41U0i- z@ex*(Q1|1#Zf?X13WOS<@xPK16wkAuauGq3_)l!i5R1H)6-+y?fspFvc`~8z|KtU| zI7!S)wD{0(Apdw9?}`Jk`8QcC)VRM}kBic!-QGTjN#)IdpQk_Np8(#X0%+Vf&gj>> zsQa(cOe+3Qle={bgwN!ttb1c__UG^H4Q5>sYQol^wR@s980;>qJXJBQ^32Mo)!u-E zfzo2d`?b_Dl+Wv5Q7cdW4RLZHU+UY4E2GyMq3S`#(M&1AQ6Qj-DJUEk2QtJjg0>5DoiNmUxfk!fk#DJaaIdWph6P@Wr)>Veeqwi zEFELD6lfAhtDos(%Z&Ewd;R?TOV8H!l-BWJnFWK93oqW!dA`4bTj`^7*Z9m437^ z%ZrY($KMQ&z>0suy;9=3P({~^f^RuLzo&QM&yH<(wX-BsTR%Q7OaPVZj$6<^A0wD0 zlsOp+Ji-#%PcH3E*csN!v=_o^!{OzgYpdvDz0<8jDx12%5E-fIVN2~TdaM^oz(ioM z(#XE7;&6hy{QPNvjF}9w4DOuS1xd!xdtk)qsq&98xHx)JG0}khGjv#jir%&WJ3z$0 zr)eCiJ)&~oxTMeWFo1(CA78T=)-E{7CB5~t`K-!c{CK>NB<~0+Q_n+m@jWt<(a!() zs@Of?PkmuGFgVy^*G@y%9?9VXGbYTqv^Dg(_4A+4k zc%m9g#VqxhX`Kw>aX-F^DMa~zGimN|bL4R>>~R-`EeLywtQ+b2THyW zrKdAQoMk=ID41nMWm;(uw%X8NAx2^34Cs(E>vi_|)Dpah zy#!w~zeD(Mf8OAH$7n~bxT5xguiOSsd+p^>awQ2dl+Q5ioV239PJ%I_>n#T~VakCW zIA9A%Zj9zU1Te69GV@0_tH@r!#4(|86#3#K)Rv*6m0lNTdjYBiH-;Jvb7MkT`cCtw zHcJ&Y)u{PY9)R(+dAR^dO_80X$;+e2bGP2Z1m#;yrM7+F;xH&47eP5`@!}|WOm>oS=9QBx zJ?`$Or*vUW0e{Ed^Cmm|ERS~Y;sDrr^yw|fCz*(Qdhi~pMGOv<;?8mxF~xfT0QErD zZ0OdA4eO9w_&wk8qyyQq`FCv9VE?~v**y5Ch-7)`g<9k=cwg29#2wb^4i7<$YGY7& zO<)?n08>A-ob=_hnYR4e_oLTcL5ZR^ClzY$`AAZ2E)#g|TjSnKXQPUY&+V4v_q$Kk z4prtFxnAN>_&wl_$lBpjimrYn$8xUW9*s?58*EAn3Uilmu zvO`~{d?hW4ZNcKjZ{Ak}Kt7J^4#8{TLtmw*5?)M`5cPB?O&9mI2fn+lO5R=)8~!^q z(L5kPths#PwDgcxHp!u7YOTLQpYVKL6uz|f>V-+@L_|d z+Vd1^s+tdeiZe*ezun_f*Rq1#O8?)|Ss^b}@#(~?KfhVGomsgj-yQ3~KJ4kFmyEb% zhQKQ53!_@EtetZm?h&(V)o*wXh-!Y>O5l%Gu^W22kT?)r3=6Fc4O0*BPLD(x_fBHJ zm6m-gil5RGwXaIxDK1kGdiO!A>sTYy?q?g?*lP6(SL9kniu>-ZoaCL7@we=>WSe`l zhoi5pa6yakmzOtX`5JzH=dF4(5z`I@>^z)#Wy-zsIxzXIx@%iK^jL+`!(&qF-%$M; zep|Cx`9Pk)9_*nJvSz=l`mHaeA4$P2#e}0jhOA9$Ye?ER+S5m!_XO7(PumjVGZ7Vk zoC+ygR2}5?Zmd1#WzuZfM=QW14VCmynHyVqjqRV}vNTKiV|6P3ZcS>RX*oVD_G(9G z37Snmjk_y6S?^_@4Uo)IEOKFV*4!&Eex)wps1gTTfKju?YsGrtP8Awr!#)S_6HF-N zoWc=GXrtM=+0re?>VhJJeKJm%f}gz>E$`md)TuvyJ~rzj_x5Je)&ZCRrM?NZ3msK0 zn*R5w?rR05g)h0DE?zr}Dnf5YoxF2Go+oH{|F2z(1RK%cgyUVDxnslH-=>u?0jyQj z&>Fd!OapthU2$UP)ql>FrJvh0CWcNS!bX~K+)8x+DJB%=i52-YHO~6*|}N{q)`#&#bgbbU^7-IP(o{JO!ro8X>2{BJJk^8 z=@-#YChg1Ynti3+oxMkhaM#G(Lu&U8?tw}B0~%|X4Isao3fI*1l686qixbb2L?j+- zOe}KwnlyzgL>dkIIMam7BR`>UDSXLYxxCv+)ba7b9V89S%F~Lc2zJIY6wnzxq$gMJz;ACsHWSW|P(Z!Ye_sSX zUi_-%@jm^AfB6(EKUxQoJEB$z`G^$%jL5(>`C&plmyga5V;c4?gxduDJ?&7YoMW5e z81LeKD9rWRDd`H7Z0X7muXqV+R$ZR?6lXndSo|(M6?toFWLfY}!Z=Jsvb*G%z6%p7 zCcLO=^YZpeI9tEpQFST)q*(Na@|}I*_m4tb>vCesMqlSoAKqSDE?K@k+@nDFoB%AC z+O`F&S+z>EI;3$@2r+Cmg??V`M7q^1!qZc&_8`q5bJ*vWfoiat#fYI~3#PRvzb@rh zvU2hLnS$s8I8%Be15Qb3Oy#F2W>Ls%3#p=%&@7+en_tY6jrScvKUUB1I0SvTr_ZiC zccpw~=0NVD;57CnUl%d{gIqmN%mFcG^#d%2zI_>&cnFp2L3f&5<_{d&3XJ?R? zKmQAb`&yrp|B0ENr+jXQ$irxIF0X<3@t4=07e#qK6b`$iN2{qWfdW2ygHBSg-AR@% z{{5e~`OV8Ek1QHCgl2I_e+G1%@jLB}j~>kyf$;3V81Dp^NabPeVUWmb?lNQb-WW!RsSJga1LPce}@#~PmYzuonrk3L^vfE}~D4X?JEfYXfm z!@S8}W2bmxXdK=!g0VqDKk8|v5NbV~wLptTw+jz4!aQuWJwSc0u)I$7Nd98;iqB+US;is$|09YG7FhBNwn2A*?-uzp!d@_sXwvtIaF z8N>Y1_-xH1&VJ-V#AUY>JiG?Aq(D79ZMk1rm;9Xa+O>~|34NwVUWno*Gocqi6w$3M+n*$$>=MqV3kgxUv#5P|STP_aigA4_RK_}p z6!#267!fI1C{xG_NV@mKEi&>yqEZ{?TYy5QaMFrEZnQv%Y(iNfLPw|KmTx;sl@y@d zS#K?y0G<4lD|rD9{(XMhMW8Kzo-qSSMYD!={tWMthX-LcotY59Evmu%C8@orNuJ*-;@-3dxr>ed?LJ37yAF`!djfyHA~2!dQR&f(x2>s{ zdb`d+{ySGJ=w;X2zF^LgR$!actm{$4k8w60oyC0AO8Z-H7(ZarD?j^`8Dpk z?&^6Uf==8fdW1B(TGkej+u@$4*=K9d$UI%aqH~vaw+wQp4?%FTx`*LfTGc8 z)Z)EC3kk-}O_Lpl$@+8993Xjb698*d<*U!F3qf{^ZWl?;z1e(wrWlZh;yh#h@k!}M zH^SIusu|ZIACFeIA_2(?<&nbjRd(GOyigI^%`Y%gx-q}_FP8Y`$A^6i$&2uZ@pJ7X zAd6PBx@Br!^zlt{+JV0uRV(5RUm`OYrWnLVP7}%wzNw6ZP=jJ4Oi1f%czq$#mI*!g z+Fi!A`5!5S7%%&PK4&RP)70dxHqs^Gs}eP)sYuh3uaN)W=kU*-p+G^ z1Wgf=B`2}M9?qwsxOqJrJ}Lg~??>#cRS&(LE>j89uvN_4c;H=ujD^CiOSlT7ZuUfxVn!UoU!{nAp{0+Wu;nPCCOh~qqMsg$PV0vvR&Ge95RGrDLU2K^AL(4)izb0qLo=0B>9;eeBNSTu;8<@2emqDO7lK;RLvk~r z`*MoF4_W8y<)5dV!%%LE?CP{y{MTnd((RxeE#p9Hp3wv8Q0SwaGN)uLmz$JaNkBbH z=Kb_Iag!TMHD1}MSEi&^q9ZtiA9mxegNtuAp*sGyDK{lAhtu_52zr!xk6(0Ow(Rx= zFr-`)WweCouzqXE2K8ulrh;m>oUCzYkXCK&s_aj_!72GEOqZaL=bJ0Qdf4fcrv-NP zV9mz4<#Vg((BuX#>P?>vs-v6{j=^Igy@;~6w_m9p@~-L_&sO$LE+~sE?^Map_{{!; zq4-kcB<0ev%kN!P#P{cPs2N7+W8-Lk?!fgl~V3T0ibF45;h+puMKdMIOgT23D5I12YhC)N1-qbEoUsyt{syWbxbGzg>MA)(cqwmSV7Lo~rPbTu41C|(J=bMqzk~l>$)@g9{0A8;ut(^b6RPrI>ek*d*N6>h}%2WS-7&A zVlSm8yX>_M1+@I#>hPg12l&VkqGS^sg0@$y&MVkV>=<<=U>-s1f<4PEac4iB$86dK zz{fwnP*8vKUyRv_G?Z?FEmFBDSB`ff>7gJs&PfY)Uin*SdG+HBNxQE(#V7b3!HP}Pbi z{>=eCtl?5N64)m<5I5_Frh_C9s=zgO;QV??w=sunhaAB*{XVKdz60;A9gyoBKJO}2 zjh4TdIP~5Xe*tv0pn2b7#-=K&lSKlz?|ktlJGh@>D?kNpp=$0~tYHp=R7;nEE0(5- z`@>PQAP)qJ^I}8b9mTSIXXQZA=H0QXEN8$8 zZgvTFY=8tjP;Br9)NppvLyP5_2B3_D;G&GbtRh0c1pSVl^%+&Cvn!k)GpkRA6}Ebi zQ)(ZK%x%Ujc8uD)p&qpnpJN0xetZR((BJg}Xg3u`Gg;rYI)!>+wfg6VO9!s1LlMj= zk=KI$33)Yf(_;mO*!Vo;O|A#L5U(;AfEv$N>y@CifGcHW&@*;D107Fla(eg2O6q}8 zuG$9QR6Ha1c0C#x9M^^kzWbJHNJrQ%A!sk%)Rqntq9t*O?qRp+_d9>0%CQ8Kc6iYOO$)}kE}B{Yv80(i z_X_B}Yb)13-=dl=z#R8Jf4QnmuaB(qpRKtnxE*3Sjh|rZSJju3&yLYUTuri;Xrr6J zOg!_yv5PaPAq0-B=pQ3T-7?Ng2i9p^cZOINus0T!G#F^lR z{fW^qjg}#FUW*v$_GFuY9jwPhVfJ!3AFi%J#h!)Z`{joY6B15(zbZNf8&@F07^iAc zl&?=GNzD_RT}OtdabJDw=2LWI$PU%*FW5Fo8&A$GSfZb@WPyPwy@?ggCKHC)C>b{>JUHjP% z1+ZD}%Lzje6erX9cEX9ToRBe9Xabkws7Z}UhsX)Hg9?Pp6P=6NB|fOh!vlf+@`8QU zm{P|PWZvs~RAP}@`AAjm33i;c0rouZY&w0i82|}KxapY?Xf_L6F&e0bP^xjg)^-xi zsn@yq89Z%eL)D3{fg@OZ5xYOM>VQSUTvmP+bD#fp(KHUGKcAhn!^DynSN$4loi6XHzBE^AbBE=J0h^^ADp8WZxX#$S)a1FlOd zqe&igU^iaDEm~Q}{~1{t^x7P^@h4#gM@Gg||FIIPs{~Nk#8_7Ilfw;q*#yvdIBl3*`*0XfuzyKLJ$X!)u~2TBNUE?k(UHl z)XT|puI^Q}YB$v}hq04q5Q`KgD~j&p3!%}_8I|+3A61511)3xd{hvL)&>-t6dlaR%LWla-5=g3YCkWhK~Vn@r;r) z;iB8j;5FPka+lFuS@zqr=F0fIT)&%q!1=9xKg+HVLa+D)m;aR1|G~NILyrWdnXHDT zT)p3=L&lT23k*CTj?L6=qhPQ@W6rrpSGoy7(f*1pN$cW#RozEbjscRnbjh$5ps8)n z%ZmzHmds}#1AP127wr?2WV_kjsfJi0x=FD>znK=`Z`?__q@j-LMihbh`oS4j^H@x8 zMf|!1cTYp}Y#MEX7F)IONAE)?%LQkoF>Wi*NNs6nDb zR(*wAiSNoNy$tn^TAdg3Peb_Xe}hvL780ng*P}p_T{tsSireJRm zs#6CTM_k?=%svkcEjopYonI)GDK251Sc=~~ts6Bd(AgRWIzRT8CAMm%0INX__*)C4 zF`RO3J)iJX$!*akjBHf|^8Mb;CDoNe4j5e;!3j(Ssh}yB1J!+}U=-7SBYNUCp`(sOEHc)4Y@Je&p`M)csm_;g6{=pp zGgjq&{S4X<+DfYRr+@C>WN?jrN_Z@%AY?-P^U@C8es@~6FMi;J-YDCgpx}Jc7H_RL zJsV!E`@?^E@flAoqo24OEiEgyiYm=5b(4u7d2cU_{|H$n{6L9_hfQy1%xi}`NYGjU zmhks|?OqD;mk{Gf07e`R(#V8bjDP!lK!oFLzX4Kt$hB{&j2t>5S-ECK*;v6dA=ENx zqRV%ls%XeCAvZ_d;AscUp!qEV#xZ`5fbv;d@zR~4k6L>p$!P~paqpt}Bppg%9C`X~ zoUNHA-VGd8S+*$AtvSp%ne}Ns=(;~^0BgbL{#H_1+)$?rMR6UXn70Z_nPUiCBWm0V zznW;M?*V^+HqHSG=}0;~Z(B={?WhVdK(BjmhPWkH!W9I@QmW@f*4P@&L9w*0T4)DK z4WWzl#5-x?q}6wQt4s)HMR@oLK$>U|_}|`?mYs(IfM$qoBUg9wq=qcYfr4i6-c>@# zbXpbAW6e{BRubqeeZJILh4Xg4`GIAg6pcY-g1OkK9<4CzBZXu1xD4mj@aEY7+S15K zmJ(YB3{0CZ6HYA3W!Tz*;k&_Qvoiu~{>1&jitykO09*EL58>06=!hKv)WelJad-uq zctsKyJA?N^pib(ACR6i)3ALv=}1@pFFlr&G1R_Ro!9rdDq&;GV7%k za`UYH5?+Jxo2}K-M;G6o>s}5>Vq`iBzR8bgoZp9`Y!Q;;QQ(qZ^0P9L>>}8_eMu|E zZa%*dAd*8#aGLmI2dhV`X@CW0Dpxj^uK8-*^KDwBFAXl6X-I>*BEQAZ#EA~rL7c)! zZ6A>CrOTVukw6P~Bv-A2vQZ|QG(W%MMlmJZLl{u4OlUe=ubQ7UrPsrnuJvP17!j?D zV~pn%R>w_YX%ZxQDi}}+TAGs5G%kv8hTy>X~$rX694Piyu`r2Dph%}*EFd>=*FyTX0$%}BC__@xJngSr|2_2&W#dX#xzr)+$f1^RsO zVDbr;WBXR?6U%aJ<4ou=%Z|BOZl)b@sTLwl+Ua3g(4CAzO^To?ppFri>s+1BYt=_u zodiJQaSqYV;BxTzY5PuE0IKNq-)b$;VZP17i4i~2P)@$O1gtZd(Maj1W$9LQpz@qh z)zjIOsUeM1C|4hU22Z(?)grI}Oz0#1t2stZNJzs3$hwLuA#C`uB2D48alrh0Ik$P_ z$**nZzs3)+y|AybA1;98nT)*EI1JpIfu1KpY;4KieX{Y%7l9VFJJWF#cFV+^7LqxG z*8u)ai)E&j-_>?+n>Z>Hnn@L3DM+dDT_D_(ZZx!RQk4#^HqY_dxY}K!5L%k#*@JM| z*C~}D`|wP~RlP54JImb5-J?8`Ab{lO;`nCzAu60Ey^Xu5ZQ2o--M&WU&(0{o;evup z2$M}HHU%~A^4E$O^Wy``dI?n!5bJ~~Cg4_Ba!Rr2D{gAO(VlV#5dk0Ez!2lK(&#y@ zu=`ZuGD}M@VPA%g??RcHn*DH)ikiZ1nr~G|2b|A&U@qnipd*_7lFB_M)I5N^T|iOB zH@wthbzWwqv);{ml?oD5!W4gBKx?J_It=0qkWrwpX7*K*Z} z$hxh>wtG)sVHNv{RdjMCz=F#d?E<>JqrCXPZzy5KA{osr7SuXCSYY^# zsuLpNJ6Bi)M;{wv)dl<>sop!(tstFN9kY#YEu?{Ar z;2daqo-mTS*(s2tsLw*h^y+a|=l(gg-ML$rsaP4I)#b8df7qjw0da~(v}{Lo;@S4; zJ3z~bciV;Zc`ePNwZy?pJcH*Cm3wRJYh@2mb|Yq?WZKaaT}6ygvZJfvII?kQ&JBpa zijHwg^I?%V>Bi+kCphu#k978L+g)0u69aEY({UBc1WnGpl5@k%cx{^4ly!n@P-4Y<*GRWwg2G3n3F;Hhy56DBVK^;Gt5FXcxrvH=Hau#o?)w2ffoH71K_K}xM|7Z*R3-v;hNy;cT) z)U+`+y@<9SV=cj%B(We+Am{ZX168L?b}RyJY3#j}I~A)yx5H5m_)i60P%~#j;elL~ zkCWU7e6kwjEhT!8*OJ~m(XPBv7=Kbu)HEYT!XT70{f<%A@wwx#w=UJ1kc5!hZo}e} zgCto$BM1MI{BOy+DPLE~XBgFbIk0Q=@%8oXJ8=IzaL0u5QxsTRo3Ae>eDNi#te&!N zb=$NH;HDZ+S(U&>P?J|EAylO+uCy`bmenWXs~R}BvcPe#Il<-&^A^{7winApRtYy) z7HhJU#h_}+`)lY(6UL2 z603>t>xCB{2QK$~Ga1ctxr@UdGX!*7=U9`^0^vF^SdDb_M^xR(UBPbWnb5Yw5_zmR zz3DzDR2Hx_xRJ(vxzwOqpLIVJ8?*(qz4l4(xz4a#YA*vX)4LgckB8p?|5WOXnmih! zqY6)9q>w9Ibvkg0b1aKxZ|7cxwLIa-Qd+i*ux%mL&)?;jliu1#0Z>xQN4DpTDhe$PEdg$Vl(ajO%2tcO(9%XK0coo8HXekRg?vbdxiV<@nzuCr|fDeugsJt!yGw#AA_;WA~ zvupn!d+!<6RJ5*(PDB9(44{Gn5)~8`0g*0{U_nGgP(+Xx6_pMmy#_?O5J3T@g(4k9 zy3|M!P^3ujkkD%qN(iLg8P?k8?6ucAK^!s$sFTr@B5DNWz6_QUHpe^ z>IR%@;At4Tw{m@mjyT7?-y@_QS>^z`+8oh=mge0_te8H*9B=pF7jg$JEE`M(dA0yr zVX@yhsp>1etLDl0jHeU6g*xr-P}|M!YZZ@t!Q7+$+1jS-xScD>hi?;lp{@ug9HDB` z^04JvuuHxfAmx7hh?{3|NVfv?b+p2tuRdrgwW?8c-Q>xfJwIt!(>}9>`zQvI0_7^< z4wF?IV8FDuj<}n{MyM0~pgHN}Z>l3!k?U$K-i=LfD41f=MWSX;*ZenddSFN@W#84v0 z?%$?!v#+KY?m~t@Vp+Oj(X}BuzFk3^7$y~6E_Z}hwjuyzV>9Y*6a%0L{S-lv^g9VV z<_^)36JGfaonB7w^w|4irzXpg)DLyi^cDuNp8+iCW*OEF{(EPny2yr+IVk(1EeyeY zS3%ME`)mHo8+|Nu@KOXd5#5NP9Fv37P6yY0t<@(n+o+w%;6=}}LKwi8%Y)_J>>ZP( zl~g1ZtW3qr`V64Q_Rj9DdV5+jX6E#N?vA_&{9E56LIDgRailBwb!Rd3cN=FGC`Nq7(gO(J8Ii0a-5dKT9+(E z4U@fSVg1jp3!?`czwh4pGy?y}s?vAusy%+Kvwkfd*(g0*vHk*N;P^|lzq30=lTnza zl+D#My5S6f@P3Q|*fK9tnMIfE@PRtr&G0|8Rvi(qeljz`jFzBQl6stug;V4o_Qc?S ze`hYGp9AxDgWm5naqI)GbD05(Dh6Dd%Ag<#}KS z^CzKAOOvaH$-}>x1Uq8#DpNzDk*+Q8;u>;simbXw(0^WBY^4}9P>uhV**jx9t1>;B9-7JC`u>(PB50qi zV8{aw%S#!TfEkf8+P%F!sS#Qna{)4`b>0!w-jogMan$dY)jH1>Z`?Q4``Ae+xp~=K zkm#EdsraTS^&&rK#23t2w{H_}96!b&Ph0u*L3_vKS5MfM_ zQ+ZO^^0O7c*RDd|)}$rTrK}Yb6j8Ouh*w&*iSLY$6DA6s6+=2&&^gA&W;&8z+AT>l zRt~2&OxZTZ5lSywfGtN>AC3xw?hg}8fY+l^G2+-`n@6QKhL=MUYzy_;&vx{tS4){& zPw4pj%a#c{n>S?Cf4g*~J$PTu%(5u!_9*;^O@HaKaw5+t11M0YEmM%i>QE7~J4wD* z4jG2#rh1EqrMQya(-y1Ee)^XU+vl4v@_#Tkvf)E1t;CE=IVea$@kM_WxQKf6rro({ z_NGy@BQ0JAtAhb@9s0V6)yczB`On+)%xMMVCL?M%4k=t~oS4*9)-}`T&^ny2Y=YpmN^r}~P+OgN zBlV=F$DwFcFYIiEcAJ0I8|yC;7q6yu_VPS#qh5zYOCYcjQ&hNVpYj} z!97cmX(%d|ntZ(OXJt>UyLyKKOh8v2Ou$M>%G);_QIe%$d$H1c&=a)i0QS&sSH@Z-+mZ=m|<#uLn=Bu6o*Iy`9#b<{Z1)%V>6D z14MfIB%RKT#e$AwTLBqH99aMCVcf{wg2M`2&+X(`uozz0{$=yCM8y!;S*kQq)sLMR zACy|t`{cURm25hsf>56h-B!v$bsLPyfEv2pyp9%*wvv={Rn!SA7f*pKjF#R-m*yP5)6;ec|; zl}?F7~yy2lG8z9O}-O{G>%)8$Qz6~ zeOT%Ry&o#eUH^J$FGn=mm*LgppsDtHFbC=6R8Dv6e>5Jp5_rdu<@cAg+-b?KpY>1| z_8I`0eGYo&uyXJc?(f3Lc4qIPzP!KyvUIJo$wA<^56)0rNSAVyCCw%t{W63vdknGH zV30MOIXV1n^dd`{>vbf_rpRu0a5%kLfjop+@2A1XvL4r$lZ+~w9B%y`0EqzZ{J!x# zvlX8^f!ipM|F+WdPW@{;;lpxYON-UuNt(r0?Cu(T&5gH~t>~*4h_9&GY)- z>+>9-EmS2q&%R`X)|xNV<;-=IE1%d8aYeaH=WM6X%|Io#Uvu5gj+HYvW2<~R+opW- z;chs9dXV6pZN}=*w5{7YOJCg1UCsVZkwYx3ZVQm(dzbZW4AmD0Z?u2-ga&a;N7vp3 z)*-O=g%Uw!@9`J1l*0|2k{pW6NN%Ug8FwgY{;Yvcz=_h^s5*Z4Yxd7XOhXP`y^ubz zupc?zhV-BvWdI)C`>x61Y~M%@&9?Zxn4Z}?IZGD9JP@n;D16BL_|$vkY(?Rs`L6?Q zM@*qo_e_xo>A1m2^7U)XQ?rh}iDdv`kYE_0fdQE1>#^6~*EkCNH(xARXj!mkP%H|tMtqV=`PCkl!x;B?K8QSMJNfR{d};uyfn`uHc;NzRfcfcsJ*t?p?#kBr`9 z6ss6l%5CBPMY^2Ll^bHVgx;USFHRxTjJKg%Tk0YMAI9tun{Es6Fl0+wX@ZkA2mi{g zzn!z2yMs|hErsgm*|FIX-=Q0`w#*gzW0ftDcO*P;j=?EXzt6MtKdQs5F8QlD-%fC{ zmy#R;8LKIhj{$U9ZWo;=U->g}j$HPq<{;5&YJ&k>_Lc3)U1R{qx3sOym0^M&m6CFu zGB0fZ&b)-KZ!OsRlRc+F?oPHfA+Dw6Qss$y5zSsZefDm~CNk^r(v`w`C(>7;KLO;; z2E01-iD4k3CVN#n^XQG!AO+IYo8p1bowi`fe3N_0EUbeSium(pL8 zn|<+fyT1KkigMT}R^(x`iIeT`j(Mj6~$g*0_~# zKRRMT?Q-^EjE_y9vBG_(pH893>*dUqM1W*z28bitk_rfVM$M+MOFF746XfXELLMJorxa_ zZp9}SbO7%I9?-_cv$|pE6OA{YLkZDCuQ{L_(u;msb?fz}y*}4)x*>2r`Z*o%DPM1+ z5+Z-m3nU0sO^&Kpt|w>&Wz3W}UMcC=A8znbM7H~lI_&)sT~aID(r(ALWp)d;HEmqe z#sHWtoo#JSq?m=V_ z*uu)oy$gM|DB6hn*ePF5{iq&qQaRBuIFq0BTg21NreglN&ChEV-TTzLynt)mW|5)>rq|Qd49jH}2BtH}IS6=ZVr(qNCSFP0_pEj{`l;Xl_-rs(ydaUk^Y# zSK)ft}j_midfyjNuFqJRGiX-Y?us%d(h}5fc}RkE-8V zcWlxPGfWZahn=>*?;+7FID+C|`YPqJY<0HM{-+YR7_mYV8CNF*lU>qQErEiG+7#5f zd#uO~EFb-VRKgnbSh_MZE=xM^N!d5o7{I3>e5QAYPt_FUSQidIBGD|+yC8@T`2#!F zE6QsVt;tnHPxf*@6{W>u?i8b`jH}N`g|L%5AN%MN-sY`|{-miPaoTxagC*k~${}py zA%8H#IR61l!I!Lhgs{O>*0lGB%O2zpSQ>|pEKJ-k@nIuc`OG<3zYv4d1 z{4Dkp^r%tR-^-g&=Kh5UCci_u#}O=as$t4wm~q&am-3n_7}J^#f#i6qZIdhHU3GFt zP)8XO^hUi=vVg*)@9h^@>E?MoU;-H&WzKvGvh(_%`6F~8oBw@)L-T%fcj@eb2(4^h z1<4`$`n_e^2HsrOo``-BJaQfl*1F0w{!TA!`yji!>66p2%%d+%z&A_GM(L`BUb1}f z+2sj;wSP}qlEY&6PceY&<(>DF&^sU*w$fv-l_ucM?_9G~8%8h)w zptE0T?v)-h9c7~pR>*`nQMza#&sP7QON~DWu!ordTO@`Cr*}#n1DbEWx)S`ZO?G;H zUVSzZ^{}QmZbBLy;KUS5qJ*g{11QP^ww33;UvA^BfqVpGcGuS`*f!u0i$m)yw7DK_ zO!V}VTarGKx>v|VK~s5y2I=SZvF>{ycY|5U^w_~0keHjU07*qToBwYBD{oXyJk{Dh zE9ugwN()NsUWI*U;$zvD9w54hFHr-JFx1$a&=Xtdn}We_#(y5hO#dECQ)cd<09)-B zvIVLG_*a}SBKe4vFWdRHpcm}k(~?VPvxVaO>z14C>t&XrO(#II&#h`w;rCB$r^WP;7eKO1KvvxJ3GV!*G*+LFzqxVmMMXyq*KMcY z8|#X79_`=|BmcZ+8ha#fiUFhwzoQ+I_uszcm1^{!^q&@s^x0b^JAg+AIgduBvm$E*~Vew9^ z!efd=Y3N1DV2lYBbV#VEj~vnMb!&u#`3!nzH;;q>LENqC3iz%g{-veqW8=+J5HJo? zAr9fz^j>8i8F9xG=1RMN=XFVqex1YXtwQmG%jUAs7GJHGam;`i^8LNj6i+X^a5wzWQL?)wKK>^=VVTYgor5rO@jKdhd4TPo=+VfqZLAJFDnp9s9UI7P{ngMO?z@nmrUIpX~n)DG8#VZ}9< z{qgMWA3n1a&}))_$GrdW0AD{v36LQk7tAaYYNQRqX*-wdG; zQ(S2BRycUY0^R8glaVoBAUj--2kW}`+Vwu%gIhv^uRXcM9^%sZx|RWCWY_r~VE!lmG_#RUd$!F9 zJ1z@OlDvHfAWM7J6#4UXA?o9E@H02=ra~-Jtp8Mid@Kw?l!l9X|8ZctL)kL-=lX@du_pyme zb~1?)f4mFZXDijJ!>3W{=(X^;&Kb#Sl+=xO7yTtGpd!I(4#x(sbpW-J23YH?k|Ny1 zVy9mdTL&U+->XiJw>gW79Q}K&Ti^&N?7*sba5ME#1QVL>`2=?j4@S1rNZa`_I`ENn zkeUVgDN0w?>6@g{DpqHIfxx>=6^Hxg&BUvZUkB%GNI$ZwexSKGK2TvrMtnOS9b303 zHJ8-LQu%bF6|@c!udUWC&Av8+(_KTam7g7Nvr=E5fvWm~a?(fdfX-{{&WIdVhaW3=H(*eb;A9E# zuc$|Q{@(bpomrOP#0#pl6kQwwkM`1*NUeA!9e=vnMoB;FP29i&N9g(tTs0XKYChd8 z`+GysARvIlMaB9qW!CmG09$itX~o@F#46T*uzSOVnohonJH2dq% zE6`F5z`~KkRTG0!|8>`_Hrs${@8Uk}ws{9_an=ZeM9)P@b~m~YKP56;53 z1os}Ml*2mm^<~oNZxByotHwYCjZt1xkls~sfr6=de{7u9@%;MCtO*&Csdch@2vhwb zmCmZpGu)w69txIutNGUqAo6TMZ|EeC3~dGt5-!+g#s3trU&^cZDbmJ5wqsntd{IAU zcP`0n>85(CA}9K@2knpFmi0OGY7Dxx!sk2r_b#T+;9K=R`~#S2(wH;>y)%8%SUZjM z%LLTW(@>ZB{O)~ay|fZhl3Q0C)d69!oo=0dW%PqX(_v(o=Z?ula5dB}bmz5`5NO6N zWASP>6X)4qO=v89+y=rf6BX0qtpAZw$0N!J3x~VTG-?a~2GR{Je2iE_lrc zI_wnv2m?^#FElp|F8}EHnk#oH%l}-QE$YICLsKACn=Uu%naxx{yk*WH#+5BP1cbH5 zir=8JB|5t7=S4}~JBno4OyyXaOEO92krCdA1=C6YPQt57qe=^Uv^0|e6%LODRbHEI z^V-y3vdM~d**EUDb|W!NcN|v6^7=yd#{8M_C@^jKz=R55atRCOlxrOj`uLlM>blD7 zGc!d5^qm9U6br?8@!fLz5ilt|vni&UE33&xpwK~h<>u%pSM`=UGzqYi^*pdXxQ9^bqo;^ zBOcv7Jz&wh^73;4Q$Vc0LA#XrA&hedC~9125*0PoY-hpBvK^iBkmO_<(%i+fyerVe z0C;Rgj`Ym*%SUJ{e+F1A zea~425M+&8tx?z`YNqpazZ}+qwK+89-j7emdFP0+^RpsbuW{DDLYVLd5A%i8c?7k# zs6EhLHVFdx*!cUML`-*~^9G27Uin8r2KPl~l}Rb1o1h?Z2&7Nsunw=yCH=To`Sdp! z0Sv&yyJuWSx#E+M8>^ze%C*{ul9xP_3`{i1a|K|MKA6>*$-X8<# zLAGPaL6EuFbUBqFGb(lQ(&Pb$Fu7^X60pSoLM6A;{^HH`FoIh^DzdSR=*~*_DMapE z%w0r-gi7b?$N&Ol-IGUc3L_*;pL0QXyiy_dU;#Yx3@rOh)-pd4dM-)ZIl@i(y=Cm) zT2vwu)TFn6PlBV0S(_xz+W!3%%>bm$w}yOR^?g@_TyV&E;PpzF8adH6c!veQlLBE3626r;fM zXhnXw?+SJcQ_Ez@7q=4t0&2vBetV!4sUR; zSerBTl6?qxX&Ie$K_Y-`K(uH;9;1pw!l*}_&(3B|3x^VF^&82D`Hhm;uebePjt;(M z_`o3Glt_TR+>};?8@$#Lhd>nabik99`Bz>yk(p2X_-pS1eAs@CEO5kv{CaRwaAk$6 zUqp7)B*cfRUQ-Bi;x3=5_Wh#ErQdGL-Hmc7y`}9b+tLc45>K?90=&wcb!nx_6cx)A zqEi(TBVK3xA9Vw@S2u`a52qju|Y0X<@0S0HUEy&Wh)2Bh< zcj>7iQ&5}~V(MCneaPA65m2X?BsgavK-4}i#zYtw%D@L*r!tVj?M3onkPQ+H)4Hom z159x^DR(adREJ>LgM%?}q1yIwh%)6AhH!|fIC5Br3STA}H-Z(r*prEH+FIE>Qzpeb ziC`SJPSn1foW7NLl(&T$xgYf)pjy%c#X!uS<~9)m$5t8#2g7-cxg7M6Wo2OFo}it2 z>lG-@T#0!kk~Oor05v=+rqMDx9f&t=ZNi5NTB76Pl>FM+@`$5OX+TTfS_;NLe)MWPYNM>2EAxuo{=QO03^#mfgDb79D&od zCgK@@1@aAYOT1TN!nOfp#Q=81y%4lWI><8m&>-vdERY8IN?UP00~kzhrAOF||JOHw z4d{P85y|`lMVDvFTvS8>*aW`l#p-{{CoUFVO=-nxz6mb=GTyF=l+XX zX)fFopFm#x-C|ppN{I89GSg9x+{?8+xbX4*>nS(a^Td9LJ3G03aVvdk;dr0tYfwq_ z>slT)H)AXNw8v>cXg%k-rl1HiJvfu;F@<~R{}Aq?IC%zq4(y2s~;g|GCtN2HoV#(y=V z$Km60K)mz4rwsuiolI&fvRV1zp4~j#xW7!LN_-ToEZ$wc2@IHxYyjdgTN6I3yrDRh%TTm+HKn;&1ZXPUeYD-%!*#|PqDh&^w`FTjTYUtjv zTUyg2waZ{lY-Ru)J`c#Q>ExycLuudavC-yinG$WTyomY>8Fq57r92-2>l|&T-a~Gb zGvRa`g%<+_=gxNee<*SKI&rfon`ue?a@2YgpRI%^0v7}$LURr-D3rLwC zfDq}HEfh~H^2+MfrIqup3&Ab@u3#n+Uf<(yfd7`dXRz1H^>AbcX@3)x3BHWRnVm-!3dr8`DH#1m!)+so=_cvhyT9^ZGwgv-8m-)I!Zc}g6jklKJ(ut30vkT!`PxZ1a4l);4$vK$JSY_e zNjt>=F2-a;T|2XO$Z$BATkF65h#BS!${3_ARMl3%9znl^dkIQQOD2rJ!Kk{y(i2^C zjGz(%GQzhpEtqMIxim0UIX{K9(dD;9Hi7Xw>%(Qssn8EcNbqrawer=Ricm-Tffe72 z^_e+F3dt_{&b;Tu0d~Y1+ACr7e(%pR_3@h=8g%*1-EJ03PrHiZ3x3z5S|Uu^pG0vo z5A{-mxXHDv-O%MPW_9K{tw}5*|Fz9A;+RyFflO#oZE4eZ^}}!0hjduxGY^INn}k}C=7LZo^9_ry;*ld^w0W9(%OjI{E3FvJHT#Mt;+N~H6rCW=*r3_=&oyJky8;G zZmnZ+o3I_QspgKT54_uJr>c+r>>%|u)96XCe82tr`t6yEIcr+`{9%D#^;^;FqT~pA z!yt0^Yp?=n8d{gy@)g6{Bl#r;pgYi z=}&-tb}_ow)I|~r?sP5a8~6%)7C602t_%J1P<9SDNA2NjZC6D;Gk`C}gBjiI!BlPr zU@+Z95h_Q~xFyE&XQ07o!Fq18_YuBC3rX+8op&|Yj)#dd3mzI@S)tvzM%u$ohF{ zWA=SIPz zMl=t()S&FIOFn|1zPq;Tv06AinPC%NGy5@5%EO7I?)o50xJ<2$7m{)c02J&%yY?MkNO~wd}W-&NU~@e2%PSaUgAYik>)fU_(i4 z3wi>kL_JQdY_hj)@Z%$6u$-LZzM{ReRY;!4w+2Xt4=x#&G(Wlpdu<{Y%=_bt{jd8m zs_e~P0xKAz01h4slDd=BmxQg5VA1XZs&uOLh(N^jxAo0eLHLYXqZg4Tt4FS6$5-E6 zPeX}|X*^=AArJRCyR$@OS&Fjfqgk358L64;F`(RRv008(~ys;c)i*=_f+9zOo`n`}6> z0%iDj)rS{b#OATwisXRFER4XS5@fCYP7@C#S2vvf*ppe2aR6Id{k~l-Eyy9=s%7}h z_|Xt?_kL(8{wFkG@}M7t?2N^S`N@&B4f|X|=lJd>(DIujkoi(E1W1zwnh%O(!+~DL?(DYWdN-?3?Mk3mV{i$BZIVi?)zgPa> zEC1iFlto%{=7^FF`BbpMr8ucpdZ1$L>U(pOgHi|mO72LPxf~+o`Mj1XiD8k{VTE-4$19-!Qq=%9UG0yvnsRYyo8_4wRo&O zk$SIFbu*gZtUWq9>D>lGvzy)D=SH+Bd6AGdHpQ{U0BC2KTRQ7Qq2Fj?`rU)!J~v~O z{FJHZV+=Xos3Ffru~WT%jt!QL+wkw#i1-vGhL}bWQz3%1^tHkn^5ZL_Gs%c18j8kt zX?bG#2gWLY^nxFMhp-`U%}GQPnI%A+F3#^;YEDr<;6tHEnT=+zU>VXzq0$2&Roag9z&C6^R<$NZ zchBlZq=GWpTeApJ-gjvq%;6cd7CFr%qoAM-T-Q{Lgk8}(!mf{7xcqPiO3p6x!}+U? z)Cw5IDIu-V+;SlR`GyH}M-SBA`3>@6X@R8Agx;<*E!mBNL+w0l$TGPuV}KNfmwZ<- z1J^pJ3SE;IFpTRE1X=TXk8C&T>0Pv5@1Kfh)1S>DmVBgz^b7#Z+Pbz32CWUg~nu)2dOsNk(#=fZy)GGxPXLv z*Vk$vx77NuOS^`2Td+(wNYNiwxW~0Sq@md*hjtSJwfim!+%0=l70S!?C9?X#kwq;w92~p;9m?AZ8Mz z(pt1F`Z6edbBvCwg9b{0PcxUDfWoilCJJFW7wfeuKOTl`bKB4=1}O|6tswa|{pY6H zHNH88mz5s@VLq)Jcw_X)RV0-LLa%QTx%+^(MEi@$s~y{w0-cbEj@vQ*9U27RvfA?Y}AXDWprBShU?$2f!xQhg4@UkFO}f$BlCxJ?-Re zTP7Q#L|SRp#f~72mXdYm`GcNBtQFN6RARmf7ETL&B3Oj5do;8#059OXqh$S1AqI6R zDx}Xa(xcuZi@ZVTZMPr#Mm&tfCtfAV<{0^w>yA8YjYCF)a?E2g;;a2vn3|6q&z%^1 z@FKTwN;`J6^Q>Uh!L47jH(F~g$QOZJXL0R!-CFGtQv7MZBX_iZ2o44_fX(d}>LUHl zo_6-LEISv+QJGpNS93IkD2H3ps`^|u?9U6__ibTni@xZktH?Oa;(Zq=C|cBchbK%V z3+{BiIqD`CR~gqX^oI8Q{ifPbuN@c#);x+b`;H<789*d|LXdythBFUs2HnEk8DcSS zTpu!9x`|=K-Uh{T*YTwua}(c^N1%OVx=GRxSEN_?@ej_;T^4i|ofk%(lUaWBLGK&I zE_+qxNh_3Cluzkq9a$e;jaH!VcepAtYn8A*)b4O&^R+HJrSPur4PDA}%~ctT_7CL2 zezgT={tV2R{%k@|Bi0n_0KE&ZW>wMX=Zw6~If zECqN(gkAWg>x}FAB0%8Zge4F9=<-Lt1R6h5e3QI2Tu6iD0#il1(x;s za9Vam*srRH7*ITpi)?a(Q?`JX{X|KE!Ju0QsNp5+2ah;TNswUq2J)Qk7S7o`G%2vS z>`b3E^1a@)>I7cwkD}Tx)o&Tu#_NpX;F^fBvudguI^mhxcrF$c;Ko>>#qN3PBm>tdJ2HyKREH7 z0fgn}FT)|V*D^gM9tG+SIuv9@{l?CY6yG(RefCiKI7xpq_3+BX$TXfFoX?D-FNYBC z!>>Z`d|JBd2cKS&rmP}c@`slMJxcwBJ_Ydp!;%u#0&(rnuOWAA;T8-)&A4!M!h{Vi zJ#cpu_9oX_fW-OohG`2VQF*r2(`2I11pKt;#y|56ECfV+$Q3cn@p_4B;XtPh`6F}V?Bj~M(T{K1OO_nT78KN=TRV)~< zKhow8U6C}&kwovfY#%e%9TCSq?tk)Kz!)9({`VIK@YH6E->MBtTwJmJ*blebalwz= z>%|HT7jy+5Z~9`2_)okcivUJ(Ru5(g+C0c4u^xWfgJ#XmcL=uXO&7{J6VWLZd zw*s89%tA%P{tOHXmiLcu4iqn5bvffXHr{&l`mNZOUtBr)f#-la)un7N0aijVqV zKLriK^3Q~0F~du7BmJ|nu^-x{3M#zxpK%6T&?8mM1F9sa&lm-MrQ&qzqB4mlEt7-_ zp9=(fdy~c8zf^-SHUfWb+}8x3SC@yg8yR;h8X_)rgt=dxiOkcSNQKCfSBa%RU>C?w za~Hf=ZQ|p5yRu7eR-en^yrlT;&b*`zJ4b;Rspw%1EdzG+m#v(&dv4tGC+sBt!amgQ z=GVlj_;=-aDD}!;sv*wFPF_31^@+XKk3LdlYn(SE5Cego@nB=kATKqke+yn}*%2#` zcvOD7iBp0+eB(y+N;U;UWy^8+8bw_F2LWHTQ zNnH%z&?xKUPGKICPo1|sfh|M`lD7;ZVpY1NaK=QaT{}z?ze)Kr?Ecj4leFV2|My>0 z-*B8YyHhPIDj}kYl_)^-_{mla=Mpv0^o(i^1UZ1f0E`8De00fAh{hf*J!gYG&RLvH zDYrZ!W^B%Lc);|7<0}>`qZC1f7zG^C63%bpF^VA9;3T{y3gxqiI%Uqr=B=})qq=MX zUw+D6DH45syP)P{$z_)4&xeYEUAOS_sr&xZ3lizaPqic?u|i$Yh28OvrLm%j@+`Pz z4}NXBHR&kM#M@I;?8j<(w2_w5|oHG8Y3yhjU_|~Gi2@V=L0o0QFr_g+(3VFLP zKYy_$ZOekWxs+xRw`QiC_JI4VmJ`>fA8?m>Vat_~^Q=u6Y=+-aWY=6a19+om!T_Qr zTIotuTLL6p^;q^|0w)-{m&x&s@n0j-ZTus=xxE_K=5!PKxHP%$%~x^$5Z_n-H{D-V zg)XRw)uhsGkYv$*%nn~M^%~{6k5o;!tAw^~vd(P6$a4)rk^J^6JRntem*3Pm%0le= zQ54AlAhnVNO)NfGe!KtDmX2sswNCOFce+hb`uEDRaAls@@>8)dPEAF(veg;>mwVK; zgac&9DRhf2tH;X?+|2|$sW5#RCWYyfa3nE=A6u)gsCF z82~&1P3PEhq~9!ZO818eqNc?IT34l=ruAw0v`{*4h^_#9C?TuYrTT5mCnCvgn4_We zo%dW_@Bixlr8s;GhQ;Fzav$uSt4Ug>M@q}?yhB(XPet7Lg7W*DPHxHwUcFzjUmArCUtjXzCg&)HWTQr#d{H?NU~n>nZ!EX zQ!HS}NbWhaz1EqSjdKMVKv@oqz3Bx`O;L~FPdt{KRQ%rcptO`lMTKM8PQ*+D-=9jp zrzb!2#=bJ*jmV_hlQ(=OV1yS*A}0o1yY?>`+ZPUo+7H;;AO1bC#$HtyvovCo6`S?# z_K%q3U)>cGd0|GAWvs)6NUN?OnbCB$fvn?)HNm)K0Bx}d9a=h!|Auh8{OF)?tk zwp4H@YU-DNLBq$vBjT-y$g6|FFcr1VFpDWOTWoTfqStf%*#5`Ul!Nt-WuIQ&{`C83 zUg(hL(*TvW8P?%vv~>E>g3?m6r6(%;;5d!^*9rj)0QONDEP!9kYJ->cNA3e~&?|Z- z@byK!c`26r!MrIUF0623RX{K(vb;HwO~9vEqJmxNgsS0OYXsb`2ugO-4c#p;S0eCD zkY$?%Q)08pQn(Q(+(~1V3vcx_xx#VYpWj*aRo_uXZ#q= zPJXc5RN;3qW=TB8V}^KkNu6IH%HW~*d1K|-wpR~a)Jn~r^XNnMiY1BDuWw`+9VPT# z^WpmWLiAyk_KwSixcTis51q%nPW*pb&%9gP$zfegM2|>gwOi60wyxYrV*u~@3%f6i z)6LY*S2OMQ%l;A*o?e`Sfa5Bn?=!3#k?a|{UfCIA`u4uWt<3vqsEonc(EXeHW7wS^ z?LGA?imZ3vzou`8o&LnQk^!7~=r&nq+4!+COc1ZnmA8j9IZ&`-ZD;^K+nIh~?UP)I zyYRJK{z;E8@~O=@XAFF{x4S5#`WCuRH(Gx|kt8vZYo7{Exc0&Aba7v~ls4gjU?L{sqB1$NxcCMo~L1qej*mf_4!&guBu9+J$$M+mJH{@?E$NGJyCyQVf8Z z(8e8tW=k(VA7`Nj<-3Z~>-GkhywwG=qvyLKY5NtgX8bx^o0lgiX%zF513;#`e--$_ z01m5~VBx{_tmH7l+Mf}R>fR~wR3jEHKRP(H53-i|=lOAZ zBUoh_zz-;okNkAk;jeXRf0pW92wje<_QyIh|2W*N*p`7NC}*f%h32%KN~z#%e|ok7 zz{i*H3 zu!le^U69xk#5}w-TIB}UdadJuo=;Nt^C8>%*W`46Yab17TOJN*5KRDkZmgzRVIo)b(VjZX#}ZmDFZQtw7U~q>2bGV%33;%0Sz{pWiBExPv(uS>N*Nd^ zq6>%E=c8Kt9va8amRb+0!_SGYAgiqh6M_ONpGZ9uM@=K{|&oYQa*7`04>U}$6`oUR1 zN@k;ITVTRp;)bU^tCf)F1RLe0+%@9;1qz*%O_ogI+>2}PW?XVFDrE(`PO=t6^j^Gnf_m}J|K6_z zyJ?HnC8Z*dPN8Ve7yw5)>GvNK8J=6p2&_nYM54;m*=Lt!&xA`$O2&R zA!gONOG-)=qL1uHx*$2ydw&0E*?9xsia~OK#_Q}HE_`O&}B>cREYp#wns$8zy|jB%%|D8dLyW! z^-uEtxzq131NbFM(4_fq zPSVas)d~8;e8`d+ivnmuimtoG{>-(o|_n!Pr&zQwb!@zs%cQo1jQDM8Q`a2H=}= zMXysKtUZ_P3gFf!U#bXstoGNQ&599K_eWPwyjI^=w_ba-oqkW>hyfI9GjC5B*%kx{ z$af^twx2jz^FW`roDOa})|D$;P%5`iL}Cd3FFx>;Zh`Cw!FZ8FW0Skj`)$W^Sh4sK zTijeBp>+FAS!RR*LA4<|-Ei}T0sN|v?B2;I_5`sFHma=7^v1QWdoFMEl} z_faFn1;CqD;kN=G6Cq)8W(Uso6>yK29n2fgEWPtI*u?U6KqYY2boxXdU6GcQMI3CJ zj4(ffA?_PpVgN_i#4P*#+UE*m%bYYEE%!CeWo-qY4-oyM-lK|IU;FvgV{{7HiVv-p zOi9FH!m3%m|1I~GFzL-KBV;yTI5`pfy7Ihunl35EPG9e6`ePXYSg8zlhNxgm3=6E90gw|CnaBbG)>;^fcD(@-I9C zu!M+=QMCr;$6(EUd zTDe$O5hq*=#j(uo!k@rI_Tln>6OoobZZAr!TlF09@`}usET2g=y zEh!R&mqp%QN3;mZD1=QQe%=Q7APQOvtIF?h{fJDW(r^r5xCm!AQq(Mg z2uAQpQf08H@CS9BMV77^iIf_XuvLrFs>FL$Cg1tbC7h2Bd-hRucCg1^br9RtEFFbB zQ65&P%9C>Fub~bB{dVhDTAzzbeo?s@Ik?AqRwF@(>=T+{^{OiBQIm6xFj25_RXczA zy$7?2>8<4yJvranJ!Wi)F4{BKFE=bd4!@7qsp{#OyHJ1)dKNSO<;rhLilR;qht2Mp zUXmR-YzoD{Wc&Kn%s)H}mpcyBz8~|gp13^wQY@s>wN02e z`oSWi)IF;H#L%LbV*?Z_8T(eys8^mF-aNp!>;^K|u(juX`}M|$kAIzuo6}d;pZ$F( z_*(I8fP@NqORm8VhWKdXIykk;2IPg5vZ_({JRI$62ZYOO7ptC!(bt91AN{qIzcPS- zDQy)vfa5W#}>$Q#o58#)xGW>39rs90DZN=?ms0?5J4yiMw75VE=Pu zYk|RP{G&%tG(trgfDxuqqM3fWCC0~vtQVzt0fm^Rk3TE2t17G5cj*?}^ZV)|(iDx6 zQB6XLd(_cKf|wA(b${l8>;L$z*2rip_>Y?`!9`d)a*g?}`T-vrMatM1!`!h;Ir2kf}4IDNdwU#))N_n(Y84hAp)C!p|x#L2*g zX!79nnOkWOJ*v5Y%kUZVzso~~cB`MEGJ%vPX(5kbpB?|u)dqNA_ry)ePY0_rt-fCmYo+spq^Q!17IA@=|*ZQsBT6-<_ z>Ajb~J}oTlsY##@jD6>AGofoVn*&0#kKHFwlC^^#Y`WHF!$1j32}0zp}( zteN=RJDlfv1#N_Y)`*an+<5(i&hDcuVk;?gb71Tdoi2SJWEB%E?waHindx%sdxp^T z9R`z*PFM4FGsI3alsIc=3+)S51rLdJu=qy?z&myPN(s4SAGcvd$(I>;_Zyk zg0CVCBor8bogp7U-yq=q_Rdd7o+;=u%6)|UyNQy62k z8M|3zT3J@Eio$$gKX%z~96C{8hWBY(Iw9Bk0kZ#d*dRd7eZ#eBA&LR?!Lj9IW2I$8 zmslZne@B8|UNJ8Y&Z>=DLVu1>y7k8|R80%g;<#e->*+?rpMr%b|0k5pI>$Dw4$ILu zv+lg@2@Ug%-G91FJMG#8b$-Uu?0=MWeeu7?(zCa=}sj(_HgL1}Xa%?=(|3=gk34}P@$E=on-!3DA;fMs;B2ADf zNV8aZS(#h|Xyzx#W@w#LSy_dv#fNrAak2993a7~rP2~C4+jd%Yyj1l5ne)c>OX-uJQ!l^5^iVx&1(h&uvS1_tgGHybQ|#3&pjXV9z{ zQpQ-fg*%c(v9b3u10>{>8aIU(`mnK^O3aQhd}neuk6bk=qF*EJd&(q3hqNaZfGYu} z!%sKpWHEG*6;_rS=u+lz!4df{{TulU^2a75WihhQfpd_9GcLmmIi=%2L7QL7V9Y5k z+eYr6ArFFN{Rp#nfMG5&934Euu$yaO?;`YESb$l1x_4-z^_JZQ^8;gANf3=vcDleL zMN+=z+#i>pa(jDP`U1r3#c-{fnnUF^JGvcG&)tC{sfZ~DSq~2}veU77Wiy1+C;u~8 zbI_4=j{WT0h*A&3Efiy8?_M|aY4>l6ddS(apjB2uw3A7aTlh*g1Xw`GszAt;!AN78 zU-LFCqk1s-%OO`r8ckeyppeLSkW|zz1s%7IA2=UaTrDgwqBYR zf|z`wYl0l2C}&p83=_XWhffweIzAnF2YQKEHsv18UA?k$SD{-BMroir2dl#_(?5UJ z9lX*M0o{1HIHck9G$9nN7hI%{fbXm|&<=0zUE1>tbN*JY-?NL}`b;Y$l{)AB3to52 z^2yJS9D&t20upyol;4BX5(Nfnr7e=T1g{8tnVIR{(UzcnceUwk1h8!sD%Zi?E)%iB z_r|u|X_Dq86%b^y*|Ir0)lUbX6wCaN&p`)1hzh*hYL_7j zxIMtR#IQvK^=Cam))c+xZY!6oB z2R&L3eKG5c3!}Hw28LPNQuP4Go$N}kWX3jF5mF?wX#Tt_6_NWgmC4C4QQCSi(}Emx zZ%uJs))d8YNA5vR`z5y|Jzp{F%2xiEcRy0MI%&3>8=syiS+Vng@mk-4>||cV{a4>K z>=6!-v7xEXP;|x1av@e|S;ne?BS9m-99afg-&FDwf-vtgN7Mb%!^3$*6dyurH=StC zlhYKd>WtHc-FXNhPEV!xthM#O#|M~K4!EPjzC>U!!{bAfa;8Y07?P4DvrMHo0 zJxB_!Gzim#EI%8!ZTKcFWW{jJaKX~eaIKOVRn5EXItC^iAEAzj!;1}qRG*-tUGR(ziKAu%(qJsDXWj3b**w=YDAhm<$jwbcaVJg!&q&%ny*_odt^V&F3*|J&#=RZss=(t0E0Ac}|$pxtX0ie5LbZcxk3y9C+5Amc)3`o|UAq6B;P|Dt(s+V&sRmUukaX#u|Ce6bxDK!TJe{cnS7MY)k`x0c zSzpM6Ku^>`uqjlaoiFxnbhp7A%wg_99r1bu=E~+X8?j^g>u)p2#MMa~=J8bGD!A^#x+|)r6T2!Sgu!Fb9GOHq+kFo0H#s_#<7#A!l8d_)TPz z)tLE*bLwKPrLi(D-QASl2G;&M#$wU~Y{RPy$`$Ei6?P)qii16p<0ld%2Ms0S`y@*h zZmjz|Ja!$0AD?A8<;T*phTb?f_2!adsexjzeN}b3?vzwpYf(~?Gr#0!hY0cEX<@Xu z2!b_8Ars7fbdZpJ=@kx|&GNs06MKfJNCi6iJ7(}2epyt&V7@q8Vh$Ptq19ye8>a%8 z6ZK#%MYqD&o+A^9N_34ZxSW$19QTY#KK{k%=ZTx?B6gi1D}Ndh z608}XSw~CZ4aIvCZTbji<0qy*cHq4PJShwQDqz%dbPvWi3cwk&CP>iVb0zita2-i3 z69c?j9Un650e=D;-0c>Fk(C!^#O4qY{mMy4XuEFaK;6WXxcx6gzqqCgce@4NQnr z2mw^!cm)+8={zPR;GN0ov)IkA>d@2bn(=zBY7)|^ZVV6KygJ>R8LSzV*&s_sHaa4L z4@ZC4hK%1=NUVp4`Z%0LvdqAqy|z|9{?{*kk!}5+7h5C+(RHw5zdqVB zLpXZJ9V13NnOFR}fi9?ThSocBCVZv9kLh}yHMIRjbkX;uW_s3%ocaPu{X{imE)lU= zHrJVd=A42HI&gmObuw0wGPJKLpn0qxS~}YF&YH>gyY_tK>%5;K)~P;Q;>R}IJ=y|k zsKBW%q8b(GSHkX7m|#?Z5CQCq9~8GC%jm&6Bpku1WE&3QDw2XfqDz6Lf6QJP^=Ygb zJpXnfX<<0>d%VF%kvl!8XC;|CQKKm&M{;@)-HInk(@^CHpFmy%&ISp)9MMd-^UyC> zihsi-QRLL?j$`#fTlh!hv^!H{n*2^clqWfjzL@x)X8TEFnNe#o!&=hOcVy0B49IFX z8n6vnna)8MLyes8>Xj#$bK@*-vu*RrS3D{bPQN1TX7XN`#%4d0L`x_@&xGOyPh zwl9W-jiEg5IBIQj{6fCfSi75u>-DG>_GHJ8^Wwyq2Z>9X5+vneMHU86;u>_;C*S8kf7EKgTBtpXzt+DF>&spsf;&{1fa(A~mLXo))llXTUz??&GVI>gn|xkR z3Cm8-;n(dJG0NWG+96}0V>&zD1!XyJ0WyVc`SQV4$^sKnmeTuW^EwrH3(J5pykS{i zR*j!o4=_HVP3dDQfSBZth5%S1}?zlwbfpf@lJ?r6BsiRRd zH$@=K<;*!}<3P?Bn?stmOx+ugz)>r@au?kYOqWploezFC_M-Od?ZaRv+T|39AJRwj z@38QlqZz`W9Xc;Sf9(fkHuK*oIAU%TG7i|^Ihr_*w$HNArsCRFEiLV%bPg<+-Eg;}T0SVZ0qVZL#G31%i8q0{6QoD_9>NT0)@s zaO2V3RFdr50s}Ul(~}G`Ryv3rG+Vpo!8(6Ih_QzQdudJm~4`GTkDDiN4P!Rhq-sOBkH!`+`vj$YgIv(+sWE8BYALT|$Rg z<0j~^-=AO#1EL-#^)2Z1qP0$BWWruine2iQ!vNTMR6@tnD-2n^2+I$tCfTTj8Rr^pBt?Hlz;yGHGcip8FD{K zD9zR01@`%(+&=fg-KXmtDI-F5bcq~*<^8eua4@;=0*hb2{^N-sQ|7@+W)7@mxFW68 z9Q1xYq~MO$fnE*#=>c&F<)H!%T*2bnj?UytpN5+cttLLf1g2do@MZ{DnE#o%?gWBf zzUd8^RAa^FXg3cRerd-)e`4G!u=K)q`>E%U&K$r=kHbUy&%1MLZ+Rd&nprVo80(U6 z{Uh1`(@Q%4s}BpcpS)6yGFgCcdkRqjUMj#RBV`Kvv-NIUwOXU2o?G^pdf}uT8H2aQ zW^VJot!01K5!cQ=6ktsEA~8Q&b$Kfx3`ywQH>x*;xgZ@P_u~WGD9XEsL#prDL8wzh zp*2CWjZ>P#O6BZ&Phk|CJ5o@#?|pMv_4lZhSU!3hlK&nd_R}{rOHy z=_@#~qi3Oq%!Cdqz~s(`*lz#)PgmL0*(tm&KM=e8xL69;vLvCJn%3)LT3SJV*iyFT!l3vUURn`q(@c@uGgA%aollNfEhp%a*} z`}yR6nXmc~s>sO3pz(}zGGAMZ^<>8vKcv+lEZrASe>FaU7c)t9l0u!htv{b&iueby zN9+5wAX0Em?8WF=nQ?yG!CkBRJKGXSCg;*Jml_nMSj@fBMw-p)V9DzNOHCoS`kYA0 zVbVWa*gI&%hhP^%Bb8*{TAs+!SU{mhBZHhJW!${h;wp8Ad7f66$6rc_>mB9gr9b!1 z`yWLaMn*xlmEmB3D5-AT?aCjmHl}~|4<^m}2WtjQanQ{EBlDd8(V9oEu=t7oqe=hK znn$n*GXA4UWB<{bTVDSy=6^*ou`_}eBu0WS&Y%gws5E8Q5nJH*r}KLsOBDP%zQXVP zIigjXi6s}+No}dGmG{uHAIS5wmhf6Q#zY`Mjr=2aMW1^kF znwxL!dH2^<-w}ztB2?WS*E5{k8wLgn|L{Nlq!T*c1A$me4B4sPg`wGee z(t-~XA4Q;^&S=L84QURPxyot1V!ROjyiDc8ldD{$Q58sJgPJuV3!{Myu9FZ6QXSqa zK5g{P>i(oN`>G{#c{*Pi@m_^9!k@WtAiS}E&QrLZRw3@3b@a+M;ch!0cMG!$nv#h1 zwH+d{7k$faI5&uVP+EzzTfO^0&qIKej6ck`>J}LM7}Q@ee?vaYJ+Vd=SXI|R7mv-g zHXIwIh*%IN+TxbSlj2k69o+f-;zX@AerS3&ku#sr=#S6HFn3t)NNBjueyPXqTmuWc z6NJzLf}B@8a(%35Kn*#$d=MqFDI992kjcRNJ8tKUjp!T;iwlyafj*kH zU4kn$ZwHRdo9QEWB-w?E{?`0}K3d!~9Y!soRRRe+5 ztRDcUh*0vUhO?9UPsgK|!j;5|++dp{Of#IWl|CC%g$3#tZ(OYRn`aV|fO(61bP7l8 zzW|e}h9IzBM1-UWrnzS=CpU-=mJ<~%iZ+VstcqLc4o`rC zln~Vkynfb>ZCUELISA_>6i)>#kY)R!PvH{ZNDqFOj}=QxZPZx{jyBd+4N2c7)84Ra zz-;O}z}a6`_nrWL0OtLB=otnuyYM+Wo7?UwZW!rrM=_e#6<-}FQI3M94I7NX3&WM zQ9!Q0CKT_Fl{=T_*p~gZlL|z1w5kgtcfcS*PKTdrVJ;+;&(R}!2%&jYpz?74rWkGW z1o(i$u_Ex!>mdkuhYBRD7_INk`p^l0oj?vh!L_MC*WM;*qPL>!xLJ#SyI2dHOMWmQ zD2HPJP6s1$2a4BsX6^aS@8g^VU$<+X2UYvGzB`Vt_fCOWiHd@)S!_ux89{xTC+NP9 zz%3b95oqul^Z;tJ0!9{dl0xH4^*$A%U0ZGEL@k9K!n!2jfvg-rjxGIY4N>ije69*1 z+=6S*P-fPqz+{N&hz2o?U{4-@(-cBt`P}G;q?K)P)szx`-4_Mdo;cbyYj5*CD7-#V zjlgCxe#twQtLC!!Pq-b1jX|ANZ(@ZCVEckVdt{78HeyR~EFhwz)h1ekT#euWao42F zFuyq-(tx&}1!?QPD|4h24@iKF=YF`@uovk@q{h*jqxMj6>{D?dl8zv&Ib*~8p#;bc zs3YQ7LHTP7oRbd#7BY;nVb8Tz67ZC1~v2tXnc zSNw{kfn#bh4?2UpC*SvF`oqT&{_rAu7P_yBMrZL`XG>~sMEvZWeMPS{49d%ca4e?% zEmXG+z=m2xp%uA-NG~I}C(kRO|^#DTM$v6FXVc7pWxx;QrPQbOT*( z(BxgBu^?@}{trSW<9)G03Z<@sQg_dHp8;Xpes;p>5Rq{#`AFBMNGbp-D*_miE^40d zr8hc2>=%3eI}&ZLkB~TbbchjnlZD(!G(H-d?a0bEx1ku&I*`BdgetJ`zx(gn`Uz;!f?Cj4 z9u4^yb5%hlMnSKTk1hah$#NC!n+j|P3I+92=wdZ(N-)Ed250>`T7^aSM9I*ifFceW zv>n)9RwNoEMS3I7f)I)%A+!F&v>FP3!Rr}IV)+kU|Bllu{2*?^iH;-cmTjjOL_s&Y zd|6nS42@yr+$;y_d!&^E4-E9lCxRe%1+)HQww@{ZV-TNf4Xih|$d-^vX~v!MwCclb zvFdZ=O_}x=cRmaN(_nEi+7>7}odjC>YVp5YHrp0-te>Bcyp}eY9`uzTRN%*7CQQY~ zzA1;$`nh#9+wi?C+U>b=@_M|%g%x+!OvRyHUmi3U^Ycq9} zI+}@He6VZH%srx^IiAV2sAzvzt}D*7CLd1QhxrHeKW|_mIpOTIbFD}Z&M?Vv+Dz+x zUXv4xp-*(niT0Yv04#F(q5Tt^y&oPN{Dk*qs0YmT(4rTE#~16KyzdsWvb40yP4x}* zl{sT&bzSyJlJ@Us!0`|H60*P!^lh@4`3z@+n5}aqldrS)#c)XlUCi}(mBF~g{-*PZ zFX>pH>D`<~z^Fi^@(h#a32V!XRq1DkNFD*M=mM#$^V@B4LrD9!Y1~h8%8;S#qfUF9 zc~j_^ZF5TT8+B`(S&RAiihldrAur6FdV8b(2(?_&w{m{`&|_#Z zq0Lqx=rSBaSi{ttV`AcRT`Y5uuNA`-1EIPNRn`i|^~0!{5-YW$pPQB5Dc12Nr{Snm zENb+l5Vl5p+mIQKI_o08!3VWN>)y=11|@^Jl)fqhZ~gLu#)>~KXJn%~lPgNugc5?L z-ed`%oXaLh)G-%L`ytHqN1+)}xX|yOG@$gEALh8<(AT2=O-8 zf82C!PA#s*zJbJ0It1yP}>>EXI3mm_tfz-+FiVv9C_6f?*(1{r*=%ar1sUYgNsV^iyr^oN4{D zxt%v8Govq?fcJMC-;Eyl?5Pm03$Z<|LioilXT&`jyrcyyCwt9H2pLB4bCxa7kS+EnT6G= z4PQk~WrAT%5y&)Q1^)1CG=rdrrqY1hTEMz4QMHe4i>wtYlkzIkky z&{D3dy5|H8d}NqBj%0F*0W+e|z*8d5hl>r(ZNh;}m1vzS_qFG~*^ExZ7jjURO}I zwIcp9B2@>B){XXPvSCNxE)fcVA&QiXrV0-FCadn=fO~d-T+yxmA7wv(ui&{7*h>0X zFMP;6D2S^n^0iSQkg+o8-g3AKjurz6ty3zHbiGtQgfg%)c*(!ydf<7Z2=)D$$7?Mf zWO*g@1PYAqMn}77!q&!QV8-`*;a$+-N?hgV{bFWvhPR>00|HAxkyE9I?aJZn<$bPE zS=H`SzqHv>@o=%Gk8xx9~F$6^rD#;;(Yto>7dKbM$>|pdh!ZuMw&RtgWB>@Mi*2ewjS( zV|#Vuc3Fts(>D)fc~;);9U9ZXT(b3#{v+5Jbx3yY2x7}H3WM?vv3X!3HTA&^H_rFQ zrt6=g&mY3&p0(^pj|B!L&NVWcCSzFIy&a_WA|wwk_mLuN^l||I%8f>x!vxy#M|Z*X zQTCP;WQ+_9u4JF5o^Da9ny_9~JAK8r%rgATsVk=nCL<17W)T}!&S+$C&DLA^`LB97 z(bgaqoR=W;Z^!iA#39Vcx8`M#W>(g(B<0^HRwP}d7r5=ZOWz7PNz%X|!qeFaIR%r} z`gwlh%-5t;hg6vqlkZ%Qa1Aj`9e>33;rG_b{H^Wyv0^1cB2k>AOvu4(xVEP(Oy}C= zxzlP-Hf1AK0E=6uA9!N>J937>F9o z-i(*;Qk3Fvc-~sU0Bjfk=cBak=V9DdWTPE~&M&{u7~AYu*80Ne6{<>7YEOpQ%G#>y z%al&84hL(Vit^5brkcxk_ci+z1Mr*Za6A0Tzr|I+kNZa_*NwUtZJ!WrwuR)8bBIFQ zo2zxsbB46&DHOh}NTYT879Kz1<1-e&dAMmjZHlV%KR*Bb^a(9vCb@fNkLCp#alO&l z{gwueQKj%NzR32JdQ@6szGS8E=<^%u1+GqgG7|5e$#ZH+x0&1z%^;~0yot3IxZFJ9v6XbuI=pp4gKFpouNVHZ)$R7>q}kIwzIyw2kdd#>Zv|*uDus`XOy9^ygU$`+zEuu zG*9yq?7vQso)U6#HdMf5aMyr${c{cORE<(h8cgu3RK=3~xW$-#w%_CHGUsicaf|Q) zhst&Q_*g0s?+aGRe`aQpWc}Tr>viAkY7}mw(YaJG`eOZ zft%&3BDm0u8-e%r+$SerjG}C`Wh;OD-NQRAbklbb*_RLWz(0)X%zW};S!(kuV||2SL-FBT<`8ux z4yS@cZXsV(I-Y7DmzU=87-XVw-n|)O$Js8;gUB`5Z#fS7IeL@FXjR(`CY%8wU&M#hJ znYr@U{;nt!-!IJDp3hpgkOyd)dMiH%;uGx+W~B7(XANIBOqCcMxL-K9@hqZiWgu0_ zmU+>)51=D@BuC=hbY!=wm43{@<-5auxg(Kz4rji(@ldukyUDl>op}W&{qU;C z%IUHV$eTdg2D@F*_U%x@1j$9h%2fGx+V=Cve(J%zJL8VWW?aY2(W&OSW&mUHnby`@`ZDbq4yZKY) z3hc_#Jdd@<0_*dDh+)HDbMQ|vio(iX)_MWHS*43oh~V8j?B`Gj{NjNR;hE^=PSwp(#-kq9&*IgteG@J~?XtQThluUHFFb#U$=F-+D^4@-d^Qjq^ z_ko|wP*<9%0BXaOkGHWR6XNugoOS?S2s|R{NWSzr{`vVz9MY(WTRC677zEQ~zmL!l z5;KzqA96*impexD{eu?S?XqVb`;lXa=3u5;Q?7O2u89Jq$(G5gS-#?0R>N%}#2j== zbML~W0+Y{0bnI=}hOF_dp^OjCqt_>|kOy&ZDJ%F4#v<6=_1~0jqpHUCX{04AEkD&F z=HSFVFHef%n#Dz;B6NjipS$!h@KVLIU$DnQ=-~0oprmgBu8MpUia&JZGP-X+_6VXB z5TZ~>JE97Ns6|e%t%YS8YEQx8GZ`Ed9?(4d+hVKn@f$F6qT7aVS6h8GaS0ma{-Oe3 zfbUF(f9g0-T++BZov(drX2RDF^r*6lowpRy^e_yax)H|$GOqec^Ie*K*lwZ%b%>QBtFnN;(zXh* z&T+Yq3{+rD3>DB5TOroB1t|>uHb-d#?!A(7?X7C-Y4DArps7Gr434f@!u|zv=sIv7wZ~#@)L|ui(Fl z?w|tFdEhTld>R$VOhY%4MBJbU-nQ5JwICXZW(e^%73jZ>ouRO)Ku9%N6jmxQ?n{Y4 zgirx&Ton~q7!G980pNR7fB~_gMg?@#S`dUAlZfrrSb{bcaGp85G|f*1nsw)>K(gts z6bH@DDCs;EDBmJ;Y%zl}3wwasMLzWJWjFs_Zv20ftiJy(>)**5MuHMN<~Gyt`*{My@P&yGsa)BTOw+yO#lhce}C z4K}IP9lc@KqMA1>^-a3a%J^mKda`mf|Btc>x#Q9nuS9qrUKSRrX8HdYuKz9Tewr}n}$%=UO{*C<#B;e*1yY8wDL_e{AEt*R1Qx#g^H*^x`%k6Wa*C0%|ra@Q#QJry_& z{!B}DoIOL+ag$t9j;bg@h5YPQ3Ynhby4dj zMu8aeiJS^ zX!Gz|-44vs?a~p0&NT(F&Gc3wCPdAK6%ipe0@VXD7fVu%cnP<;{6w5SW+t0g=(8rC zOZ2{A;dSnv-#1_U&AJhpIvt#9pzb2&uy14h@rtiyVUSst=NG06T%}Xzo2I+=@BfG! zwCgMoR*elH^kZLNC(O)#o=x+;H>7Bh)lf3@sBU1IXA@mLxMc7_fVownqcx0?nYT0J z)XX?7#;q8ejBe|QEWcb{Sw}Q2%I_|6IkQp|_`UkQ?qHupT5ri$Nue;s(z8OBE;d5p zhQvE0PeLU6@&=q!Gt{ww;D}LqTdr1g?Wdvcc=w&kVbQYq<2M$g9W9zNoR9>%C}?>U*&k)+e4Bcqs&@ z&HCdHrl`unbP@795(H!D_@Exa*V@5LtTJ%?8t%Z!5e5VY{C1cb(77FQ}x*euY|*lGT)eF%DF?4}hvWvOAI9ll zT)F<#Q}CYPAXw^dxX<;mlRP5PmEm6X^ja}X=0jdH>sH;VsSwr{nDgQRcYkEn9I7G4 zgRh}m*XLfGg~A3rK)Qq|NwB+%yIoRbK`3suln%#O>q=RfW65tP<(z%@`#*HwJb3xd zj@{p2DO;hvlnSsel<5xQZ()aQB>KIJjM_DYhj(R|UGMmX-ux}&CiUfe>5z4{y;t&j z^IleTj@?IsZd>ZK34Y+}7biC3-P5*Drm4P9;=nN^|D!01CpL#~^D zh3}cY{rFWnWBLKpP68EZPcdpKrgLpjANA#DKK3(U%=C&c>t}Z2Ay_ILb3#%pXx%OBM*dMUmeYT_Y+%}U1;f+BLUpXj;}>qS+YHFVArC8^o{ooPT`#x2)VJEDbI+LKy8 zLCNo$%(y#7CY+>%t8GeW?BAPWX&$hgay7%Q8m~IDHr~OF%#dt@6k6| z-QAbJ|Z00}1sqFo3dkz|U6?>{+E&+okj>C9`ngmDfs=pG`u}z1)7MFG$pa zD8ru*!BDYdBZgMDhotr_WRkC^^G_IS_B@ZD9xv@-HTPKPWU!~1SP)*+aGi1&8foaz zTuXhfUeSBbV}epMnh-QFv#pCl9v%!(fnBt_O~;Gu@nWSB=ZCcTcrB?I|WogXfrPA znAO2(>4e6j$OMPuel!)3VtGIXs%@@d-**O`BRR?gMc_Q6ldg3IvMs$LfQ2Rk&kz;2 zi}IbQz^OVl&ukk*JH>Pb>?cX=jeZ3k>EfsH=f$szuipH=eDxg8CYGoLHyoz0kuF!^ zd_uXB_K_|q)P3)wYZ@v>72NV+xj5Wtu=+Qk*n5Wp>BVSd z*fiJgO%&55C4N__n=|*)dv91pb8} zT~XP}LJ&Je;lzzb!pe)FH>U(-t@Pdx~vSeQ#_@KON!sMVY=$d!-|inscV) zm%njP$uPnJNwZ2hL3oX&1oY@isbF*q4|_BEyH0j9w-lAWueiwem51wV@aj_1K{Zdu z2-F^V$|dO1h*ANBlMt!XuWpdnR^UQ`e6J0WlBOIg@H*SdD*bxwehI!C;x}T8Ia{Hn z?)tAr=%AOQKYfs;jv<*io5&)UAUT|1@n=6*%Y;@{vzGxLFr~LinH$0q*^Lg|MQ33W zJeYtaonF~{G`42O^gkdcHWh78!##0dO6Jz|wOs`-4i~B`&nU(W}h&- z!)tNk4#SCJf_6I}6=*SHvm!|nkbe7kmFJRz5FA}k)f6{f&RgOv&5MjPXWtyJHW`2T z^9SS#Ww3?9#T^25f^bxBDaMARA0OFeVjs3L8!FTs`+7r-o#WU5=cD+-!CZ*!w*Y(` zIV0#KVGZwMEsEZ6Ej~THTB8Hzf-=&45}h(;%{)p|S8P@nITDQ$m`V>mJ`sqN_OyLW z$nCIYoh8JHwiJg@AOkW_DhECfZ+=tKxE64M3g}m*9KUWMC2hd^=)%Xa)k`V#v6M@e zq?`RDS{!@Jq0)QR&9uB3tH~A1w6-~kl>y5vJrU0_t5cV2Iv*|__lS88T-h3%!)Sh- zOCFUfYLFzDW8nB#Q=Qo1Pd*Mk5I-`(U~Ovp5#+p@9I65Dw+#LJV=IgOi%*cVsK9*M z3IeY}5Tycl0_B4>7Qffs1;bc-F%_`!g&ay1`25|4JlbCfhsFaH=vxF{+zD~0%^YCu zm%Bbn1uiaOiz#h}EL7meqhi+#mefW^cW@#kfI-u4B$o5R92r!v$Ya|My zpyZ3?#o11@i+>vDw>BHsuY*kovr)T=@Cj~G8hMl)mP7>(y^A#hZA-eZ6sOvApR<{^SAOtB$3fD{;MV^V`}(;ueB zkVea=HI~MZUM4R)^clA(3xKw|)qfx~b7Z>s}oOw$MYVOj0{M`1k|oEVUfTqwK~esbbv3>HcS1oCShyl9FDOlGB7 z>iN{%k+uZg{%uy2IvtpzQKGA>)~QG7GF8m2+s*|i2eOb`sbFm%kps}?LM=Ubyf~v4 zf_O#+roaj6ZKNgf335gGV0(~ap(zLA@CD_@UAOa1j>CUaf!IRsr?d&xFHMM$hy1}N zmS^pxC~bhLAF8-Qhmzoql)e>&#zp0lmGUcbYY>+~-$^a~Dp=Lq5S9c{+iB1CKxmGO z+H_#4%#_|yTkIUK5nlXD#y-+@P=Vti`Ev=eWwbMy906G6p^Bw^A56q8>J8AZ)!9)j z`~5+c^((m;jzAVtIh3TMOBQqYGuDq!fW=vYVTGX!xy zc+sgxDtE_=PnAtOz)Exxex9H{v3Rj#g(j}HPDpO$g9A@u=wBxHw;Y{dIW%m5mmEpQ z(+BEfqOmkC+G?`2(6@DD5vQN4 z_pO;t(nL-OH6$pp{0mkL&lN$69~n5*ANU zOlt&*kX6v{8$+o;SYbW$7PQ2RT%xNthG~*#_4tk`(PDDtLM%X0A|y zr1Gm=sKq0Ok{zK{LAB&6`nNb9@MDg?f9rO4E2FLvWY$N0G!yl>OC70+~iUEi8z9+<-x>906 zQ|oP0`yfamm%WMUz_dyop0i%>(m^Ii-U*mlUn^|m5d?!^w>EhYbTU2Ik;_+rE`K!m zUmTjaj4Cc|1Jg<7tU@sUb+{}POp{a2>OdxK51ay_li7d2UU)3$f2=B!9|qONl9e(U zP{pcqATg&Eh@lj)h99&b(!t)a`XnDhChv>4kZ?d$GKM;J>uF^wk zv+}J2Th+vBKKMXJx632JRC&U?#scTFBD|D;>1ym}2a30b9`F0&t@jcyE=@w^kN;;+ z?;IpASEt`ya2UTD&Rv7R-Lo;eRQV8=eKtnl$Ygn_QpE%*!6eFdi3*re0kqD-5X|j| zWLi!8Topcp0VNTBJI#x^{Pn(3?_FXpIX`_IV_T*}i9Ub8UGoA_Z@g;mA)vZ^JmCY9 za=&rk2xSQKWvvK|w-gj{~=NcJgOHVlyDHVOZBdhCh^7&~T&ksCHjXkIScaej=1*g3>Qg5B1oyOdh9 zb&vn#hQPgzsO!nk;1JhTezS(EN*w*$iw0g#^ggs(c_ zNHP@`o~0D`k+1I}D7U`kwy{J!7wSYZC_OKfXThh(g8o1&F+Q*<6}jE@8_}&o1x~)| zT~#W7!_v{H4H}`sU?cN}ulnS#P|C#@mk;71kO%NR&gjozzPA*%xmO@1 zfmSXN89%;-51fVR>02iYUN`5zs^a%(p*K`m_>=dE`q3LT(XgE3PpCj_3^^fB&{w`c%D)gB$>fOaJ|hcD8bRzM|10P?XCFmJL#FL=gtmV5W zg4v8B5FC%=#b(eazVSJTH{ulf56*E>0c4++^A=7U^XVZMh;Gz7deW`kpxmRw(G%BH zMom(uE^j1++k(_1Z6jPNy}fzwHFr@;pbM!nRNy9nt0a8J-By%`HZwmE--C|70F#4@ zcPd-ABJ!CaZ}=MMq1)_W5^*43AG5uxjCyxGA#Pd)GLVz9Da;;S8aVjxc9L#nZxB}v zwFLn_B@e|oX6+xDH)aNt+s6MP$uEd?bv^nMWJBYu*IfNVzyod%24VguXuZEYz;QX* zg?!!S`fNd{OD8rpHP*b0HmLq+#C^KTV5fF~CkMzyVwwIcyKzMrW4Dx_f z`~+1K#VB9uDGb?jU)#;(&uiUDD}8Q$@=4Fw2ls`*Pk*lL%FJJNbW!8G+5~qnL7+xx{ zkV*w!KtU1Bjzm0J06#a}mWEjj+^U`CfKv&|rd8G;J(<;|(6EF%@4&(-OR;YA~9_1}FBr)373_>pM z<|5DLc~HF%3&uYY<+~p5T7)UKm%5Ia5?QUu>N5W%_JDDj9K%9tF#qPXZ}Khfan2JA zLyhhdK@Vk7UK6GLsx)v33^Zf7lIM4TiNMg~MZ1dI*Ffjo$7itc{2#=y`5ub)}a@l=hUgl2jB;l^p)5rLkt~tMnN@p zXo2+3Tf$S%@Fr@@Ij@E|Y-N)=bschu0@e`6aCqExdf5}3j-6p18_v^>eY2}euIC@3 z=-aD!G+7q(qk8C1KQdXHudhSkx7FVgKt;JWdhrMc&rE({@EgZ$Cn3;?Uku$RF8n?| z*7~;#tZ8 zs1s$rfje6Ok`SWK5(e%-yH{$=xUWdxC&js@9Ah?l}?${qA z(%8U+y_gTyqhOh7;(DO42_tT%O@Nke&1O3ZBbV$iGLIz_Tn<=2flkCdCCMJDAwX^y zJ+1Or#lC_}{-g-Zl2kze0#2ehfPjyXtk+{F}cD zA4R+eIp4rke8o1nG5`LD!2FNs=z!wE+pJh8< zR2y5>wRPb$k_K4Ct~_9s0^0Ov9bMCn*1QWh&`QH#gOAFDPOU?)n=Tz40;rH4Jq@D* z62$@(!*80Dde{D2#!xqiss#F=x539cHpDz#X0{qd{B#OnLIb#JC@`>o+zEhsM>jnM z0Krx5@p%|ci zvOxJ{#zkL)aoL+K1g|sH+wx92yq+Yz4Z=D5hj7$%;M4lD`i_$b9;po(cTObC&22O{ zw~lI%c{0&f^VNyCM3;~nhfk2}1U+`{=8gp4)l$|DSeBD?0@k5@qN>iIicxpAY}Dh| zIz$=q(CewnUCVY}hu%4`_U*%WUn1`Y!wtIgt`F4l6}$q~URce~BZ1T~4q}@|q@}=+ zSW0hU?VcQ~zZWcs!xJg-=WXCjN&uS$Vswsvf%+2b=X+o!NL8)mDz@D;>SBjZaqro$@@MdYQ3 zuYN_fmu9y3$lC!XPd;>gO{kqF#dxvX_12Fx-0w@a+ zPzTF?aa|J@Vr7{4rd~hMedEZhQv~{3Gl#H2|41dbxNH}f=&^7D&IWlg-m)?z$!3*} z>k}y8B#ZOw@XSb95(S`usr(*l~40;dsAfd#T@y z!BE53tHnDp_t7M`lN=Sx#ld3M2Wz*i1RWd`D~a6ibjLFr+m{FYROWpbfv)dB9?l(v zNy$gi!S{BDxZkCDMX1qYHgRtY7y0b&v#mS_?2Hiv?;-Bd(<9UsMcw&1le=&YN20ue z-hB9@+8xk3)HL=X)2Mkm5v|E)gc=$go;&a^r<8zxHhKC;*>Q1c#L9yWl7i;4Kri1u z;)8p6eJf09Dy=Lth13nE(sBKZshnV#AeUE6YhUX!zvvOQ^?|!t%_D4{L=lgIeiC7~ zJP8Y|DE;%C+WEULz+erGib?>6TM+`>`eW>q0$l!U_TWMxm24ZmhTkw;zZ6SJZTyfD zpIK1{caY_lV3YUAg`eB}-k>Ug7|`14K7dTQwr^lcz9X0Zc%`TkanGBoC;NZ{*b7eo zw}?$Wd96#x@yUMt`#|UrIqBWB$R=OAxXsUuecb`5i7vkm)#|9PL&Nof z8xAV<#vtNFkzTj3vQ3vXmYrhO~1C~?XQ2gVl%Y`A%vDXc>cLP{}Q;F#S?j7Eg z0wl*rS768auY|t0UXEM~!>_5528Jy^3whE_^Zl)X3L>HrJuT zk~X#>i~qxE?|D$Ui{5^6FxmZWq_XHLu#vg|Lo4di`#5G!`wNc?fSl?M*u_=*GSQ$P zH)AGI)Ng?8cxfC=^w?`ZvXA^*SX?fp!KJ$0539uQXYh*t5^gqFJvKev(8tfPi!!ZK zXnTNuMAQ})XQJ03r{dJY!r(z-8?61D1~h8!!R>KcuD!di?K}cuLf5+&Tp? zUFQs-H6tM1dL&?K6P%vx4;TL--h=u}EPk!spnsCrls5zH6d`dRfKskj$QVWPy{Xoz7FlFK$R07&+8{ji;{cW(sTH zuFO$R1YGm!$k0-|rM(N_Y;RnGHz-Tv&uzk5mVpOqZ%?^b)71vsr#u2WViHBT^ti6P z6zGlv02*<_&b|c8cs8~n@_;(A3aJ|!Zqfw0&LM;aBRQ$fwN~QZk$u}l%@h%>Eef+b zleGMCdQ#>&lohlP22jN zi}o)Lb(BGD)#V#hune|;l>VqXNES)#iik-%>M!al zjvk#BagYE4)3*Tv`t)FD>4|tQFebC_5&Jeut}F~(cKz4dztZA$c&=-={)d%Kl|#W$ zwRGVl`2PvvObHOv)cP|Lev8VhjirN(_s2m+jfA3}UnsD;C9(mC{-~X>kOu#!gZfXl zu`tascj5ci7TR?_-wZDNLN&^U2v|Sc0C=cspxbv@fU7LQ(WeH_z(;=%gOB#*hmUqr zfsI}UOg5ec-~Wga|3+prt?dBk5Tl55!+6kN{^*hVlQd=*cYb4^g#>4o*ujLV11<)z zkK&Mizi}|_O+WU>*yof|V91>ghqHFu3>g07PJxR;r~W)O0B;ufqu|)sq`I7L2oxaW z{fW5GzYpL*n=g2ad+J}m1>ib+eq(|`wtE#ccX2Q=)dfHij%)ymDzJD^d3q}EIuW(~ z;8dZ9nI7bw3(0m&|9fCgZ8YISD_EZL-zo7`t@klc-2`mZte>GGdM_N>So2Gu67|a- z7gI@HY(^IsLz=Lk^jf|ovd3Y7G- z)hz#Q@I(!D1I8}i8_wJa^Xx!$PXo~2KG5ZxwF9`j1efz6o(PlaGN%mrK&?T?L; z;?aa{)Pp}Z3iGKHaKFIv6Mzng$KV|$ z4cIxZW_^d7tfyO##>00S7p+0@c7d(CsX}TU6ZAPF1FZxt|{921iDws zwa5v9%abCJ`Z3ai7nzsDkNwydr$ETrl^hkU!NMF;@@-& z*YTNN%c|b2$zCiq@FPGGoaO!|M6OkjG8apJe^yzhePMP^$*q>%G%UX7Z}*npCpQyixMk1)(SJT1Xz0 zr)SU^whm`xDvt|Y3LSdV+jgC++*C4h*=Kg94EtjBOGt{DO^IAHRqB30p!t!XK|;;b zBxD}nw~J_#+g@rVuCqf?p_v)o!~*kWAfb>w*#A*v)ne!p~s zyJhR*vWlBshr!%MV^zKgi7V-6pO?ZH8_O(9*u!d3JTq77o2hury5qVLxmUD9$=HN~ z$G5E-e9U`1&wt{?b&Be#yjvQw$BVO;KL^~9!wIMkHOQK^FWFk1V>s+FvMC&<8Ok2_ zjJf|E^SF&}y4_8*DkE7V_*5D?`cCrTd8l`?FZG=9Xk+7&1-e!wPF1-6nd%PS%jXUG z$LrlTJw#lAa!j|*x*P4O?Bl&uK98VZO^7;R{q?7+!K z^NAqFhH1xkb0`TWez6uX3_Rf?g>Vhh6bOC$8NKBsCgoP|d10^q^rLpWAF*6B6N=bo zX@f{#)>(Wb;o)lEi^Um4cc-=N>pjOOFP*pVe}y)=$6EZpXS*ZX*}wkae#3Cqo2#j; zuH%@XXZQ;yP+)!Ti=ZVdS#%$xcx z<-C56nh+@cB?fy{k?~=@#zEkuWnJEVh%IOk6tYzsW-Zs zB#26qN%Ah73SQ)h^6A4Cb~1G=Je)5OHZJjPwsyeqxvPzpw)kwf9$~+R*1)>wvk_3GxMsX!E(A z*4$yqvfEc)gvse2Fv3mjY>$ecuYUbi-7!le;(fTviwJ4{gO+ml?`%;}9@dY6=;8)+ zhx`2wJQJ%9Ra(No(X+M_c{k8C172qgBO1>6z2zucj?U@r-Wtt24j*l6>?5>v`&(RE^y_oXkJ zzqrwz!zs&MyP0OdR8H%VdHf_@pjY|e;89*cWp}a<*XK8>>D7vaxr36;BA-UohPy5q z><`11n`O*@a$;q9-7@@OTY_x%yl;)CTT}_?EJwfoth!f^QkSzMU-Y0K=dIoRMjH3; z@ay^k{pwOeVQ>ZWE)D8HrB0GNs++hcd%0&7hRF`k@b`HOdkgFv z_I5n1h$MxvPvA<~;mrY1wAZ0k?x^XdwMyn7l>u;s8-VuD_;)CD}tSJoHD} zFn0YLuQ~#H^G0ysp9BOT4PHIjMp3;!1t4~;Yz)HAs z%YI|0;O`yKeR1S}VN4Rx@tP!i1d$wUcL8dX7nodMpl^Mw$N_@mT zmK^267dUiWe9cn}Fl6^S#J~K0yJM3?Og}S5CHhb+?iH4xk@I7@4$+m=6diZ}OnWK$ z)62=z=gKJJCpJv4v&Z#6TbyD$tWfPG?s)`Aq)Cb*jCv5McpE+dMNW%(J|A0rD%NfD z$peLt7y(#vI?e@25yoUY9rR_QX-BF0=eDOd^uBpqS5<*ra#)AtkAP)7(W|$(P0>KP zA#Wt~A*s5cge`Y4N&I?sM<-ZI`wey9w82gfJKs>FF4$&jqDG2@vLB{o*kAOLV7JVV zwZJB7{-Wuyj(sHLzd~Q)%=Oz+^ek?UwRDZt$N7;N`Wx@iyljD`jc5>`hg)c^ErS-GU3}X4SgZQhi#+|u7e3S^i zP=%PFZ>i*iAUCGm>c;L~{y0A=lM{cb?x)@Pjcwms`}bI|6z;9Hu0w$t#k<_pf>NG3 zh_E^A7fMFdDi{+~yKgc6+A{p{YdXeUotI-9@KfA>4fDp^taT8Z_r=6dx>pd z2EoJI#ZRL^C#Mr^plH&dS&qs-e-@x=DgTiqB$)x=#&!x46ysEOrY zyf;zAiHr62CNc4cF!64IND=m1XE-#$HpykvWv`L&+F>KZhtIeeN}t#K_pbi$ zzFE1A*;_!$^&PppEE2GnIj1^GNILh8v&cUVHzfEm*FGNQvVd3$>J92pvFkK%_h1A< zKiXXIhZh-1=-L3QIOyQRD={$?baPdaQg8VdRo3YVgC$v=DN5-Z?B~c*hFA*0nQ_+a z6eFmQ>?1w3gg=bAYf$XJJCR<+TSy8^?eDEbxsJ_*PT8u}{W4ZvKonkVwwf3z{fQ!J z_AwKc_`lj3E5-E3cKV#$vQk6iEea}oZP08ZPl8DGV=pVIPB3n#Dm;{9j6-mD3Ja)pPtXfOp3Rl-#%2UZ-Ol!j{L>; zrL*Hp_iy*}#8=xpH6{A06^_@gL)M5DF+e63TrWx&nHHuL=ZR&b5_8&tQUY{C%R#-f zbmHqGvijHo1zQcYhJNPVO%g+{i}>fxou#M7FShY&Cs_E6A|0PBzu%!HZq#By3^$!W zA~x7RKlCBWALXBUk}fGPt0+n*oZTfFQgqv~{vl#bbFp!0>cYkMgx90Uxw%JutXKuN z{bl8d0G4DpSkd!*Aa@DZ-Xokd+1pQ2?Z6r_Vpl&K>~q*M3GoWCV{;|tMvIq=#Acr| zu32A>!&V(m#ZHvB_$VmSj9QErbnX}VKaZ-rSi@28)HGyZPrwVMf#G0OqCDN(XpIS& z))I480RxzJ@$@I!u_7yetCcgXU+;HN8f-OejrKX*6~)a2#$X)OPs{E9I{X=)h#8Ze zNu{SIe(PPE7%u&(I59(2;t#WRmwR@~!F-bhc*adxF1hz%BdQlV@ll&b-qJ zmO-OP7Y~Mk_sj9K`O+2iZ|hL575f1bTMbYea#*e5u<3%O7;firK+@B2XDO@{ZQ(zTv+5nsG{1(8RHg# zBilW=74KQjgf{N9>b~Gc>;qBlmd85uwaxSb-|HPHl4>85@P5bd9#EI_?Vv7+kSy-X z*VR#6R%xsGI5t>6c1$Phwr2$s{bV^^O4!cfjkCfy$Getu@nQ;M^|D0)Mt6dD-@dmy zF)+&-Z@qn&z_QR2)EsU`AS>|#)}nK*f_d3w9qQWmpy8J?&94_;ssPlON6PhSeXxA^ z?N+4R;@+MpIs~1CT>*W+mG}}U7j%|8-Y(Y? znF;*8r{kH&+5kB1&CT6-A-lDqUpFbO3`frjmO?R>lK!yJD-H3+*_&1Fb7uM+Ug(XJ z2Y00pb8g(~8!7jt&r=WXi?8W5v=>(0h4#Ln7hG5i)u9hQYZWu%*yR_H#U!~gQtY*B zfZLukDngK4-4QJl9J+apunuYYy=R@)Ass?xOMw~t2zM@~^kBe9=Fbbe7TH4XgBn_ACWTr01a*Gp&so4t$Fx}L5NiNQI`s8ey=`sE z12bn2uN-P91ogNz2dd-mOw5%$L*=Dc3AkD@yy9&;<$5q zFyI70u$oK72P=g3e~q}g4)HC1JmjFhyL#u;`E96RcZ1;Rcb5}RF;YqiCw9HNhCRhd z1Wa?!=(q7DiW=T+w-DA-*A{QhcCTSdg%%2~ia#K(Y%6%ndFd0unIaB4kHWe`mDwcc zsNy#MOkuX9OS|T3=i8hNUK_V4c8PcqPw@S+bX~HEscNN?ap3tW*wuSc7!yVK;Pw>k z2iDo50uMrx&PAyDn@Ei=?v|WS+wx#*66}`$vR4Epop}u1M(ul(OcD z1~i@wGlyag>ZjrmV90Hm_;H5Ls+|I=~`O2jZvV zAPw;8H$b_!AluHT-F?-lBAYL<850t;7c;^KzVHR-4`WPi?dyJ_gTFGGfXwKh&C{a6 z@Ws8HVb%ZIbQ*|GL0oL-*T+L+g0HX`Yowjza&6Yg)A%7$?p@d^4lyzx zn|_En&Y`Gft08+T+=Caw4hgm!UMD$6M_1y9FQ4d-lk2y0ilKzJQm=bfB+^#(wKQ8B zzry#p3yg^Zr1AuBy>l1m)a7ZNA!P4fQ(I#dW9@Vg?jw9`MeYV6Ln)Cozdmh%?QK#U z#Xz;^VJ(#Qy(~!V$0k>-LtcUS4P>wbWcasngJU|Kzpwa>>D!rbM&+M?yJoJ$7o(k( zRAVb#JbXo~s;eYdd&;cZw0v*OPiO z0iy!yV$T}%IW==G{z&E&zk~2$(`)fV!5{Y7j2XA^sRq@Cit5p-wA?3{i7B2HGj)Be zw=sFn6aE1CSsuSOyTC>y=S8vqJ23Wv5nc}Jr!+XHM59pE3dg9wKM*}nQTw`Y=Iu(b z1bpxEjd6Xmr*Utnu#$uMI4an{J0xQQ=uX{{;Vbn%fIg)(KuT_U{%t|5g-E$i&y~q$LANc&kUhpNxz3%S(Ey;#b%=a6?1CE&b&4^xQHmd+ z6n5dD6g#ZW6g`&IzfU?FnE~rbCvoA~lVEn!6NsA4#O79NHel)7Ud-OKYZJ@@t0xFL zEq{j9eU@$SDkyX{` zKefq7%gf@qIaj1NeXjUm**|oV-tpySi^ChQ*50IiXNG*~DFz-muhY&AmYP~J&4Tks z?gySyb}HL;>?&+YTz0zcKJPFjnEb_B+xKb?r{C%upzPVl(BjJW1?KG=g3ED%V%)+N z3lE6}t%@5=OnzK!?bj;+8c~Th6O5TR*bMu{OB2hnxHm4QtTs0P!p2Bu_PkfNWT~ZY z{1AU{w|>Dnya@F=n3eB_tkcvR=_$)YYd}tbLBtq>xw#GMn%Os@#NPqP+LRs0Y@|5o zfX&rnTMa8EbZ0;xKVv8-uqEGlL6T+8%IRQ6ClxuL3O>b{`%@7yLWZ^Uc*k6g+n$S* zdT7^H(GTD8I@AUv3v0d(l~k#JDLjPGW%FC}Xy{}58u#ACx_Uda`TZL=x*&F9W;~aub#x1<0BY-lu$@LzU1jKCN=x`TV1Xm-(+UUY|4R3Rr zy8BgiXNI(A zMJe`?{@K@Bd9bMC-g+>8ZPEp3dH2xaZrO2M9QN^3m^2~77s0gXMExIKk@QW1nI zfH;X=37AKq@usX%pEC(Si#zrJE#~xog40jSTWyT!xZoi6>c9SM~rN}*Ra z@{L!`%rrqy*O_4u3*RWH%S7-iHqKr`(%CD#%fgr7jACxsEij+<6)QQhPq7?pePn%BTe zyREoRRv8$4+rCZ!(MUkaT~QvjuU2y6_8;1jp*~@NS;7mQT`Bf|a19sdzAZt`nZXU> z!~`5J4AUPs#*TsiHJL4|Law+9wExMHQ=Wz~WYwsh)xokyYX{4`j`yaQmk93mKD~BJ zXpAYMwhpy$X1e@4%awT1RX}#)dIzRU)?hNF_j7(l=@ZvPxGmClU`g*Wd z6hTi<01os526C^5?cNc9P+x%^Glzoojn2i3{^p(EUQ6^B+cd*hXElpbL0e5McX^w$ zz9_P0^hKRnWMKO?$R&TAqiW3|^;WN^HQgZk_14pdq=0ST`@Mq5#jK*^H9zrli30{L zh$cK})jkhA_sFbv57g{-H1_y;e;(IFUM-uA^zk%CsE8`ki2x9FK*Wa2j1g; zdU6-IR2GBL5ws>Qw5CFDR2O0K1W7T~odY4-mH+^~Z*M2zi$G6zVdoHaRf$?)^s#SEH$}Zpens7R(VtF*+qZLb_d1?(cz>v$O%4!D) z<>fuyY$6m^yj$NY9JmJN%NO0_oTif%+RdA-pK){7qY<(_xfovmF=NQ&~R8yY#;7-Q%umk-3 zwPnyRu6omLbF^xyP(>7RHacPGK+|R`L7`Urq6e=H3`n@tb;!cRBp#)fLy&dU+Iv-$ zm+IjpqAD6YdaEsM;kH?2LP!F?{I{)Y(CQ(9~=@!2Ik2W&d%*nJeofzFiE~w zSVc;A*p99eZE)H|>@~i0*_sEPVU_k_@1rn;HJt;SYU92u#lve*hnF726jj3yGI=F= zCM8q1?ye9_NO>KShlopD`|eY*$?yyPKRyrFFx(SG@%O${_}8 zHThIW7CRTMO21_({)lj#0`T^gmG^5d4f~=WYbV^tjUH04NsgEpxecPbqWBD}>-`q< zzb)=9*y8RD`nQcWzJ9^21G(XGg~LJSJKX(O<{SGv^Rb`b{3LYb7@n1tR7{Hb;l{ny zvC7}+`}{qAQ~*1%r9K2W=opQ$pqUL7I0t4oqen~SCzO;K(JAJZ(50U+c0xd z{{+93kfD}b`yTmEfbDAm_Y)N;d#uJ=w1J@|nXTV}k86f#YX~e;`Vwu&Y+>_U)}_Hp1!R z`yJy1ywL4Nt*KiVoq!E9qXPT#0+dDmD+v6g=h_L@38To0KJu#zUb(>g+?tuT;@CB0 z)S{jKU~pbIEt367@D6GfI-ivLY!=>0mG*XGw%+J2#M*YoS4?a5G3yH+PL%ZhafD~t zVmBfmn2RSVbD=+vI)GASjDb=_9)Nd%I&PVDs zYu+1??`N}1h?t~6`FSZ}!>g`OIll`%=ik>7um|bt?Gp9j!cK%XC(xscKIT&;ZsXs^ zmnzFL|8jD5A9vbn@Z8?xvHRy+q#SFK6q1+5nRfpT*u~u( zbA?~fkjY5`2DnC?B21R-wsft&nz^swKni&j3?&GtGy1a5tZx5l#|!gP@>0j`UEnZsRic=tK+ ze{3|j7dbOP%kr>Jx|8+^T^mMA4_r$Nx=93>!R(g(`ivVJ?5=0`pBv5A+(5l~3;z0;5fwzWo*#da8 zl0qI#s<`o1=lO6H-3gl?_U7Mby#yvEToweJQ04fcf-5omb*Mjb^076=rP$@sbo+_r z&d>qY7j4#r(jIgV+N5TbT%Y}3u0Ae!)k&{~9U(Lt{r~(4t?)n8dOP!XA(1F(Agug$ zKV5ZyH0g(Z)m^2BsORp1_ZyP7kK7Twr%Q`nvntAcis|%u6uzc4sW8rV?jqVexhI^5 zT{x1iPSeuC*xD{zl|D>x!ajG`H@VW-ZQXI1BYe*~v*3jFLrsY5VE1t-qBx z;|W;=|2JU~iF8$7BB=^_`Y>|{{*)(O>fiW%)-LA2t1M;m1_H1BQ(J~Lr zKG)n&-8r}K`W@^Y`ki@TjH1bTc*vvbfAL;YL2iZyf+={QYZS# zWsNOrEba+Y$v=-PEUiO-JsvaK*p0rTbbd=;Wp9Ep6fcQ+EH$n7p2dCLh=M+#p&77M z0#x{Bz`G@UB6&^FfW1eeYK1$P>#a+pCvt}pPen0q>Il2xB#Go%a?FmC{ z!v+*9=0e0}V)d4~7h8{kq8NAwVCk4krK?i@mSyBii z&8}S6v!=xyno-)1$Kd^6pFUg}vL-_E$KZn(e0lEd0~1nsG@9thEv7uEhIA|T&i&d& zc{{dAaNEW}di>&uCR3=P@<}z(rR567X$=?*Gs{Xosq7_o0NERwv0YBF^S3WfQm5BJ zZ$@7%Cy&*cd;1`tB}URtcm>6-ABkOjN^-h#Ak8t znBw>X>udxMH!b#;M~k{OTftjLM|SKU5H~p;a?n_Ni~4I`3CYdO)dESv*8-m{k((5~ zb;pn4I{R4^iZ3o_Y(|#GBG{ufIDZ~vE8DrIHL>)btDA!6*afJBYZj-X+C%v26VAg? z0Ds}Np8W}GnIE(u1loZp-v9XVZ#E%hQ9@;3uizpZZzjg>Y;23L(q$enVH4@iWb%qF z~_<{NtQl$$L~R-w^F|coHEJ*=Zdj4qA;`ea|xo6MZM`WmjP5ZUs4`XpOZ}?`5d^9u_I+g z^6bG)2TEe_fpu@f;P;+CcW*s0)o*K6$0dq&E3J+uH}*td^Q{a8*kxL=7l#nCO4^hI z6Q4HirFeO z{1E^H@GF}g6(0<}35#!76Jb=RSx1wqW*+ezye&J6o5tVD&3*cQlP`D-<{166KQy-Y z4hx>-^BQGM0(6Yy@gYC^E8iRd&Cp%8bs$E80^J(2T1r;6WGjfZttp_m7Lt*8Glv&)&HuMi$bL;cmLg3!jKS@tF_L$dl&A{OBE8ZXd__y^% z=pi?*7jPwn<|XDM3v?L6`nJbyA7tk}2-tc$S-W}snE8E&r)BFpAu4I7CCBcE z7J4ub{NnTyBzKZEu4!OfPL@7@96#C3ORB!=b5~oG4>XZCb$mJOT+;Im!4Uz1)7Se& z*_th)f46HG+kz}@f3MF$aGSQT+%$ax)Bkt(a)9+%LRs9Y^9v3(_=QUPi?-1E!Dc8 zptAvwBY~v0%ID?rT(g0V&64<7O@bs3&vopU^kyTxWM$uw z5&1~c&Lnac*AcD_CjO1Ky^H$`Mp)NoPC`~pKVO*75K@9Q*ov|1zvsCDB*42Z%9i#I z@;3<{1f=ZZHO}@MgIVrUfAxkt<$!v+z9t@DN~G?uzjnYQR0cOwVZhshAZp~xaerVm zO!h9_u+=~j@%ypz3uTU$&f1QDmBgsgIcD=v=hFzCJBpjIm7ii zR@IQiQU4c;+O=#Ndz>e>aLuR#)|;DA5|EW4miOKeR=NZ@@k+!+w3#IKAqo-K9XIIPF67ee9KtLeo07q za6oA#mgQPAV-NxGas}X}+I!)N6p(WdK*E=SeG3J;`uXxQsod64So`+a2(sG-)?-+9 zLYn@_PGh$gaAyU;$^C}e&Y0Y(vRPP}6%KfKWt?EMOzy(mKhDFx7cQ4EK5qLCIj&fL zu3m?g@scH*`4&z>l+Ssfwx!iRCw|!42tPawyEmC$QYWSInuMl4@FAL#@%aI@Tpw6s zU|3+6l@}cLQ2&q5jebn3?gGws30mvwJHJC+2ySZ1pHpQ6nJ|6Yc5VRK*?T{$seqtf>s}{ z*k_2JeGAn(?$Ea<7(3w`!paQz4}zXJ-4?HEkScp!x}!+TddN+&>irLM>E0&33iYjK zZ=Y_fV>~VDf<>*WUuk$I%h(ZVl)0G2T;3flF`s3xtBPq4=nz*7~-(Da2M*}UQ zSiX|u88&;p64m;5An3EkG#h$vUq7xKH1>eESg$Lif;VP(S$cxI;z)h8vwGI0o4b{( z-O9dwaoD>7xu|oti7~Ja(bG@^VWkgntKu=1%-MBlO&{_?s(OM)cD!Jm z#Wu^gqGtTop~2L3=;bA53~KzHbpowo9h$qzw&>+5Th@6vva*lYSfjmZ?MS1v5^7;< ztF8EdFHaxY)l_D>Z`P!#3bE5wPb=t3%Ty6s=wg&USqQ0&P ztAM|=Qtj-`BTFSdh?R$Mx}59gR+&p2Fbd{=O)8AQj{N8?9`%tIS&oL*1W3y3P(r-a zI;1VLG}S>Qme=?D>%s2?+p>6W2=%Y8qm=yJIb%nyiW}yr#H?wJ13k5q#Ds)ool05F zg(HzR&?j4~AD5pGF%n6MUvu(gWGa1sT7#!5{2rKj>Mb&dD9?Ohx?@f}RBGC#l+D#w zhwu(_Wz<@%;MbS2>$kgWD|0%pj0qcvQchQR_xo<)>LwCNm71{B1Bc%cvL>dg<8Q{_ z4(SU@WW(9pq~$n>rTF_HJrC1Q-Ja`sabhW*?x}9U zuH~4~C~StXKCxdYlGu2lSYuXO)M=Q+k#kB=e#dzjSMr1wuXuS1s?t^uh+ zu0w&Kf8&{P|2QOCtaF!bE{`hT*Yo<|PZ|}h*r}t6X5Leq+TMR80t+DH~XVO8o{d2M(XzvlP*7(!t zTtn(L4Hh>`n-3Sn>dX@3ZJ(H@k*jIOE>llv$!NDk#{tpn-=8I=Cmv6fzK}J(rZr0$ zXhR`cNOHvh=IG&AmAT^=B!k(EA}~_cclK=hGW5*--fBk?g^ns;es`jHPV39tO~%!U zww%QcgI~mB4p=^ZDI4bbGxgrX_wQr{794oW4x(~V6-_6&cm-SYtvk|zICYl zE8Th>x)cqj(uWrmSk)Cr<(eHD7|HZGyCPX6^{JdXn7~zi#YibB>78(Eb6Z$Ezvhh# zyEWtmR^JtgF9)%e2wK;>BlpoyVMppxu5+0`navz{M!xoAVCTWz!O8+&r74W4&7Z(8 zeOkV}aaB>@bZHFLwY(1Xpr#C;JOPO(2P7Uo=IG*TJLX1xA46=$m-SHxm@vTf3l3c! zEG^mqjv2Tr#R^w3tusB(xUde@m8_j!hqBC6*P#}P^v-dP(U~p^3&oOLhdP%&uR{~( zK6#0l{olSB5c(hA`DZ3mwGN46UDlzyM@m`oM>3OAIlh-%Oe54(=$nUmS|kr6SBIQT zyWB8#l5zfFf+&EUfHl|aG~`bGLL}cr>8jXZaq@g4C-EU$6S5LcMehbloyv!IzdsD` z5Z%IHf;FxkrKy9TLp?4!ZF$Ic(gm(@R8?IJ0*OwY`a@Qs+Af&)%Dp2ty6rL4L--B@&!O!~V zw%eLNNoM2O(Jix~q({;b)?5_f+XwlEQEuP%(ty+^vCmwC1nv2dZ%fCXmn^cmPm?>< zk}mtw!eI%gf1D?G8ehAtu|;J~q$SqHn!P%SgOz=n7W)n7E_H%RYIy(+AN^yGU;nd9 zq-twxhcOou75xa)WQsymNp=4s?B8{byC{TQQQwH5jcu51g_6L){{r!L{*Ok67yw%y z5PSM?C2*Pq-DbQHMqw-0#Sz$}ybGBDj|y4HC=XszT=4Mt#W6@E%AWD%xq|_2M!gGP z(g~8wJP23D_YA^-3*q8$fUU;sDLBFXpMZ;hgt0qk3!4qCH4$b^o)gASaK~j|X{~4DeufW59!n*d0o2 zdHckgy&??Jr!#0jr7+4tAIK&u>wG1axZX@$GUh1*%ogCETS8Xl!JP<_{MbgzR&!Q=4{*!D0yF`aZkUhp2 zeEhe|mAY1djz6IKy6_Lx(_?83kG97?vJC5Z2xT2v(chSdZKd-SH+mp*fL18~DoBY%%@2U7c`mOY;F9 zOb^&PP`?p?$?xq8Nn|YO=fP+MrP=Y&DcAjz?#mVgHJwoQJueR+s;GAfLlt7&yXxzE z&~HZe?z=^{kKsucb~bz6*#f=P4{GvIm7jJ*=q!AefIbHPKmX%cCo#thh$8jOML%gN zEQ_!|x(d^|k}asNH?5HNsUbO8KBI4p7PJnXhz|Opbq$>*yTXs0uk%ucbUvfGQ%sN- zRQwa@rCo~c3WU!x&BzO&c2(5H460h|4FRuaTjux6D}pzILz0t;xor(amu>hC7_y9{ zK0!t~L`hrjg(QAm;BuEz3B)5vS_`5VrM3=PG4wB9)VfBlRAp^$u6dPfOt!g?+)syJ zBKdKIHTQnIBYMxd?+f?%oYu^yqzJBk&qe0{ybw~5z!Ej(8wu4}r5YDM*si71m|w*@ zHH0cO^;XRkp1t>|igC$P6&+Bt%qpKP>&cZ8e4Jj*33-hCFJBAUu_mS43bf?~&;_Q2 zX~QEs)!dZEuz>^g;*zMrbtpIN0o|Bgh6F!l)+r82r3ftjhy~@lqWvK}t8^q{_4?*# z#_>Uni3|T9XKx+|RsZ)7AC>5m2vL!dNSm!JDb0|GB$bf;DkOUnjVz;7$TFc2F=byu z_9aZ&CMo;AGnOoaF^pl%%8zgfVO>&kOBrJJ&9bBuuAve?TA+&v zVxCHA_sUf@f7r`~qeaamynwvx(TbaXb|l$UnJIl*ipQQ?qb%tA#rCSHN{(Bv#;y9z zbObxrcdH)Bupx0rFh1Hha6{hgtNB6|4=5>9#!T4_8)lcwZ0`&G5p4`QK_A~@wH&6Exuaz@l|$)C5129OZ0D5Ccv~-7w_l>n(Z5MoB?< ze}rLk>$S;${tg52t5!t#odKs}x`Uh*QAi)F(P!n1cFOWAs7x9=AmY`I$+mp# zjdRFU%xCr%i9UK?i^5QosVRbSxB(GgJWkqcIi|DAK1WfOP+knb|%Ch{`uTvW?8vd$g}PeKn!yknchVxzLnTvz&9|(f>d4;*K`TrJ1zPh?n?e* zV!RNW1y+l%pi*xqqh?Qv%~`F{#B;tUYWow?*V-SUKpN@()HI-X)uh>!1s$<=o0Ltx z*8L<6G=}_pMktN!@l ze;VhKYU)|x%Lg(^(u!wzglNJzz|*xb$h@>fGL5kkz$ePA?TNlxo$ElgYvJ_cH&x0j^`_As2K1|9Bb1lZKdjW%gk+?H8?>I2lB+a3G z-s$Gon@yd7S{Jes>eJG8tKeG}IY4nVG>6jC4ujI6PGf*NEPdFe=zUiqzGGV3ZAXF` zBZ_34T#PhjZd?nX;WxUlS^W+gx|On+@=K>}ysn&9N<1;)`6Lb0F*xuJEOP#m1trq< zyMQifjv`!B1*7Zg2+gnIHxReA6yGWU8kQnqHR@yusF>8=VIZ$0OQtuXCL=*>(#l>Y z9UML31>gl=;I8g661HX(daBNxeYWQ@rdYOELNM-K^t2GIE?`TLvf4}?toqlk$bPQj zJnfS@;S#f5w398Xn?MRuok4Y#o-d!R?$mR-)XH=2+U+&4cyvRhqE2RS0BLjGx#dlD z_NDGPT*6LQN;GP@5xuSlnN^e=wr0!+o)(*+!;c$uGS)3aIt4-=NMe5o;NK}PP&0n8 zsyT+;(jNOdq-1@o)D8f3UOwNtvy)n~y7(KEttyz~kF9`+#uupO#&c z@AzRVouhcV0Eil*5(B_e1eF-o4bN_GjtVxQ;b$Vf4U0=qPOo8pU2|U;?s{uypDEn5 zRN5NIi8R1QG)ak#O9C3wS_L(6%!T9gJgI-|P2b~=}aU(h%^bv-`%kJLz z`}#nUWXayXCj<+-lyJ0I5DXJ_ZYem{0>KKaVpPBJ zjb(h!izNHjI_N}=7Y_3U6Nrjm!7t+bp_qi4)UAP+C!M~S9Bo- z1tK2>PF>4kwo;RpdqZ?u5)RiWvjd~Mw$Nd~OTpmYZQ&n%bJ*13Zu>*mWhG^Jx;tZw z&myX$m>a;~3>{D2?S7z~AokPotLh`s&Tn>Ee`D%E`+Zp280OS_cGsodj!!3LMgD>m zyze?o04rpOPk&rVU}Q}Q)-^s*o!KdYsAtyDjjlyGW)x??sqRO%J(Qn3K5=y&6+D%r zZlC#1MjjKYeTJSoJz)x!MH5I5!Ibx*LWZg`lnA6()sJwq1MexF-i8W*L_NponDYj> z6X}3_onXTc$1C@gB(o<_^?}qqv89Jov*ioQOXzrLpAQt`j6a{^iLk* zbi)nFqE583!<>uo={p;?$GydfniXY+AZ2R;M;|7>r>u=pKLQIZ(MFE6M899xo$WF* z1Co8OOOJYcpjmx6#$WVQs5g22`pzP1ovw@O%pMz5J+s#PhKJK7NBRuU;*nWQKX6q* z#b2ernC^!O3o+P;jEbVpI>-1;<}zyC20tvo_7DKdL>~YuF$Y*#`k*OJTz^DoO&_Mx zi^;S!Xl{F92-q*dJTlCz+6z=G>XX+-ZhA?hCZCq(tf$>}tv&^zJp|cRNe5 z8O_7ErrrWMwIx1e(~k^dTHC&~bZYQd{5N)>eUy5L%L!AG;M0d94qbC^8F6(0%%b1Y zXpVXd3{Kyn(ab`ZZrei|QSR%U{$UwE5Nenyt%xeVh#YC|0Zep&$}i;ea4e>%MA1j) zON%9k@^7nCY*4Dc`gH65EPeaT#Q^1X#`Na0)dC0zak0-~WT|478!^?#pdRU0zbgW~ z+C|KozNz}wl1%ZoC`!LReGXve_Q9^)qzXm)1?sBca-?eN0b!m4IjHp3e?{(m`dwJ53V>2!9VAP{$JwSD8(o+qS2AFL0U{zzKyhwdDghcMwvz=( zM8noX5MXne>cyU1YZv(r652+WfoaK+Yp>Va5pl>IW-m2qs>49k$dy8`hqpHL2(i$4 zv<_5TI0}3GGWiwMTV5hQYyd<(2R5|G^9K1CUn%uXD~7{IccA57I-RGLN@4c-{7?Ts zT3B+l`^dER>EvY0YNoVvFvx?xVvLCd9{T0}EI5mIi~q^uN!`HztN+XY(XHEh&G;W@ z@+hf?yjbj6lcyV|0D`^snK>JdbZ;@He)=M;r#<9w*!AkFibSfeYsFn>zS8SG!VT z&NAA`%f*mPw$mjzGchAL$e0fJxon`BvjyV)*ApDG*mgEL?)A$UW5cGc5k}xF+l<;6qe~8Ak%civpHJ{obvY1LEz+5 z3zCl`NIq%)G8gjc<}mRvUf%{54yBtM_jRnr^{ zknIFjMe4YnnBNQND4V?`GxsDu?^9apT7Y0(*0MxM)nbW^tx1a$E*T}50gbB!#)k$cN^&Ki`0lN zv$f=P+C50$c7J=DUM68<2DO;SEH(znYTpi!74p^9HC3)}HWu`1fy|4hc_8h?Yl9;7 zR>I+8XM~ep1W>ZI!WGvIhv6=l;ofK*Xj*jU<}YNQ)G6wW`w8q%wGZ?(l&KQTxk6X_ z%xE0Yd005q)kO7|CROpFR{MI~Tvx(`qZ;9dp5;Bx+d*>_Y1_1-sRSKU1C ze0SQs-Gg(!O=<9#>&}k?d{>@6$h~W{T};HrSTD)KN3eT*=`J=HDf79S_UxyE1(IUY z>AbjLcsCj&Hy}^34yxxm8>x9qELT_nM?kp0=4qK#=)vxfWI|m(Pq6CoF^k7nTB{NE z$YY&~+y>_DxkVOJbnUYIS3ib@X=7z?zBEm1U-B~ziaB*VBR5!;V^4Y#^T+V%w#{>; zPZATnQsWs~TxyhW=`5&5KH~#rTJzLvua<+G^Zedo^t$WpLyi||LgOeQ%guvbKK4Xs zD}!eX!|e-wG9FFwXLDa^dhzK!7SVGE-8cSv;f?e6p#U>0{zoh*U}Tr(b5Rs6j3}(* zVVjUcBCYCKGRL)Z-Y6`u3py%1I~H&}^5RGSBksFjk(+XaEnAsqeuCw2s`O1mH{B%9 zE61f6TRFzm^q2}@4_cb9hw#lLnwcCu%pNv=HS>Uk^dk^4FVk+2-7nH|l4(Nq&Z7fi zTy=5BUmcRV*;&lxYq*MD=&j1aKE2G?3v+E$)$`dhgB+%x^nAepre(l%(?|V z`+ekt7d(XDecR=@LyBSD*upW%RsKHfq}=)d_2N=>&S)ns{ez=YgneP{ct(mYr&Q#W zFsD?TLiwG-aQj^{CFFuS&KmBrFeAAv2wC@^r|8D50<3nEd>s75980T@kas4WL3h+$ zk1ZmZPBn9X9BeU@Pyb!p-`h|keZ&0N?*Aa2pw-rY91+G+8B^EJ*-d|P?Mr8> z4I|-4J=G&NtR#I8tBLMoL4WaU58;{o2qBk-Gg~y(r!Q2RjbmT>arwxQrCz#hx{Z&B zH08~@jeJYV#kuFquHBR1ZA9~(=UkAuRa0mF78-qGJkUjEK?Ksxxf-iONN(DOFPCZ8 ziiIeGPs2+lEX8tm(Phn(+Q{?nQ0SR+fwp3NA0Bv(wsIV+So=!Px$T*=+FUo3&TWcM{sbO;?^lRT~;q*%Hn z|GCox9aSe(c-dN9yT>zp;gi8nK>yaP`qxR(+fU;74jKn}phC|X*OLs?Dwi5!h}hsK z%2em*WTC!A+kmS}!yg@bq6QehuP$(2isEw$(>*cq*Xl_Y^b*mBJjF=nef70-mB&9CsTe<&FkQDOR9#lO?c121 zfpO&Ea3%6#pKs2senuuy#mrqct`CyY-ZwSOkzc?yK6K)O)c8^Sk_&3jOt5>pWAw(? zE=)=C7f3UWaP}qL;gY~0mpj$tgle_&U(KD5T!nnIjcFpPM{<<#8JlLst&vE7{U!t$0)oh$p3Y*5@je zN#E-#=2DT4zw&P0w)DQ_3G({si*2MMG{<(#*d=?+iVC`v1#zt5HZ9y)P(kTtl%6A# zfD*yX>Spx7PY}zEpevv?)V$Z)e00X+mAYgSslN%i!?FqP;#rk>l;xj$)sZzN|M^|s zVFGNF3`U%)n5x?FNl^*1KDE{~K*=O zM8<{$UBCv(qG%OsrpLC|w0yOYd}=^M2=QWO|AwE}_8Yuh=C-!+9hZqbf*E&j6Rj#Iq9Q?e@MP@?_}0L$dJ=q> zLw(G-9%9BnRDyvbl9>WWBg*ibN0BmvT3aNXdVm`BSSY&A@d8uxyq${!DNpts<>X-U zaWx0#k?96jqk^IHp-efl++MA}h!{`pUNXf zdl?OPNxZEQkMzt`T*i~OE9H}sa}DtA_lw*P)rh6);L)yD!D-X{7~S&D+(nO&^Z22D z^DJ}DoXBm;jR&_;XrTWEahP8y_tOTG|D0kKYG@Q1vw(v&_s=;*;)anF!`!!Zo2dReU@G_3a$mIT{SXX z1q9hQ5>Bu4O8tA+1IA>6vuNH8%zdq!d((U_=66I?Ui5KGr9HQ7N+Y-`81qZ@PTnUL zM2_qBgne{Ym?YZStEhb7v86>weO-Kh?)^C{Z1qtZ{#s>uiT9Ltv4!b;t(~xwV|J87 zL5R19bsTK-=Ak6-@(U?Mg-aD2R=y;_R!-QTYDwDsZ4BnX?iVqY~c1vJe}U;oxME+JKwa_ zbgH}HoMm18LZ9&o`pCmLDo@|Z+PJ)cO?BepF2@w5)Fe}yR>SX?gS)B2qD8N+%FR)Z zPgg-t$Taq3g^-iz+WOR1-gLSjMNAY=7!e=>vN#C>riFJzu%LbH(;Q5Co=1|u>hbDr z_PgbV<;iX$qCVYx;Kc0Rt z7dMmffhYD6J%k1Iv7j3zOD(~&b`>;d-xIZ|vr*cXdVD5kdwWN;`=lLyUK}vb|G{@S zmO*e$8Z9}hiyhIHm&&7`B$=b?_4m^pYpM@=%ktV!Tg6*Rr}zENPtsG$R`~Aj6oLPK zEA8qhTKL&o)#qYIKO=Y3q#8v3TH1#TRMp7-6cb%=<brS2b@$+g*GOJ{6|}y6z%1Zl;cO zz@)6m4bTi@Pb#l7#^IAhAGl&X>&)^gDHqP++(K%*teoPsH0LC70M@(Y zN!e7T<_-g;{Jof`MQ)SQklEJtzXG1&v!n32(Tr!k?{Ycf#cIPr-z!^utGKB12NHDJ z@EhWE8N^G1akvDxkj*;{m`9bHA!<962y_P$XtYa9r&Ss2RapZAh>}aykKPlcRHn1M zjcyrLV5z^_L+0g5{i7yj#VX78Q>Q7}`u3TOg2Pm%Pr1r+OxLmPE@wB32g*vnh{Q!^ z4_sEgK`M)Hc4Ck|qF26V3qUtC|3Cj@WA!j*%7ri$gVrqQb`{pDIpmuc)pO9g;j)_V zRaZR+7O*BOoRhU~~O?bn=uIX3lIm!C{65Ne( z^G4|BXk_YmFcLgs)!?@wBIr{6X(f?!^KEzc(L*-?Yu=K`1trXjzu zMFeI(9kGaba%!SNFrWST-XaWw1(}AJ$UH+H=2*jSVn_%FNKDAjqhYt#@R@JSae7Y} zTH_apKQCz^Q$$oRazDKa)#ET+JpWn8W7_;Kub&$Z$9O@_JGc~}JyN7xXCVF@-fC0? zpvT#4=70I3z2|=I2UySx%d$BJehkAv-R>zg4{0gXjS^K-ww_tdJHSW*j`&xVTO)Ji z-&g6`RL~X5pR?uTu>&(|d>^WMD+#ThDB^XKkd^|CB0^a50gjG2bJuXDxcvx^!fnMv zM*X@?*?mUcH8rilva$>B`Cgkfc)q;LcJI_h@ts}=ZkRmtIYmw&)FEE_@ssDDJnl89 zi_;5FDIv*4B$<8Zv%UBYlGGS|EguKXDm;Bmwf6*VJ8_(talvPLE$84#JkacYr?Ni7+9^(bo0bjFLL&yz-pLmNW>h`4R8{}#?#1~ zm;3!?OhYPlU=V&0E#GM4tfWkT!>X7sVJa^CE{>eoCC`bfbS18B+}Iss=|g*NcM?D= zf}{os;hg-eTcWG5nQK{5TrH%KYt}7MC~L-V#%yoo94Xu<0w;|gS@6yJ)NX-sGDS$( zHR6B=k}i|f1asM^<6WZ=8@1s)Yr?}J`Hv@Mh@z_BH@5en2~T7sDk#nrPDJI|br#g? z>L4>wE-!OuJN$bj1l_vdpR3J_s8s44OhHmUPj#A zA%+!~0i`XtkX4@&b5Zp04i^j&zF>Ww%|%vOF93`;k>|r&lKf-pyU*6m{Hh4d6X}VH zAtk`lKpG>kcyFUS^c(AKvhy#-6rr78XIMFW%a0 z!jUT1kD%;k@CBlJn`Wp4tniy zNU%)d9#Dw@8UtG_^w47eo;#3>K0HM4>+HVfmKd91uoQW*>Poa1~9F znB}pUzVN#sa>DeYAg@;aw~_FXE7B6>d*S&;g72~G_vd6EiFXeW_4$^_V-d1wct;2~ zpzK4yiSHrM+v!~y66F*ZH-Mi5>l;2HB*QzAbM$-j>qn56L|j>rgOvkRR6`*J|MXfO z$X~U3a#SeM=YagQyLAAgV^KrLgIb5wZiyarU9)mH8adYzAQ!p-L!uAa30ZLc%AWv` zJ&&-;xz!EU)}nzZDtB)C?1kB(&KODSpJIMw6n13BP_bMlJjN|nZ9jsra3N#c<~XG> z>Zq#o1#EhE^j@!twB6|b^FXvEmMy$a-{alc0Za64n~G%v-puvOWV-LMZ70EU->1fs z!-3_63D!`YV&z%TCqF{ZoaXx&@qW3_&*XdjP^p3i7S2(;0dxWrg-L}B3xsgaopRPK zaqqxxEfQIhjFnT+`jPVzL`((!hH4DbxdcEW5P`Ca zsLI%un=6ZK5+#Zv+njsBfo;~Zji15qnU*J7+d&(?4rzIkJ$;}&m=rS%f}sRoRh4Wj zid7Kf4r_*416>1c<%=z`CJ+9?<1?NscCD$z~8S0(ZO<03P z_hm~9^9TJpd)bSTe!Fj2lnfeRPu`1K3*bPoo?A^iF5}mMJbYl?odTADB2(R~56Tm+ zOlKT}UR(O6iNsO@=Y6YYbCYCM2Si}C9|gc6tYzN>$cfc?ZpX8U(RawsX!Mxso#I8c z??hs#h<<-*z$mGye;E}Gq&CvGLDk7=6rezIFh)!C&i1K4l)5>r^T=5W$gQ=_B6Z{X zj#0}|cf()teacX6W-X)#5F>K$*!Q z_yVA=*dp^)j=aS6MWa!nL|b6wl$~hQpw*Mi~65_MuMD8(G;8$%i6P`V?=(zXF` zEC7X~bCJ}xakUgT{q*Wjz-D{5YEBOb#Zo3qt!FR%){&|1IliprnNFsc7Vp%}D*^xU zDIsEjh5Qwhv`E*`Z^WLTAaF3fa8F7f$;t~}#mou8a**jQ*+PAZi&X=kw)Cvo_2&%f zcmx#!$+ZGApS#Y6mPP)ghoXrekjcX-?=8LfYzSfLz*#yUN1De!9^%}NCAPScZt6?+ zL>#>XId3@-dyu7g4 zytBgz0divZ2QJSfiuLHf8Awbh@!y#9do8J3_X9Ra9lkP(pkM9}0&8hp@-(NT6eZjV}Yj(fQ)QlE`;fg zdkLhdMdraCx6kzMG+AHkO)Rb3vRtyUO%ZDK2cKL6`o~7i(LcS&M9VVPU_kuUeQ|OA zfwMfZ$=pVShyZ>x{l+tvTz!o|pB@f5E~ELL?amG_#{m4H>Nlo39+M&$d0M0Zv`Tz4 zpi-fDkX{&es)&WWD#KDCTz^k25Zyq4de`9`vqq0LjY&xVBfyN*ObP&Hcwlm0!MhiCONFz|A5IBWo=IA_pG^2bG8bZLs1$MOhy^^k4 zE>Cpy1ls3wckS-zR!Y8F4myVADc+q8u)rc!WGagpKUV(Gh102iy6E#Nd8}JD_VK_uZ=EW!VJ3E|376FTII7)sGBXh#P1!h70$WFGKUoCy}ZUUvf55nDa zsNbqH`^bRgl+o~c{JNYa%IP-gjR%&AM9ddJIAGQh zfN-VrzQ)7B_0tI;!i~V4pj*{%dztFKy`Yk%Oq2%|CeIuoPqP~Zq^aRfHe*Af#H%Xu z@DJP%VK-N-o)n{Hm`xz_DJA*?xiIXqsgSFURbxTga3YyWq8ny6%7lIT_z`kuvLMh5 z`AfdB^wN()Xu#^CG-&CYsJfqA47Xe7Ok^t4f1U)rE8H~2gC*@7uM-Q)-r}2W>=*hu zfTY9^8@MOwsa(HG(OZM4!sd17ngHePa1sm0U=Otv8?>iX3_K1}2SbRVF_>@I9v=3Z zZV3>5SPtg*cuo!YvCBVc7qF{xoy^&6j6#*RKLtsCKLCa)89xXrG)^J8xr=(@t!JxO z4Xz7e>^llzD+~zdu{x^%q-5E`H}}XyC<#N{x*V$GPE9y3_mue>u2aVW0Ei*LuoyS2 zsEse%T%~mt{NhhpANw>P6nW>z(J!}MS9?#Td7nL?V{(*hIa4@Bg$1E~9Y24GoTw{* z4&P^sAz>Ye%%ielxqb-Rvyrc9=dE~kY~}Lb_WhMI>38!|P!pj{-2Z%}tXntgJ1C`b zp%Bg{0O+(3+>aUUjzP1a>-e=1P|EB+;PPUy%THrU+x<`2Z==j#w#SM(G1TFk*%pm* z>ZfLwU~OpOX!Y(1^4Ul0OWnNsk_BBc<7iimKfW+2_*j;rZ%fTQ@@ipc|Bifli(F%* z`GQ}>J(fy%w7{arjFFntFRAl_*eT+}b zbknuMzJlq-urFFJsO}A2a z%*#vVamP-%$jC@WHAX}$oCxsR@fm967}!`OY0^>GwXIcCIJaA=~$*$d;qpv1arRbJEhsjbQeo{1tHN(<&X{OMRq-E-qk+w}K??uWr z-6YOBgCBqQ-;TEZF(H>1h*V;>u%IHPytGXn8fFZ`>Cm&`JJgW)NUFV0RBCAoL z^+0ElmUh~$2qVp#H(rsP4yBCtWEy|P74rD|out_j@pZBXX#=&UjF%zfBz`Sj-9=u9 ze!o=T>6yr(Q?Cc5-+cO9nDZ6gzVH6CZMvUAZ&uSkY^#=|Jx<=V4QXIOLYOhO?iH>J zr}c%J4r2{EWOCE_8q@6OM=D=Z*$2LV?Mo~_THTVjgQiN!3$8vDMBIZKlkwBFX5KmH zn*Ra1?bo4oFObQn&uMFDhU$$AB=ezuA1B>V%p)n3=J&Cnn#*Im8-*Kj^LiR#FSJk- zQp_%I2cT_@`v1o3%JVfC;UfGYAM=VOtCuV5GENE%rCFP<(x>*16@=uzxg9ERu(@sV ztSEUxwp<`GOijc%#Dk~*1P>qDdu$;)i-x53H0WfQ{V>z)RQlveWm;#;QgJ^;_^Px{ zCNBlnwuB?MEltKe_c{Ctjk?;l(q&Uxg4O7}a_Lk3cZ>eavrQtq$*pc?)#vTwiI;2g zl;i^ZO4|xqkc^eOB}EN!eDeyKhje^t?OSQ0^ttKhc`s6;^Vh9kE!w2 zuJ01x6ZiH0x}A$C*nI%71&}lejq_ zWpZ^Fk^^lp9uszlD+~SV$FJm$l?i*v%1#&SAN_roep>VmoYzqP@uBdup`B>Q9m$?v z=X}m1P5MNtXcy)2SHv^Z9{&|zAw$|zk3Ci6(t>sNac-e+i#kqS-_hq3x{LpsfMOlt z6k*Nbl6I2+ITi$=h3a}XD4Vxv2@`tb{>hRBXEn%w8DC`CB4>mo6>{b)YG?n*}{jYVmhx zL8liYhX1@Hfv4GZmtQv?;o`?JgD+}%pxMvX#CgzF26U1&!hOOlg-b$W<@WvOP;e#qUm93ytaJ;lwk;x69cc zleCeLJM!%0IM4qt<>@(0E~R1^AB%mJ$MtK$OlcZM_>P4I11aMj;;Q_9fJ; zz#GEJoicfiPdoVU$sA#eRQv_`62?&dlrf%QRWmZG*0>_4I>L3S+E6H_^mKuSWZ5&J zxQ7W#8)n7jCr`*|TpFn3ozqKE(D6F>DMF7eLHCl)D_fm? zHinY>bGyIwU*q;MCi9G~k=Wdo2}MhR{>)aSm?hfXq{FTvM!Vcd_q>HtH}$94P}3=0 zU*6Jpqh865zO$bsq+Fih`vSkB_HCXakBke2`8bc|_|UCfTiZTn*vdrYXQi*00eMCYlQ&c&(R|%E#s`j?tPdBdj|g zefbjaPceUIby~xB+uoS3>udzm)!R83`%IVg60q#p8t5zUG@bwYG+K)J%&$x8D(Y)1evr^{5He#hoz%$UT|OV5QC>d}vL z*p0u8g)`@XU{}YJxoU^E`?}W1c+7EMTe+s-tmcL3YjKG8WI^^6JjtY4OKGj;ka6uu zcpRoKFW40LoT^Idl?|ha^Z^Sq2a=dzKQ`XYZAV(CwR=5(ZIRxZ&G{1BTW8G3XReIE zcX%pp!_)=V(Q55b)$LU;poi6`X=dc8@qG#5IN}A}ZxtyAod+LNn~nx%V2{p9+Ui`- z{lxiqZf)L0hrBv{UcdIlZA+`w6&@|SEE&m$ZAC4zbU}ukhwqgEeLg$-RTh*@*V?pB zDEr=gx$Es1s>t$k?XR;Lji6w8-(nGKr|mo0MEWr#MFIWeWU1$zn$lRHte;yL>?hJv zQgzE`FkjI zq<2pa#+KQRUpa%m%z}0pv!KnQY!+0Qw;8`!i=gjYv0{k7stWf%rJ~aQ zjz~TrtIy3W%G-394S3Aif%@HvuA9#rhK5~~D4`xxRY&Nu)VD0?V|)#YCXQ!8lc|6I zUvDwpr)oymDXpLJ+lO(Y>B`@~F=yofzVRE+BkHhG3kf;!*rlc9d{4^%YmB*m|8aAw z8sZpwu?|y|{%m4t5HsM%_XT;lTe>KM1@W1!EQi%-Gwn8?H@i&|MeHs(7RA+4<6fRQ z3>V&N)SGc^dxK2}-ae!4 zJuRw+4v)_dQC^UkOK~ZrrSu z?0FOW!teLinH}^SB}7qY+o|NbL|);Sn2B8(nC14QqGCo`Zlspzk)>zr4^=VCJ33W* z>+F~p{kljc4=uRv*VJ$l*0z2MdIj|TWn1Sq^guGjIY7%2pBZTh6YAsooIIzVZVjP~ zgZV6R_3>ECt7`E#B$%(#g6Xcl@C0gc|x?p@x?>; z<655ioLpk84ESo)KY3gKDdu1bzj^I$rEX;*n@`vEwhP^Raf^=zCGzYe?Hk1l!0(af zxFR#f?CYdSAt*bm7S(o12a*)|ULqxyo;ICeLErhWGsQU=I~c#W)=BCOsQ3;sDMas9 z#f^(BKzo||->0raKvR-xC70L2Rk!yw7}qJGh&o0rZy=Y(As{cvzYWul^Yq}(zPK6~4>?^Ddhe%`}7oUFk5 zUFYyeKUQZXtuXd9>9u<-atxTn&s$*a2;)?WxwEWCBnIl(JoAOHdCqmb)!$6;R1tK4p#@J^pj1_OG^^Tvp?u_6J zw?f1!|5~OEfKKu;;ALWuOGdzeMW2JDW6)paIi;INas*w~d_1Vf5HJy)_48jw0fC zcdjr|)WEF^e=4;Xq2&Q7xD8Uh$Q+=)%l}+n?Fuqi2s-iN&cF=!d>yc7da+di(J&#s z#)^ZsW>nyq;NEb~H?;fU1#F9(m#>#gAtP~61#w~`CgQs`@#eCe;n6BrgO^kmboEQV z_FL2O14nk?p^Ava#8pb^{Pa?Q#gc5mrb@2Q#j-#Pazb^8ZQ8DJ2~&IO1C4_rx&n9J zUc9bQAfmdkU1^_nxZIwmu@-+tx~~y&T)$3*w2C2TlMIh z+nYzvK-nU08 zpLXy>k-WRKgfIg)9=@ZWr=D$CUCXwY?CDQsd~fb#qSmUil6Bk}rF)mP{F*miS&*}D z#;fw8l=$II~sboR_f>W5u9nu~oOzhM_-t!Gx#`a)U? z>fsBH87Shl;>fxA*71L?#5%u^x!5iC`I!>-&k-GDsK^e)24R-Rf-oG!K9b-TAo@6T z2~$?RV%qppM2y)+U_$?ZwA4gj&N?_|7^y2GSL*elPphGZ)jl z3s(K^BtWeY<`ZRhcN5C zcmibZRxmyy#Tx}jlP@lPU2l60Z|g0$)BnQq|K?R_oQ#w`NTJLI%sA%H^=>P&(74Ro zQE5&~2f-MjnEp_lO-B*^Z|q}?mw3W!wHGgM`&^3>5pRXWJnsE$K!}>>3{DLR18;uqQ=&0hwfc4)epAfmv}{Qc>Fo`ZaAN1 z%jKen`}_J9p!ctLQ(lWchF<4?a!Zfi6d_yHv7kUaXXW0}Lyn^(ua0)ADt?@)ux%O` zqIrDu`b0{8sTCG~+vu3duA8^`&OL3fu1NAnVm{MSiS*l+Do8F`QFOHZPMJ#OcAW!( zn++AC6jKzt!lHntRCuMvc-XeNTK#b=-ja{bcHYt{r6*k!=qInY3m;#&!=A|Tm5)D^ zKXkmR`W19?8hZ%j4!bdYXoWIXL6&{iPqt&vQ{S|o3gs)mC!Tr9VfBnD zy>4O_pU1tzfm%73=auBIdT@HY6npRKIQ$v=OO=Wx&>_lX=^#%5Z?#3RZ(2kGSYq?I zSZ=Nm?FQVl^~9jmTo)*2e?!Ie0FjFd`~9dB=ZKR#EBo`?eG zk+rc6>~tS_5>1sGtLCDWPIMxuGr)4i+{cJKpZ@}$>5g;C%9g^vKkZ^cu|37! zzXaGudrmHUfnh<&q zj;v3hMhkWq_z02h(RVLNSfE`G7(zs*l%X^p%&lIu0j|bFLP#F>ngsA*hpH*K7=9;d z)H8=&;nf&;0L*-8GkH?@VKwN(!s=$!3A>)Du))lKEs0e zvkGv6mFO&iO(F7Qh=;bZ1(xg~paVNgXgS1Q=kZ{$#6@qe?*U63&cS$@Q40S#`NRnO zrm42s^s-RD6-n0#V3}t!^gaFHWzN6k z4=?i%At@BR%=eAqW!?>{xH5PO50~SGkrf!s@I!5YYHE@`n6cMgw=7){O9`hvoL?o!Y6xk+YBzA0$~D|z1zf~Oo_4uVRTFB@3st9U`x zC*%qqP^_kHC?eR41uZCE!U+1HBeD?SuRr{^{)%5vv?PJ;6-xmEYqx2^v%V7EV<`-p zr7m8$;&*Dn0bGCn90W-Dr~)5g3wwei0+kr7@m~oHU6L-i~ zgru=4&R+{LegLRh^TzTkEE z4qS+^CIrCKN`EaN!s?;|2)|aFV9K3b0vIsJznZux9%I!J-*Yijioefh=E4Yd^b+3^ zh0doyFoRx|K_BgEB!aXj|!v$F%BvJYRefeFxg=-kHe4|usu!eGV0ha73saw+7>JLg1++%t2_g?@UQ7{|qZMN7ju*C*I$7H5p zDb}xJ8dDQPb{UGtfU=3duGOmOW=xo$+)_{d`Co#rZ0L~d9VzRs(zwbe$Gda*0U1{-sGmzp9;$yD7z&Xns_GcM9jhbKpf2_1DM#cFvs1e$R>8xkX+ujL zhGZUpMg5?){>f&n-I(iM69-4TJGKvMSkP_f6B`ZVXQk`(otlEh4;vY(a33K`Y@bu4 z2LX&s)ZW&STtw>;4IiN0IH8axn+OqpiTP&rd-&x?wcwC4R5`6ZV2s~4{HU| zDn#@`q?9_?qx|p7o4#n*GWgHl#R6<&I%GS-t5@mZFa;27jd-Sop`P-140e8AtQdA? z-G&c*0O(l81>;tM>l^{<0D1W*cY-4fo5TZ`SdjwMNRkD-)j8@8^ETosV#ZQ<{sX4f zv46`vY=LC9J)~^m|G>U2{QrmsJFR6%00*XaeyD`OUvMln6k800AR-yI#+l{!JzK}q z1;@+%#~SPZAJ(W3GaxAoaPfZa5qGfoufF2e!;CBcWk;d9gf1A)hcYqXiwXa)}SdE%hBw12`IxFA-t&d!)-Ezdi zEl1n|$~zo_1!a$x3xVgkpz#aVwHHrO6o7LtIO=sW0f;DiUkXFOjv6fj5t#r+4JO(L zV9B~t#e%q83Ah!_N(&bB^pE0uzXj^tJpy6{chU7yz@EsUEVvdn@DzfOKw^*m*!r`{ zKnNVL`1t_e7XOUV3XDO(w zrGRn8jV%|jB7FWPq6h2T2WWf?MoB!NK zh7x@OK!bD%EdG<_i$rilH(@@w3`5%gZKXo654(%lBVfgkteb{Q5xyV(-qu@N`A~s} z53a^3Iba6x%wXgSupkfwzW)GR_#&~F1tI>dz7hiHM7TsKyi$g0TNHr}EblQK%0|Fq z+<9RQM?eX?RA81M4&M@l3+^Pv2Wv3po)_$hZP+b%nf?jUFsdcrw!lXJ{lB>IbSodE z{mBRWxVDbptS`p!sT;}?1#;sRf_!P zh?Z5oaNwEoe&Zq0;xg+lue>avzPrK5N{KBS;!GJ9^z~08kyHv6s5j@Iv}kAmR-P!f z1xFxKO@4c5XEJ8-96s{tb4|+6E1f?!dikbXHnjeFoH}S>t}8>3KVPfh63#cyOA-h; zqVgB9isnj2T<`Ya*7(X)?syqN^c6c*>KUOl=bi0YBe@z<&MjH=+!_}r#TfLLW3NiA zB#tZB#-l|0`UVFqb&_aU&4^ruFv}qgecSW9m4Bck4{$UoNH1%@7vcT%K?GA9ElUm= z|FZ8mGa~tc8;-VQb!F|zI)ds#cF7)RK|u(?X)Fo}{P0wJ8m)Oo)cTn9q78*nX?2qrPo3)r8BV-}hU{s!I4H)}9S53Ivp0^1hBwq%F zszxTxM%InrIj(ImI60$C_wTJcFiVc_O_MQ=S&xEYRty*g6*)3K@c=1G> zl2*IVpm8eVT-1@gYlREW;V`N?*+ZuRBHy9m(4jDMXpLI_%6XC4nG)J=KWE-9L%W0Q z)d7ixuR_=kiSZmOhcdC&EN3T;vqXWzD>xE>S)7{_4YR>{Nj)2BF+1&eD3y&iTb1># zlw$5RnJ_NX#M&>!Ftk3VOTHMzWX+qX2va}2GV2Iw^@o;Xv_n2T-$>3^<*iJ4@KQbW z4MpR}mGKjkMUayYsTHW1Fhr`%ZrR}Z1&FixL14t2sS7{ zZua5QX4~mOtJ7k9_~8l(;7FGmjM%Hj!5ZV>2nM2%uQ^WB-d7xP+Q2hLu=}aL$Povr zj}!J!FEYM9k*h();H9-RzNK#?a2xbEbHJ_nWkLP!AG##I)okN5pGwFR{xRRME2Atp*sY%YQ_2iHbNbAZzo&jNE z^Xwv8{a$BXO}P$B^f}QaBi6@?b1)TqZ_~7F`-*DGXgFi^^C*p@wKX){5dt|BI`n6O zf-C?ycci#W=yB`MTeH%0M^j!+3$Vp&EFT4Y$1ZHf9Qt9*8VrXvo7Qa`Q*A>=?j_Bx zJ*et8VH+O{vy74WI4CkNq|woN6o95lQ?D4}w5MZRV#5{0adUYHM~8mcvqP7eV&~=q zo7Y>-*(U{d1zEL(o1QT&YKqxf3#smZXInRZQqNGw?>SL#H^qu$IkcaPK6#_pt@gxh z=U4-gwQ_h+(^n+A$wVEkn}tbO;7?+C0nG%arzuxR0NRMCJ`6fsv)?-gkBU06jeb+; z;UJ75JbLjX0f%lsxd`ctc$J}{!-#4Td}MnPYyK-!^rA*~jC3NeYgcw_z!i>5G1Q+X z;Y?b#vVoGf9>E50%8@7E9*MB&k3qO@;E}}5ti3#gap?-Bd3DcK$peBzdQ7QRh3=~I zD1FxKaqWl17U2x=gLaxU4n)s!ZN#3ykqJ~&ApZsrtj2lcfB@hj@%0W6c>9C?uQsJ;lzoSdg}A~mQ%I(C+v(Udo(jSW8}^P)8Jn8*ABXrQD=Ky4Yjkc> zR2^fEQEyOd<6(;eiA8}4mp%=RtsntN>ZaIJl`-P$W{R;*=*3t^cW3+eszMu9)yNu@ zl=E4Jxo=4_7WQ|hb41j+YFKBr8$@I3S&`s9>X}f8lPWWt^sC;gkvTYcldG9g$CKey zh4eK5i>7K;e%q}9^%0Wp> zJ)^HC&Q=0#Po(Yh)yvl?ZaXz?<`Dg4CZ`-7>dR!UwwW1{`6_{?j0s=Y-#?&@3~6jU zTTxM=5+RU%C$8;0Z9zd{KtYx{#h0@bKVxdCBjt}`;%c;KVnYv-!`)71(i+(7(4IHB zbQG2JZFN>#IJ>U4ym9}@haREJ)4@@vI^Is;$UjFt?<+bRt@h=7+q0c?O10tQ#i}7E z@ni;Vgm7Spbbljp7)=j~VC;2J?6@pQ2f#G7tKow1RMegn{N*>c<>3sz$WXWJR`lua33Sr|YD8 zi71n>+C5~&C;YXVkKf{N_T8Le?sTn+F1Rf~xhA_+f)<*aS$ysC+OwqLH3ZKhzS=;@ zbibylD?7h8c?nY)mLytUkQXIR<0dLl@w0kR?VWwAUX?ggBpaXjZAOH$x^G~ za)C2eIf$;~YR-F?D)Nd(5mpmXTEuMaeUCJJ9w7pluD3PYmzoNJVFj1#4^$X^E_hD; zSR(;^_^mc#iyt!yP|q&0`u}}`7&<1IIQG z^dfnkB8fG0JAuG{V>@=^z|Z0JK}%eaBGq_42}t&;g^j-rH7KL!Xr6LIbk$5|Zrq_7 z_Bbt^!>$|}ljDpV(z-O$tOu=nL0+;ZOl@X*lNT&1qUa?-t(!q!HDT1#94hL+*mV3; zhGjED#s@!!0EvfHE)osfJTeanlL|VUrZO|OV*Y)ZbjPn}VzfN?aDZ^&WJgt?g7Y4%{=^>!`XvIefUa|1@SI9ipy&cTpou|-GD9jd7{ZrCdCk2ykws6-?mGDR_sSNrhe%cmsZ zNq~693|8tuJ{_`$Xw61dxj52n^GK^KE$kc&iWZHYeHdO11!D%(hVP5rL0HJ^ILt(o z#U1i@S#yk~2YS6u`+Ipi{%f!14{R*;E}UOO@pY5Ci8-soF5kI6rpe8(P~{btt}+xG z!I{jvwcN^-hcMD=3G`dG348t*N1*>(BNX5QS{Mb@hh%OrFaNrdKZW>I!aamE_-A zb%jd0#&RjW8cV2*Q9#|@)GxDpOf}^PTX6`!iOpO$6nfO8iTT_abKG zgCL1=M2X}#hL!vV=?Tz-hi~C02&9DP3ft zk)?YDdk#3DjitjuzzFl16U6}B1WHmk?>`jH!@o3MV{%+D*J_SH3~G{q<~v6}gTVce52x1N zIEeL*y<6p)X9D3;!sih;_q1!3Jc)&>(I5eOTva<;Zk=k9!b;y{TA_OvtRY8)g$NAyYNk2}W(olHE=Ui|UBXIV?*j?s9FJ2ZvPJZo-A^~V0? zV|jnPB>8JulGI07`BZ~+7JP(xt)91eIy0R)IVswy!8Yo3Ow={CTK#IuJ=kuaT`lF0 z?p?D*fg>4jeQey;viPGC&X52)FcI>LOCCK(Dvqn|G2=q>hBNa6327cAz}Sie^lE84 zP>*Jl5l95?mDiLT=_lqz(d7g+9onI4%I`lOXoYz$C0+QAA4=m;=wQZYM=HvL<^4lE zVp%mnP|3@VuZqv}iwMnrD2$8z17%hHw}dx!T*%uO5FdRni{)_>o))i|jyX}MyAnYb z>1C=hYRuerfzl&>P|m~>E)?FOuKu6=czb#Z`Rc|N@;D&iP6AFYUAsc~Z25Xbm}dPkwTIK3|~!TmbLLMI*#Ka;G~oxlImi-m4C6NxxXpaQcfxN+*hzqI60 zt+J0y-=G-s5e+v-knA0*q&=p+rZnE@)hOku2`Y{-G1HGXmvbD=5oR$zcZ@23{s_dyuv!{wolL9~LF~AWyg0l-0^)LJ zrwGv?Gv7L}27J=JNIGHz?59Qth!JL?h%H-ZP%-p@ca;0j zJ7UwO6*@%w-@StHl8=+<43NJ;Jnw;ZBLUgTGgF5wV;}{)A)2)Pzh&;+J(ME>!Ey+q zNNx2vq`ZZ=N&+tR^nM|B1{05hO`Zp~p?}n*;uTSX8)8Y525JXZ0WZW3y&}8d-!BB8 zw*D_qJDBB%A^~fuBtWttA&^jR3+56(7~9!d5U>P<#~j&^`}X|^tUMz z5LsehMcEBHl@FmLK+!=I*w!OftAKqk20SYd#W#O|eo;lVsUCN=lDxOgKitwsYYS=t z_WFb4VL?}5X}|vS^P@1F;8g5W#;9aWqE?GOu_Lw-bV9?XamgB%Xcz2G}%DQ2erHW)d73pMw3Ne;gV z$R6{)jtzDE)Na2Sf%ZLROa0#-Ozlv7?>`@54ej{l=ZE^WEOMzUXr#stO%Wc0f9QSt z$FbpHt}q5AxFQyjx2ZFoi9Zp`d;#)$f07UHe$bYZfDvV)`#oby{H3{N*sClE=byFe zR7KgIKo~g8H>d1~CG;J>r)>WFK&t(1$kx!06!AQWn?{O~;K-Oj_kV}dUwZcUP%8Nz zh+S7BR_75TZdPRZRcIe9W_E)ZBmSsOV@O34m~-<)dzCNj?j-~_*a77o>d3z#@(=B< z0etB=h9f!gY?2vL_ClCu(aO1Y0SrDdw?(NiH zL+K?1rL==sc_6_dzXmzLK@TW#J0+uf`hztVX4udw=X1tzDWes+}f`FxSj#GOYhTSWFMw803j&hvJZ(^H{S z%ylO`1?RM*OB{V|XW(YX*1T<^PiKms@6Ng`nRwEOkoKdYtPr(bbQ&HBG>2h$U++#w-<3x^`^$gq!SM_4}^w3XI)_?xETT%=RcylUWKnDAoM4r@E53UGGUl_pJEpF zPj&Dw1meCyPqDy{&)L^?3ZcfT{sKL=5DaH2{JY#L z${(Pis-6Pnh}xf!Yr;)RyhsnRs*OypN$rqw(V_Ys?%y2wcTw@z;T>?G-mG8C(V>Cb zR~?jW{|4)?%J3f^*7Odn5=yL1AOSZVK5;ru*ad7U>n;r=uHVq=y(9Q&4xZ)__Y4(TW<$tHH@GEwW-)_R@4@s_I93nPHtVaAO zd?5UVI8qCK`!V9j4#oW6JNQpe%mb+eLksp*=Q7m3@}P42&x7)}&2o5GL5+QsxvBO` zu6aTJ?1>$Fp*G2cR^kJm|B(#QRrHI8?y2y;VmAVLT?6)C(ewv`JTDO2txc?BCIQMS zx-TG=pi4KNBRcd5Iq23q2 zc(XmNKh}HCvb?m3E5!R<<_bN`_(-x4`7`15C;%_!5BoZ##Y0<(*!?N`^Uv$WGYHby zPmcsBodp!oU_NvsQvR=s;BOrJ0#b2<2okeIL24M(VF0l<4PuodK-vCxWV8_IV602D zW_|P2>4F#y+4PSfWkE~eHIyA@2P@3P5LBbD34%sttEqY06Dyh4RQOP)PI;0gYwRb* z!i#+p;1;aN7W-#7rcg2(wnIuAz;PehKLO;-kN~=61))Bn8qFC$)hWGxcY!20{IiCx z9bb60{1eVgoqkFsCopP}DD4PSjyjzE*5*A&QMCapNg^kPN`!INWp8I)=76sCi}ejC;7 zlHVw8Ie)rnqxn+cs+JdX6bZ01dzL}7wGlbneWO{|qxyDjp~3QSC6mWxZThO(bm1mu zhNIiFwzOA|Jmu4m*oR>wakY*Z=b75N;F--3f4)J->EWG}-7_VgFk`$#`fJxsc!N39 z=*FPD6BxZ=$C^$(?nM3D8+$<=y@L^1q!8SeRXCPM?;6|T*-o0k;bmPgD+x3%*%ogKu7gN#^i`Mb7$;NzT| z&b-RMd53!S!AQiRsO1MEPm4YM^2%XRx{jkSlj+}neJ@+4Y~*uVHt-G_H_$LL$x*eH zp7i;l%Ll^`D@EMZ;Tv;06En26YTWUHn9s8w4cg(dmCdo?Xr>Z)QGM9qz1i<5;S&c< zjT-M0Z0n|eoN1Yr;uO+txi8qd5pp>iWvubjJrm+fTZFqew-my)l^5i$`N;y4k?)0o zmv~y}+xBJE!E;>Sqj4VwZyX&z%|jSJgIwqvzWP%&7w~VfwO(oGq+;6zokNElYCo|x)} z238c#``)U+j7wGD&W95sXKRng3me_^qKc-hd#ZUhfI_nY+N;X3B!wV-DEW zI;oy~BVgGuDS``r+&aSYj2Z&&nFBfDc(D?&eCtX{Jj+-aA$n+^>pvtLl2W|Jq$_C{ z{q=liNJ@#`?W=wn8oDN8%6d9-!3&ozp6i^qsaMXU>;a3RSN+Zzji<-HlYq5sge-u| zncZvM43-^fgy63G!Z6&oFuI?_(Gt0G&W<*9bt%rpFF(b6=R%g5m($EW=#YD$M)?Cj zHg?rk`b?`vbbXjN)VtJ|dX(qj?i2-9F!o@P1hn@a)jT0b zJtx=NFo?_Up<;{OXLgIgcHQ}xksgWk6(9lep_oi!YosVa%`F00t`qUS*H5IwxoTth zN^B)|WJ5~cF0Z~|JXN+@>4H{Wa-nn(4@|40Xp>k@jd`1A&vbRw8#Sn_`?S;4V97m3 zpx52@Dd&C2UHF3Nn34AIrazs%hb&X{dwBnf|D~;OIT9a|Z6ye!6=g#l86N7wL-T%d zZ!A&TM`{rNFQrNms_)r@eU8(Zjy=6xCp0LpV(XK;hDK#X!(D7$sW7aOqy6JoTsX`` z_#O{j=C`m8E4fjNsSFlhSJUp7p!IGXCIPx9hM#UvC?^<);NP9Kq2;(%5uAExREl#h zFtPWC)eiZy!wrYituZGX6nKMVYS^$0Cie8TxrQ$*QmyXLNeR~k>C@lpK1VCNVQD&2 z;(4Qq&NFis8;iL*9a4fhV}$vZZ|y#ll06_|5u^qEp<8Nzrqz`ODfP}H>licftIEr_ zcTTCFrP!+s_Ls+!8KU%`j$2e* zdSfc(cIBC4!L0TxO04QgYsTq1%+a=IKTu;Qqn`|UXg$0zsO@n+W|PKoRfa9jMA%>U z@YHSHlBu^Ie? zc~A2je$cJ4S=}_u>BbGo3P1kl^Ws5cy8X7+_CK6x+K(nL#9yTDKGn5-^L5OPOWUv` zutCo~VjC@-1gHw@W2Tx4*L|8r=Ma>pYB`=$DiPwy>KA96-6^dzTKAb#gmbxNpz_+` z-1~v2D3^KJ)o{qz?ejx#j@*d7_#t+Sd=GJ>W{NQlUq$g;R*M)YxcbTMfqV|2_ z+FqH&%yyezC^97WWbkgiZ40ishrj1=zAm-3QPVx`-WFOSy*0(l*~d{{_;d=p3HyW| zgY)&MFCW&6>c+M{x4GapvB8+LdxmZYeC2O(W?JQgJ7XEv<)1az+N@417+6}nd%o39 zQnr2={6eAdU(+*+P##|e+~+OrhO4Gs#r}QabJm~l)1(gPWM3M4$;% zy#T)$#KGcJXW?o9!fFC^Aje@lXB62D{&sLPd{nRv_Sd%wfPZ*L0RB(MJ1au}c5rjy z-(DpEJek`DNJ=zAy*_Nz^c z1oTNUZb)VMC6WNhk|KSNkB#|@<8wUuM9!7nw;|ZC$^ql5G&+Yj2G(>%0K9ztM&c1o8C~%11W`odr-nUlghB*w^3XXA5e)|L( zD#Y?hQ{kDCed(z%C|1}LbRRc&3xGY@EwhNbJP1aG(=}1_s^$6f3FIHl!_j*MTe0CJ zVEaKGXg$8$-UDF~UI%=5hZfRQ-`GYGx3BJn+OqGMni`;TJ3&D11)THtbLjrgeis}! zbrP_1MP(UknFe~$`@l$T+4rdx`W;v^tTh`|TW)0c0DRKOxGL})Kgp{J*+|MCA4xz} zeP@7}8@x+ppjR9dTxVJYTgven`V4+>)yA{cipRGpkA0_`4)SB31o)c~&J8&Yp6Uk4 zi}m2nQO^?Lqs{X@=ct`d&-6eI6-I5bjg!8f+h4wc+wm0bi+YiJd&bMGkJDQrk{KIa8qSrAH+g1d5WAELL@|wgu$} zS0Ttt^VC%&I^ZKLD1k6S%TY8_Om$M{HqI}GdaZW`ePW|L_@V%c61lDhqMTu~YG z;-u{&o6BzY;&xw6ae1o?S7wc@zq^IG!hrLxGvQcd7R(jIfhj<6V$uNh`Dlii=W^4a z&!BhWddk3ufDEx)+J`srd6OR|1F<%TuvhPQFT={6Ky4J2d2l@InBnB;Njs&g*bPw( zM7vn>+jh631qj3Sn3E7p;nS?&lic?}W6fi>5djp#z3kr4eJz{;If-8fp=<&A`} zOroI-@yzBuBSU4!Y`I+W#`VI`fi*?i^0IQceo9tUd=Afh5^zHJQPC(Hl0I`%dO=OG z4IL?`A6=xJBBRr<1|5zx(vOhg)835*|I_TZOuv-*h(z0mzOkt5-qXtF}ag_D^Lx)QQUgx3<(hR zN7?y!q&iGd+v2Apy^(el8UtruTG7zXFypy}!K#-M%nXeT)OqHT71vl#uSvs3|<#0WY9G zJElj*fzpBv(KeMYPO&4*IBie9rxe2*}r_ zpZPsE#~P6tB&6MFABpb7__3Q^&gVE;sm*r|^ddFzvc5bOK0Z@F#>PU+t13T*J4yK6 zT;!CfDHOVGk-9Kv5mV6gINQHya@^_dtD44jgj-SJMu2h+Ge;vDd;LO?y-zc?=9lc0`!;;H%6)@H~P8&#^nnoB~kIf z+Pf|ePG9jdDS@aULd_t(auq-F()YX)G`vpu(tP0T++aLn9`epZ{`U^?O=qW&buY`g zs@UlVPoFz-mGH)X&Ge@!E#iC^UUTJUO91#eq_YLF$Z0=LugG5MXKf?uHq8-5DY&%2 zMqD8jObjKa7B~i7Nuhh3^9FXa&<{>mI}l>E2nvFvSP~$gIazFC*vu%CK(KI+>p>I) zykHeWw|7yQ2M&S;@kA<|mGev-2)OnZMEk9Z7n}jzenaESCU@rA-EMoj@NX*$E96_P z>}DNnu(mRfO7rZv1Pk!?yA%>`ZG*Nt)vKy8(V;__TQ{ULR5p*=NJxZk_Yo9Onc0TT zXk#j5X3OugbY!A9G3~gN5=(QGjLrb;rv9U%uRnvStd3Oet3d^@*~7zJJY5>iQLtg@ z&E0HP{ivVO{g3sChOj-gTHr`$&w5Oqvgh{ToL%b^zk=LhUBnnu1V`*KmTk$HZYau# zsnoBu)e3Zc^6XuE@NI-Hj!*?qzQb^M4OKMrKM;@-`p}H0P$SO2hK41ts%Evb&We?^4Z{~EmP}$?lNMbkOV{n zw#!&u$6Wn#B^ExKa9*`NHIxCetlaKNuj=ik)f{{qx^Nj=Dj8?dlDkM#tv-iStJO!M z!kXf(@IAlL11ihPg(c0SX0KCs%9{J!RkOBnvbjg)NpV>+Mivj+y=Ft_)Z08T@ZqUz z>Cw$j?^tuE`45o@gg20f6@;zVg6QEDT#%M>#6%|}!7HvO!nhk~qk&;Fqs0165_^$Jmt4eGP!VbZhv9+r!R=b8^Yqv6~PF z5n+pC>{g3PWajF{_j$nS0$y0*yN1m%ho15#V;_;)2 zDM|C^xH;5;eKksp%$tR@iJ91L^gjKx5=Cp@#9M6PW5Z_aPb>-H014110pn)gg|tOx zV^Z)G=^)6y9SN9Bo(vF{NX#6g_YK>!w2aPS>BQ?uB)&=oIHHcU!335T6b2=V%pNJF zz=kRhk-od8``K{)XIrN_x}?AX*vRw-Gz$eK^sx=+zJ^JYLqEURz~DpjV=xB;WKLXD zLm5}2!h}5SW#Xt0+O82%_Y6_tZl8lrrBfTQ?XkZL5bd$F;(QV5*>C~@=AA%DYkT9f zu~rQovXW57#?e^G<<4k>Eom1ENyeSKvyre7yKhI#Gz3Dvb#@o$*aV5Guii&I7e*zf z38iI4&8k7&+}Vm#-_3tOK7%Djj$n7>ZDL|d?I1;v7*&4k zOBZ}Dwh(S@i|t@;k3AwTjlO1tE*Ldzc1BU8NGJYM59H12HQ~%-JjNZ(_T)&oW>jZK z!49TvoM;^T33YX}93wWHoPZzyoq!52OTce%ne?0IW`c36S7(+5#4gEr!v zPw!DJ)6Q;3OF=4gLiYE^IW-*_Lr&Y^Cw$gHm#<6G=&bA%RM!?=9~L{6iNQDyt6j6v z_C}E_*sU)ejZy||*n?iGbxUi|BIL9U;DZk@u^I{TRs1WKf(KUaUCTAn?&iPoE7BYU zjnt!&JSj3NE8H<4eOQBmrg&0`MJ}x%b`$E5|IWamd~ze`YGerI0vm1Nx*kXmb`-+= zlTPu{MDEQ8;$8ZYlMA`CGoc4<(8jtQ3I{>KtFE%IxW9}ABEez9GiHvR*r%WCqGnaS zn)y~px0E%dbwzsYx8PG7wZK0#?uZqF?#8aIr2;%<<;<7g2g%dzv#M31?higHYOatD zx{?+E>6CqhaH!-hy1q!CA_F0Rdu*~`RkHN>Bd>(CK=S|bv73raAAS@KvlY58CI-<21q}P{efqQ*FiqQSn zdsVg3B5IdpkB^@odU8_mD({!esxBNLYUI-##C`Nr`>z{n<8YtY)aP?c*LnR_5L6#Dc! zTHr0BI*7#}=`X$COa=0MtZgJ{TqWJ`q3 z7+x_{wzyG!I~eRYZrDE@PT%jSA=-Hwj21(X-9Z_T6px34tD{J8d>oS{|!&d@%0I9)WEA!CbTyg%+`9U((! zP0r5ObUB9?_n0KFAE&8|-N>9~&%9~90H*5QQ?Dd z4J1?SK(Jm}^1Osjun!5RC#D(Y+i~*?cj&D86$p#;bH6iW=yyGZ*zRlFiuF$YHq2Lf z*YI|6zuJYKT7VaZVG$Z~=CStE^n=%)itHo8LvpVhaT)!`nO$iKT73*@F7f z&2wVE#6{k4z{O&a5e7=)*cHCzfe}_)TQHxbYq82prxsKahkV+Gx^D#HH13o@yf#8K zRPe`pbQ{GFi=wVy<-bG%z`|9#3}keEl?OnAd<7GjcXW2p{46N(j4|l4N2|2XL>o%D zrATR-B_Rd21O}XY?eb?-*mW9vg+o6p*P*sr;NFN((TK_*i+L2i^F=Cwb~y;jfe_M0 z^qV6Ab<{Xr(@$`Q<<#I~30#x+zQ(AHUkfkRE^Q&K{LVt)K^bTjrCG9~63)lpj7o@o zD@>+HO|Wv!v%UYZgpL%{PyKd`@7Ak940p_AK%YIFJ`5BV+ir1fY6?4mlC_QLV^CP! zg1mezNj?I+tXvi~3zn@IHrkvz65vqj45#;MwVKu5UnT()X5RVEaAquO`s-^o2uM0u z!t#;6vU0oy2%vl!s4ryL2Q{s2L^yP)%o#>m)#UvyYJueVV1oak3^5D?Q^l@s7dtx~7q})bU$2y-&g;*OX>HF=aE2-!QXXDRmW(^;b$dlx zl}u|se)gFEloqUVf|96kkPa@1-HhGJMS+TJob0jHe?I^ zgvjUr662P8h4vuj@fvpove^`dDdSjk_ZOU0hpa1_yzAKmx!xE2+IdC~N^wQB_)}w~89|xSnZKo`0q%#FTHuS0`7kz+O`FjHWAmL6zv{Q1fA=@iqRno`(mV zp*fcYHmoWW*Q3Y2+j4k!DE1lgf@frN1^Q9yV724R0pa8n{sW~4_;hFqK5s?w!c1vt z+o2CAu6!X|1=y#$j`oz|^zk|xylQ#b(ydjzw8yP8Uxp6yw`;>fFj94KVv@LOCsOd+Q!hgfu;EsRA41={efGg zesmm92eHCGp3Dy99rxEQgkfSX{D(UTrA_jt`HRxCxE72F*PRFvaVOvl`3Ui1L^O9?3zA!(`B@6=!U$K$6JHR2Bx$Ehnokc<@WE5=5E3n<1gBVQHA%6y_l(6jmn_; zkf}m=vunnvbvkysr!bWp-b-qWK^XGD+7FQ)kR7-Ix);)Gov7gln2nsSlUHn=vl4 za}L%(i;d74=6iZXbu^KU#M7AKj`$vB zjJGy_rh8a)gpq-kmq3+q1q&4|>!pOBTgMp-^L*WL4+@0aMt2*|RzyEaXmD|KadbVK z?7{Wz+`rbMO$OCMFNy?*6-EanV!#dc@?kcXfRGZ z8;&1MPJE{!-*!?^Bk9`;AX??5`vtu6NBUM})1K*tx`10hJ-xTLcZwd58Yubju3j&y z1S4m7>uhxKbo`a`oCaK~ymgLWF8Ie`_GUK2Yi_*p^l40XEYSR5eC|Q>-7gH>_AVZ@ zo#An(hJO|#BTw}?#autGYM#e-J0E{%x%zeq4|Cmxx=0ng-|jQn91S2ux8I!xxvp{e zaZ%aG%gTfGrE?66dIL=feB9p!53mUi&)@PKF9Y?l^;9E4fAOMo9bD(hVAGvUeKu*_g~#@E0` z1aipxO+n8Zx_x}W=ZF9$n(4oaw4DpmLwMcbiCy(&j5zabZ2;n zh3m0f+1S>0gKsJ|=3!$v>NnW8 zNQ^J960{i_wfu?0GrK2W2r+NcFPC9QX*8$ZLXev7dCuBgIn1zH_Odof&dx+9MI6r> zeSIcUQt{-`^0{lq*_hAVt^u=Nc4#&rJ|W^$Q66yy0e`Af(ZQElu(EgFy@ew?#Sv>XGZGVrl?eA5Y(Q-^BuO?p0)f5J=M*sEy6|bYF-+P7@Z0_7M-ZEkE4nh z#e_CSA{gMBD~8~L6v!%Ad)!v-?W?iMy)I-xU3uFL0DOdD&?c3K(MlYd4^c(2fxu)CtJ4l^eqXXAwGZ0_jz{x@g;l1yAS0XgZC1?;hgz~ zFMR2~Ld|mG&AglHHsm;tD0=+H94+KVxu0w6`OPSa=Kh`ci*_~r@%$KYEfKouc%c;HT9iIJEC>7^-@h zL+EjOTL;d^{rlK?t1x_7?wfRL3XJ_9P=Xa&FH)ZGt`_O`GBlp_OkRjSc3bRQ54Rkc zWd;V3ukI_c(>#jK8ADgap7Z70qK?_MLv1qXYw^7~b>zFYqmPHx`iXMcoHPTANye0j zjc@(kQC3SyBp~E5?v^@V*WLCN5^$!QypXa?`CUY)sOd%+H_Hpk?U+{zL-+JSAt^+b zM@@Zdhr4DDI4RS?ItqPU#%2RM>y}4^uhFdX;0AJxl~&5IcFOa>mrm2~G4H3rhas$R z0}+Nv4VyTa-%G`2T}KiSj*A`T0a*D*9^|mH9J^UvoX^x6#R1t*HZf@+$@ugn@Ol%f2w2 z_H^d?Hh*80t*I9Oyr8DIgf1RMH#_F=bhNK?$F6~Y)sNC+5ks^!Pk~+>lj#jq z(k7;)PM_oExZKt$y|0GyTzZ}z0YzV(J<=Ev;VUt7?>TUaP-wVQVj1##=Hl|NnTtO1 zz6W;0qT~znc@T}@g+H%Yk^rdT$P+EV2jwZ+A~2Uco7XTHcO!q;T|P(*Hc#tgx@&q8 zqd}F)sBk*Rin3_c@Ay6PI}Vd`sQ}ieP*5WJX2Utcyyf!?<32iG);1LhVh!gwZ<9kP zdv%!e{0q=V7(fl!c3n1A7K$|OuN=F5b~moaxfr;Gl~g(x>3KjlLOO<{1`Cc6+3cC_Qv-=A+Oewh5FG8=R3QRJHk{}o5}GxL~kjSQAJs~ zrQ|ZV^ozjlnAbiJOwJGU4fG}@Yn>OeDyAGhxmeq)6f>h?4wl`|u<7s+fgz>sq8nf;0WB z8s*06FTF=U8wpB=M=G%>SOq6ucs+-rGcZUIp$NJfepx}Mt+Q^>(6HIU;=i1CrZ>mG zrV9~0YQ$B1FOZ~bv-;q&T0njv(qm%%KDx69@4&mw-8KsP=~j;tl(D9M-H^c7*Ow4o zY9s(YSpYifF&B}QD_9TM8WiT|1M0V56Bb8kzVMbw#&{m+xLVuP>3-*Ci37c)b|)y` zo;Opvy-xbQ43@n(%(?I|cTokDZ)E!{@}p>YBBJ4(yqnJIwF2RrogHp#*}`*F%7;Io z6ADAZ6Ghi2!o;4gac9g;<_s2dop;HQTjB3%#pCj)poe|lc{uQS6*1BA&>0~^$dAS(vjqxpCLp@b@XybOttnh{7R>h{{~9TM=to$u|1DXjaj&0YCCA_S|Ae;|SMK-ff{ z5d{YUO9H$DE{?A39UJD7U1RDDdgv+raz9g8jHlt1#XD7>0B@#`ZKaGY-7|!T;jz&Eui!|Nr4*36+u-lx1W| z+bCqoHd;^@g{+}elSI~#b&OIWF)bo3Mo~n##F(+KHMUIlj3o@lHg;nfGw%0M*Y*8; zzW04Ue)nJZA9_5B6+-c7q%eoqAeT`7mPy^tZJZ|38f2}Y5!HqoeS3HXQy%w= zvrnC0F-V_W^J~5_Q}Yt$%iiIv;DY0wlX!-iw%=v9(i;w?UbEQ0e=$rq*k$VW$zZF$ z^>Jpj^x6Guknvbn?p4o1%NEI#e%xw(5r1R%S7aKcP3G^rVa}=sR}#*$w6={IaaIrd zctJZKzRpnj=jRJ%;ir`px>>f=qugaeQky|Az@W0lqFOiwZKJY9vt24jPOT!?FO;cT zWw~D?W4C0iO8uHL-5{<5R^rp1=<>m7-pi?+geJGtZiG5G7|(Wf_-J;yM#=6)j;6}k zux>C3{V$gg>f6)UG%WubZHfv~J&7kKdrvk)aKFtTf)PV`~(rKaD0Z1 zJu-bq+WB8)L@^n~IcbW3rthvBizXxy@8@aV5(eGEqT1LFO;!KN2k+ezOjh)X)7TbZ zJuaEOAAaP%$xAnk>l9b&Vvj-2Em>Y`&|Yy|-4Twu<-|NI%!vyOso_?+uos!_ov9+( zi|RPS2En4!@|MPA^qUPgOtlH+or&;Fjn3)zReGg$3%zCOv*+MN&BtH$Y;oX9J5Rz( z2o$iXx4k7@Yz=*`Y9tsou0a)?PH+zCqfI>l9ZLy@D~2cqwu%We4Z@j_SQb?*16t^t zfg{)zr!zh*Ts{{&*^BdeE~3Pct&-tI_GNx53ofX{@^`S)H(kQ~Ep*&_DDh4Zj+w@t zQiv%hg@Tokn53_tc6#C42bHh2$9CkqZ@Vo+3#+NL`uxe48KH8JK1{A-)YSkYD5Z9!GVK(C#;$ipQl|)n7-Wj6KKGIqrFDRE) zOrof%_GCY%v$yiooU$-@j1P{Dzm0;|FeVJvjd!^%lUq{cT-13EYH%MhS)tn=knH`+ zo}EWjfI(V_c=d>%xzmv3hNuAN0y&OaiEeS*yXXEmi*+|thH*%6ZufX=M|`kI^!piw zuW7vou{cpg!p!qEXgfwF>j(enWkQOfFVope88;t=>sy09QaEh^h80P)>R%#ZPrk1~ z8@+0I5Oe%3THbn6}&0d!VZw%`(MtV=t>93sV;@y+** zx2-{yvT3&s;6M17+|SdR?W(w8IC1N{;|*C{GKwglRowIG;mk|Ui(KX~_ZK6nN6f&Y zkCeuYGYaqAuwTx`J?A8#Se7nhTA7~`VmULYuwP&}p8`_5H(%TchASxm3tDT7^P-Fb zimJ{(r1M)8kpq`DU)=mx3Yzs2%qRU~a?vba;X zs^^O9VbzU4B)hppbY~V$*&Q|X8#DxLPhQd~>;23YR*Z?~>$DJhy`-{g$WDjsF6s6~ zq6W~ODF2@K3*aez{st-=KxRglS6puLp2Y#uWnLJGR62<3Ri6%8gGx>-^#sffI5t|N z6j-w021#Zt)XUj;!n!=9K`n;t|AyP=s!&2JrC0rOi8Y?o~P|+;JH+dc4(Qb z8oO&sHDPB8F%y0#8*jH95Eqxdp@l6mFZK%(U#$PJ2CXZa7*+p4m9v_$>c&ehD`m4psGvo(g0^bn7@UC;A0RyB-=-GlySX?J|==;w&xNi z{mMT3epJ{vkVHq!JsRtl6Z8HuOV{t(VKxWvjrd?24-~;zmSxa4sICze!_QVp>GWk2 zajM?TkRntU@X4&d;vJmBm9sp5F6)E?O|+t!koXYbG$d-Y^hbc{i3VcILxCD15;P;q zioto=l8ioA0aQ+7`E!5_MUf`&^Q#;Xe-0J}!7$~!lL`Fj5>4{t9q!#*1)}(ev?UC- zT*3j%>3}VVA2tcY46-l#c~C+uPR0b#4k#+YIyq*l-r?7g6R_z(P8XdQ`I@%_^Nzg? zMa7`T--^7#R76I!y7N!TjmU780atz9>$H-cHeQU~5_LBhp!I!|;^TAqmWX0-CS53C zmS>K!!jLrpYGP0R7`WBLN*e3(C^k=l_XJm?Ol`dpd8OD3*G`Z&%2uNTo9d&~b__Z& z(?2WqU|oAS%ie?Fd>BSxyjxPcF**FqX!1%vT{tkCt@0j35)%j<{WzMcsJo8i79b|o zQn%^#?stN+Sr+FcJMerr>?d7;-QZ!Qwc|ZSP++u(UWJ<_*zvcP?XHTV#&2WZX@&BG zpi?t{!j^c}M?||Wbun^P3WRaXbIytn<2`LHWqFpAy0HYk?UdB+i0l7Bd7o3M-7iho zpqt%7v3J(Z`xC8b_Ig8|K@05H7pf$GJ$sp;M@6m6D+Sim&({GiV?y=Upl_pYd8~V1 z;5-pB!_pszvETOUlK9QKx+F9!0Z2$1A!gk5WrE=pVo7HW>P-?XI^D%JXTh8j|}nQjBh&kt;}C|MD4j@ch%-Lycs*Qz1af=~3U|t{ax9VvS|Z;Aj~2WBH<+ zUG9(VAWkv92}Bjws8nu!t8ZJ}GPxn8dQ6>P09ZJ1os>3OA?t8J!Wq_oSh%4Na$nEC z)`R|s^nvhX#>9crb)5ui$!F$YeHp*dm?x!GlKL<2&1`{j-Skqvn}c0{^arQZZZX*L zmtQAEfe4wcD zD`AN|-Z=#%-tze$y3?Ml4jcjVv-I7(`9U06^FAY?d=Ny;)yIam&*VF(SNR+p5lgyw zhUFy#t!%X_l>=+g8L?&5Pb@f#qLxYC;~=arKhJaMMa(zC>D~7H`D9Pe=n7$P5DPM_ zy?O+|FuU;;954@;xLK|2FD8YKvS_El_3SNnZ2XN70D6cS(9cZA)NXMNfmU}u^dBxe zM@&wmg3A`kV33v*&qg}Stt@%!?YAVXySTHO=$2csi*ugdKFWLPqgNtYC-4=K5AS&$ z04y@PygDq;el-ftiN|~j)t&o_*y{6xZ8j4rXGX3}!N! z3SxKJ=v#j9gKId3IBvnMQDy;LV5Xd(!>#zm`nR7}r5KzxK7hIXbMd-%-nlU6c3a1Z za&yWhPu@1Fy?6Q|V(;iIR+HeJ+RY^j;Ij4lW!NN+To`AaGHFOZzpMn{uEJ@vD=}FvYlLAhw_IL zCMcLL5weAISxU-ue=y6s^;wF7(OnN?ousjCy(4nrVOqvj_T5tZ1bcU{H|RuH2S6t} zP8qe$knHG^gT?UtoggC1^1}5(PFJ3k3w>fy0_1gfV0m0l0BHGZ(2Nr4_!DLn?kPB& zs4b>E+8ek{uOaowln_vW)OG)^=lo3rVe4uc_5GMuHqu-9w}A`6#yO1lL^>*%kx&Qv zB7nK*(o71rodL`hstfv^bAoCnOY0SHTu{RUvzhp`DBQEWJ!Cm#lq2M^n8u@S{5Q!> zt?A)A&9I$LkUpr3eCSVyL4tQr0YVu!BC`Od^`V(osOk7_$9^eeYz3zV4Cmu|(Yax& z{HtOTOHJAoN5xdkVL>!flD)HDH%0KC?h3%Zc{T)8|IQUX|5b)g1BHAaY+O)B|4ka@ zIABhW9jueV?6>D9^zo&j5Ph|S8EpYG`bCJBC=vL#1(>53XqJt|V2o~M)QsD}b<(iG z1yrzX^KjEV*bSm(9}Jd?hQy~{v+$!}PD{Tv=v$px5XJ_>i|r-ZzN7-i2x8F}5R3dI zf84*}h|&gE_Y*#dGNEYm{if{hu^lA4fb-2V6_rw3W%&rG+$`*fyw~!&MrM)XPn~WB zc@vXpAN`WU!)bE~@Wak^VyAGRW-3qQ{jV1EA+Kdp&=3~ML5Aoe1=JC%vp%kUhYsEy z%HnH)hY)pUvN#!pqFIoUoIt|M@JQ_z#jm%^Kz&MG*?ePq#Z^yVI|Ap&8(|6Ufx~a6 zfZ6yD5RkOOUvPPE3^8F^v(qy?Bs-V{`c%BGJ*tAU27zW0{x9pD-mF)X?W2p_1E3sv z-nM(APev>>X2uqR-%$Oh4!uw;ZQ^sZAIh0sXP}}F>+TS2mBacB(KSdsz3}UU%F$V+ zRt2vD4VlB3nNhJ!yZ_wQNWXQ~=h&#aaf&+*1QHO9cqYLiJPrV#L>=;qfH{9w>aBMU zz>L?Ab;z_XD)q1v?Hf{DJ=XUy@DhGOqQG+gbZ2HDrh*U=ZO|8-94fO0(R|_xF%{8k z5FEz?6i$dP;=n}jfKFtSzut*}?wC1CcEo{-I3@RIQzIUI)zh7@O?6|3>)1waMXdMk z+GW(%gLTfpXJXeoI1Wr_4Fak!Fj?C1>6#;AbTj@r=Or0P+UY{p_2lpN%U*N2J!=ra zd?pA*U-p82+28?`)M3Tx^a`f@RX5xq3mBpZS%9;=rXI|fBfk`bi5wwc0IWz_;aujN zGJ6j9oc(YeXbVQ0A3WUdyRl29^0=_y6YpwF`uBe*tzljv4AfQCX0rGt;QV|9Dva~$ z2+PuaR_om@#nIU4)Fl+_AoS>xCcO^c+VRnO4-cjbqdWL}yQuEZcF}E{$<@?`f86^V zF=lFF=9(jq3;3SMYUMJZn2^*)43a%HfA4CvT~e&CrNLYp)FpJ!1Zt> z+LJ+pCzbuC`(zoz^4v+S?7Y#=1e28$;Xm-|kP22qCNg^n33%$6PI#+i1cXxfZHPOSPwQPO2kfL0`{+_uQJf|0A|Lznq-m z6s!wEMkhG)GinV8Kf@jO9!i~tt!yBoGb6v$k!O-MWJ zz@ft8K=K`e?RngUv>5>mTWD%WT2u!J_65nmnL`82dCqAQHS2N1sT^PrVEM}cf-lUO zkVL-hNb8o;6o%#L0~~?h3<3xU6M6M1u)JNC|3P??S7(4KfR!Og^4Cv#L!DvVuZjuS zzwT&aD!ve9O-Z|>L5)xu#C7KOlpPFzF`(YRGKwB*T-vDNBog|y` z&*knHg7 zISn@p@%3hrExGiUMDU5FM!75Swu^q^8L>4e!S4*?I~0_;(@bx}e|#bEYtUu_V#xx# zO?O|g!OX5$^Cj!*(u1wYBdVb(-6Isrs zXy56y;#(W)9r0BrM|vJzIID0@;r?xG)9qblUSR_KtqYC*RTtXlJvNSO5+CM$YbOL9 z(u({%VcD)$Sa7CZU%=1Na!z7H{ZXFd`-;84!tXn>J^0e=^2>rVs%7EF#wGb$ES1+F zrw{Yb>wSH_HuBcWr0LsOWHr5stJS{N;x9{kRWIQ%o%eouj>6nh1_qPg$~7Q@642cn zEZ9+s2-cr|7^Q%lJeDmqwnrf{6X`@GmK7AVP7btAsva^S)behn_b7GV0~$u7C9 zLv@_}t2>seW^|A2ST%23-Qu_FS46R4Uk9#AtgP1#lz(xtD;O2iCpcUF%Z$B*<-JC z;Y$0lbYcml&qCb{Katb!+ET77KYiFkPMK>!Cs4xwrkk-G9X+WjeSZllfhD@O z5`fI+zvSn3s-gaNYgATQgLKluD-aUHC)Xe_HZdoMWvC1M%C0pivA31$#s9-=((#?S zhOP7ROYy1Wwh?XFUtHmK^M(F-*Kep z_nxRJ%;CKMY5ZeZ@mD>YOn~Tqt**K&s^LvhTFf*$R;bqWB0-P52+Z`;2SeW>_-4lF zjz1yH#)lQAS`P1{T%{_8z+08$HO2CqD_Hu$C(iB*sGa`xAco$BUs`D=EuX|Smn4c! z7LPwRF6ai${|kzmEiwJ~Q|wJj1h>^zZ2z9=Y-u#-G9lYrPl|Dwf5uiVegIc7(rj@5 zt&{okbMf%iv)O^}ydf{9Ui6ji48uRd^PhIzRhI9odxo-FksaivCspK|+AYulsuX(= z^B#Uj>5o2_!C;%O`HF`<&|dHR8P;Y!0k;xj|MfBbLBEsDZY}@xDdAEOyvpF<#as_w zRv<~`--owR1mA-M@TcxavxY{naD7V4L>ID-X@E9Wwm#MCH<_YauH1Lok@iag(#Mtd zrn$7`<2{Xkfhr>gs!SK~tz`M8mKN7^zGZ9}YPJdF^gFe_2{{Mh&AS!QDtF0%g{l5O zcm4POZ(ZNf-o}25)pu;3f?P`Xz~RSkqpV_YtI1=m(CPJ*YI26O3{po*0sJB9(vJ2M zulb!=ATJa}OcE==WafU`2xReZ-ET>YVpVYxnqibS-Uh>r=(;BZM;WUuTH&@;Fua!a zJwCGlN%-bR-M=?KZvN-ZkBhJ5A5@LBZw1vv9FM}|J+0Pifz7S6ujv7bGN z|9uAp?x%`M%D7%{0JJg?qAWm&YTFNx+Hd|wpVPrsNsqqj#m?P>y=n5?oqk7#^6N6H zZGdn=E1O`%8ft9i2rZUT(;vq>beRdMdNcj#POMvCBs-k{Z3cPv;2i8n{`U>d%!YV} zn_()7w|_3fJC8$(;V%*_I5X>DN!mmV#FP_ZG`8GZ-(N^>^@YX~3`9Q93Ro%&rmx_#7Y zkW+Qd%Hd#Ka7*%6=ez3qMNxRa{v({QY;u(E=KXFAyO2JtdpJ-S;N3ko4Zg<^Wbo=M7arXu?hDCbciK{Ed8^AvfIqYUh>8$Do=seuH5h1 z;Wx#_*bK55+ofd`05)R1G&~2T0YBduabcY0J`s?C&+VGaWfuU@yT?1YMt~nEp1%{6 z3i`+GUeS9xIF&~4w-h4EBz8ahVBqy@`u2ZS;L^l6AMyX?^Z%zW#9AwRq(B{C*qyTm zeYU@fbEu1|3Si#p&Y{UBBg`234MhIB2HubvY=l_=vVwT!iu85twuPNsQYjwT+^jD- zv^wknsz7qj4f1E1IU&ml5ds^<4YeMKeCg&5)-#zxEQK#d zLvnm`jigHQTN+A~=@x zXHlMbGlN3Zg{HpiiFEgZ9u=p(d>cKi@y(nX=N&NIHH2=Q!@XRCyh=Vv+2Qg}2Zw<{ zs|#6tNVE$>mR^zF>NU4D#2|@#q}TOaJz}MLgv_dky}}2t{$kM_4#zxOO?G4x!t|l zmz&$bWJbtbKaa@sy_%OZQx;*m!AK>5ot$L_h+m#2{z^PBDoN}NWw&N*nShi;pQl{O@KHj&RLxZFUFGff|Ifj(t`b=)_EL)^?CA#;Kh2(~5acr<=} zEDw)=6Czl)4UyC$Uka>2XYx6FL8f??dAZ)xmCnb_6h)o!6?QjI)iG(z!jK~2N@x1H0xh0|Pvw(a0Ng>Uw2H-{p>fy-4B(e78N zU1X;0n`49x;dC!@WFKD-xU~o0#ViMKI6OlNd<4m><8Ou?k_vGR zCbx`Qs-6lctUrWU4c@1V?%=0p)`Q1M@8cHe`PNU_Wo{AIM~J&3%ifEM2;2h|-0{#3 zD?opD z$BL{_OG~6Na`v0`*X8@IL6zrbZZ$yatMX)xL2M1eINQ2eo+Q#dz>RHIL`7gJPTBjH zj$JH1H*>R)ftrq&Qo%2WjmC0K68btg9;?SnUkpA_;0Q~en%d5X%0ucL>b+Hw-Pyv7 zZ+`4tyndI~>j`Rjuk*N`6fxLCw=2~VO?kDnj9Z<>x)6Ol)IJX3*w=g%vO->5SONSR z?F@bV*V1x0sxXk~i>$DKwFOM+5o82p=c4*yfAS4fzqzqTaB3YnI&}$`+Wf9Ku8&$H z$SdgEebD(MX%??WpTrM1`~|u4E!N;ROR3=oz*OG77pF|rsD1WdF3jP~Ff*plc}hPP z*tl#6_+;87Pug=G(mS(U(2Xj-$=#DvT>TmVJh}~M&pvQfJu!I6X6^+=ft5^sMzsr- z`^~7p<|-r^e|+1HXJyqI%lZ%m_{0Hx%AP@(Gc5P1Y~}_juy_QbT=MskSZKU7 zz5cSgkh$f)I2!BPk7a&cV?dkUm{8<-5ACkt&)D&;059kuGXRMXKw}$l$x=n%w9Ejl z>|k1HfWgR``5`MJy@8tGfa-mPL_f|=cu(5Q1#^f_Xju)<5lu6YFw{f zXv;NjtUop)aMPI~63e(+&-*^jb1`XCLNTX{l|u(zyjSDf8uY;~wiokJ;&VV1dRRA% znjSzzbOp?A4WYDna@clpcDTIC;IKF9;^9e28%XL2;fD|_6)`6E2p7fg^yhPj{kuyx za;BN@2kh=$1qhkOZks=LsowMIFt_e?aFYFcyB55I*w2Fs(B!B4Jjtw7YPNw#F<}`! zf`Vn%tZkU2d2ZzBHtopc-KavBd2jpWTb!6cp#fXyUQI9NnIw>4MP)!_{ddK)uU*JE z<@28dr;ao9V~O0&+oa`qFZ&7og_I`=t4S*?KErO~EGKdE1PpigqbV;{=A?>5sp*v- zsC;*The1G5Rx`^oO`f#9dw| z#+n)#O@GU0r9G)JxCZ&KOq;tgIYLjohlt|g=}pIoQa-ywA1lG{9;UYuFo;|Li~n1( z1xoX}?qG6~^^_4hY5dD$EGke#$iR^eGCxB7Mah)~g?HdY6?Q(cfBD z4O>P}?^~=jKI~6LMXX@gtoIlFV$Mr?$m(6)u7O>qWujKYT^+RLBtFX5NJGc#N~ zbUPL5rGoQ-qB@qOe~9fsxV0*A9e)9fja?+{nkI0XM(JV>pChNxmEWnrKXk3+FBj&hyzxW zXXgXvqw`!cy{EsJCD#?F?dsYObR*qmwp-k0jtem=@~av}|6*BG>%JwWyj<@mpi3;5 zmUvI<5H58Qw`8IAadF}Y12D{M4mgkToIL|ILQh?cdq;THXLpj9H#(}Ze^hS^FdjWH z9dF;?##0qgy66HrFk;J_=N&FA%c8UHyby>jui>m>(IQV03X0eWjL%~L(PIk{g^O9n zKxSs?!$7TopjXY3@rSX(-&E$`A8^-gIlgWC`Cj#{8p+>DtgC;F`6kzOb-Z)UO{xZJ?h&L{u(&{}Oa~gQDDMAB??m&nLBnF>= z{x-NCSm021cJZ+P&(11viK4f=#Q4_8u`ch8n7{_{w^hxdhj}n}XTe2b5Yy@H z26GdhZZA^H5A6+z7Xzo-F1Si&MKLVq1Kc;HybQ(9N8tw$b3J2&+q*X^0#H108kPu-(&HAV z5b7V`w9HXT3mz^Ti&@uJbLjQ%bg>%dJ9!ezJ{9B-7%wN{XpBd|l98lt9ev0gqz&qx z5G(De=MwnkVN7~YZE>|-9BLtI)i8U=@`yZ%^P{CU^q!P)a23Ai710}6A$)@yC+9|ZmrA#y+su^eKE{^nd~%BGD+c;|mfcUOYmEm?F}Na2|82z<9b4#3#dpAUI)@s? z=;A^ImthtQtnM#>h(q>qeItdTN4IS$E}oPKenaXdQWKJ$D zuD+P`4+X`X_Tox1UQuEe+qGVJq=NO%U*}BIhHO8100a>Ff#;C?yHQ<9n@@wp5&{wn zen8`!Az?dk@)Iv`$JYbwKSWy3w({VcZ9BMlML2sYJ0bhmlpc4Ox{621fJLg$1K4jt z#urZ>FpN5rl{jx<3W(O z4_J;-f?ssLy?b+s(Y7709{@vUH~2aO9k~v4WR^zrTnL1$z^_MvU$+B&b-}@9A26O$ zAS4>gxJ5haLokr12$1D~uv!A9faq3kAVC|JSk2VirD`59SOr@$E&>i46n$of^~1S| zFCe1j&7(QHtSw*lRc!_7Uj_*AT$4-NAd#=#^oq2b_T)~kV;>jmf}pR*T`1^UqhhNUMAYEdpAmBa!}qK~m2YCUeS1q?V0 z=y=a(+yQOShnw3_ds2#E{#RTdvRzt%E#ojCmB)2kHh@lffIDtK3s}Sx!2AZMkFsiv z2?6kk-gugKwyaub|8@{Pa3FeQi-&(o;`&af4lJV^J2V= zgDi<)FkXmt76oQnw91pzHSb)J73D`9r7tR*Zpg?D7y{i!>N-Yjp;w7oAQbt^I*KqA z&XH~^J`f}o|M~L8?~<7vFGmOKti!w0ZO}jWj86`cloYSXExF6%(E^3ggGn04)`S) z>lS4VgGY~-H+0>*cO450V9J7W`5I+~nrkab?*SoK7o?jvvw?lUS!L|*UiaccmEf1c zR~KA}9>{X%87@>YXL^1o*R1ijRgZX@M|Jy>V?ckG9ajAe>*Tmdhj#(??|m)ec9d;A zyK4@f4+4lap|UvlN;j-Q6(OJ2pjuzT^iV>nQn0mRkr4HmJ1AD3@W;eM%S97-!XkS^ z@a8q>9@`v#)fr2Hfmwr2IB>5)ePtH#+u5xcJo^9T7sui!1{2jD(FX4MH(%1EUtELk z7nSlCu44mc-@thNz&UY2DJ+hZ4xz^Q#&wGI)TMH*+gj=}Hn;%4laJ zWyDa=7%u!P5_-ls4@YJ+6Rw8w{S^Bbta1);*jb_Rjgx8YIxc)cHP)&(Qvy zY&PqD<>5u?C?~aQlCZJe;)jbbjBgy5dXLFv;!2;!W3nqFA`GQpV0UP{-cC1^HRhc) zRQE3j(ou`|{+5VX3V*k1-4qJv>4QOAsnIi!+?9pwFH<)t`0%jQ51S;U6Zz(f4vePqlV0Ml-w7;0FJ{F#P zVz|1(YEpk3qV?BKY~`0+@r!mG0|EGht|*yM5E4YqW3S`1(Yc7}_w&8%7(nXTF4!Q8 zU9e)ScV3A^1S{u?hdV5tkp;82RRB-mob*h1x~{?FLIIfZJzW}N4s_<)D;^8rSED{P*{ioM*=nX77X<{WCxB}fIhVzpip!3-8r3*)Cll$Iu*omqpXKYymYJh28n zy{CH=T7&Sm&|N3?N86c+x&AG+durbnK0Nw0Je#F@08733*WZ)V5ABs$h2hgQc6MNN zu-#0LM@lgmuai@Fv@Ci3j5jM$Rs+`{f;l|nW25T8K~YaHdchZotu|z9vP^j{twGLd zR8?fZU`>}{eOllS_LPe}mg*ue_`RQd^Femcsig=+?_%m%8X~4y+hh&eRM!yT3a``h zG6)Hj?2;WzV1hNl1_gEH_<%n!qgLF$0FjZ*^HUz*`dt)d*{(o_K2>t>nYL@Px+2{rx1z>9=N&5T=7qC>Q{!KRN|-M_xT z(8GP~j~}AtxAa32Jd3_t!N3ll!82dIP|xt!6MPDOLYB%~3U-{K?ng&)cD+dWndHRT zF2E96Sx5>0hLU`bySn6fBLLWnEH9=O1)#C#7yqi{z%Ra$R}GgB6y}t)`9|MZgDl>3 z%H`O7b06%^`6j10c(6}I?m9hG>C#FCvS;$cEpI^;{bOD!Ps*jC3kTX~Sx`f!eMbO_xj zj!%+{oinuml(&CPwVc! z7(HeUR@bl_kjv!+%^{|o3kDMf{3EaiEsKQez}p5ff1E}~H`Z31av=Y+|D4WN*WCD&p3u^PG8TFO_Y(40 zGRjhkF6>gu6!L~d>W(!4`1)}36ed8vew zP&DzgVhd5MF(BIvtWyUK&)#v!WOcs`K(Y&zIz#iL>h78NHnn8z)9sYH^7;|;HRz8{ zpb^A8#)Q0>ovId|nfvx^$<_!P9;fze8h7hXVQzRwvWF_N*;47Tou^4c2eqhk6+J_} z`AP|Bp40z`l=1Ll&GSQ2YIry!`s`b*`)6O`(ASh1JlR>+XI+KWxlw~xaAoYm=G16i zEt!Mss-%aQJ!;s+>AA`CbK;-9idwKnpFW6F4${`k_ztWvXBl863}EG5OcXUzLX^?R}4=Uee}d?gD>LN^N46KyA9niw|WKp+IjLfhoA%hOqZc*;)cEk z-fJdPTSLtCHvZZ1m|x%Vh?@q41r1+2zfo)jb3k#3baajjp^4qbnf_25*Vox7$jc+{ zO$s#K5bBY$2(GCVw`6tsp$96*hn*p%OA%2fYy8y7Fg*usSC`INv913xMB1a6$jf`z zLsTmqC7rZ6?&DmYTZWW&t!55qnc^a?p6K`u&XlXUQ`3qG25={EQIWOiXlPBH;jcyb*-3`>bR>PX( zEUg(57ITxk`{NvAn4gmzs?6wEWcYTA9w2X78i*zKhPV<(Z*pM@~)&KplUD(i{ z4G%7NK$x!E$lWe2E$1dNxf24@K{H^SqO5fM5b$(- zzlms1?H+{RV>^uy*Cz}5oc|hBjViu*&+e}3H)#b$mAS3D`bnFfyrwWR%HXYzUnr$- z>faew|Le|O`YCv`qZ8ij@c7oxUQX|-_hQz-J*M_s|5z;7JH%_^ViMmPbbT;{Aa5wH zrFcmVVH~!a{ui|W{iFZSUu$jbo`N>6*|H`@J~@YFC;j2HEk#0K?6 zaesDT1X~$ZUv!6f<+JzGpDQ>QC^>EHZXW9c3t8T9UK!mN{PAOcn;tO&^Ne;M9E5Sf z#X63DzK|=%*yJa?{cFMOh^wSZ+pxb*00wjYMX}&+-^(-#dmt(MzQckG!QS2n?+^{) zSq7P%y>^+O|FRj}KLMW9uHM&h18#+^BXmc%mFxT#(~Vg|or=eS*B)Mje%fY=eB{do zYU)TD?&ZQAc^V%7Oy~FjLAr>z!+v{@Jvxm2m6`se7c^kEo_t!Ehr6Z}MV$Z%r3>Ys z{&k%+a9m?{c`P<}EVSM|q}HnnzwE@RvP>-|vaX*a^%+W!1jRBxB+ho%yPxv8ZNkrr zX6WrwBWxYZY^t6A?8-*o^b1t?OX%+brO&h$Q|6IYlAhQ_c z*Ky;rQsJxnc@$gBH>WueJKeVqW0+xJvHGYo5j?3@>znu2k ztK515+sS+%RPQZcAn5;GA&lM5OcKnrGZ%6Rn;`NmGU+d8ny;fc>A6Aae7SE!YCToN zZ=-4NKD13Ts7(+WpJyi(o>$AT%Jx7~8-2l)uKrlT?B`0gM9hr>Q3@F=NlX)I+`y8r zsJ>N$i+Sz0s3*`NB<7|&>-vzRas-#eAbLc@^m(bIOor*$@Y!Fp%wp~J*Z&saQ zAuD=x{c)+2EtxLV%XXhni=Z$psR>N_$F~9Q`^xI2+*N*n+;xnZ#0@6=2?v0+5iATR zFWds*x`kA8+?X`>g6hphWBWIDBq7L$h>lPBNUiRIBR4dnoOQzxx0FoL# z;F0X~tPh_as$oZnJZ_az=<$lap!UziJHrFq;&+R{Qd*w7FxgE=6r1r3e>bn&3K0X7 zjtVo4?$#~fy3MnE_1r#yRo)H1g<(kBjmg=bBx$8a>m2Yjp7c^=>E8YhN9i-{-kM|AZozmqwlV9Tm7EGuD>>8>%Ufv1U0PR zWW98KM*VB*sVBkq*m=rFQ~tt^(6M;b4fcn;_}N7JB|}rOXg|cVfUR|zb(llFghO6w zY_kMUzoedz0_U1YVl3B~dg04k-?iCseQqx(N(=0kn1gNYX`514XzE4@1bTCYC8TU>b6rY4_Klj5X+LT2UKUB!D*mlb_o$w01GjNaDhH~q(d#(_x2Js*dhGi zDL4!6VKmT=lVDvl?SYnUQL~xbJAvUR$oa($45LYX_a`vyG@e5&W31~G3rSuLqDeWR zu-VO-M(I4>8(H#X@iK6rWCmyyT~~=-%wQkXuNBJzcgFVyIi>O~iR+y2b_song>4Hg z@6RT`bRI6i1B9|Kj{VMR@(8jvT+siCRQL~;nO}hWk3OZwp$TlUP8yH8RcqC#UnJA+ zZsBe|V}G85fo(q*%!aa88Z=1wV5G5)gay(_rk)`$&(YOJ-XEt-vvg@YxH*8w2J^7P@ z+qQ=18Zq>ET*4R;0EO`TAL9#~)Y1f}5nt>*$*>E3ap}5j$IbyLiViJcq@8w{?Qs9DGMejIeUxqww^cll;itf{8l1t5tlrnnc3&Rj%^9|sz|x-uSrTBI zjwbbe3wyWV;R1bJNdm47P$#?uJRLSHWDZQDaCks}x4BI+IGsD(H3VqyCatNFQ^Wm~$;S!{7W3XV{xZo^J%n?h3CkAjv?{+crq zWDLJM`D{<-K2x&1I4m{1Zt2mf?CrGF)wwh`Up?eISdsNd5rms1jQp0l#Kds;9m(sx z+yoBa77l_n{6bzEcR4?BtcIR-$8u9Q-?WGyA@ciHNy(1RW;+D7<`xrepu^q;MTqq` zCBJMJUIHvjY#!C)-~!3CK?QGs)VwVN+JZcXRIuNEal=G+L%^bnyQ{037k6o`2*|e@0=8{IJphD z)nNO?@Zu?kwRACYJIH<4c|EbD(pD}r)f3DD6X5C=u%j|g0CnC8tFs;KH&)HFzZkdk zY4<+;Tp<$pz&DS&Vzl!mh0;KTdHvm3l^uowW)+~JG#hfNIn#bDG~(ZkJk(RrdTds# zPdlLxep_*d)ucP)r#=wn)OWynp-%znJnrzIQf=5{XBSMbJOQM6fdUJaT3k%L<;NA{ zKY=kMoYfi1xu^G!S=}U<%A5f34Fj=mi#X8ZE?AERjtdW3wOYqjg3CMs|ILEa&G@+S z6zV7RlXaJe>@Jz|Vu4e!$fF3B!LvUBd8<9RM5a}iZLi(^;2ikvl;3e?m?`&WyU%$V zNx`|e4d_A?h{0z7Y=)1C0m`)5UNV-v(Z;Fw`dSR+SmB7MbfDLF7i3Ramz*1!@0g^} zHTccO>#>X?gKkgcB>$9>l~RIR7D=QQqdxesSX;Z4aQI3Sw}J zh6E$00QdZ{%@RXJ2y+nN|1&^0iKK0)rccC6FxE4dJ9V-$illN(J#cDqvwZ&4CIT%IkRF7rH5oFit)}2bV z#8@9xw{BYov&&-&UjxPlIsP}_4!Ei6$;bl3qCba4G2f-_#ZX~q`G=U(E}B4-kROH$ zt50~)p3LiXvrIP_VNCh)K+_UXbUf>juekW!Q23A=d}VcNf39*!SAu4+;7|H95uEWo}zc zbko!mqx)dsO(IB_Zo$`U29Wr|18$&(>CsV~NUtB*dd1W^s?+M}>9D8g!(coPz=)k7*a5EPpYDp`1+8@mllHrZN=eZFv@COT zAwgNtvUXGk;F?cZd8q2Oe*vjj@YA@YVn%@b)o?*9ZGh*;pWCWv6pQ(AyuwiN>09k!9KGfqZ|~ntRO4CSBFQeT%TWQ&wL9yLlTmrgUfDCcecJWM!bmrUY!auvMKl1 zbS`c9x?aE}Yu#ds$-%+M$X?A|RCPa8@1+gX42}+>aJRbA?KPA(@=poP`nQA@w%M_b zRT~pS>OIvOO+bZc4Z}4OE(78AQlo`t|6Iu?ShOlKzBj%(H ziL(bW=y@-Dg|CF^;j-!aYU+`wl!E5GTU{I97Zp~L2dnGnbm@Z0QYpfhqd{D3+AoN0 zHwct!)X8|TMD*=T(B19-3MF-hR`vbr(bE0$J%et`IYZHF&?4m+SGS}Enxg}A(K@#c z$_xDy58FUl%~_-j!hiP*kd(5?W>6+3yk%5xx9$0l+Z%9Sx)Hq%{x}^+v{mMU-X0+0 zG1mc1LMo$WK(~zmy#VP1l1@gDWl>PQXUh;y+3DRph-5UxmK!X?uWQhwSy%r#uVhb} zGyJ~ZjLQp`g{Oy4$J0c=`ki{>e$0a{ZMzuwUzK{=b-e@3^M6 zb!#|?iX8-{OB4hYDT06~C7>cABE2JQrFSDmkP;LNJpuv>QWTI5QWL3)p-XR)P$UtN z5~_w0l6-UFKKqot?|096-+O<*_fNvgT62!)dB!uwTmW7`p}$#cK9W(f4DYYi3B`NN zdE6w`?^q);U>C4%?iRH-Fj{x-=J-{8^@-rWYjDk~S1wFG{^8C0@AoE*P6x4c*W19K znBavOu*W}82Nl;`;KR~0@O4s(%eHj1P3gy#tHbG*54L+M-5g?)g0Np)<-|KmeEYU zneS|UK#6stS}`B%WzDUXKGL+LdDk=NdSybkH!i~U*yp%%u@BrrB??ETnow*3s!Q5Q zDDiUb+3)~|Y?r>#8y1Z&0dJ@4M9M1rB?^aAPgLx6alG|lS)pBe@9kI+60aDO8lyaV z+n6lc#G1`??SaXlhik=2y9n(ixth0D(~AY1eZ#H$P7HeRJ9Ei5DNRP$ma#K^9pC5i z^Lw5An#Se2rJcF?=>2PbjdeVazb(`KQfIX)dXqNBPNiGh;ht(qtI3AEVH700wk@}DJ z=`A@dzcn@p>D;9}v$`p3-N1k?%v!HqcY!KZfTSLc$YABMF+9E(^BD8ZjQ!$AGbT)dNZEWHnP+ z?-Kvpla57tX{v4KZbQrAWgK(xIbuQD?-OOFPyTBJ^v|7ffv;VMXhUODHnAbDB^juP zhF=)NR_~o^U?B@HrbmWVJV4BCu}KWdN$27GZnK{u4>Sah4Bm^bU!GJISW@@h`aVEO zq9PhGbK?(^;b~4OZ~z?U@aw{}@9C$sz6R*6DN8oRxtHZ`=EyXkms?QNXTTs<>{KG# zASja1c&tzbo7#)@I7CGK-lL0|_c9r<;d{|pp5DhdR5Bd)Nz2FI-BYIJ>2@ffCOqA} zRrLN441R6YIk+hU=+)JjpJ-YMbeACWBluJ7UNdk%#S(ZqruxZDhgU$bZkfLq7w>E> z48X#E>XiUJ2T2V*bD$tDsI=a`9np($?)E3RMXmf&8qq*qxxLnJ-dZ}*-Km1kefU-# zxW9nqJ3=j!_(BWkU>>t1zlr%lQ-1+mgon>Si9iale8mf`58`s?nv zQlTm-3QXdZ$7UHNi{1}+6`f0j&opnAO%{`zB978NgN zxW6`idEb4kqq~3ANRDdOPH4;3+RF0?L=Jv3W}BVh!d7 zhmL-;;MlQlXvto88-C^=7ogajgEemlwchspWigyb3xS@04@P5l?7ROv%Im%DNZ}9S zesMy-++SE8YsJ-|R%BZ?WZdrMRlY$~+Mr5DknYf8T%cc}AyBJ^K&|HaAjtkk^3@nR zJ`BSjn9_Wa_LGvn?QaX;63{Aa&OhpQ@+=IE7 zEO+ zlqi6aHoyqkj$U`5Hw;u;RC{Z*3)p&guiB6=0ln_>z;v0!51*H+fY~+X-Y*zM47;Dh z1lzQKy*c?6ecd-->Yr~NUG(#yVSEVD`)je^{guWB+!iFZmYEK=0L`wPvu(1X8E>{{9=gApNiZ&E^J2e2 zQAVVn^-92VHa~pEK=m>b{obWO2Z+loc&#rQDy4X0cynN#omm5 z5}GQ%@D)CjNv5~vl5e5sdICb`rGQg?+(sNR$L-5I(6f0V!Xg66_igKCc~4fORN55i zt(>}nJ@|+#BvB zxtXyLJw7L4_*enh&iSSu226lLt0yD{i`U}dZlUWD_xaOs%KBmR5}zu+UadI?zS)$m zgrrp-D@WzWVXE}I_=CJLEh_6|`bS-6$s&nQPGQj7N1$gBP0X>F^V*-;b5$%*Z21^u zlhC!J&}+XvD31&W!7K$Ab|D5Z&ahgEj(XrPYOS8EJ(9r_M=UPw%iE<+KQ?WkbtuSU zGvpQdT4gk%OnsRZTU(p6jZZOjM^%VmNE|@8#{~&n@f3?}8Erh@rqEFuo00FcDr?@% zvl666FR9kuaY`|CXGRW(;Fod~4uKW>jKxrG;Bv@GG*RG9zL^G`68&nKwH6F%hvmU$ z${-)hMg@7^ktx>Ff>y-wt!P{Q5-Y_Ki^a%T5RHgB3#{`MDpyEUOQGMW#RM8&QV9JC zv(B>7r9Q54~n~iFJK0-hG3}Y05<6jbx|du)IuvndG>^dJjZ34?*tuV2 z{pm#btjjDRDS(K~= z?mEk6z&%u-Z2I1VBNntgn{P$Cbcg~!_##l1A>WFW%AiywMI$P-mszV)>dGH0(D6Gz z&5 z0ofils+=B@5*_ch87UP*Kj#k|Xna@sY`0--6qrmz3yE`T*ZE?Q0Ow~E=pAQUR7gIQ zbQa-`x<=ZJ7aS+H_f!PZX_rjSF_tCPtK~5oB|&Q4J~`qUk@yQ&S+RCt06N1*2iMb_lo=%Q^zM=W;2Q70Tq*DRXvn})kFeRNOSEl>W z8(|r+K9v`$0@GFp1E%3*=`0@Z6Aaix4c!^=AL+eUSMMz*HyYF4$fm!CuVu;|sHd=% zr?XntVKHZ`knF`A2oT$^Kb>cYBL%+kItv`2{vMgsMI$Pw$3bi@Y4y`_1JyaCVR#E- zP!!A*CPN`IyBuXdsX$-MwSR|^R$wn4Mc|ZACYCfw2sa!^jhF3d{#xInGEF9{9wdxd zgbM96qGqf;$#VFf$hKb+WI~WTiP}_7CZXq+Hbdr%J)FC`Ns-gH?O2w47%<1~9my6E zw}n6DA$-Si%8rkTBRaRQ<+oZB;iHFUO-ZJ3LDx|M)KYvu|38o072c=oX znbdAg6eu*Z$71N8%T=7eTm-XQ&@eFu89@4t0W+?x#pmhpqX_aL;4cG&2jzA8UM`U4 z7Po}0jw3)t_1_p#y`_8HfZ9?YiVAcZI@tV;5D-GU3Ftu2kN=i%1NvtS7^Isc6K06> zTjyY6~v5jZiv?<7uxr|CgtkVuK)5>d+so>ai>9fvqcS4wsoZCB%3 zVx12!aYDKu`w*}3Y3nB&&PR9^I?=a4IUEG%wlzvtAf3JwvH*q`1(O*gj>xXZ`#ojA z*nS*R2h+^$O2QsPg!=c9GtgcP7=(tu|DG!uXtKd($ZKfl0C>3?4a=H@r6O|ZG|L1c zvp3TU7Ysn5W>kX(1`zfcK_c|gsxab6S{_rmSI}5PFrO#V-JJhwHaja(;BiEIgeTKB zBZLg}3!m(fW`Xa3e%|^}WUVydKrAe5<$=+_yhLZ*z3q9L4|G6m(NWC#>o{h`7t7sM5~OH%)Wq+)Ci-SAhg5PAkr-%AXBsQ6PB94 zjcjIwv3O88^FD&mn%IEQ>Uj`oxsKaD0@^D9KrD~1J<02lYkZeF5@zxkdWcMx0y4Zw zp7o~(Vbn26VUvn_8u$$~sPvsTELDw_u0U@*y*QZXa+mFh{haflk=<88OgG7(IcFM#vK;`CI%^Z~K{a zOt2XFnEPUBKOz83D}dF>jql2z|4w-Mvj>|bgc?}a)+)&in09aBSTlFo%ZG4ewZHE6 zVo#59`p@%l;^F;ZRuvC<4A~$7P*9^c=ke4_IgjrON&ao@uht*lNo0cUG=iVG3m=m+ zxP}c@p10LYehj+tKz=2%$*svSaEeUt$xE_CF4RGEf7XLy94y|5guQ$D!i2>GIjw;| zN_A4XMQVrRl%0As;P~uymPH>*Mmw6E=>}xD$32*9A}0gp{BS)#7{2zT!l)lK^Gfb` zUo0Hd`A;9v_6!k6ztigC4fLZC=RbahFD)vf-u_^^HBTJ*2oOktp6Jsbv$RM)_dZ@z z8uY-c-d~ZSzG|y|80v+H&m>OIry(>85YgR_I_g*!4Cot&&6|i!cJBMacSRvW@9n_~ zqM5(K)gzk6;n(_w%?NRzp;l_IWBw)7JQ26&Z))Nk`=c6U+L+^#(10a0kV;Q+V zMpgsKo!tV&hzC;jkca!x^N&+6MFcN908_5iVFAQlXo=vL z*%yv#a38#>vC&9Y-Cu(ZCMdAyD%}-$oe31f=_}jwS9JggZga>8hlT^AwT)Uxew0Jv zSGYF_|2B0`k4e!5lXQ^xW_V#7vt|WgyQA}`^iO0g$8BYP!RP^n&KT#bXsn{{7RRGs z7zX`%T(1XGb`NZUvik-fF%Qf{J_|^PSiT-F`&0v-=DEyT2VzqW8vuI8HM_&o&k5vo zUgi)R$_)>fzAZ5iVim+&71K-^zWjk+q%yW(Bod7vSF4qynroXqC==;>&x}~Sa4Qj8 zItEF;*C57CSkUgE-*!&uY?S~gI93k|Zv>XK+_wucs6n8WsP3x;u)4)N{@DBaRk3haL3lV#840_B>UCROKF450p zcn5kk@66TcGg-s2A+&3O^}~lHK)HLj9VjHwGF10hVuSsL<1gE~6GPpPa&g27eu;+& zq$2vv6lgy-KCih+RPFb8pf#LfnHVtbcPm_Q2hbD8oiR{KyaFk43XGAG*A6%^TAp=n z6=F}zSOxkJYm3S(1=v4HNr_JhYw^Bu)Q{9c^-0`Lw(WUAzEt!=cpwhBp0L$Q%% z`c@j;4{vIWqcPN5!6#iXY)3dic#jj>L3%!}2he5NjqALZIlS7X57 zdkOUCjm99NgcEqgKr>x11;zz>_Ld*Poxq@I@~Yie@r97N1Xke;e=a2|G!i8 z&*Er?r?`O{+M;+ZV^GW=!azo6szE$25 zR3=AhRp3qTvQCWkkW!${)!Omf56gtqdw3ejJ|~^J8xLPvkpgsGw!aaJ1?(PM z4@~3ebC#&;4B!LbZoHrKPf$zhimVOe6=pG*%94L znmFt6NfLz*7w$UWKkoE;@5)hQyX|QgKF;1Cg9ebe2QjElpj8x!66@Z%Oz1owJHB*$ zib{n!0C~TPos}(pk^VhzLkXEI^b9_m4fS2CLV||C zI#42IeY6-=0g1)w0lIX6sqL{Rcv*K1#PXMl`GE=R1MjUPQJmW%S<4;AH&iR;%V8!{ zXCKzPSfeor<{#sDhepH!S*_wHiSkeMYPE&TuyJN3Z#C?azTij9H1^f$=lZB)SsahE z`xf^kZDuX9-bXnn(qg<8hELXU)<0S(_VO#=uYo(CTKq=a#?8ssR_n#<2TepG(M;(D zkIjXgvrn~P`(c6gMf85_G6w8%fTqjDk-JS4&ZI&-{&ZMGe5Caw`MQAcHwybcJWG#% z`t*JDt|Eg5RK-*SoZS~gL_IF{wg?m)p9sj4l5)4ReXNDtE+zZ5>S(08(wqHRVfL0q z7x%1rl04=ZFzQ9;4G&z}6FS%8<3d#S=!nzQg}_s~Jzj;Jv)B9F$VLZVpVi2@VI9Z( zM&y&_W#;29NfgjASnD14zNk~qeyGGkxxch+So{9efrGMmLWs-eIC-f0T-{-Ww#{oYT5Uz_HD0XUb~&@fO5rGo@%JZx(u z%w0720e^k}z4(CL)YEG$#QMiUiklafilb`eia$HnN%qLvme(!qEX(Zf-Z_!6qG@Kb>0o;1!vo2&RSb-sjBQh5J8mSa^1LG>`~rjcl++nFH7Pw`^393 zt%A32UnpJk2(IzY_l=+=L|j}deBekw*&QHs_wc5kw7=${_Jz-lcO8W846ZzKdsXLU z=R4wlL8ol<(%M5hXMf?Q=!CMeTo&f~kquha?_eT)S2X#?x0eVie7w?@ z-J-O6@uw08Rf8}xGej<0Lz^w8kUMj8+wSucZ=#&@Vl-lpVL}7mHe~O5cf72u8Wv~+ z)Oix5&H>|BIRc6D%Z*}xW_R%i1p+&{1k`zEb@b_y35^XLc|mnQ)S63~#NyBkd!*bY zI^y567jIxd%W)b4M${G<(Px;RX38n_(_bqRf^Pw7alUV>pXq}d^Z7O+lMA=Z3E*OX zX7vFp$_d$B!<72rLzQ|>ZZ^Ui7YSp*d@e|L&TDNMt3dq@hPq4OR=2m+ltPB(dp5pV zU|0pX0jht`KIHl;{3x}Z0Lt-D25>0&mq3-3HG-bEY?O@+fpqw7_Uohp=Ne=)twI6# zuQ7pfa;q`W;lsP|DqmA-2ZQ;LNT!6(VO(Jcf^Kdp6lV7Of@x#w;3Tux|52oa_8fLQ zgJyf9o?s5kE*!B);oaPA7`Gi-d~?w(a-*b;W$P^L=^7G>bi{lGv(l6DplCal>yZ&h zr>9j97fMPr794F$e+aztGlF6Sa!ZLrlUmA^5c;(=QCpiUPC~cvsI8`Lt-QG*VNNT8 zkuA{6YI0qWumLIbbF3g7c*P>XMbm(b-cl%s^#>IgunLc+o?ZcfxH#?gIQbp0D%Pt{ z3fX}bd&BXq2!Ji zEo?yj47Ek0e2jE+6)R}ZM{1)C2W=uv7ei>S%JtKE-8+(jTXh0TRhSR>uj?3js>Xsr z_Ua=I;MaTaumv`e$p!^_Wcb$H#oZ+uU&7kbLx;ezoQZ6^p7R_ETHex8-jT@U6T8*u zh5r6}4*d2aZRnq|6kzppn447gl-89ksXu+p(m2E)jrs<+v6{8wS~O)U*wlyphHAJ0 zRP-Av@9NcmcVkAyrx^HtvuM~-baE>LR&@3-8G~WtbJ%7i8ciCk(ILIvIW>{4gkHPm zHBRF|T8;Yi-x^p9!GJF54KRA3Dr{W^BBB4$(_K*2&bkVi4TB;f^xSel$UFv^O&fHp z&eOmi&{a+ik61*Qeu!6VUVUWP3li9bCthRY(l`!L=lt_;e$%km2Hl2*Y?cmzR;J2% z6pP`o(ZX^LE?yc z!|&^>#sViOXIst-3>?k`GXqkK3sV7e_>N)QugnyqHZBFCq|94LuvFbQ9CO(gfm6<_ zjNo^;el3T(3tPN}He!WaBk>!b(GEwkh=lS&L@)3*@iCNx)3cbVYmT@h zYy~Ot*E2-s$y-JWG5+CRfRH0lPrcp8=X$*PZQ6j0`{gt-@^jnsbbyst*Z9|)2`wbf zj)zC8{ln1)(TF)h{ZmvP(9I&ja2HPxiX-Y?Jrc?k*CN1as%`0HI8MyMG=nORI7*Em z;FIn6QMM5$RKAl{_W>ueYdv1$*ZU9IELBC`i5N{bodbT;`**)-2E0fV8%S}_W%QQc zWV*@+raa&E94k+OR_f~IEc`=N2nScVww(htmu38~w;2j+{(dV#cL&bvsr%)9%4!|w zpNi!)M6x=Y|7TB34bi6Gl~o8B3+lZ%8}!pb(g$EVxn}sN^WQ_C9pnHbx9uMsG=7X1nv@!`8XHKIIeQIrBG_y>1;f8lBilF1nTQ4`}-bakF83MZvY6lfoL#60T%3{^!r= zOU*i$<&3*DF)pTINu>BAqR&us{pQp1lkhW^r~=fwhtw+cQh(>YiUxA;rKJu?H&gc- z9V@G#6RNFG-j*PDO3$q5?TmA`#|n5iA3#jj)Q>=$8<|_Om{p-W(zcB;r>R_9US1td z?PtoP_|&_G1!R4Qz8HD$&})B1JqheVDM>XF?QtGDlRzsKUMV^keUV;gxoAMkGy9Q@ zl)!>dJQ*;FNjeEtp~_iQK)!J7;0YS>Kx%aM72J?~7y!)w||dI>ay zF*w=ihQrnSviaHUomZl&x{kqPTy=N$Cm26CtD^ryIX7X@=gpYlE&Z#&d>4zvxC_}# zS$ZLsm!uf5!`J=*&g7qft4avdYz4GXSyrLqe#;zW~Up-;7EeTqsS}Cy|pOeItWLZxWfExn}Ir z_VoNnRrOQrpZ`|4Uu2vdsWglJX#jA!!lh|f?IB0ynM>!=Yc4XHx`)dM- zVduOJ?RxxcfVvn11};ZI;WM$lZny+eC-$4p`hwJ|1uYLI=dWl~exc+9!m;v*vi((z zzk_q7XDKc{^m7Q>xqYA<%igmq!IMfwep(hNJ32oeZ&usuHrVOIVTt4uaCjD-wxGN7 zRcU$iM%HFtMM_G(+4~OmSQ~v`%9|9yarkTzVo?xGG=F$np6tNPQ*KaO;6FM}l=r%QI{uhO;RmBNkUL4e<-1+HrLNu^m53%RkpwS%p-nIXE~E zJ}tYH8GocUPqtTrBDGL-o^{w>d4_9llmWX`+%Uc-0(3FSuzvBAb=h4Sd;MP2m!vKR zEXw4ao~i&J1GcY`dKT4lY7s-LrfEC|2ihUKM&`x57htjHLNE8R}kX)^MO+T z_PqZqgi!xn|oA|9^#$-d{uhCp7-*gzF@lzg?w+k;OtL;F|~f#cCKsgrd`2Wq%(X^ zz*&|@HF9s9@XzoY-zgmC*W?V@&DFO{UtVRGjhVb}8om_yHP|keK)IYevHGzKzJ~g8 zBf6FW+k5U-ApBZsw`>}Dr>1yTy?wlW>D-|y@3Az^pIUfIRz4Nn3O&Ncym#WGb@e5c>x28+#ugR%@*Fax$tNn%O#%W{Aw zYKLR4O}^7feiKjNkPY{|G!ia~h9lzzRh8PzvX^=LlFR2*-QI?(iL@V5p~@DCZx7UQrgw zYn+?Wkts)ck?Ux#TsU$*2%~6WKC_2YQz(Js{PR)0PdV?OL?O-D1GcXv=LL0SOzg~_ zICXc)b?nGsY`gip8qB-%x^a43_ktb?wd#T}S zdYJX2`bBLA2CONE0egIlmR$cme$9db+dhcebgpd+hb?yf%RZyz(3CT7Y8Q(c{HnHCzwC}d77Dj0a54w4n$}E`AF1Kp7mc&{4WrS`-}OU z2lM&oD}lu1&H8sj{$J$=H1Y9&Juvsr7b*7qAI%Mi|2Q}PkCVvyFAx0BlIY(D{ZCl_ zR|Wasfu8n15BmRK`TR9R{~LL^F8W31q0-4O*3l@Q8pSV#yj`ljarA@33B3)w$c4CA zv-VmXa))lm{kX`N`-TPwRvbPe))l&<5I?&upZoZb{jemp=Xhuf`P>t*N~vGu^@MS!I1<%~7*s$>n2nqxFG`9Jvk& zhuGoCC%2_7FQR2m>NV8dkyI0#Ij~FN!{J3r6cw?ltrJ$cF`ql}(@hc)S(P1usc0;_ zpV=|7;^c7`^GPDDf~@;|#c(2g2VefQ$9?+trL!SQH2n0fbu9nXhKsC06Ts-=yZVD+fw@QB6%uIsC>xn zOR#1R{-R6P=W>(EProcp|EQL3_4%Q2?UBN~6Z zA}$##ReJN{!KrznJy&3h3n2n*w0IRcvsn%&)DAB!;s;Kg|cJTwJZ9Z@xed^L$#~vWGboPFYTi%Vev8#t<7V}o&`;8u}Ek!5CFkr6kr|`w%WaHsBT>27WtVV~_f6J_n?=miUOuFx~ zVLW2S{rtg};+r+SZiB-uNhFNfp~nS6et2k0uqB+*)DHD{ZJqM~tS<^)qSwA%kJC)i0OxKp7grWhuPPM_?wS0VAhhpC+P!5^}Sy#3T1aYD9&^9cO7e< zw^a%l@gl48VvK$Ad1KhoW0%k0!)~n&i`a#!?eX_FvM3Z8xtytuq?aPrJ*4hJ`(tGi z3Nvxq$cEIiHfXmoUMV}Ll6tRNR_E}+&Vj;LHtex_{{DtinHF;%<9{q|>X}c=f5Wre zLuVgdO|H0Xb~c3#V`FsId&;gRvk&8*&B091WW#P+d<>ccNT(WC0q;lmy5Pp zL-&*`eS8XCH~@Bf_ z5ESk6uZY>nt{n(``u-eZv@n7F(UIF?S09XxN@Oheq&)Ua^zmFT5y}1!pRDPoeSi1h z=A`{Z*8*25YDv~g?KI|=JAY*K>C_BblBE91szp{LeF;e|8=_NRFG;C%60#yAqhn%5 zP#D#NGrHGgXJ5PX)2G)OP=K<^3Ob-n0``VS+;+Z3AZal7r71;MYppJ%bv4jo*z0594lLbYKnR|zQT zT=%peC8tc>55GnmX22Y1Riav5GUGDM^fL5l+B}Bp#bjXe z;HKllk#o>KVsmLL!-(O0legEzr$i=N4q~>8Dxs>4yuE6ug6;`2Dm`w!(C(#i@Mhy; zeQ4{X1R15uPNAbD_(J!NIFGZNoAqA-;wEJeY#f-l4lqUeGAWQ~__z90_^b^BRu5E6 zL>|Qn+OeUXTfm$o9Y+8dN*sNTyMjfo)c?x5Jg4q!_VtY)BQ5WVBC2&L&ZDob{4`uk z?$>TK=`6u1GjCodrh3zbBT<>2pfcKZDBrh@+}5V#f)qL`s-T0SIPK#Id<(%?24F;$ zY4KVG=DBJK+93-%S6io12?v#!J`NRIq%vu=E8Wp#7b*0a3pz2lGk8`+M z|496bt6YwTwr84L)P_Ayas2IEx@|LlYzw*se?=sNT-oYgXKm;(jYTQ9k$PtSR4_o46)K zqqIMMWWe@DG-3@e!>hVI6T}hUImlOo*nRsgk0TbbH5QMJUDwSI1nINTwgt`($4IFR zO96}%1yDZ7$a<<*L1LOKdbMP#9kp&BXadr9i7)Muz}d&d-otB+#9q;u_%hCrr`ygT z88G%VJp-M^xTX@Zc<`^jck!qJN99g%J*(<~#5A`)kj^XC@M^>gC^AC+T}{7=vsyef zqF3b4F6s{^;wpT%lFL3;vCUgcPGV<1nX@urV$PZ>Y->G2_Hgda8&7vLU`FW-*z%j& zXuzrMBGWcPM>2#!b>zO$8b7%r?u$oFz5wtC1uGd#J+zXIJ?^;_(fIB+){R1zdRl{X zQSxGT(`tg=NGtgGRoc025-j}e(C{X=S*;%=nl6>2+RseWX}Ue^D^!{NrA;gygEG(_ zg&0)<4VDHS-Zp+rlN4uEQ9C_fjm&`EP7+$vB$*@_BDiZT9v)>KbyRLbfzx$4Cq9pJ zEkTc+HSMwl)uXk?%5Q}TZQe59wWR%bqDQTnK#H{k2`sI@BCVl83< z5mhuNQIrXiqoGcD8W)9M87XLyK&wMWot@K zIIR>~^1PLPkUnZwuGJ+vnQ;0n!nBnx1WMVr#u{YLv8V7zD~WYJN7`V>cUIaqM;!o# zQ)Va_wL6<6!aE(%2v*x)y~@fxnY{_&3-J&$t?Eke3i-?})0KxHK=n|35Q}*M9<(e9 zV$IS@VtCT;#d10Sln+Q1gL;q#1wBnF(!LnhYD87HKWapz*3)i^GQRP7g%VU})^rv` z+;~!}tNh~&=*92VDj`tOgw?V84xZtLbJv*PeQQ81WC3oXUnGnUK0UEo45A$u&)=B7 zdKA?yQu}&B>dXPVNcy79szVVg)$2yqRNRUd4_{)YEsAX`#Mc=x0Aiu5ySoikgxyW^ zOaMe9O&$nDGo6PjvUdA|q}QsSLaEPy#mmj!s{#;?LA)P!CbWglK_9h>lB%ho%>}Y- zmLWE8zCfiXL%I6_BGfQa-tr)_Mf_DgS` zSgM}kz(b)LKBT9h05d+#S{}zk0T7&XOZ;&phLc6ooyD+ z=^!CXVXD%O3bW{q?L(Lw!rwlx{vxkvPdaT&nn;p>G`Zg^qlgCF+nTkePP!B|5@56B zZpiU>zECG=L|JayEcuu+gAW&=4=FEtS6ky`nxnFRX}d>K0nczH(C;dLNtGo<}wUq$6ODn6X`J-6y=_UZ4E(W*alt$ zsQca&bS6FzK;22-<~gC#dwV_)RG7kv!miD$f!fzpKuZ`=vW8m1BO{NW=B)Zx0D3wV z_sFqUffz{3bXxd*+2L^aKsa`$(MSb8mJZUY47dSa?ur5#%3CSbE0kV5p0 zXTTcJeE9dJ%^)o^%im^8J1QTK(S?Ysd&yvn(&U$~)`POTdQu4d?+NXJ%Mhe{#Qn1( zp?&Zo!H8{}mj>Q*Lw6Q5nXPw)TuVgfSPMdJ2RTUvhyh19lL=ndcPdSGNjU#*fEj`;D;Z}Z?=MoTWYurFQ0Xq-XVHybeE%ZI8Cn!Gi zu~cmFTQRyoWq7_pa@nNFx@m~R`7Ae zqbE?*ZX05tdSwPJ`6qc-Vwy`Ldvi)|+Gv_FNQ4JKZCOKQRSyCug8(42Vb}CXzV76s z5SphH66y2JE0ftKe~50c;S=8k@-GI`av-{%ZL(`1LL zE+y9K-!fgg4+KmX1IA^B7X)ny4;V}S0QCN#vTl}`50C`NhZw5ou^k9fx&lPv2cc?c zm4SK&&J_mocT^2xr&@pYJS*40Qqaq3Hp+M5l$ZAs0M< z8gju;KxEKn0R70rpdJVLv4WTIb8sV!%y2!CUR<((g9- z8-EaFuImY}r=^rCM#UXgV}1a(@p=&7dj#(SF>DdP zodL_+5@AE7W1tn=fe5*s!`Y(deo!CQE+79?LWACR4SWw!*7DZ7Yur5TJZ>{!P&iC` zIt9Ct2|UnfRK@{1_MulmCo$hGnrY5z1NFBOCntZ}3n$Remu;GCMAtN^-V#Yxfhj)i z+&u0jaU)xjSQ<*|)^F}mq)OVLTxX#*IHJM%tekANBykg@xVA3iuUu*s1QFbrJa8ur z*tplF#5Bh=_U4yGTZEO)dJw)x$=w^e-SMcAIQl?14w3~4K>n!=D1zAMb@5;PC+=D; zwlu7v<58ek%8FHh?7~pJ?_8>(8k!|2kpqI}s9P{Xou{ei1Q_CYN+poODi0a3Zc%&$ z8g$g~)3Xq|^J>6qES&}{x?1d*B6aq|3(%a*paxV(9~H)1`3ZrxUZ)ZhvuOhfxg$D& zM&^DHs#~BQQFBmJFoZKCGA|bRYxbmC25e7A6Y7WQ<_%y&%Hedwp}g5JKUzc%9K+X&d2Ld<#H)Ea7dY}@Nqgf&G#a7! z1jaUq{SZfej+JL=>-J0>eaV0UMyajZUNa8b2+aXet?W}w_0}JiLR1t>1A{RE8WR-- zC@uyLu%oK};xgU8aha?pNZ4FiJv6x7$0&E zo312mnerb@Bo1htL003C^cpz{#tD@1$nVmtw`U3sqONsP@00lf75iVDIdsyGTG-o! z^2LHq#DE13Xxm94$}&L#xTAqW=H3!?2_C=zMsq!d^p}w)RPz)#Wp{RV1DsdpF#@>B zmagBW@f_x)KgG`zln;=HAQf|kv_>PsY`%lm2|CGlW}F)&(jA4iSV$Qor&b-f+qY`+^f)Aq+2Mbe#%ov6kTjP1yEMLngM(Uq25E7Vav7lGq@aew zfB_)~_%a0u@PKxKyDuSf@~($QTn9$%?@026Mx2;%{9J_E_xVFx3ao$VFFZTYUxqcy zHvys11P{cd*4+XlGcIO_wu4NNkxz|@+2Z+V1PF!L8P>=k$uE#T2G`dA2=G zr~#FRfDh*pa~xI!Vpi)qFKn7vw~UJXs8mpwfN^!mdgj9J#ya383huC3L*yd-_ATeFb#3cJ^|rIMEw zK)?qk<5@w~P3&S`HD^Tvth%@KvFz58N${)s0Y~LlKpB)`$1o|S^(Aq?HV_1$*0)&& zmel(T1XMukox61_7jFQ`(y#N2zuIuXmyppw758(YK#$x$7?TaI6N5Z?8KB49?n9yr ztK^*`j7q5tae^Lh4GbiZgNFSe*ynxh04rpIw()b>_LtR%77}i$wnIFYsQyiYCF3*; z{ie##M)S<- zfJf9X3~o98@U0$#2{(q6)eWO)5P&WAs;vPIdaxO=6V&UCAanB64YYd6Gd#-m5aqvx z5-Z%VgEq3k4E5`KKSz&Aso+2x5^$y2 zh|AR0goz)40I2$mOB`fIoQ>^#toK=fmjcF0cne#t^Czd*%cFDM=U%j-mrBX3Q z+G$6PsXjSmaw=7`Sa0p!l8)Be#fc6CE~)nAQ1=XPU1CO_s-aAK-e|KEUkYx2PVY|l zH5UG%GmBrZs7MExu)npZUXE(vhA(}oEk&jHO_2+;XYbbUlC4hJd%0h3+N=JsuY+FF zkXBg|eU4z}{8UFVlXGTSrKP(BpM75yZai~7AtlqaD|co{&&-T)($67fP$5HYAm#HT zU1iN;!KF0v-o>~N0!MlR%yN9gJ6AP_DqNI#2Z^2Eb`#HXm1SXX5moCfuT8x9?K-ag zptUHy2gOxbC$V`J(;c9^*oDJK)$oqRsl4`HV3|#`FDr`ReQBdEOo&HIAeMVXfi zUbgzDzRtoQZ)6Q7lBlC56W=Ndod&JhYB-3dLdhSxZVC^^@Zr^SwVd9lGcn&GviK6>qm3m?H>EAW;uN}8i_ndOJj+$@8VgEuS9suV>MM2**CmJ}}xki8R^;NbxloLR4|O?@@x*j-l%v!f6ja4JKEiJurfbP#l37|;eJKho`8TwoYkSl>$!Kut++sRK71f^S*4?@DRn`mx##E8NaLD)in48x7vqkO|QG(mY zxM z49Su;GYnahbu2Z5F=u|)8Qt&uet$mS$M^U6{?Q*MGv}P=^?E&**SX9&{H6KG%Z%E) z(tP`>nzJ{@oNJ3@w3{y`nDzvVtXRyBg$4=^lczV;_`Kg$-fa@Z=e=})@WTdj&iX(Cly7bC{`##dxd&9s3p5I8Uf&h2KCzZP#PvQ3pkG+OR;k_)MuXIT)C6+Hqjs9`3>}^0n0Hp~^hG1~05b%&(-50kU-in! zvPV1atD~L$AoYL`_t}h2XuMJDD*_Uj3n`)`6kOLWG2=4SP8S`;qLXAh(`JC{t04vW zyQgm{&l_+o>?dvJ>7dCTTfNq4V0!|DgIfhxiWKUXu;d&AkWs?{tTiW7%Mzx6t#HgWTdSKHXdth}!8hc9=uztzR~r0yJev2)Kz3n$gs= z2buc)0Uh*MrjOCmY2rAAaBPJtJ3e9;O@W`*j$O^Cy%ivL_pl=`FF0JzaZk3Ro@oWe zKS1A*Z{O=jYyA!UGz&_$-wCR^P<)JZ^-ICn7O*<(Iov23?Z5m5PRG54Y&cVlIStC$ zXaYS=XV)=pU=`9!>%rm-^L#g4`4qGz;N~Z5w@^9Yr)3#MJ?og|S#QM0zP)#}6>Sa$ zcDiYG$sZ4Y$3)uP(Oqqm!^d{q{brBcJ|VfNJ}k$vdIJFd`v!ngG4R0ujM^ry=}o87 z3x1(z%#J%-|10ho5&0Zch@jL<(N~R793A%e`vSZ6MvVnM1t_Zg8Ro3516j0`@yk+t z>Utk+6t3bSpg1y&*lbP-0@_t-~E94Icw9N><(PrN@=RyiADd?d5v>{l_% z2dC=~=ek;OzmxE={izvr)tcwkRfeASm|Ou1x~DluI18#@JxDj-m%U|b)1|-@9FJm$ z_sT~{;QIRfdx|OQM@w$F?fEslVG^14EhR?Q4}Z@*%>{q5eLWZ*jl3Q%-99ZJ=0~&t zGkyVqQH|e_`_qOEWnS~i?7NgN@3?Hf&4-N z`DK&GN7?7Yf;>lS3ccoWG{+qq-uLcfXpA)(cqHc{&4&;Aja{<2uux`Cw`Zg>%Qf{{ zOLWma02wHoSgqdm?%j&MAvRGW8!j?CvHpOd|8=)LKfYH;V9FAh&r8PrXbq@^eh2C? z;u;n>bTs>%`%(}~djLY?6%mk9I7d6Tt?!ZNf&DOc$RfJsq2a)e*livoYm~PFSiX8E zBwNnJA7eBuYr*faWONTkPbQO7@{#$z8(*0Uhp;Lt*N?!@*t02Q4wkBu_u&H*P2 zz5d8&wm)HZ{+l>tTE#f71Oi=nM7^Oq{U>L9BsbCU^pQP*^Rt|As}?wRE?Hgnn9cWk zF%`fY&$Cj+$7ue*=gK#A%fX|maH;up%)&9(cv(gbzCXDVlohv;J}rz$+QV0xh~B5y z8_}nIQf*tBCn^|Tp*xD`wH5<&z=D`e1BOrvmmU@ z5_<3ZqW_+4dj$3RH>C>{bNf@Q`0D~)2T_^9jPiKabFj)Iw5B$Itn$r;A#dMIDoj@fr^Jx<= zz^Zg!sltI@Kqq%-4fVY}cVyq%?=5;>_l9wFhyU8rb2b6*0K7zE2^Jksy&9Fl-bA;e z2x3;ZfLN6s*fFJFlbm=}MVoPAM>= z@c+G`2hw-u9=IOL6i0?==ysLEgHK7dnl-p59-QFlC0PM92hYQ>=buK7X$IP~189>2 zU_h{>DcpoRHaK*{N^m1{7-` zJYtEN!PBGy>_PKhc!Vvho^M-*-(6geWRe}}XT8Tecj9B(1_Lva#jzC&;#B@1JmFQc?JCvf-}* z@PA8BqAHUE>(E)vEILU3mW_jbXbm`;%hLSFu(xU!IFW<))fIAN{8VrK9u_2^yb{Si zxvmb1i~d(FtZ$N-iVrVM>UA+4-zy*RDuP$KC=58nUdi(63dv_3_Lxe;p-@H*I5F4j z%hSa<>8^_2YmYTLcwi51NZImCnBL@4htXg`(!4B)?_5d1KvCVpR8c2TgBYI>bn5qQG{zMw}O`#%PaUK1^--xxlDl zenjdn@%qu~nVo*~#Fb)&dPBzcILDw{CpOI=A8(Wv*7|uvRlSFf|C}4ns4kI3n(@pF z0H+L4J!>)oS_zs@Q(JmK^#M{fR-*XDFFnHkW@CF3V4DxH%>>x49GWY~(BSd(Z3F$! zq=7_ODb(UAq4>D!@pR}w9vyE7kX=WTF4uv_3T+pqx+c+wE`yiidu#6{+A=?X>B`R| zedXxLxH3Raj1Mht@T?8ZKU)+4-*OoP^~@!7!xH`G08odotr?h!RG|9cVjpJH+|m74 zb-RQuhvoRl%+HRUGO{daUn2{mX2Of37AROA0A006zb(AVaL-wY;6P6{CJWfP2N&|K zXfq#WWvGlL!4Ffh<9m?V-}4>JM^<`_f(`YckOvlDqMNv0$5|M@uh@2lFT=HZ+n$hfpc4c$?&s5C#1{_7W3_E4g z1mAK)-xA?A?5zw==CV{?Mv!-XtD^U0_&rf+US(m0n2-~0l!XSRR}q`@bvMjlzhzvi zLic3}{N>_;pr2|wL!J4;z4xT&=XpzvG1>q<^7QK@BS0JteKVmQNV(CPk%0d2hp9iY zqo8+(q=e)v8DdH{5s|68q8<;-m^x-!yIfg}Z*7AGuq!uSqNqmQe%<1c8U-i>fU3Wr zs@i-6u)(dpr{Rs(qy@B==rJ-EMu~XJ9$Oit?vTnDSrjed0l;FwecNP< zGY81xY%Fn+ZOhfnt&ng{o#-=``s%?Y5x)=*$CA7weix457F zA%q^J+@uD+C6Nd$S<4NJ#h1gQm|yK7((BM&Qxoi6Q_?)Lv4D!7QPEvf0_d(ODlfWg z%I3+Her7={&4mR`^ZZ1&QhwTiq^r%T*fRpz>ANXtEwwbdfk29!l5-%tn7(4 zL(PQX3n4^2_7^~y1?@>$@9k5GG!y_x{rGI<_*O)jkBUIU8#W2px&3MQ=60F9p0kB! z9T^_`A~<*N!92NfY~Kl+c4SHelytB?A_-TbwPRTt(A;4IVCI2+{RiO!UC{2Y|JCj} zRs;PHUhH-mlfw*!K3>N>o`&}OG0^WVr#;Js6UPv z9XvKT1+?FJX@_K{dtkCvbx}rZ?_8<(DFzenw*8%BGSJU_&Bc6y6)NjrWvx;V`m<13 zAWlH$KpuT`gtE}M1Yl}vKMg;G3(VYPW*S2kU55F2heDHifhx=(Qy^^mrJzf` zHwVaq{tPJJhFFCt{CDh>*-r|i=}NzL8{7oywbooKC+HUG@=!^VBG={+wiAdA+mKT* zA((6l{<|>v?yARt7!rTTAv~f1L|Mt=fYyU0fP(Fk>_n5supiA_@@MMO_Bj^4U0?Yi zG#NXYz9WHda{w?Za|B<V9p!2rpReDj9}=I($p~9eCRp zaOB~UE1>>fzOUF_)dAZZ=-%M~aP4&TV?h@m2eX|(s~@cvWe752xySYpQnwB8`dS;D z(qpVITn>NBjs#j&3kZV+<+#afOjQ4Bk9TGiDX(Lk^n3t11^P?~sK0Edg zH$1eaH=ffJK8ag$2*UPd7Y+13wF9yin6bF(t~6lZ{Sol>mSE!*BQ~MN1Gm*I3{1e@ z5!7s@mjpByx;{F_00AN%ZTjqZAG!_c=<(jxy&5Rl9CUS``P3MB(u+YvB!l2dKz*?k zbcd2LxyJMGYs&&yNR6Wop+i4D&jx*quP$kRMlR=nbej>P=OQGY%fsK`$%~S_-&P2@{H|f)ET6g57>wO zV!%G+pq45bG`#D-8$M(U_>?ALP=nJu`o*vdy?_?n0IN2h1TWdz^KSzR-h?`VF5m== z$NuF62!8M_*8>2uYeF$?b40+UTXqEWXV8t&2@2{2GF`3dQ*G0a>O zB?%t7h&80L$ymsWQQ*2BbnE)cKZ+NwT{*uNAnbKB3O5A0L0^_CPnmvn42kMxexD@3 z-_n81ZG`~DU;1}rs2EUw-sDNZy^b9vZaWi?D+lHf#O|rXtORZjp8fre1x=YWg6e&02%OeB-~)cKO^MO_3P%^+j*LqN>ze?+E1Pv! zesY;iQaj$xed8TVp5}wq75tar+7hp4>*h3w4b`Y%iBf{{E@=widJRyv zVm{hL2`Yer?Jq(50lkOLHUy+m(BI5XP=C3o%h3lGK>!6B$pt)`2|#-Sk$WnUwvGh> zzkvgQ7sj5kzs|1ehX~s8=${3lDXWkwlh@3=t>`@%8VePl!tNhuw2qnQxz99&1LWx8 zE9?u02)=Z@J!)e2ibJJ=W*R#{*(rwUEy4k+5ny}$BWLLE zO>895y+7=U(io<-`NyFGmbia>OZZ7kiHp;&sh5U0 zI&dZS8_I;wTvzi#=;F(<5kNJXUCiD{uh%VDKMV+t-&eEQFeNDdG9cj&U<;5&!?J(@ zk#lvJek?n}n&zX z5y)H-5H4i#6%fNSi;t8?y%;qh-I;+nC+v2-x5aKXjTvueek)^}FR#+bRpR2dK)2|i znC1&#MYrf|zoCt8(RqY!(V1ny1sC;#e3*sP%p}#|mQ=T&UUV{uyG*CP1Ul@*=8aF) zLNC!Tb$r6%&PHl5i>l1l6j=WgWvg98HQ)$L#gR{yU9{_cMF%alLL) z^<6H;4xq+h+a;iImor8!N(HGhWVadQFkNYcY1#Zt=KoAj4|L# z|2I*T0AC=2jtoYAwy~fpzV^Q;%>R<9z0=RDcVo&CIt z0^94BOMd9b=x#t{kvi#SX77B_>lRrNw6|7nWKRnAxMnouW*FSPal>*?(A@a`lq~@X zRSe~KkB*)!WgCCf=&G5zTH{}sd2rct+qHfmQ{>M)FBF!9lGu4FM&oh*8)|h59SZM_&rwR|xut?e?B7zbUph6xUaO z)JtNx?xG%uS7$-IYE8fZPXMF6(7WmhTH{#|XvBPmfB`+(^*!)hEvV-LLS_yEubnpI zB>dYQAZ!8TMupk?d;k$Iia3Qx`Yl-j&(GACYE=gQIvsX+dunuKpRJE=F)pAu4 zL!;K4W|QUVm-qH6-mINXwiIsXQHc7TBcDLO-i>D1&wkQu@4sb(HT`p7o$yhZ9bylb zPy=Yba{_j*S5{ru`~bzz5Um^Hx>Z!k0gM9oohWG5J{sd7PDpY(gW#(OSmM;={=Kb? z?dQ)1e7OvK7ZNRVk@pe{dZ%X(-;$2na?+~@@Bs_zIh&I{a9OgvK1u2NkVcILQRP&W z(b_kLeq*f7nipFhdpis(?7(FJTmd=|@QeNxmFyD%ukpYO9uHEg#c!_Ef9d;rUK*qG z{_XQaIWj9yg>E_xo954gVIWDWd8IWM5inBS3(bH%!LoVi#uIPI_}2N zfIj1wdV3|OfAVAS?k2H;ovMc_ql&lCB85h8qyZMY!-BluGMy=z(5pw1|M{1n94zQ` zbX<{Gzt>>-g7h0#WwXN_t;+9BJ++0SGmcdh>@+iy)7{6>{r*9Ii1My$8G&ldm#PO= zPR->mhO2k1s68i?Jo;LAp!CM`n<0J&qE=!*UN@I>O~A``{aB-%@=bp&DuMj(Dtwiqp>rKgD-*czGT{qz_jI7`<$L;ae9x@3uXh%c? zm2o;%M;+*vW_9ngygZ$6pQt^S7G1p6u!4D!du!R?9WP?}S2bZCI$T^->CO!O&NmtZ zk4VEU>X?P^)H9`Uaq&xS8s?r>w>b-nBCsG^{L*Z`@2e1H;ecwArNeWXDeU^leilT? zXF*GxVKXeq(eWh+2jJBS3oNN;#m*K9JVcR9;ayi+&P-3aqp&vg`;Pg}BQPO<=>u_P z(Rj}R}V%1|ZR%Dc!l6bn&%r_1hKE z`9nvr=n02oetn-_JyrrZ7H%60y1IDA&$R+M-LtZi4v*DP!t&57ijqJv*kuJ?XF;Dn zR*%(?s)imxvxj=C9i7RirdmS33v5e00IsP;ezQHIEY(=eOn)fK*z`%61$Baa%!1;6 zznq(_TW-AA-xkDQo_(TzdH`hsb`sX(1(_*uo6a(F9PlwGp^!_^f={^@Gr<&J$IBii> zvxD>*h^s?x?9IHaKvL4L%{5-yDr9|+>o+CVtc-IIH)~09wTM(O(^HSY;MhJT)TK>V z=01SRGS-xzmfqe;$9_xZQ}tEHO$$nm`_N^XXSoAb3J$ium7WYcar4{uh`FE zzAMj!u%yzlnWOGYv(!g)k|fus1K`A7-ycFg%|4{0dogq2K2WI#hd_*rXI zu`>%oEPBd~)r5K1pk*ps15WC1XcITXt@pR~BkgT3(X&b{k_U%oL1E!=YiJewyv!GZ z+uQWKB->U*g1_#I1(&jNb-OGJ62?sZNVlpcT{t(7R-E?OMpP~>TaMSqrMr$42K_!E|>LXegV<4Y30>celGA&4E_8YbSL-h9Pd+p1klG?_!Mq6;|HhPsL7|^bYigJACM{ zSH8K0&GqG%f0OD|kB^g&eerehZAv~B1ya0@^+HQot?`&gE0ve7%@BA5>_{wXM+}!7 zJR^WPwiIF%ocIVl*=Q&TgP*bE=^_LD%t8&+wiW+LdXk(SRbylk)ai{7sXVez&^+kG z>qo0PCac9u5(4fxFbo9x0AOLxuq})PurP)o(YsUgBDU{fJ}` zdIQEXjH>$epAkj+fFG>}H6%C`Vqj{_M5-$U|9eJJ?OwsSx;iY|$B_Z5n{FZL>WoYucxz9(^dobkoeJWpn( z=_Bg8>cxOtUt!-@$|eM$Kgp^QpJ~qxauRzMJ znixg)pnL~+lK-Cn?4y5UL1wEV<=RhoKW2Ca$jz#)J2ph!jl9czRD5F77q~$VW=w!c zc=5UT?{23M)g^CJm75|o(c9|4 z#8v}ut;Z`96BwwLNi<$$Z+gw8v&_150;J; z4k~$yN_^@WIf>Mrl9@re4kFF?I0JbNqz5GD$e^3dA|cq`5MNYAF5koaJ;uC_wS+q3 zz&G^MgL4>yZPTS<*(A!n4e&j17WN>ve<-%1{C`PY47^8W}TMr&SJRDNTifq9u7 zRgAePemy%MDN}bbzavBF;1?ZTsvhrcrDFcvZ+oKtKW_mWah|5*$rf-q4r^c9u)rhx z9<&1afAxIf(EIY;&FuMbX^3k-kCw1C+-;k)8emu`5LV@%oUnXv0gKK02V z|AT#4xiz{*R?T8xsqyPV#)K|qVXr)S%XISpfBR1RcINoIa*ffz7*7#=*}!+r(e@J+ zPz;Z@>D9gfV=q4^in;jtFoEB%=I6U|za7pt(1tzL_$DljlN5it#6HC@3NbQBuS+T- ztj?U&r3)?!b&MNLSj1--lyjPi)iMFzCr%KiQ%WBC<o+S3k@#8T(D$fqtHcWJm}hTMEfD8MsuS-F$LbtZN? z`edWRV|BWa@)O#tfHXOBQjEtKIQ7VB4T>eR@W6rv=c%<`6DDC)>2vJI5KG``yF)hR zq)Fn%@RQrXZ`P2qCoObH`rmpbWJ^umXLBqp;?uWoFh%BguV`(zu&gAw9+);a6RYq4 z$#q(X7i4o_?#a<%yvcNETJrW z@4LpGJjBsdWGymC%dr_({YJFIPY`G2vuN4zKJlr>og3mjES0^G4>>mTJ<|~sr{N~9 z{C6oF01o55F&_CVTaZ@5w*LJweN(Yv*s_6scPHWb&i*qLr@=#X7IX^*BYxy;2EW|` z(qyzo-+FxaS4el=vSz92)pk2tj!&shw^yd_smM^t58lgW`Zl0K03s|X%}V%vthiNG zCBgYr*EN%KE>J50x+w2CJfq8kg2^jV1aP^D8%;DGc6vkv1W7ek6%&`cRwf8ZquIS5 za;5Hw8u`&uINQg$#ROuh)wA;SR)SeaUX(sOuiH$d3n6|P1k2(|!UY|<%%#5HzirLD zC!358ucw44}<<%_55<2>Z; z@lF}p)|N#VZOjzPPW#c0bKIC2-^|S&oBdl0nWbf1>eq5j7ZMv4LOVgfqLSdSoFc(Zvl#|nL{n-+CijX%9FKyV~B1^5_OWcwNbNZP)%M9H6#iY+v-TQ?(57bImn3dZNSO3DFZ z)G1R5y6#`PW;8Q?LS5i)EPQ5QIn zGxvOH{h7owIkox8@OW%jPEM0aRv5c8{2`em(YbaUVk16iyT?11eK7zuVK^(F(f+Cu z)W^l`*GzPNXI-xxz*Yd zeSC3)@c^mlmtK=u``{L-JMup4rxZ6?rEwa@7}(j;!AYHw*O5q^ijArMFwigDX-ToX zec+n$vz@=`uY{V6l}A38iF>v(L_#>c@h-P|C6)=u+TW^O=FVxzw_+u+pOR$&O1 zg7MWZx6p^XK7RiryV=i~S>OQLLqlP5;%nQQteL1Lw_Cck_n7s_aDcIocO-uZAhU@1 z$X+5rP%!?r)eUiLF|h@t#@NTt>8s>LQ)K>F&)1JBoV4-XEjKsp`z&vIl{|jFsxLrj zB=+T>QkWjix!95;6=NJSM#=~v>qj!Weg?Fy4aRxj)hSpbHnhH66!s1z5hajPvL4LLg!;&XU5XRF#%k*_J7lyNTodEGCei$ZMy#(Ud0 zJ$u}EYQxGF>a=FnXr^P4R78W}m8)lb;$KbJT)VXO>DZ*20Mdyk2b6$*6=p$w?o_7K zNU4t7RVA@O`C0iCS~l!LK*;?+@>E+NS5J2}x=ZVSIV^q&>K*%L#Np|EuV!Ai_AWRY z1Ay{?6Rdawn?l3Gn@q0OW_#{a35pc-iO=QWG?Tc2E}qevAnS3SSpSo{U-$vZdo#>_ z&J54@{HH&(El_^_eI{G?jsXjrdS116IwQWi%KB~AW)c*|N>OW6W5!HC^r7aU-!|t9^4KC(ZT9Ah&0f zVk*xtDYxI+vXB^NI&?-QXyP@&&xv&T)0=EvuIjRsO$+^6SEF2FzLF8IP8zuWpRHmGn4a>Qks>+M_q-z(YRl)y2m*i=XH?+P}Nnz zPcBj{bKhJ|H&XlkiZtJ4e(rOs+^I>b+IE+`DC>!Jc8Qt?_fdYs#l@0|ND`10iv*qSSSaR3WY^AH zKvk@Ps@QUxVaIp$GY@E(Q)Q$r+pk?Tjfq&N+R35RPb^-E@n%8Eph&jowr_IKYE;lf z*8W;H%@1%a1|;g=X-FV*0Rz!XWq>jmIn(A-z<@xz z&DMFLHQA*vH{Yo;bIth015rH9JVd(A`_2!qLW5fWzZzXbN6GuhvUC;IB6YR^qi%xfJ04Y zMYk=@xV2Iu7(mp8$9J@=nE>?PDU13fF|+#wb;Qa*Td1#WVb;;IpQ```(%Ef>85fku ze>3CMCm8=q@6I)AJ8odL+Mono+B<{BQ>K7D-BFn>FaU^@&dO)fUdl)Z_Z;%exsx@} zpD7R4-yE>070>&j5Gm{a{hh}b3JrvTI4}JO%IY(xUW}23cg*X8n<^8NUOp{(_|&a zqnIID=f{2gd1sT6PN?8=y@-0D0pOyQRV{H<*Huvex|0Q+ZF@v(I}`G`YMp=UR~cyw zU4nU>`g*0dH5FEAASM8D!vM}Ak{%w3()YNf+k_i*pUEcxUz*K+N^~>v8LRxLuS#e)#(R__N^puEH+fSL=D!gJDOGl4KQph{G!l9@c;+2jT!_Fgjl&FM(K zwB-rV6O|Fae_d5u11$TS6tTpti3n`E>d*{U#4yIN93Fk)A9OGwAwJhxD$Fz{qe^v% z*7kAVg2fELg~Lj(Ji;;lu3e%A=8XFc&gnU@<^AfqilwLlHMP7vnmr!>=$g?P*~isW zkK$YAyIebuXY*K9zf0jHjjwwF#3T~fM*&UmJ464pL`fw<*p02SuMRaCd!CZZ+i=MX z7?&O6*phy>mP~@GqS110T$UicR1*7sWl@Ms%9aL5kU_&R6oHlytYUx%J7%~xHM6n1eq6>YZl!; zt6S@&pe34tDhc0K6T@nWS$QAT&WqNTxrrOwGeu5t+mph9@pyPw3YV^pz8?3 zz;+sphUuzGWh;awv7gx6)t{b$ce##&z?S1f%OD0g@M%8>Z2>(%v)VorG^=6RcvLq^ zEH^5mhQrw2 !~V4V6!rLWF@P6cIhtGc=y%DU=(hiU>Cwo*s?07&S zR1@^^$~t4nQ=)l0@R~7T`DN>m;ZOg9PP7LV9_W?e1GfLh`%Xje2hO0t_MlP9vntaW zv=Ew4w3y7ZUsXo4&-q#DVpI z+xMx!CRe-cMbRHD~3pB&_a-B_C7@%a0Tf}FV zUX0Un6ITiP$vI;2k0V_+0-!{o_5skBA%PDN$=Ct2kB1(^y(!j~%mNTk{G1dS&mrM) z)gC?&9$C-_O3t*|iA`zpik`g^g51n{AbN5EW~D%dFX{it8acsSo*EE>w)R)g81tT(j9yz#^fy-mB)#FBV~zE}8`Z-=V{ytDyN4O?DsuB)cj!$f$v2$EQ9<3XyW4>l}V~P-3~4 zohNbv%yI(KwqP42Os+nw=l}3=+AGIfKk_c`N?<`8Zq7ih;YehD zJ89d!4?lhr@i|~%(Gh=}1^qfEgK+l4bJn`li( zN8*v3}=j^KV@?o6Tbe!WgoF{DI{Y1YN<8E)?}19fF{@~)S)wxFS%>mU z!ZjR!R_#N7M%%i`QeuvPN%J5q7^0NKe1yD-l5rSIYZi>6%OkrKR>7L!ZmTa zqTS(SvW7iKqOzs16Ev5$NVgRUHRM{X%z@N*?^(Hfdd0us=H~b7=KR)`wTNJ8T`q6|;{GfMT*0AU z>3nImSh>tE5&n#?TShaJfqmSua}0fcxSXo0;}4DXv)bXMnVYp~dzPRPuI1h5=O${8 zYJjVlIkgYI+Dgv#@AHYTCLaUTd2)`Y8hv;%n%d=`m#qiv2re6sGnZ0R1sEOnzz%6+ zC33gSP1I>wfpg&6=k>C+bM{cL1TdXsKB+_<-7)3^fy@7Hdx{XAeLO|a{z=OIi}&OjvM=m?`C{jR%8GhKcya$6SM6?G*oww1 zk!Wl^X{^nY#l)}8f31YCAM8Q4h==y?d2)ZKRs7F6uORZk@5>}VMPt3Ei$UaKu9f>p<-rui2| z>*_j$LcxOU8h=i6c5X82xNf#9D*64VY)gt|q{&i5&K(h=YJG@u0wUP;cp5)@cd`1` zG2GOtWfQO%`|g9q2sTt_hGLy)h9D&r`GAx#*FyPsEa>B&-%|+ya%VY^Ew#AtPD$>rCvlW~LrZAx1gO!8 zy@9+#^KazVw~dV$RSUnla1H%gPJ8zrruN#Zn9uWFE`z91PFa}NOdrjz{g`gdXym_)4-Q_l9z48*>KX8-<$}YezL>hDonoMFDOFCN<~uJto%vkZ zcM#}$$Ik3_AbEKpAFSDW^Ov-musjReG(l8?zXP=VhZ#J9Lw7;xZ_W5m_dEA)Sxwl) z_?rAOG-0c}1A*bB9}BW;Th|nPa;|Eu*468S<@MV75Nw98%kqI7{&;-xd7O9||-+572p+S~voL@asY z7&kD?S!lX6sqxtvwzg6AC;JDGX#a}ZU?FY@J6&*h<<{Ap^XW10*xQpp9%V=H>QC8@ z7CItjOCU_+!m4jqzXz!YNFrHlbM|^+!uhk6gbR7cq$2vxfy0(KaQ4aT8~`C~G;~&; zu1(nFjdzJI(ft5hK%~FopgQbdO4v$ahYr81z$*v<>r57NKjC4k-qW861*9?T^a~9{ zqd?#gSIK_;()$7RoRZkkcU+(Q(svnxRlpznq`j{t=&YIkY2dWS@14yM+-!*b^~qRm z$4p*SeF{iEqR85*VN!TgnzL?*?y2)#zzS)0jpk^joXwy|C%=Cz$xfY5&ZfnwFf%!Q zDsZ-eG2Ynu=IT$c2<7Uo>w6{Ev!~s0RM(U8REw8XW6&SD#pol>tpPB4TIHG@Z33~> zrmsSXzdXUJq+IPfuu!LihXVr4^mos9dH>oXb@#nBkh-tg{e;o%XXuPdXKY-J+d}7v zy^`3NJ8F?}1PSscmssWn5I>iVw~uDNOMGUr&g~Q}bs7Xt=^uH@ZxtDBYxG{EA>D-_ zZX7_?f?6Qu_FdlZtE#II%ZOxlOq(5Xmo~_?`(*Y(w}J&#n9s;a>wOHf2$N%16NiuY zgFW1_)C^O1Dz6i$l{aHXF`Mgao?Io5Yxa-kXxxv}r6e(T8lw{8l?_-Pq-^n##I&}z=}<6RB4Md9bM^0nw4r1EarGrz3=GVE&P)#GSPwe(_N;)^JwZoqvw$gaawi_W6U`AXxAhu=0+CCWIY5^ z=QM;AR)Sp#C}Tw8-@os2m66u$%>9wKF$1P&r&?RGAXiWY!&5WI@U-p_=_c<7LtKRh zo6v!)%uyWkasp@Q${~n4mheZ_c~SM7!Dm zudRK?eKy0ALfYh@{k+;UfN|dCpBq8Yj#3>yL>JqA3ar-f^clg`&LGaBBkyplCNphd z6f3=Yy8ce%ex8rvZsmnRX*I@}taGNmz0z+j$bJ^EUW&cBFW*B zhMS7MM(p4Fvp7apXq33zs08H9G5%Kfk8bo~$++(VmSn4^1L#vP@Sm`i4jgT|m?Wpz^9=5TOsa*%{OG^34xB2JCu#(8I z5!cK}MEz4wq!7)d8?$m7F*Hre9yrGhNDrw`>uH!aRTSZ4eh2B**)y#_n*WZd`*#73 ztiuIM?hB7YtK>*Jb;W9BjPLefAR?yP~~EbhE!F5%sR7k{G8z$Z!0swdGb$YBDb!1zi> zAM^ZC40g4xgmbKsADI`)R!Q1MYsEh}%+2FwHkL`}BHwC0U-GkE)Xqv-*C+-%-=kt~ z0^3lbSsT3+&w>{XF<7k85^*IENKD7^oE`DqKhP&U`(f|FfnEBFq`qeO>64*hMk?Z$4p~3eLAvBy zR406v+jogF9F#(riw646+m@6vOu_X-_)jY1TL{%;wfO|$i^C4T1)wE6-1O1CHH#Gt zGktTNri)iYR{}F&TXXS}($%LpBYfj#C-j^>x-W!jxoun2ewng~9;4;jvghXN&9TmC z=5tU$_0(x&Yb&ep8)we)SS)JO?<<0`usVB2XT28q1hpOf7icmqiafv9Jc$n1QTL>; zgPo$Kb4z4qVp9-4ql;EnFLZRnbS1I({EgNG3G39od3TisVFXoJ(0EZ-j-Tj)TkzE{ zcdHqjOpC*vyASNX)4L4n| zcbI8Kr&~sXA|Ol7CvYk(l2okYa&wX1od5>V9_xQwLis_Ud^+BHBB+_{kjU{d(JsU$ z!fy=Fi%bo2b(8TP6tTV@xB_riu_`Yq-H4X_HuOp%MVd}?TFTM!$@BVR!FnshGs#Go zC5_Z)*>L9UdQAWJ z)<&*~cE<}jdnpgrmaXvwFg$|%8!_ZdRLn$Ab%mMk2##^R4g6qFMLNx{x`J+)96h2; zK=>xQOq`wJ>2USYBb$!_B#>X;9JhvaR>TO^a}#?JHwJ#)2?S^I}nq?7AbW3v_gZud#>LaHPky5R!ucWCw7v`3)ePxUEEz_}+Wq`qSGAvf4hDr>~d zyIGJ^;?4v}VixBQ<^RxTzDue>RH|Td&W%P4DMcw%n>r&<0Br6-s4a7F01HU;N4 zxw;+si&Oj~?}})f5qE-|b~y$V!Cq#hV2t+29tB3@0Q2R!cO|83_nb+UeM^!bBDIfAU~E^#+)+7Q^va>0r+`pEv#1q&`Rav@;Pyr2aR9()=;TfEA1#UEOSKY|b+p zvG;D>N?Av4#JuoY;IZ2amdG+^Ghjm3%Sppb&1#sIo;5w4`=xYWZ3h45z*I)K+0~L# zq_;xYWKezGERL$DCiq+jA@8D~ymj-Hqv*mk;z@r|%{cJ18(`)FDnYpv6h^VWtD8hA zr}*d#fVn=TIHU8K_y9Axt-8vzdn97q0y_E=7=?nHu5LS&&!p1BKm}$y-|C)B@8jdY zdwWH+(`aqty!MFh0~o%@UbA3m|P0kDqQzrnELtwAo85#CgJ)e zq6Xz9(0J$dM^Iakp+YF>V5E&RzZwI5e{n%KY=b0f2q4f1ZgMqLEKG|uZ6AqHpHeK}HJ)r`&g@CTr#x>7cM)y0r9mWZ=HwA3%S>9iTl z1QGVPGw=TK!^7s%7WAVTC#uP3L9rk9EcS2Xjsd^_a*3!-{gwUvN%T@T20)IxJ-`gj z;kUA4X7i06Sr2~~?mBM_VEASu{$mi7_;A~r$wtwmv%}O3n08;VP|IbEgScEmojzAl zQo42IOzN27cML@URRZ0Hzb}3;UYR#B_@;TiPF-BtVQg0heD(Y$D;M5=3Ff>MA&yXS z(JGcd#@65#@BsO#x;u+w4+D@V0m%OE+`;m+f<@*5rb^oA>vV2&+P&HgrpV-?wj(7^ z-PO&mY_0f^I_?SV>A*1#g#9TM)d`yuZUKnG`ZPQo|5%f#0!t+|m!H{w_ippN;sg zX!xEH7u7C;tguUb_U`daH~#EL`6kbD4ozj;=-m&S|y}HA+DkvheepvTvuHoY7V7?<3$Kf zIc>|agJWVj#^zAYgvHn}oA2|rx;~%x`*-{PZny6r{UKv}Jzvkq<8gnywwVs!!aY>k z{$$be(5`J`GZyXD%Da?Du(vII!adxX##v4eVs3#q+7-qeAU{HXLqjmc{Hny63?}eu zNL-nCSG>C!M>@F&3rgO45?t{!s3K$v!xq%TF?I{GSJ%7VEPN35 zV14GCA*PSX8lMgx#e5^JoJm>fou#z(@?$k@YfY~p`dsI!&9t)@Z@PowZGD+;ZJl`N z6FM z1zHStB7l)V$_cID&t8T2t0O#q6pk&%78jiZmgif2CmQ`Jk9~(_rL4{XJ!As(TLkd$ z?ux+{vJ&6t_;NGkU@Ni_N0=(~zl$*6dSJdd#s}ynymKc46Apk2myKo6lpT#@htd8B z{8KJM{wbI!nmv)7GrZ7Fp;RaCklL-22Gg9<-)eaX$90BD%T*MelFyrW<=nqjcFYU! zt~#iw{EHH7KxPddKloCFefnzvhn>L1j=xcXAQ@xLZ$<71xa8`jr!SmK8pT{8Xw9`f!wo_16MizMlxv&&g^N(a?8lU@L`y4r+R z_O2i6**X{0w~h4PN8)1Jez%Oco`y|{nbDTFS1VkM9a-V}0+K;$kJN6#0O_4h@#h^= zM}^Wx=+K_+CEvF_u(aKA=fm~9@HWlTufb=1H=&!!cCkNv9f(p(upl1WoI*FVkyH(K--^C$kGM>2_Pyt6C%A$bk9U4`WD*52Od>ZxcW zqdgDkxD#^o;uHi12-pf0G#(v)33a8VJ#OKounMs^w)&FJ4k^g8+8Gu^R2t!r_Lmt;`9|{P$YP*GWo8*0VxgWG77bG)EAp==46rjZX z4fLQo9X{pUeXvs(!k`+4AqzHCXORSoik95tX$HIHPeEaRR3^d>w(z|fobR205Yg~( z@y?HA+WV~k0JB^dY$m8qon&?$e+IY=yR>rhoBn#&m=oUC-{ncq9|3?DGB0wI6TD|% zB)T)ZyOSJcOtfUx46zJC7Gzd`X`a6KeUzBuc7l9y@E93LMl!3 zRjjl$(iP5GSzA9AJGf{5M_c+$)RrWXwv-*0j}zns`>u=PagSVO{zqTB0BrqR`ghp; z2vNoFaUkIvzY1S|?uomk#J_;n8W9K|qC25M(*T`F-3o>I;H()x8L<_`f1=bafnb`j zI@|To2{%82kcv1;n@d$y5#5OZ5DmtWwHaO!8|A z1N$>6=A|Ho*ytZpxbV#tUl=_48=RkCG$AolmWK$KJZ@^^$x7om^tYXCA?y5p3WeDy z4pMMkRBDbea`oDR2Wii0~9uJ*(G-cI7Vf2Hd_mXpS;E?9-+>;GCUu)cjvp91q?N@x*gX?|jK*%}1aqWGb zK*;@bI11__JqBA)Cqk#JD>2xe7y=JRa=G8f>^QbVYWoh;@8r`L@s!AuXOI^U0wm)f zL`PhlhFM)`b|8PCBUgt^dj$m+`vH$%I8;9C=ci^n!*cJ7L{Qn|E4o)h%pWi^7bC(* zi)c|7e z?j_Xd?V>9XnJiIcYBBZBWxK$lv(Jd{+(LI-OcVM+&72&Ftfc?EVt7~GA(DA!8JP~y zrZz#TbUA(+OGJZ|?yBP{RnIDqr`*OOhTihCC#C*(PpTGOF5J7%8p`0W2Y2k0MVR_R zlS8C)T^u_2=kpCOqHk$l1b`Jj#2M0PKR;i24!1btLc`5!PszT{c^ir3HkfE*6rlKz4hN{t$E!S`Udb@QOrhU~McLvzc*yD$TA;9WV7q;chv zKSQQ%b$kFJ=MosfIhgWzTYZG9=XdA`vHUcCM#wgBf_0mN<{+G?dpsN>J8e+Nr6}%^ zZG%PhP{p0--$31S>1D9*UOz6FLR_f*D!Nj5&FS0;4P#vy^b}i?#9i(TeTkF};Amm- zH{PAOD+&0J7Ll07|0_hDd1`Zbe!sv0xn8JY{l9>ydZ?M%Rase?$%{eYG4)?S)B;zw z2tmt73v%sCgId|b*$YG^AuDWhGhY|^9j9N#ArSDGXcUsQs3geF;Bw)A3F;5%eBDqv z9IrV<-B_9AMAHeiU<(^$0TW-sIBaL?D3q#7)N%UGf@$(;H43HXA#A%LV4gH!G}TRO zqPOdUe*!q)_3p)f!3DUhP7NB!pgT+?gXhm@bzBJ<-JpEMSkX0yOGR6fZr56VQBoCFgiFwy>)WKY&~^d@ry`6f{47 zkJKa|2tXPQsYz}4|JwNwjb;1RWYu!hGg6i9-CQ>Mi`~76+Gu9@|NM`%)GFwH-Q+zu zowsGk5FNCHs*Y%oHL>=&vZWC>Rq>pcB0&h@r@JLh6SZ^Sh##Qdz;gw`~r zS5!^tZ+tOxS(|dzeoLL%qK8n!YNXgpDRv8g62s@(vn|FaXjYYhohvSQyQt#x$^1?U zHad+|=p>%7RW0EV{DkmobJGE%EVP9;p3kq%6Qr;;&@3=x+vNyO&Z`85L`>QHlD#?aij=Wmk zzmVHI8m%6$anaf(2 zkC$J1YbiXsgmUZ&hp$h$|Kj-MK(MdyTR zYbe&Zmj0p>7i%#=bpFJrk0HF7oTJ5R2~@BA>KjT|F}^bk&0|~^e*JttdM3i1^6ij$ z4hv89qQREn-@5;Hc9}_d#uAF5w1f((m}?8rlQnVG+FX6Z{yYyh%If-OVf-ohmU(~< zzTNX^{PvHoZ{UZP8yU-*IE5cfhErHAc+79Rj6KoP6AVI)hxc&fzOC7BqyE2%7O0E_PXF_2`I%Mm$rtA3ZF}<+? zpU&dP#C*>UbLEA1Sb_t{r1HH=l2SwV8l{fD>q9AN=~UbkV2UiyHO72l~>LM68K0jr^vjChs3*a+rxr#Mz&tz`Umez%+; zO@DcAMCO6mgGtI)c)g#$8Lf-R+%ml4@LRin(j?ix$Q2*0!gkmk9lDlnw3h0a02^UB zSJAmq>?|U#Z6GdH(_YZ1`SqrmsT`|nB^|3Rb@Qy}PE)--MTVd-n9xGeu&MWrMWg{iv$KfX~**tK>C><+mXj`(8G24f&~e-dKl$pLt3qYn(}#24DO zz3-WenLMDFD*esHC+=mQO24FY!bn3ZmQ!&qt>=Z6Q?Zu;m@s3}L(tDQX__c_b`jj= zvEoSY_}v0${9`ZBk0YWVRF+5TXjR5X$MBVW_K4o7Qj>ST*P(ewl*&{^MVSqaT5rtn zT%nAu8sDQtZTJW$Hzc`gHf7Dr@gOYU@xyduZ^1B|c6kY9RiqY?x%%;i6P^YnxrHDn z{?SDf?tDI}rrfI}xx!+QTJ=$>AvUVFYJ9gir8**W**e%7iC&Tc+ps4hbHBD!a%n|1 z2w7p)y^pm5-BateU8rtv*iGHRh~F_En?owxz3ixYQ{l)=NzKLDYj=Lf_>OJlw{^@I zAFa3RR=73SyXgG1ELS7GWvG_$OQN+P)-tU~z!WZ++?sp7OtapwBW{JP(craeVvt$^Ke7mHlXMb2JjZnvZ*`<75wTwZAQPHC4YxFs9|)fK6t)~1g3 zx*AS5t~v-VR6b)2R^x<oRL)O^5C=qQ+K9ksdXyr1rjzWJ=ks9Syo{($sWc`hv+4ELZR(cm&)8Nfm zPhZGRSj6Lpq<#u4%-dMw-R)|k$X#;0n0;F$<5gCXBA8)#uT>D_#h{>&!{dkvrGW_{ z3QeardH3aCKU{eq!(R}%v?Wr%IWgk5*N(SR+dD5|1GXc7kWID>b?uT9Gr+btEAp#@ zKE`JWmE;oS(mVn(QnuUwTpO{bBOOh2FI!&d5#~{vI2nwxbV*4nsfh8OS#0_tnXJS(G(M=>(Oe_93tyDkc-4C`xb zQo#1A_mXA851+(jA~NNkv{rj+itfZoSOo!P2?6z%&;B->L$JO+L>Q{8?(O5IjrWfJ z9SG)oE3s=fWmUdYvFGc*0}K8_&-Jo;vd6Y!l43fSM=rL61pAEUF(@u|_=v~2c$FQ% z0i)(eF8oCj|G%tk>BJ!RPg81!?9bzWHQ@}mcLxaYbN!YTYX^N zOjtWqdwA=2x%&^q9z7db2#m{RY*DrW4(pb2Tvm~8b<40N@)4@qFG><2Gdq7{1(s$E zXiOOG)l{b9|9UyqfevyxiEv)Zs&FL2&8Hj1Gp8zU^vrCNHQ`@<8Alk*6J{Rt0lUgG80cTfSf0E|R$YAQ6IOUY4G8~+aD?8OxJKO%h8| zg6%M5$|mKvKJw|f4YtdvX{%su6njK*S_t$%vKYQ)P26-eCvRW}kAfXHJ}M-l`4e#0 z+27(=-p0pDJJ+cWexac~+h2B)Mhla9ZHSW=dtG|du%+(asd)$~BUdW zHZRA*g7xBy2c-DtkS_1^Lh8rk^ZAlVCijG$DXXGjF2uKy-{;V9T5kr8y#RZ-X;gSH zVZUcIhZZE9%~?*hCH2%*CifuE{HB*tZ@Ru6s;l&baq(wRB23O1OdvW4c(V#KA{JgQ zl_1@V6dEeNqGc0eM6qB*8;$T0VNl6-RzPCxwE53z5d6N1i$xVlItf@4<{RUl&!CBD-Wg zSC)NpBG^zco+vSOcE!!4h|D7|&Op-=-7E&A^z`Vi!<8|TW7=5< zD}aJaqdXDFsn2Vg;TE@=r)iyPMOwtcWV`bg=aKrjcivH4ivfBPjJl~7_-09J9*g)} zsJ>vo&C1AQrp~eY^j z_`EgPvZy80vtw-)qPHdCsrkv827g05Dn2^ia@^#&<3C7)+lnub$cXo=iyX)n#fQr{ zl^zZRY_NEN6=3sr3&&xL8RAU8Ghi~^zeWy=s;0Sj1KN~uv-t3I*GY-SZn1@S3Z%I= z#_iV26TBnmPg6g12Xl`_gyVX6Da#|ksyVl|yxE7KLdw5o^9K)G^ie-@ev0f>3Y3FG zi1*s{@&3DMj$8I*_l_+1ca0)lp%$y-J^c(*-j)q@oB$Qd91^MhR=1$~E!=w<0%DFp$+2v<%Id$~?5^B94NxvxC$v0l02VAPgJLl;k>4WZ9t+2o0q!P1L3GbJzva!Z ziypn08Pe6!Ubodh#kSB!pD4I_faCB*WeS1jg+IWOW*uPVoR;nVhHLqJF7Mcu_r%wb zXJmgGA$9c4`!L2KF<$Q{e)DCP{rOiAoAVV_!rGiiG93pH7L=F@0IK(e1rt*|+(rVS zlheO7(E&FL*zqw;+ruA~g$NR_w6alOh{TNQP(-F`!nl&Q6fg%6 zvn3F~GhoPNC1wGx5!#@*1*%Zp1(j)^I?A}_j#&1u^Sz$}nmb2|y{wfsH=Y!Vp!x4y zH#`|3U7`9FBS(C3Clu8Ff`CuUt?{xZS9aO!o_vq=<}h!70Ih>bn@PyS4rnWJbqfc= zuDrKsJ7a)T=eq4s*YaIY{maiGhbi7aFK-xPg9MnuH~`R31J%JC ztI^l1JisCp7#v}R>dz9_8;J-8PBIBskG7jzcUMo?kxq)alBMjY?&AOsm5wN47(jaaL z*ezdJ@MEuv8%NoaaNG1G@Mfk$oYS+`NzuW6R7g^Jj3BoU-4Y7Y?t0qkD zd_K5?fCoXClRmN#P^IluSILnq?_QSd-&R0vD1=i%=*-S| zh<}_5`awPhZ?1x+fii$X;TmGEd!PoPIfa=B=;LnDfdzkVMVAZe1KMiw1xMa+9KME5 zk@ENaB~qW4Nuhksi<*p0m=*bT0ipvW{PJ%+|wbe_9FgO z#5R}1wJf2i_T+jU~Fc?BzcJ8@2r3XT(L~08IT`f%O zNo)1SYisZ`FE(1JC=CaH2pSV~Q&l@eHMQ%4)x%tkZ)rodgbfJb?+Q_(HhH&;Hb)kD zKF7EK7O4pN5;p}9RBvrJ<3tKj>9XtNJ_8-Sz%@i&!<|T3u_KDj2hz&fjW!V#-R@3HsCISx&z#in z$jAI?_|=X`KIf&ZoZX$fBGLQI0C^Ack{|EZ_D@|wPt|*qkvH1x-FDKJs9FBctbg!s~Nxb zuT}7k{7#~m&z_U=70`S+xa0yBSF3s+Je5_2Whp8U8IM$SaM@%+o=$CH-?Ks~O|*Wep0t)Dg+KPb+RmbrC)IoKH)rl^NECp7=h+8!Os` zQlq9(w)6Nn@;~FG>L+gM7>3MNUA%2g%QyWs1$V!&a#glFATKr3ur}1@5VX;y9$zSg)?=nLy6RQ8*tdtKtZzg0$yi+7jNRciQvIt)*;&@gC@5=gyf)vMzd3(x19~m?bwv##|f^GA%<%ZmMCXDi9k#4?7_rCmNQkTOZhH z%0^z!*s6tmW`Pr@XzH!#NwKQoCmwNRfulTnl2(Sg(AJk=waZcE-?!e-0yg$F-f&c2 z$-0-8v^V3GI;J-MscujzD{l#vRL8bp8}@b#cQy6byM2-GM_Zxtuh4521JV2eb62h0 zwF$qN=udyXm)86uI)Ge;9w1fc!13n2ElR72qt{}Rtx&3p^aBYdI7p^<*m9TL#7#Ac zr0`nEF5w8=fwKi=`EZO+pGqfFv1xrU+!Djmi@3SxH6P<6_&Yu#f?+li%R$RCS`4U z%9$fK%zU|Fhnj|mY9v~|U6?&aEUs5YF9z3?>QW!dGtH^G`^#BdfgCti){$<3|MU`U*omYR9*?%l!7`P)#YZ_n{;c=@EE9~ z@U}=5xO9`hcvlyjX10;%S`kr1O@Juuw=V=aiDyJ_9Aes-;1&#PzLV$2XWdt39_s45 zad7(&WjKp-#+#89>F?`*j^%U;#=6AW4X@whk`FlE`h1oD2^DS1t;4~R)W8&GG%V3> zk;Gw)HK)*@vJ#Y_4oaZ&GJ zh;hVv!=BGPf4RK3(*d?)+n?a+fR30o)z39L|1p_Jv^mb2{}fm7kNf`0I?)XllN^HbXL zFTax~@2VR1C^M0V+r;eAPxJUHdz`^Z!+*o>lKr`aRtoN}>LRf#08 z0Y+n!U}UUAHrb8zRCnIBVDRf%go% z&WAlZ94mU04k^&gQ(c!8SV-io6S;|6Rqun6r@F-G8HwDf`!gupDy@QqCv|diAb?Ci zcg>><$3{rEFUY1MpFax=QVrn*Twnr0^W9pJ82{Z2Ef&3ir? z!?{P^x>@d&FH3R=b;aF_h`a?9@#*rsF>J{bm9zeAZMOa|QYP14R}Ne~mi)kLTGjl{ zKJr9--f+VFdapu~zf}>cgyZhnH2iVPm)VZ;Sqmwmpa97e#dS6OX8xz;8F%|9!gM*w zs;`-P7U8LM&X9`irB%0d0Eu3aO*(xgt-7fL@rZp|nVL<}+RL_S-c?Pd z@7txK#3L^%wMQKEKV94bl&U&6z<)*7}oQvH?aONx#1Y|bU3~k zN!{Uk6CbigHuZPTMDd-iy7O5{l8e)({)MTWiI~79_-+mK6_j5l-@$;P-HAkNlP;*C z;9oTuL@=m^99&|I4<-kamEAzCM?OdJ)@OSt`Il|?{pqUkze!RACQAOoRZ2V;ytFD7fS1_+(tpu;gYi#;<{CD=m;jd|o z`XtHBc1V<0i!haK zzOs${)G>9KA+nsD7G#nBhA{U7*k(z=^xm=u=i{|jCWP4AYTnn%LD_x@Y!c!YUCN?L z`0{X&MAyh$3HIOgH);4~YR14RZw3q^F?@484GBXwS0PA*QjFT7^EgmXEVxOb&8)=B zq2tbrIY1NGpMWIaaYz77U{&_P@9QeXp+ zNTH4gfz4hhyGxo_tGlJHzHU*8-2IexbJH8CAK1A!eWXPAsb#t@aoYaY5=xg*W?d}% zI6@V@Pz9_Mvbr`?rt!?cee7-jyD^}L@Sl1x>sehA)sI`#5Mo%+BcUm(jS}z+CqIPQsBax4r}xjO9@8J3V8A^a#dy zy)i{W_wiH|saS8!72M(`v#DRT6@4D=uJC;FQHYOfq116Ro1oM{6R(GGZ-%c*1e5J# zfjz(lCv^vr8~!6yf%5l4T(I21Bnw^wqBALVurUApKu&JF9C|@I@QLTBa2zuuF$VO9!==G~=%ZI>FA;bG`3EFw74S3A$wh;O*D-4pVW!>!0HDZSS1hj?SK82)UlN$ao zxVc~MQ3hnqFb{{_+h*;Ti!FKy%rN-Au}Ro%1)(|&p;`cVVcC<-ieOB*e$*gNe`QDr zD*RD}SLyt#WLO#935C>f_~Q7Mgke=6oxjwC9TjjphJB<%K+|sIGp(FBaR_YcFaLO+ zybs8tN+@N1({cRVi9cFc=`)(p0|RuY<72=D#nB?p#s5azdg=f zUiz%@Boof_o~ieQJW?>k>c;wLBA0jr9MuOvJMb#6-tH;p7GV2U)SbqCw_K$_FZVS? z6DfxPL0;B6mHN>(C{C54pc(0ao=R=KJnvD2Y$RmrBxXkJ+`ByuJ?Y`KRquue<%L7P zQU4P)XV1N{4SIPFK(tWJ56h{>`cwa6PsAXGNzSW2B%DDZEE@JNi*BeQ3|kM~2=)Rc z+(S^*5E0ST+gUq*71^Yl(#(E1C|Lj#P+}HBAPy77%VErdu)13iv;=xdQbn&3?WfW zC^8H@y_Bze(P^qkWGaUm_cRnsX)jCus*sU2YG$*kq;i1t%m~6Y_fkYfK}I`SepaHD z-=??Q7GLrn2N5F8#Z*|KUT?Hi2wXx{=pp66>EE6P!pH*A3^VSKwQas53MF!@fi2_8 z$XQ@4eRRGJ1S@lKLLtZe$jVIF-+UZZj!K|+_wx>!(`1ufBZkO2c6l(8tmmsM3P(E; z?EeDsS{K_BqS{@h6^=ldXh*z)b-&+BzE`@1;%91|WN;;$S^taBqBZD#>4cj+I?(cz zkJ@57r0Yj=ik@$zZ|clt!Tlhl%{GcP9-K{Cy-O~VR0C{ZZfFTbm8pMxU~NQo)2PMD zvYH<9G`0XtK(oK!e7tJ7b316|YX8rcvgvKURsy*P*i`6WWJSB%?>z@!lDz#_Wu-yI zlLz*2948Iu1hkb9h{b{MrS_KvNq>|QpvQTz(_b5#*tmxT?i+BB{jd>Dn@ji?I-EGS z84|rcNYo+#qK8E7p6)6vH$8;YND2VUZL(BTq_2Q=U;|khT$qFwoPNhjSICn`p!l_? z`r&m}5MuIZ12DBNOYvn->INYI{m$p6(q&pUK+*zQWpqa{wgZAc1i#lC{ro#;yu}>> z^0zY!pMJ?&Tipa;O7gaF58w2*X*?|9&w)&Y$DOyAF)>aH{^+R}-AFC5@5DPz4b(*5 z5;TF+Pbel-cEAXbKT9$crkGIg6<%9;3%h%GK;$eeh~^j{Y%gX;Uu}_@7bTsb!r z++@ofE+z9)l_x$bymqs2WHzH?HRBcQ3fwS}oWjdQu4n9OCvTpVgj2WLDR*V;k5u_~ z{Gbhy1C8$*@<#{^UzJuq{&At>+ia?oNFq9S{IK1?!xmc5&m3VQoqfYj!o`QqeaRC9 z*cf}nH!eillJKU;1gek;nEF%u*b@ZU75n~MK;$UXjeXW`jV2CS^EkAUneWEFogW8; z)7Y=*-i;T8W0MX zP|p;SyEnyCAUa(q;Kt#HLrBFz-%kBK*}}oKe1a*Vj=64nTZGhlS-{$u=6$zN|2DR` zPhh6oz)aP&z<`a85eL>%6pmugimrE2?|vynwgnAcE8D7yAjk^T%E_aVi(HEIwNTM`x8`vFWIwI66t$FbSeW7Lwvs=I#Q>+@Rv?!+ zvcHLQ#_bN{$eIceEevzGJ70p$>Qmtf(Rp;H-rk#c`kj74`RY6(_YzIRucPw~99*K| zWYfO`vCO~wrSr{C12KGd{xQ-@f4p7JK^;@GqMa-!y9E-@Fr{QV9su-^Ny==G7sNZV zVHBec(Gh<$K4=R*nmYqx+oUnHJ4C|RzkHZkv|oeB|9u$Y$E&;?Cw0tcU{4}8LrLVwed zilf{-XiM53R+=}Vh# zs)^p^s4|F!$h~r>|HB!R;1S@ZQ(C3d%Jl$ec{2;m@6>gly~pv8>li63U-Z#MoekZ+ z>HO)tU#bYAt6a$6gJ`fWkWIc$cEv^dN-Vl$JW$uoxko`S1{%YH2SQ(oozu*>Zj7_&t5oTKBfk^EaEMZdkQvz=@o(`oDT3uMX*2m>!wXFELSk4Rkpj? zYLZVsteS-68wo&9J*OBBcmDIgQ4)7={7AP0%#AmL%{peZ zpYeF~9Ls4c8|!{m`mqD?4f*duP)+ad5w>V;hzhp*DnEsU$vY%WydX^0m++6zIdP_k zZ;j5TfrVlLx@y2`QdT`WXeyvM=yDQcy$VSM7L$tf-W8w?`Sh6Eq_tT-qs3&5;M zn~7y+-$O2@n}LCjvmrlc5#6*Qy2-Q`elELk#aBR+ZBPhq6x=^p2B*CX7A#CGAvR7Z zRkP8iN^80R7{l)C#xv@erWIDYgL#mVO*eqIZz5&oVj0=45!zes@{;Q}{7EZkHz}lV zdef2%jxmTuu9w98d{Uu5Z&qPe;h8$NAUD6M|3h#MGS6K_clyUa2^R$by`#nmcvOOK zq}@wRbWsm(Soib!g!t=#bTVKYxU)!8yqLKgAq~+VZPqxbV(z?Ub_k#`pe@k2y zFJ)B}Fhq9;(%U>>2=m$h^@M@>NyA=lcP~tV;nC4AH^j?v|F0(v^kw@k&EJ=EzD1Ju z#-x!OSp4SIr2GR|tC)~gyHo$4|6!Nf1wF5mEie{tedtoVc{kGBU3HbVdnN7#VQa|q zZb3NyCYPZ;gF#YS?Loy^))K19j7Zo)`vX59f8V6nOxT7x*Xr1IKiONMaeMjsf$;D* zOj1V{CHDxapejNutfOMOYk~CIv^7`xx0|2%y0>_yjCw(fu+0?jrP7(Ygu=y6s`G-d zJt?a!e79C~Uad+vjz>>}%}i~i(za4-suFNK@)Amw%p=1iY3w`Zx?W~le)jd)AHgssy`0M_vs-LRe*fH^`EIeI()}FDPjZS?kN%=`X{M z!LH|4hyU_fVtiVjE@Yz>mrzCKJLs`|mvKRo34UU3-itT1gmMYlG~8C+DaDt+G(FJY zh{+OGZRm0l;>+Fo_`~ROY?lXjZ%fxHZY{ZUpif(C-m4AEOi$`FP<;(YxsFuAsO_CN zyWBeGp7qu1jXU_2OQ^US{(HgQoEMDj#JwYW&s@r_9m2zvzIW_9H`e!icsx;py@6lR zgPt%qcIh*C)_u!k`$v2oGV!y&G~2ict*1{%W%>F(lmnBra*Qjvt za?FsaYDLq)2&n{ae_l0LS!PPIpw=^oQ9ml2MV%{1wvhQoZB#r&8qAx;j+@~zFLb*u zRg(OAMWYrC);-0#mZ*ELJX4SjKd<1ipc{JVsBy)jIqDkIGJo*-L=9#!WdByX=w z9_LKc;50wVG0l#@(CfUFjOl$=$r;`y(KYGPFussikZ>eHpNNkg-oO%kI1&)PHg%Z? z@}dLkH<~a&g>UY{?K5!H(wtsX-IYj}M{}Qxt*y=@&v7DzODLGD`S|3KD~>2@t+46O z5{*hU{=;YP4)7gk>=Z+|HwO-I{}=HdgRsxhGzl{Axi}@gI(LUwLD(W&w4e18tUy#2nu}H%%y8lx^Z~Ob1j$5CS z6P(yg{=<|W zkHT{ak!cUQ`0GKKWfq63UnSfK;Tv$*`Kjt-;zyV64D;>_PY01&BGgGGm<;*t-HHwZ7bI8cs|; z-6|^`l=owlrGbd2WF4tYX32z(PZQQG23GWt1=%PSIJtO^<9fkYMEY`qyK2L2kw(Sf zmcXHdd7=->q?i(R#P!0ShD-8@^Kyc0wMpfJyEUgJdvKpUz~nE8S#X0cb~bK>J=HW# zKtAyCeV418oM7EgvotBAN52?e(PQ}GsEWG;nr$BXI@Wm!bt3j3A;@-<{$}R!h3Cy~ ze_5UQu$}zN$74!P6ZtQ!VA`fK1gY1e#{sju`Uppla+cX{T}$}I=3YfNxOBWx_%B+p zhffxMPIoVhk>egOLqPY)3+EOjxqFllSyx`FO4vmTL}Z=g!;@YSFHkUeBFXdnLMl47 ztuop9F@(+rQ7RmP{+tmq#c{u8#}f}U*gQM({4_&c2D5mLvq5_;R>t$3}F zt_s9;{op)LswwY6&k$EAqq}FY6K1}I9ic0Fj&am4BLQ@Fg%1Vu!n@=RH+t`5hq?_L z{w(BnTH3=eDOy4qL?EC2Gz_(>)xWGV^i&!A++*c|2_Y=9%S)V>N?gTAvp2dM$>(Li zedxE4@tlCQjUe};O?kTulP#n)Sz2VavM3LS1$T?C5fqufFE0&US$dDIODZ!=ul#El zxGcZ!H@t9OG}pHn%1~EdqZ0pZa<2l$5Y9%90VYTA(%bM^Kxx<^-Tp}TM-n=iqRi!$ ze_6-Ex;mh{`v>f}*)NVtliV(YZ+=`)m|jBp+kw|w^;__-qVuYfRp}g&3!FMq{c`wi zyjH>MJbpi*NtLy0IHn^Mu~&DDojE*$@Lc7?`oWCt@6nT->16J6@$}Y(yo<=<_WjV2 zdAnnq$rG)BlWm@dj#u)wQCGDV)1zJxX;HybzfH`-J!iXGN^3syTw8kU&pH-j*}rDO zIG-GLTrX#exa(|VnEMgZPSB&OB}#lCm4HF6?>e~yzLNZaMZQ9vMG`&l z?}D&M62pE8Re6qOnuCu;b`QHq#Z*k1$LoYIFZ{#0)^AJ`?Dhinv-I*<*gOQ4Uh-ST z9$mv^t9yK4o;VeKNVo4mAo5w=PYY>VD_F`Ral>(q^^TvrWOGve<}#S*HPgu{{xiha zD3Co-f+W*f=bHL41aWY%zI&AGBlCSJ~u8$WLcFVqR>QgUIrN zzph@a2#YH!S{f;Kx6%iU}TK~0e0aA#gMT5bpn7=VrgJ0K{$(cik((pKK`t0uuw5{VZYV8z*F^Ftkzg^^NP)w@(w>`8f6F%VUcO*;p^VA1L{ zzWI4GdQ`nVKvLBkAsed%p{T#wmyolWSP-_hPDCf6{EfCq20A3)Owf081BW8Lw#c1S zKJbNAi*fiy12)Z8S5wxE1?~i^^pGc&>93XDS}n04Z^v7N9V(_=!@Su4WwoP}g4LJ# zN*_3q!m5vt(Id^I{^Uh-i+p<2r8h7bsh3LblFJY`Yj$iieDSBM(iLt*Qh^jBVIJ+3 zmva`Z^;-3Q0KSWdL2p%{=2-AntCR4f0>$Bs-g)4I3G-StWoa8I9bVgiBD(&jbD&(C ziV=dN%g^nUyJt5MAq`=rHm~qNvTklQCX9wge;Y$+rCXI zTe`F&G)gOF3ME^cZ6c}2Qc8BlSTbY_5n~xM-t#x=e(vXf-ap>=kLP|ql`+?xzw z^3mE&PU1V@XrL1%(>N=F%v~(sYZ^74p1*jL09SH>hrW;E4QltWl+YO9z?aGm@+(ZA zK=hHGi9URF|4v6sqDZO!^%5E3n#K4T-tQXDvkjX?1dJb}a8RozOVgrEy#bRxQ3i&F zpFue+oFL)Ipw_IWXiP$*Sz3bu#hQ7@HM9JGYBA5Ne~7++pO&r<_<3EOXXf{Si(30F zjGxML>6t{Q=w!;Ktj^ut2Ke(dc)+_jnVIl;}*Tja@12FkH z|D62r)Uf$(DEi3FbRd@MPfq3BIt)Xfi2wtn?ZCi>t+?!-#t)H6ycu2>_$h2b+JmN2 z)uiYgIE^I6p|TERE=94K*gOJK8@%~s*{Jw4SlJ(cOoJavOiw`5@cojv`HiM z6V9WSst1GZ zj0RhYip*?XZC25R&k$jwz8mzQD~VSMn_bievGOLflL-NVU)iY^&pyQS^CRF=UYVg;`5Gso$-T<-hH!l#U$~j{X1xIC?>9Xs@{C_lp9V4$$Vdx1k%C!(yrPcZ0yahme6CZ6+W6Q}f7Cr;+v8qWyj4wKN- z;DC+3V!~FzIZ@vX<3Z-0}^a)ndPZ1D3t;{-*m zV`=cMnpPu2KXeAX84xu7eftjIWI{%%k9R>cb8HhzPybFqn57#C>op!Dp&lKzW37o} z9{iR5%SpUdgEPcsg3`vogY@7*q6LHv0iY!a(-~0N5Mpyzrx)u9zlu54Vq@W(s*PIX z0eeU~M@xQM#FmXZxUF&12&UtmFNo~bE7FTDOF$(EJ&SN(Zh#5|bdb%g85&rNub5?r{{N60lXEsX*SHFt>h>!RS39$>NEYw=scX&y#wL<2-p5h6u zQRQ^r;@Oe00Ak+%wp=G+0K4!8W}fd-26QAAlm_d2(_8nrC87)&wl|`EwdXKDq+_M13Z=> zcs%ltrAPhWOOMHCpt9T`b4Cw4T~6T8%45e)oJl4&Ft5}EhUwIcvbF9h4GqH=Ssd6$ zi(>S&b`Q@#1lY;G3(PocAjqmHFAci&_tdY+r&_A{T+Rp;QGR)*J#fa*|0^9GVHml0 zAwqA8&f&iRXDdUigF}}h?%HFapX(; z4i^keM|N24R|&$##EO(~Rk12HDR+*e9Cd5Plu*7+4)tKO&iZ!Z>#nhqulNh&L>T{2 z2u3Xx)m~GhUaXYn{GxM7Je?%5Q0~!P`tM|vP*MA)LFD%!KV#o?)eO_A-2}zB2ucdw z6%bNC z*#9g(0He%v4vI;-gfw<6H|Xl*8IGJuq@6QLMoCj+#3|2=OzYA8iPoY0a(^v)JonCd z10j#g*>&Gd6F4jOQh%W3M{N8dqb-5b${iY)bqrbuKt!W{R?^r($n!#L4Be&cooX-Lq@k@`wa^#22ng2h zUA329dd!3$h+o#vX;D^9l#EjRG_`qz(9d)7C~)#s2Ca5uPiAFhPCgeq2KliV6{Vi@ z(Fn4Z&Kc_u_dkJ=4@;cHQtxoyl5REl_(~{idGjCNS*n~= zn`0UdZMzUh>xre7^q_<6y(8g9pG21#-t%i?-oKgbMV59csO;DVFyVVu2#mb0eMgEN zc+d%1m~JFWD%8}V3}jPwBn{M5Ci0U7boX0%Bjnec)0vECrh z-lGVCQj&7J2|*)SCp~v1>dH-QW;foDlAAf1{IZa4kWe0SZIjkSIHhfAGMOyn3}zz{ zh5N>dfWBJMq8g7jl@bF*AWPN)@XgMn)pE@ z!y3kYWaOWG6e_uqiX;{SqtAc9C^Gy)muM5`qq1V{Mbm8Py0U+QQMNaqmz=%$%mz7= z7Nz&rwiNeQG%aWauMqs};^dRun$r-=@B2XB9Cqj#_W57~^3s1|8hiNRK5yTc3L0xq zmd>rAGK8o#H> z)Uti&EuK;7gFqIvpisUw17c)PSyLZC)-LVhXC20|JllT-Wqo?`Im4A}cI=BvE^+2B zPB{jK%@-5NDAhT@X(f#%e`S=){(IR``JZKn#o-M>=K4CHj4CGe8q14(UU!WvnSoV# zNGuzc+8zlSVqW!BUX%m_jqYd9XeFOLt@d?a1Ppy45g3~A!%Vq2omIv&9i(NDemo_A z-xhifnR5-)5XhW;JQDR?68H|f^ZMEf;On&>Hy~iaIdYACxxTKofb*%g?S<} zQV$*!lc@ivpC2;vPyj!_sf9XlpMV!R^@rDpMlNB(6GmPiO>_*vxWNZ>HjqQ#jH$YN zjks(l@RshY(u>a1Wmx_TMg1kwR(XD;RFJuqw24uJS9J6yLUHSl633g%>wH7s zp^094lrg+KmOPK2@y6v)s!vBQ_d8*J556^ZD{IhfGfOx`4Jw zw1qHv5B|Ja^2F&jxHxRfAvbhuT%%xc0&S4)##f|7u6S$cBDp3f9Ic0szAG-g(k!lu zS7cJh0ZEry2dpZ(pkMtqC#t<=g8_pO1%R_K6OQ&V%8u93Cg~UV!=k^}9@f7zPZnT& z7*IWTcyBEJ2B*ghhY8#!bPumA<5m#X{oZ&SWSjrlcyR04OZO*%4>=HzQ+yj+Dd6Sx z_kN_CLpV31W|VzQBB-$K`YqJZtxN@grJ;eTGq2cvXd_h(!4-<>q&%~Q{a5PAQZBmO z&d>4}6ebTN%sa#|I`a(G^++B*{yUQ2&v06_5@ly%!(-RYy(Oev5vN6VYlroU+C0E1 z`trgS7-7DbouYwy_hN;Udt9MB#&t40U-TJ6EN!q*o_*6cNMSP zd=wiW{^yQxVg@bBrU+u=yls-`GLqeN(nMK}MY!M0%|rOV7kc6=a_*DEHQtP)qKeNH z)$qgXkTFWN7rG_9gDf4>2Ca8{W+VKBf5Td|;fPn3Yi9YWYB7V=KV7(gesJT&vQ&f$}_==s=YKPBA|}{P|^Exjqy#bpj?UTI9tl94q=-6V} z$ke!IJP|_r68@=4E$3)P@m@m%sf3nbxtgcnwx9aSHvtCG8H+w?!JJhe74Cdlo(<(* z-&)J$WVM8>+}9Xk_3ktXLWLYP&c1+UZ|DLC`xs8kchuRFK6}^j% zNdeY_?&aAXq~&~N#Er}j^dn_Y+t&mOO=DIe9NViA+9{8-e1%=qj|zQP>yxDPSPndM zZ(Ou~h}t6aEkw7lCB^Mvwnt)fO2u*owYT$$#lc(TUtcUP6hpr*aT>Vv2JNh&%GSC@kGFL^j69vjn86p{fdtt)3L8c@1^u5FEHGvan^=7 z;W&*kq-wnne_$1Bobh*n*PP5sIqjS6u)hYC&F})vXILbFrsB?R_}o=OSwDY3;tP6D zrLSmi*z@suFcEOojjZ@UTEwjmq4qt~SSmh{lO+=9=oxRX! z7x}V@5Q0+R*V(2=1{5-N`KPgx4>?mAo_OA;b&oHS=^{Ai}g9J%OXy7k2^MBb+1k)-|?1RJ&GJQ{xgN;OIE%&Z&!ywLMVeZ zX>lRQfigZ$;j#%#iywpTbzf$?Fa-=AoG-kplZn|JE_$(O7iX+TGA=DBn`NcxsV`GWx*|_@xRJaHz9D2iLbCIXC z##wb}*^x;LQ?mgDH9wIL@8Qt8gHjkd*^ceA6eG^%L2FUc&1+TM8yY?0HiutS{Jv^q zQ1`6PW`;5!MzlbaNx$#oJ2(3VHkAP1Yt@?@O?gdf!I$DxPvlDkPy$YU?vJ+Jol0K5 zT9O|%i$IwF!Vm3xFTeqho2x;!64&kOSOXb(Gfy1vG`#|euk})MN-6~ z1nKASu-T@v1FN%dECLfB@`jo0+sw9jvY}m!ah-6NkM~LX3_I7<#3POAnGcg9@Wew> zRwp+T^KH(v%1ut}845lwaHjCu3&V75E);3CyUu(vWz?&oAWI1vuCz(yoFx3Q_f1V$ zpcAXiwt^z4@mBM)sxZ4MtDo8==cS`HhvDQsaIhtKo!#LguanG>_JcqNUelNsm7d;w z_`StO0_PZE)KinZjV$t^wywIdBtNa>iGFilVN zy!Nv1YolncJ8MOnV7N9UgUS@afN$@MEOO^os)0J60fq#50w}D{oHwUVCCr8gLzc9aJJWJ z0>PZW3@i%{CJZWCG4MsK_;yzL9kP~_*sQbm)j}=dFnS>%RRc#N zh_68(-aJDN@IdJMTw-S|<(p1zfM)5Ltl!gTvFZrxb7pKU6z!cfwh?`{N@c6ngd6u7 zVaJril=CcMCEBg3r82{!pzAr$)|OTu)<>@?f44t7KVRMlJKWuP`; z*W*8r20MBGK=1j?&I|7kZ8+oaPOL3RVej9{Q1DwwxXg2?+@L3JO~hWq*ZQddPhIsX?eaT0#WiUh~DgGf@G?<)qV26uceCP3ZoU2n`Rq`a&_h7dBi~gCh ze0(o5u?1 zHKCn_Q!XCQ+-r|FYdNTHW5Y#c@|k@ckO_RUlBk*lb2ZTsSL@-~4hbyeU8K-t&Zw7b z@?U|DDyL>SMewh4_`Z_cquonyY2>^#0Fa0j^cArjdms=6I7y4?2U(C10e3~IAc!u~_wya;NnAlnSw+)4L!Rva*$XnBn0!C269k}Tw#?kcj zmcvDL(Q8DmM?&G=+&q8`(dvE0a-ws#*2aESr+nMj@HfUcog%JB21^74InO%%I>e=Y zFZCA!iHBKv7j1XWIV%c6gGByWS~hhgbP_*LW$R;Gx2c#A4W>9_32&rsj^JWdM(l*q|9ukix> z(g05kCY5h@$3b6xeHZWg&twh*Slrh=bHl#eQ!{7{5;Je0)sF7M43Pj86?b~oZ?zHu zVYd>GY9r48MBTxE00e94aXYIvJkf9(3!)6czh%2Q&4SjE+qc6$iah#YUW_)w#6?;L zeeyuZkYrp&LDr%312U`M$xK9nlXE_>QUbL5W9UWz5p4ak&X8T2*IJ(L2y~REDFB7R z+)=NAx|z`4)GOKlv_P$$lO;Gn$X2qS#%iBK)wv1ui}@MiCtk#9E#0%K@P${v0ucJ8 zNa&vbg&&Jg~FXYTZ^!dgya*Wx5j+Yj;z=e90*DjD%T ziS|0AG&)QN{WzUh&&cB=M;f!zQZkB-4+Kl#kvi>@rSCwnIMoOabeCr4(G2c7&p9hY z;ab1qiuRi|HWy|0&N-W2rLJ9(rT?g8bbgiSI4g%tYW~ou-`~5LZPh6Nn%J1xZ z(OYHmLj7Nflt^gdGIqB6guGLl5la26{+J0glLm6%R}r_%Li;R^);cOin+VYY zZHRCFz{&0pDQT=nxu%K!)Yx}!?!|B8JfQ0DH?IQ|aA~Tb5S;dd9k#TLo-k?Jd}{Gr z=G%j13{7TB@Z5oR6+XW4Xf&4T{l+kLJ281MrT56$dYj_?{C!&tPVX-7%YRA&KULSHwJmm z_EsWE$Zi!_wp@r{V+$Y=3i-#k)Zf0jA|G3HxJc^6u3rjJx3Pot6x-(aTipsnzH9I( zET`YHEk8p9uxqn|Vfi-6gDokxEsc>jLlHx5B?}jRYr^v}8+CHf3tJJ&dIYT6Pi_s! zk@*VSmdzac15o!DfUi>4%Nd*QQ36(jWGxcaIzFp$B4lNdGxjPkbizuc=n6VD3sctt zh3Z6%*g{G3Bt{0MG=J`o^|y73XxCRn=a!t-(wKc;+M20&@{Ay%RceGU;QPR0uQhxV zmNQS5EcZI(*pT85bm~*8Q#_)V27*NJq!p5$#P3+o4G=S+afGdx#DqKFB)6Hiy zppvcEaApnzMzk~NNz8zp@+-b^A9VT9N4t*vHpa+e--Vq)-G69wB|!X{bo8zyTUx}% zHsaJ7UAz`z{jKnR%V!(f-K@=p$_~`26RJ{rbFw|=YeN0n`3o1G*B?tgcstv}ZC%>X zb@QJvftaashk~L+G`f)s!MG`qrvLhAn1;nnF_TP!jvw>hASZtT(90!zEPH3mMo&3` zbv(TIDsUQtQtm85fe~X&r}n_^yfFQ`UBAd{XGlK15ru5QxQ+h3g*EJ2({-%R`gJ|b z<+K~aJf=9IMAQ3C`amw?-kcY)BHVOC;0c`?{Xj>>dr-HVAZ*M&T_~D^I#o(53-u2* z0Fwf6D{4418wWQ{?YGz}OpE+-PxtJGxjaMuRZMb)OLZJjSX>hPESl!4GzeePwAm*~ zRLen>1e?Y}@jKQAn;3kFbaWic~{7C*rH9KSE4m~?mfw-DX7xRE0*jam14 zLAWA=`7Rrm)CI4PEhSfx*et~PKcJJ0mV}h*uLLHoN|WqciI)`NV-3(a&zxZPqLg?v zVHLo8Kdi4<F_&4Vp(%acb-F38H0*gL-yaq7;@SYjWUzIUv*yPS_ko+@w z9Oqe&ni+-%o@<;DQAaXon01Jj7kbB=5Qf4kH-w(t+|qb|@4JgbZT_z`L&gmA57F{7 z92P1@wCe&+9A$sy^Ys-4&QBSQEEy7UwN0g&X1*2bl;m2|`bQEGP0WGGRH_Ua3&~fm zg^0ZeLgS627`bQk!Q5NJs}jPt;}tM0^FE`LC{+fE#hPW3)FlHb)xM_5e`) zlGl3PeTbRA=tyIjr*YiI`N5PsC)C!AKA3F_1PVOiu!Eb>F zzpKp*TKq8Ub9$mhoT^bb45fHZoxvA0cEzp5+9LVb>PrmSVuq-qD8la@{U%PnVolOA z!$QuCG1ih>;@LZk8IqyzM3aUnI|fZqzmXY5yip}aOFLdpsH>zTzKvsT*lKJ-^I@LANf1pA&Q;LN>}&? z$!DAbFRgqAoF6R^j1{tzS~6oMOVB66P?E+<(8mJhc&DdHhkO$UrhqQZ%)4|H`xCO0 z;n3^qmbx$nWX}r&^R9l>A5(~oQQeZzqBDZs8Y2tF0=7~|y}Gqi9;GvOnZI$@nG? zdbvWv=4AMSs=bD~`HVig&MIfThqCb>ACN+yd~vwQW~ER&&&R&9EliIMD7<_t!p)X? zfn+|l-*Q03{nM^6rbg7_UkmT(j2^Ipw6h*9CJHMs$Ylg{l zZxbpjv10g6T9{h0K3{KgAG&u)&(FRZyNxRxt>^@;sUv82^)yC-Qm;1OF&_^mj`6FzAGFqoY7|4<`1kgNs$XH zM1ZMUYM!_J-qp{CqTL9rQijAM59BWK6{@hqi|2|wlIVbDdqr|hesZ|TbL2h$kar2k zb{eag87Hg0u>{u-zNi@uX-}S+OA({Nzg=3ajwsHOL_pX zf9CZv0`DEZfb@5BNHZ%gK=3&bvKQYYYVwF;?b&(}2-y%ADL@GYyN#Z?e<%WN6Y>OY zBiPu%^gYjk>3hDJ=CExN@?tFQYWi{SDf!l!m3``MakBV@-$0*4PiBBK+LVDa$~U5& z{pg8yAbN?o&0(r%?cdVTT4$i$*_)p;0;#86swU_5Ki2h=W`|oEGc~{(1Ef$yGC}## zhj)(y6I?ko`apQrFu|BO*aRi}tJ{~nAv`Hr{e0{Et z{2jGRCqjtp*k7^RWZ`66k&nn;SotZj;EY*|?T1*t&`IpR{)1uw6}v&!EH?TsY|8JA zJ%VPr`M}-OGn)7>rpHWdX*UTn z6W1yxbHEFpoJZp)GePFDcX+=(O52zJPTP9o*~i?@J4MWDL%U}c$ZTkJn3`SK*3<;4 zr}2CLmFzKc&`X8FHbZH2gY9FWg^?FpH$R2kDA>Z_r#Z-cA4~NLbYhjpR#0Ybup)$2|WcmMysYxpZ?I2S5G9IbTDpT;PT zlh1xFz3mh4{HfF9dCu}{hLeds6Z`$)&z>LLmEV>2hy_qwv9Gnn4oTl>?9lOP?5ios zZ5osM3QSe-@x`fC;s&NVJyq|Ut&g3U6UHa7mX(~IX}YATXfM^%7=;95h<6Jl3F9N- zoJ##9bc^Web-ddkWBrKf^7Lbl&vWFTbQDp)=|2riP~GMx?87cuS#b*KZ@n>?d<`o4C0I4qvMBh^b`%HI)YCpAJ#Xk9$98Cp%Sc zu~()oTh=Bn&F$GXAxRJ3G61rW#5qZs#&#|}aA+Ef(r@MXxIQ}-@BA^;@;&41kdUb2 zX{p+SR+X84BYDYkFV0yz9GeriL#Xcx*0XvVGoQwG?Wa#;C7E^uD#K|#TeO!L6uGcC zB2zC(KOfsV$E?i>y|2T#o(ioLUEQO*Ld|aIM}Z~RG2Cv#V;VcW;^hxipPH;oueNC) zBOU11&kjpakIjA0Xg47AMkjUZE?ZyFvHHn0mQUaYuWN&&tb@wBO?^H}ccyE)ig(v- zTxvkUifGGq{o2zb7aOo0;3W@rUZAYiCS_qR=o9OJl%W^c%Ho7R<$}H>ZfWhENri|F z@%!z)&Of`>sp#>hh7|Ao-o$&oa`EwZoGPE;oGi?$4rhKQ_O*i)89uTtfG3uuOn#rn zO6!)TCpZt$6AT?@MQhVa!wdzF3!EI#*y=c@S9$BpXHy8Q*?mmz zEeQC;eRE)9#j_1z3BsY+^BioTbT~Rr=jOo!m6<2i0@oisTt8=_?Ea`7Z9}?}^#wx% zt{ zP}Z%Bxx`2CC{Q!?hXqWQ3OdElZpvF=Jgz^3@sNvv@#y3v+v-)mXZi_xfD3QTbB^G`}?c+3CsU2vEI3lsG0ztn*^ztPl# zHVP3bvF^}BJGjZ(hR}Vjcu8Wx?ijC8RXi8Jd91}bMwMRr$=-9$Dm`d{Ep(|XvM08% zy?0V4j-%IGmBafT49#|(){}&(^AD!6b08rJ7j{+d5sgl2x^9ktk{_A0SS4vt*->rp zzy7GB=F2_1Ni8}xeEBX_c9Y%H*q2b8+0Nv2!qMpeMemN;JXhVy_MTB8$1H%e)*c!{ zs|_S&9utc5QTqwMDb5@Pzl@p2)Ka3Mxg9IGG}1KoP~W0BEFtJc{f}ki!!^v0FqjsO zm6_yJo8t9H=Y9QRG<;j&GDS$DKU!BZhtTIy(Dx9Ya7B2au9exZpCgs&k-t*AdC5Wi$DXSxl)3;YWcae#qBPcqvoV;b8(jm^S2bLteX#di<8SehBz z^Kag6K69oFta+7oQ5M7$Nmp-vTkP zac_Vs>2j(9L|lzVOeLE4JbvGbDG1$&XF^1*WvTsMIF9bFACmvLW~Gzb-Wm4=tfvbi*qY3xBvK4CIza$Z-KhqxidMau!TOKG61MDtf>2d=lp8meu6n|6*2+0m4;MN)tJVnb*oq7g9zU|yHS>R_ zDOEqgx$9tVM8jK)qrvAoH}ENM*t@B9R*w5^>ypy+_d7#EneBO zXr$C&q-ukn#OhUE{K;qU$>%_Yo>+^HqaaV5bCT3$K3Xv``%sY3ucb%&^eS&Ue1OIY zCDtlFt4itHu11l%t6AbO>p8!G-h-j$>WWNMqz+?I z64x38HT0zRlJ^&TSRFS(UbJ;?@SQE;YRgUTcNqhFe@dC^+khmvP7Ng3sP`D@=c8=U zAM4Hbo@6=7sBz!O1L0-FAr4qiJ-A$@v1L7%h#u%NMSq@_>9OjWS8du+8F^j4Fh41q zIE~R%lX&M=_iJb0d7WFa(%%^UDu&0?*brNU;}bpc2)rj9pP7=Etw?CZpenQFXM{!( z8S`^6=H+vUxqHtVz_mJA!DJZ0X#O^!H}J=8=yw!%TG>2}Oek@UxV3TZ=B9|y8{Uq( zhI^S8ET3&LUGAaq*Yg|!xuc=TYbCwLB)eTDN#i3SfPEJFBif5yrm?V~0@6eW_1iuW z2PNB`pY1)3^~;8N-fU?%G(c>DS*q1m8EnPtKjGa<7Ra9;%uZ7-V>BNl4H8^aA9vF= z^S`qtBs~iG?%ZheI3l!!FCS*<5dM}3m7c=;?r=}tUKW-hdAFv)w$0=1aP(E38~8CO zjuK1wjQ>%P6T3&4D|9$vUl0Les0rs(2Jw-Bc9Uab z#j_V^2#KyKZ731Cf;GS94Lv_V%dRCk1w&m#j*nNTwQqnz#PiOXQQG@M`^oFdEq`U+ zzxAH6C<5#lj6hvzheaBZS=`Efl{CC`pL2kM(3DC4G&Wd;U$T4&XPcm(aMH? zs0rLBFjg=uT3yfzimV^=%C|~ovODX7KUfZaG~59@=&veZa^a$4+`8H?VF_!(mn~ze zt^pLIe$!oXyI`ny8VmWgbaly8;3sY$zODq-A?HN)vbLepFfb)!osjrYc{x&!z}i35 z>WtSaq5<-}G>u7zlg4JNDU0ZDlGz?qfR--NQ%b-anf_Z+UF2V!Ym5@xv)h=nhCiTs z0Av)JrBY~;J;See-Qu0guY$C2he{?Bf0WR#Lp?U5dgziV&5DF|K)dmH$w)*vGMa9XwiJBxyK#%&3MBP=XCnlQIbbbj0Z}8fEv-6d>J5J-H#YB#Pc{UGT zVTL0edSW(Qo%Be^zc~N%lH<{0V#fQ++7l7L*&laic6vgZ&k)Sb2ukmW#D;Z z(R=yVeL@=Z%NJSl+p|5}&zkGUI~TWmyDOK@CbJWnlt-AA-NZs7Cs2`lx%LEUoE^fc z=iWS@t486x9O7JFaK3r3)}vzI9E}gQ(R!7aYSyh+{%J9+mB>O$N*%p5jg3~LU)QIC z*HF+sx33=@0d3#4&3eyp+=FabDbVaNVA}h6fN5`Zt||IT)$lnT0q~as);dy+cME2@ z-L4z)kWya3HTrSX(8S+JcD2Bw5P_AJNh~SQc3n2k9)VU{_V*|)v7W|~iKA5{&iuN= zx55&(-kT34xKnfe*j~R$v%lf>4M36RHl6{G-X`!a(<{tpJV6=Q1+oabz^vi1$X5R@E zwILO$%W+Vb{r!5mpd-@oqG#EHp!xxNKB}Jr?tB_%>vFk);&Kknt^M2-QP}o zex7A2X=r4>7J`nJbo=U+%Q3km^ot0cKe;I>U!uv7WO?90kL4VIgoWQ!YvO9hLlnSo zI(~ZC9j^!?(~Wmt$1kb1?y59>t%t1GZiaNfzJ!r5pTh5^1dy8Zrm<&t@%smPX6eE1v{?Rzub(2o8ta4MEs~7 zKB0DSC3h+&L(gp^GKUFcgo$+X8l2f@3Qq_^9)CP#5OUwZ>SfEu*G)*7omT3%@Pg;u zaPnvNM(kHq1Ax7Gh!ADp8vfJ2IJ@CIj0#4!!Ykxzc@q0#r!hI6>AYAAneR+#iKwD4 z#)|*n6nJtZg=II?CB*Tu>ooro67Rs>!J5X#dWi2+8=(_yba@^ukKjyq*%q(yA>t?< z=d2j@Y#M}Mf0)SHZ)LMLGNH`T2K;6sWB#2RKUW{sJiXKvI3k=BE9?`tk$5uh0;KtN zDC#S27{_@UyZsF)uHsi3?O!m<^I_pXlL9_$1=WvwN}a|$@Db628*&TbDTRi&UN{AI zlWY~=@OJ5I#yPRJZw8w`*wQMu9JNPa77SAI5RG!{_!x0eg?n*rJwDW@&W@Z)T{j2? zm}U8%vGSQwG`o;~3uw-g?g$0hsU~s*ojsjIE7)NjBR+M`9q)_4C+8%Zis;da?RH+( zTz|!J%hQnw&ciOtP8d7zXY9NfEq2}bNMd`iy8AR%tIj!j8(e>j?LU*?r%dRZuy89| zYKzgfFp!vdWWx+l65S|1=!cF2z&4;VyP(sC8n+C!XnCL#DGmGXomX7Ru29~{?sPk zlPRD5K^?7}#_lh|pV6ihX?TXcm8y}QqIG_HkACXP<-Ul^9KybGW{xR8*$S7(2} z?lL)Of#woUAe{ZfGRsyj(S8~`LHeF`Nh&ho{xQGL5t0I;i@i%eX* zD!X@p51#u}#l);uIGDNk$YL9hF;dm5bSHyKW|hkEtHrbbI=^#H*bafU?}V1d)1|^P zr?H|ptw~eEq@NFj>+qo-A$IswayH&8#D+Ms-8VDczuk>d( zlm09;NfYy0IWk;>)Fx05mkjV78GyEK?UeNF$b{^qfJg^E3(=$gCg-AjuCCz^T;%;jQyocV;zVv2}J7ukQ{uBPaU4uJjrs)7! zB!$3s6XHhNJR^f4Km5-WrR2i%mHhQ;{m}#8cdE+ne|K$1KVzTZXxEfKyr@G>6Bd`) z2|ZS~L20rxR2yv1i-yVqnV5sb#u@^^PU00j8GZ$aCIxUZ`We5u4DYq&<^o|q%=)Vl z4A}bZe>CQQ1K_MLF#d!9D(8#}H}pz+5+c?P{B!EO5`#*5-+s#%!58oEydd^d>&_P; zsl=E}fOOAJyXUrTvY@UfP|j%`nxu|?E{LflPMxv+o&5?C)$0F;YRybRYXiO4E5BXe zI5R8Qi`1Y`c9Rp~)7Z|`+pRO?nzrhIL1m?Kw$tXj}c|{VK?r+W&uCp8JBUGih5PF{y``U5N{Mtb6 z#RhK9jgW5SlEaAaQ+fi_t`6-zQYwb#DmDJBaDepSO|R`IULE`qJ$tyF}KWBxKj5u!^-yh z{u8{_oB&;M>OwSU0v~a(_;e%#uQ%Y{ypYgM*Sa-l*y`=)H+%Q&CM|q>I@J=ir1;OS zBrtHFU?Op76m*S`Yc4X*A&!>go2|((PqcfI!Y2e7B5O66Jtmy6grMio{%mE>-r&=& zn7Z@>XMlqqPrXe%+se(wf23Qf1MY3L)d1wTR*?5Mxe!UKSe4RT9F`yyEG$gEyAeNm zZwjD#%OGBZzvZ#*KSebUY7(Mk@40ZKN6gp0-vnWblO|w_teW&p98P%jmossgzq+80 zPT*ksw)}G&dpF*hu9ds>;&rRH1FRtwaaIc&Ydl!cM;tZ%vq}laM{g4mw;s1C&-!gS z(cg{W1e|ngc0fOvKtFtuf6J*N4?oMoTuNZ5qVZfRd+PywVxd0xO%>klvQGh$ak4oi z<8?>v4Rq1-$mY3hO}uu%kca&C$^(v2VB z$5Pw%;u7cyH75@*-@;BZ5kB=-SSfFpL=RR=$7dSlzY;gY|DQLA8vhSa zO927^0~7!N00;nz8AwxO^V$zFMgRaHB?ABo00000000010001_fdBvi0AyiwVJ>iN zX>)W?O927^0~7!N00;nz8Awz1giZa6RtNy^RS5tL00000000000001_fmucX0B~|; ic4=jIE^2UPXHZK81^@s600IC40CE5T01ll90000^vkyZ6 literal 0 HcmV?d00001 diff --git a/Docs/CPLD.png b/Docs/CPLD.png new file mode 100644 index 0000000000000000000000000000000000000000..f8a6482d01bfdc98432172699edd93f0506342fd GIT binary patch literal 1206609 zcmeEP2RPO3`$v>0$}BRnDut3!<|#4?$w+0TC}d@m(MhFcMM)}@vT4{d8%QFFvW1jA zv-#iON)9>BDetfA`d^pdb-lm$yw3CeKKFA!_xRl7dCo;;CE4X<3}gfZ1k2^+q*VwA zm`@WB&=ivr!C&~EMVx|v5n8Fp?j(qPv*s)Ohqu82`D2QT1l;hMlwdL8VuD4;5CRxa zNcHnGA$(>aAo>1x0)qX7jK4nX5U!sZ?`}ju{Bz7P_&4&A75Tqk|6UYKIQ7P0qRG+B zr-K(wex@mgCkS#j?*YmQmRiUiv?3tbvjhSf3DQJD{k{DrIhI zz$(PMnRhdr1Q{zUtC*$!F%cDMnV*lte~GghT3cI)@bTH%+40(K7Y{*2v{`Z4U zYolXRGnrZaTox>l5BY{~3-4yWU+;!T#gM;>s2Et8o7f<)S2r`Vmf#orK6vJzr)K-{ z)Lu&?1E3dqOk&H=M=<|3bG($fsfDG1l@&5x@aK5Uzs(%4Y-nz64!pKBIx25wZD0ux z|D0`-KfLqv-f zS*5AGniwTr!HPOfKuARVKl~teIjiF!?I zRGP0kZ*-IB?qxZgOd4$_(%#S{DOrl1?>alEljF@ZGczaJi>@>bHspF`@(j!3-k~gt zc`lm(olAcs$z0Oq*wUqw{KO^}=mcUKi#X0sE!=d?C0%GqsxKqsl}YBVeM?<$%r)}+ zj@qlB_wIG%D`%UZ%-SD9tGs$wQ}_bg>VAn2nv>XekJEEuoCAM7@%JCZE}bUokPSGy zX1?-+2eFq)*VpNseJhK{(D_osybDD*a8F?;#rz}%AHJe@fl%`0d|{t2&3LfIi!J!{ z$Ud&MOYk9Veq*xOh{%%Z_4-!+0}jfrRL&Y(@9XV`Qy1s$Hx92PB&oW*d6;y5+`)&? zta~8uE`IWPJ8+uuISpU&iVvLwnYMA19{#2)aWa6BUO6khJCh)G@4RNsTd|GVi;pF< zKuYGw2Hb|ZpEym>)Lq=EaZ1y?r`p5=QyJ~6WuKq(#D~xW>R|IDM=93*gQ*U|R3&#a zWap)0_$-R`VI(+c^&uvue=t=%Om%;kv)4Qo6pzObtAiPi>Jhz#$Jlu;{IC&Bwde9M z^ZZp5mxs=Z1fQwVWVda8Q)QVFy%X?gu-~?ZmojN=sX1O&R$?lB9?fIFE!^_bP-Ge>dX=2eQGq&P% zNoWpqtlw2Xjr}<3nB>Uyp%%Ck@Hh*O`rc_y;qQQY&XM32i=!Q&8u%N#9po0obWh!uB7`lmpp5 z_vH%nOhMzbVss*4r$jI9|GoAus1#PUGf_Q!^TEkAl@6mjZT6>sr16*Je$ zzPd7Z5%^(FxWu3qkX|^mid>o|p121kKpIU)FizZzE%I zl{zd!)(#rDVQmMEa+dSD8|CxFie-}pvS$p{u`BAD2w5Ol?!FD zc^UqN#2#~ z#;VJl{Aqf+^Vo3z*w|RDMBV^Kg9<6_;OJ2AKxb{H(-757T@zfZ`~}~-qLC8l!OqV* zb0ank(XFE-(b3gSFrNqsk(@eoTKAQNm#Iq9b=+zAL@Midn`BRnj%|(IGRpE;gNzdA z>rrqz*Y4U2HoVQ*;GVjHoh)paFCJV+ND@53arDPAicK54MqJ~1J8KP0ojqnc`(GUR z`9Ulwt79m(Q3msM7>ma6ICv9??Qu=I!T6?vG*P@?l&l@>XE{<(gK^jr*qs`CYFP9q ztFcXmxtcuM4^1-u|KRxB=`1Zc{ap3@AN2g99zsKNUH!!=Is7j-3X^-Ro8jIBap6Zh z|7R2YlE@XCf=`Ru+uxn%SzUab@@qKgS)}+c4$2b4sS*n2J71>p^w*OA(+^f~{>RF9 z;)z{nwu=9`iLA_1bTrGm7xOdkcu17bc)(ca^3q3l*Q`;A*e}bqsPmmbw%Q??t)5A* z75YMbPUh_wS3HI`3STF<%jzj-&E`VX%9SLvv`vrOI)@xwGRI^T_dG7sIrox+TZnH4 z28x#XZB(nK;<*sb|;a6z}`1hmeq#Wgh&#--tJ@5#FFWKl5Rjg#TQ z4~VHgnj}7;GkJu!fnb$DOSAa|zSga3T2F&vc}R(B_y)K9z{%a@SJrH|9O`M(t69k| zvq>{?(>TcuAKad4EqEqD>jT$uH+TN@1}Di$OD}6yIZaV-ESoiQu44PfjYywAdU$2m zNRrE&5+w{mXNB^pPI7_%ahhYZeuxM=+Ya#py(RI9ud z=Xn7d7LzuOZgU?t0kpwCyqO7c}WNWoT z+Cr=`a#C3QMT-uKz^Nf4`|%t?=>_A)E*Y3(Nk*#oi|)t>aZ!IQ74u3mr)Z z!Q=bfqbKp@b-B*ZN*c+4cGs83+88{64_>)JKM zy`jcP2gfuej~lJSAaqvo3LYT2>F7$fb=EKc1Gj)V|AoEaft|{Z{e!#vF6@A*mgPP8 zvygMT)?t!9$9oo5H3xI>`D$UW@Zr)NV-_TE4(8zV)q(`#!=*XK{6`YFUNbl)CFP!Z ztnxQy5!_*#4Ifl?I=ntC#^^wqgGg*&^|Kb2l<+q`(^y~n%mt5IoxdoerG*093%fmV z-?H}8t=e!x(%GfLD2$WpVgX;~=!c_TF;VF@i2)DaX(i0RZU??jFvv3j_x;=7T^dwE zUtU?=TV+*r&VbW5-&#c6rlmAe^T;lG4&}JJw-!%bfv>Cq+3M2wCo7iWL{vXrXX$M~ zGO3~}jClHZlsQ@BlGlPe7u$`yJo#v1Uc!J8C8HUryIewEQoOdrcY}koiElEPWG3#x z7rD?<=jroDs71!a#2jH~z+Dx_CPUX&KuEfD*HJuSRPa6$eGB21{7PYb89V*Oe;ibW zyAZCfdhaT;A2%4CKGRS7g5MGoTL@QNtmMO!U~VpN1uoH-SF^RZs4l`&Fn`-L<)u*R zxTY+y7|%%=R9J5-71WvK`6d}nA!9so+>fn&&kHuCVsG9sp1BX@1R~WirEA&R31LU| z{%EGD!3+mMf!TvH((&xH2@WITPd>#RE{P{wep^a9D=d;;c{!fN9F1$kuZBl6BsJfk#i8^uO z#Gd;q0SXW9De?y>guPoEC|Z91Gg)NY89U97KL{Bk_al-e~A z&`1%A%WJXl1Y;X!GvLLBL`2Dq?a$lsy(4pr|IsOaPwJ8;sTPG%+i_96~L&D;Mhc+Z8Gk!i2Oa0b+br%8#4XElq7pJHF_C=1#3g zDtg`f58;U_PNO&xSfTRnz~8Dw<5JSPH_w!D*BXE98QLD^%WXBCt^St4Q(RS5^$4vM zk~na*A16T&Cy~~5C~6K-TV1oWm<3nQJ+?AI`hX9eHSQ{Hi8;1AB|r++osN9CrZx&YDnEC6Nn9_ z;-I{E08qoo__FAknuqm$masyrY2}eWvL=2=uday*6TTK9SOcVdfGlbUz7Nb;h`?2F z0x}||r|@*DC=b%Sx>z5##|n7vz|7UFBS3RE<;%yj?AFY&$mSH+0Ye!_mt=kW%N6!X z2kY(?X~js0pl%T*)w+yaS-o|C^nsPlp`YjSxW(>7Yu!X&-`Ra1LXq`nU8T0uh_sAY z=smy}XU$Zt;8!F_FXbzLLkA4uvnE}}BB3>$$g&taU(u0N7n=EsD=I21S6Atca_ zR?5AeeOr#h@^g2au<&gnjJec#p&E9L#k&b#Yl3nk7Z4mAHx2rwnyBSVXP0$+2v_{ep2=Vd;^FtXLTyv_33YF&{*#X~m5;~fu(ATlsJuD~ zq6jr^-~6*X2ZjBlLhfZ`pEQ}`DBK+2Q zuc*{uzJ+l>@Dn|$E8DHWQrG)(5#3jq* z`V?XEkm~fCj0eImWaas=`}65CpJ&)|r;LCh4HT9cnnK&ZU)mihpVe7wEVBFr&H(T|Z?RfC zc|1mG_!lv=fAC&66;8K+nsZ+xi>Pu?r1?vWv%=6C#Mfh-`tZc;^ZhRG+aYogw9}`< zQ{FN3{QC^7$6&%OZh@Y^cJucS5djJBChr26_ANInfKZ|f=VuBH&dvTog>oji$kqXq z_AArgiv4LD9+)rItNb(eA#<{=PYyt@*Pu1N1{f_t1Iau0!N0ZG%7w7Ns3GfUoJUtD zMK_U+)$P#`Eqr&fW_q*LOW?aN`nA#4~wdbvY~<>km#IhPmpDH z2zA#S{E#b=*y|pr_w2l&pkTj6yi!`6PWtfYK=biuEaP2BJy0_#_MMPkONf*^v(@V@ z>+aZpdVZ051kTbMJz?88@hvIS#z|W`bj(wH&2|Tu zoJ%-S9(yRE#Y4D;l+w~&Hg^dn^V3SRv~%l{(rPPWl4=~=9_8A;``q=6QunF-NnkxT zm)Xxets>i`pW0tnSx~Fz746BM~tjI0z!K z;sdXuPnbAmg?kp%*Vo)>TOQZxIx({K*z0Z0x2$$@*sY;oky#6vx^Yq>~qI^%gaynfG(k@Eh4UI`3=}40t@Ahhb9YCEC z5)$g^^HOiMo_C;m#?YmWvD@m;QnT3=WSE$m#vCRXU^IWaGWwOy70)TP{M~QO_LuN| z=m!kiNe0t=FKU#?Jag<@k;}Iyd!0T}`)k`C6bj@yO2{rbY;0!6Yw7K;JRa$lCoM$Mk|X<%P_RZdQC4ZW^Yck;;wW~Z)fEdyCSFY#}y z#2u|OPK2WOVBro#uwdJ7E4g=8v{{f&l#5^6osf_qxb1Q~&=_n+EM)SbE4|a%{PXJo z&UVAXn}Q9wUX(r`#T9DbD5hFAy`tVLwuQs7+1T-b{b0R&ki+KyW{Rt=E)5>SW>v{s z>>@CQ0)3M%Z;Zq;R{34!BYQ0LOpOoLAQ|p&`LcLg0vSC_{UP4yUG%{x9VyLshI*Sj zK^tF~Z*G*893O0WkyMnh?BmD!4NYCDs)TiGnPROn~`Me_G z#2yAQeOpBJT>IY24%E6%Xyq=V6zhT)7HFT(IYUCuwfD{~-Fw*JE`t`UzQq!>cUra2 z;|iZ_CS#En#x=P}20)pG$Y0mR;es}~eANq+b(nKY?|Pitb_t8qij0q`QHwd>CnYAr#^2|(`5M{%)S)*u z_5K}9lO?zg>5Fk5?t9p#9G>z*b2Cmfu;K}%Q*LA{%3|OIbmR)i1wJ`m)M340t*$7s zRNTQQTw;==dF)=%h|4?XI@YR7DcbhXo!QZ_`LJDhr5ZF~4O2)&}5W4{*8@miurvb9hSKK#uBSy+6!mjFME@Cdf?$NCP7b;?QPWEwU zT4#p2bF1dY{n2^mqu{3}KGA31*lu;q?5bKl#w&8elUYr$h! z6^ReDM8(xSHrNwtK6s`7Y402IkoP{rqXi~Hj6DfyyK5<0Q+i%)T(X?Oua;AVdGle^ z;5jOtdh(dP5<`vm_xQ^&oGploP33m#?}2Oc=_sJck5~NUU{Yp_Nr@Ca9?GAZmgPtH5D)ja+Li8;)dr1OdqR|B2R(%)i0hl1f>94M z+HNXvJ#p-B$z?ue@~!P7nEFnak#-HAzV?TA}-)@8eq`}ZO6Y;2&GFS_Xc>wvAz zU@!``Ka-_(q_!3c*H*!!&cn8`&g<7$7w@RQ*KYM@`?^ei4T{=`r_j(|W$^Lb!_dbg zw$@egMydlLjpA!`?Jxy!HdapA+Mmb>u@A-)OeZkg5>N@GZ5bmS<=S@I_E)z1$Vr#= z73hxhKev+QE=ay5>FQ!1n&LV!F6B-!_WHO)@4KM!zJTL*HXOYbn<`C|oa@O{sa~KH zlL@y_b=8ws1vw4^Ujs(jruJZLNrme-P^)Prj z8vvdi6cs|4fJd1S4I6d*&8_V|=tKZA`JDdJz&EWVvubcer^W|QhN&XF0Y`PDqwF-{ zB53{dO}p~sp+$X8o^|c`x1<4R1e7xvZwlVwcI?fq0A1===NhCLlBbD3rgo^=iPMHnsPNiN9H)FFh#_tA;DMJwg%M=srk!ECCEO$-vHKE09<8GLX1v&>O=n0 zvxhrQb)~oOqxZNM{5oZH9;q~r+NVggnwPg%tou1Y=v%r&*W#QE2D|Eq1aDtQ3I=)X z#o42P62HVh!g^&w@G7wOFV-7DRDm|8TebC=LVZsvSzSg;WE2@Ai+vqB?6&P8J?99) zweKB4WYHt2cJ^r22kSVjvVEyqs4qTZJ278tZS3NX(_h9r} z?iwovIPm#bj0x6d>MSgq<_dy^i6w^e_bS~K_zK%$HC{I{m@D~R15}M66A^RZ(UIQI zg|}@HRWf(!4OB9xRb9Qbj#=1DYfJhibF1zb^tJX49zNf~?l`s-he-8qWG^61q7d&Z z9$j4Rhaj4~YGfl{`EBso?LUVU0X!C|VyfeR+0UnWD^$B?zy(+cD`8yKM!wKa&j7DqDWUf=Vu_B`Fvt`z4!uoP${aa;a zWqbJ2;{$cHt8WUM>ok@DuGMkwe?Nym!Kz(Rh<1q|9Kj69QG8@hy@9n?h%FGf_R&Ph zN6t^Yl{c*oF+$ewo#A2&+GTajAYvNS{l?B8cIl5wQEcZ>dH3ExufU+-y3P9oSSzo} zp#ap_LXiG76fN1==$0Jyc7V_4uJtw-P1Lzjvh_orq0dK_d38ive$!BMkR;@7cr+6m zDIbGjyS7n7NLaX8!&aAK^+z9q4r>u-fL}H@d1?xky(Gu&8#V7ju-BaLyH3}tP_Vks z5?eZFQnRKB4#K2<4zR^{l-}uJezU?i3n^(lc<^8}_k68WZy`vA@x<7Gmk|l4Qg5U0 z-p`I_{kl8}Is{LYoptwk_V`)rIeHz^qGMoj^arZ#D7_B2c31^f0^YLJ=2l<7tWM%f zu-m0IVq%;bkSGDmB2u1V5nshK4f$cx7;KN|pQaI%Q=nXSAi-F@-cjbts(l9>z`fG$ zzvI-Cf9{%i#L^9wx`R3NY*tX02P?JCslcY29f{hHzPxfU)!Ha_&xJ0Xc*D04-CGL2 zpaDEdZ}hD{&ea(LVGp%btgZo*85l+i#Y7H>wc%NUASPhwyPgI1PT2ZOv4*a*pzE3D zAlD3$MajA@Lrvb4cQjkT99>i3I$n6w<^`>WNzK#k8bP{W%HwLgb6H#=HrsD2>yy`Z z+qNPi^hPHn6qeS#k>oPKoB)F{${T<$*RBdsfFt`vlMMclJZ$5#I*MAJG4M~)hs+>6 zR(+swQSI9(|5-;_qU2{cAZ&A$C#(u70IhN9a${+X3xl{dl%T_dQMkt9+43QF>t&1) zCvg|9FvtL&poi%UBRktaGGV$@(!Q%GQa8^lPi@;PAqOg{!UKeRy=VNTfBo>wu-pz#Ttbho)i+cNzNb@({JJI=PMbdMhlh8J3-2|z$$|`s z4FvN;g*i)_+}Bvj{f*VuVI8KgvB}h9oF;JSOQbZ5E&ThU&3Bb4H?l%3pzl(!B9@6i zx%WgW_wgqmA>Y;Akn8WyBXKzEbY30=g*#jnBM7T}zWKcEk=>6K`n_5K&~NcgUa-7h zHlnw_+13D}=Ji^_;FTXFWo5WKGbhI76qCgU>)gmUp3>QBSR9-_P$SB(BuC)d8k59! zty;I^Q7$u}jo0Q+Kq9*YONIoi{hJZ(v3Rv1OxjorGeH|HLsC&$DeJ)?=-Br~Is7fp z0ikI!F0gHszw0^m-q-s4)yphFRciX* zmII|D-CnL@B?geX9TF7nNIp8=w7=k7JNqIt8{*}^YwkGZDLZBkbsM2&qwN8DD|t{f z#QIxFiSDkvxUq$iUivD*K1uRTA*+taRUJ9E`^tW*0?yCx!qwFxKT+M|mr-U{CwxT2 zeRo6BY3Uc2E?pu|W|bi+rP_CWTxm;frFnBrz=Km|8P2X_vX*bGinb4I&)j+~;LZJ@ zpL;__E^xptt&2tjLAt^1BsuIAVE=erYLNszvlAe>hHSXLDc6mM>SEketL8VtHTL&P zUqItDyJ|~I3!Bf&R6v#XUqak_;`VE3>74rCYxsD;HBW7bmw>D}{l(@~cDr=T>X!^Yjvwo(-*|YKY-VO*p=$#rcXK1b z#Q7NJlmPu;=~;#di7-#V8W`ot({^LHP1lk1^rW#Ws=^tBGnw=dK2T#B=J zy(M>z>u7iPAb=4nN(5PTAHFOt19As0Gf!pt=M}4|zXA%1I5p4#`Pw%xAhq)GeUt_z zi-Z`xQS=t`ny2lRNzJ$`d!gA5S)Ptt(&9Nt(igA&Vm#%niT9p!s(e^Su+7eJ?RdGu* zx_o07;?2*I=(vvc1AiWO-RR;WWfV3W{c_Js0up}8>O5l2%RLr{En^btg9N)_m&(&Y z8%0IMqC4V@YpO;M*Je03Tz+nK!Rw;5h+T&m*b1t7hO9rogwpm=M(;7}_j+Dw_4)Nh;%9C>U0=kgO0mxRyUG6k7Zd}r zamjD#D?0iMrB^9hg$Fl$qRTXoAg5rF7=0t!xyfe#Dp$y|BKOc0T<1wi3HdzO(^TLE z?m;WGR0f#V8)j0SDwvs8Y5SBQsYtGqu8;r=#`Cd8t5mtx0)VnU3v8gCV=#XeojyZUZ zZPmMpBUK*nt(=Y&uG89oz34=)8`JCX zNJyx>P3yA$?r&y`f#9^jc#nVPEl9P9+P1AXy8itbPVq6K`bYh6>jY9Rc7b@1#Eil9 zI#Y&oO4i^poeIz`r*mLS*D-J6;)eq(;F(5!5S#3(XKRKUZ9!7;&sWMeE;gLa)ngj` znF_$FPDExA){<;G)xQK*jEAdhw6{pM3{aaJn@^}6g4gosz(~+v^ego!E%2l-<$Zcc z-)Yd#M*Z4A|G7dfY_g?DqwJh^(c%FVCWB2$ph9EOo=|=VnznRZ$83(;dD3T6kR*fm z`1oP)*h|J}&-BiW5h2r$kaBYc*EM7X5wjy$mnPXBM3(bA&wuOt`i^}i{{6#07auAc z%q6awfRr7lSY)^Xjg(ZX?P?2kO_2f=r088ji7Jr#fj&Kp>*z@i6_q4eFXo{J#0ide zm{t1p)cU-)6|Kf9y1{aU?~^W{5taZf(BLB>%W`VtoB3U3{R+TRO}3-p9uUPhZ#G&M(xr6`+p zW1^x&Cq{dF+56j3eNg#m%zZW>vLs;i0&d7RLk?6pg9+>+Ju8o48p~pSqL3D8{g7uA zqMMv7gsBu9lOSWNoof9Na_eU7g`2X(E$Xu1Xjt?95r8VS&`04iHr&KU0{Ne|1L=-7 z3|FG%`rEsRIYu-4cpg9Ah3p2a?%|xXOW%dY#8Y33wrw$xe%dIklUpYV9DT852|pJ04p4Y=7=9xGF%vNM)d-TG+hi zR@!jelcMvvxk!?BR|_NHIgimd43K9Dl5l2wW{tVh8Qj1Sizzqd&5VxhGkLEx?YZFl z#2t`j&Qk$z<0;J@JklSlF)ov6UVEpOCxMACV60>hA8kq=lw(tDTFXYWyWjW38BeRC zVgd-o6&EyW5sOb(}o-nw*deSLI+ewAYwA_dH~s*OSz1je3@9v&dND8 zLAC1WhlhHK2O?c|H@~?JnPaK|KnnC1&zgxH(9~pP;ri3drqO+*>$7g(d6GCyN8+z! zUFF=fKjska!4ptW@R8eYEeXINbF4Wkl&s=JS@gv#=3S~)FFFNu_|pc7s=9r;-(MMn!NSdQ@rAY7=oD)kWn1IBQX=1Z(r3csaIY4|=LIr-`aFUbs0yCKz`5|xRj z1zrMWI#5(@RB@ma_u`1ahN7v~1`pe^dCFgfEy`P3T3V`Q{dQN1S?tP*BMrlQx~V>V zG_kFD>X34g=lu=9eohgC)eT2&86#q1Jg!7UMHTEyG^=KC@o!4)_ZalcBlu(Yp!O7& zPwV zZmCqX+KR+s?HfTo);*`TA8PV%$X*e!S#PJ93zC8x8r&w4lr3i;nFiIuy!&Q=t!^0W ze=tLkYD{eG8c(rbvD3?Vl%t#BB>(f7X73;xOkWp4&$25e>kF%iY zu=83w;P0aD00^9q0$y+Ew!F~S_R*>_&u1tg8k)3TZH#*jQZSys*QE!HdZX@gk~rBb zt9)3Db|NK8pMyvskvZB^Ah$U)u<#fdfSyq~!`$+V%Zf2&ahl*>S_$g2it{KKZRPVs zwWFVfPZ%4sT|~g5kDT+sm91~SfIDd{X^qQtfkfl3GlgGuAeG$xwSM0ze_riR-74(P zBj1oPwD-6vFm8ah0zns!KxI(>t`3+T9e+&$0Sz!gZq73A0wk@vjIdJw9XSBL|v;P{otYd|05 zK1hGaTUnOEOf_w7q!sQjut&)T5GawN>R1ecl1t0-WD=DyYwWLgXUQ1)9EjBBq4z}c z4!jk#0B$v)pwd8_vl0%KYqxR0CPW(d< zGI#8v*QQCau0t=O8+7V(M`dP zR3z zbbzWtko~8Nh_SBbb^3;&&gI?E_;=#@g_S@#xxWsi(Uql6LFKvIOCP-M_GO-~t3;Cy z%X=WLf)ILWrP-Q}M|{aveR&5su9T zkos|e50KYdjQB(`lAL?*<&HpLdqvu^TG?Af%zF&FTfHxr3g--PQbJQwWK`^>=a)Or z%f5y#FDM!fu&YK!M&=0f%7U_=Usnz}_>`vWnmzR39yF6J^jtXNk)+=A$U*ID7W6BK zf$l%NR~#Pd{E>V*TAkswkxeOPfPg9Ke54?TL48G1s4 zAVB1(?0VaJg!`a7dl+lumdISET8th076pHe0bOqZ&4I-`X3^`l(kyOnjGo)V=8X9O> z)-bEEKtpi1y%k%?W7|*yJ9`G^gAXCTLCV_1Hq%xlPvmQx&TS-%kHpwdnVwH+cYpgZ`{38Tu8xpI7OLNtBSg=uwGFD=T(5aHH$gosqy9Xj2t*Kv z6-HIKd{YKLT?W}%oymb!-f1>~wD>5WM*_=H5^#aE@;!h(Y91Yx<+#y$o^Q{ct;dz@ zyKY`?WT4lv*Ry{x3Z=bfwig0A_Vwo=QG|qH-Ps`EX<$Y_bsv-Q6zj>Wf96iF(+D9% zM`?s={gznFB>QZ^{7h+K zG4`zz(LDfjk%J>%ERrrSF42OiU+=QJn;mKTbEiBCwaK@;l%T4YhdhS-v&&N$>j{wy zXX4&8sCJD)H)0Qa%D3B4w1k|t1hig3wUyo^dgX2# z_u-0q!oH1hPpMemLG~1yc{Yk2_tG_X2~A8)>|#q}QnpWx!n8}XXvc3sRX7KD#*JSE z+NU+;dXTk2AApC>ND=w__wU6|eOm*Wfg4+naw*=lh8mSPG=KyOnUYO7K%3lg{(y-! zH4^FGGSKF}JKb(@eLv)tnu~&5^VYhnsS6H!CWDM0zim^7Ku@r3@B;{bj{d{l&>asp zd2fA+UN_RG2F+B^e7~kV z4W_HfgEoTA00S52Mr)^igC+w;G5ap)&4A7m^XIvcwO|($2@*0r1cn>|Ge{CW*Se+j zYaD=Rq|BUI%H|WH8g@Q+*n>w9?pZ6S@>7@CGhxNYTfk7F*LAxj>`{ic)E(~Qv@}k5@zkJ%0$`0Srk$!oULZXlf{Qp%SY}ZAFZ-y+-#x|6xJ51J36hdQj z>(j+`cP=bp_fg=of;4Cwv{E4Eyivu)4pM~mX}6wjBu3KYqkEPorrC8eN19IzxK7x) zX=rYSc)jv4VMmwxJAm${*^CE&+`@x3c$`M`ca4*$58pk!xN$E&HtPEr25P{BTUMV0 zKf|FNoJ~{(rl|__N9T2!S#tgP&6W^bzF1b%ego2!&ETC(-C8Qw`d*}7*8$bPZa-+0 zEr&(eYn{c(>lsEOf)>gU39{x5zb|6ezl2*Lj2=C0>P3LICP&oh#!=6Uj9Sn-35JW= zOEv?E9>A!U>zp!0puNWBD`%-{Lc9mS^9Sc&%#2~ zO%j?qrQWZV`2M~j_kL7=`z7&1jO-{@Bcd3MfZ^kkBL~+UZF+SL$(ZCaUV$<|{o?{& z%{1F~o}?afkqv>80d9Amf#tsoR=S}>AAkFjz^IwZPC8NXVz&(QBl78I<&7k|(? z@6KfV0Scwwt;X?Jv+nM?4EEVi6R{f35Ik6EV>^}w)fBqujP~u?yIqc%Ag*vn9lY{T zvPFGwktA|tgHmFUb@aGBbP#D&r`ohur`bV%F2}Vab7H)H-=O@u+ccN#q<(aE!f)KH zo?|^TzG~W}tokNDfBFGKZu!GdskX7P84_iH8V=O|de|%OlbKY+e}y_+UeNtl4jJdM z#KfVh!6Z@UVbsM)q~d)D4M#Yj2)Fg|7!)$gO9y4kSJM7*vVjAYl}WNUYpwjWJHoE5 z?SeAO>l<6xUHOoXBqS&syl0`F6H;}M#rX|AR<72CP!-Ig}To%@2Q^tEczB?E4Gu(CJeM29-4f7yjJQw)I0?Q zxGmj;z86U`1#-p)5|hRUqZG+w4K`qdz`rSIu?|FIN&ZhqWt+ahKHr%+{9-@;?6$)=i9M0iW16}Wu&ROwj*HVb~ z-ip=sDleQ0K>QNm7@UE#*j0qnXfj&(QK_AjWr>&QdUCJBkzpzreXeRL*`^QYCELkwLQbw z*5OOAp#nJEm-^4A1PI^V`ymv0OQG~}ulP4xgyk)0OM2MBs=ab9`ZiQ;lXn5&zrbmd z_(}>z*wM3X$w4fW{U&f(9mHz2HMhN6|8hdoQCBnr73Rb1_oAWQYxIk(q$4yhyxJ;$ z5WCK*@D@X90(z)SM+du2KZEB9+?TfUHzKDkahmvIm_9VwiafXzgzUq4o~gylxuLjx z^G&xdcg=?r%ewvTRToc8eLHiDUMWMvgbQ@MR&qVEjn258*lcZT72JVghxp;QOT({N z#gik#BzOIEZDzg*-^W>e#k5zWzX4E9a~`Yy@x$8)0jQ-!MkDSyLnA!sd}ZvCi58+U z4CM1P&26d7=ATGWuWU|nXlU+_s2&+CpjU&s{9V6FzqU111|5vV+EVozy3bo>wmM2@^JOvCX!cS?9Aw z#QAoD=q%zq7oC%qLCyoIU2ivL#1rJ#{+D}rjTQEg^VVJQ*;X@26$a(3 z7h!?xx=R}|%Y)N531jr{_KtNWg7`k&;K1{U0D3o)-YHQkb@@xR@bd+ZHd!-R;+ZNz ziGWrpY&JcCH}@o%46jfDYoAzZ z%ZH}~glYr8jGrL>@Rt{*qlH$&0u^sm%Q|&AJe<3r}nL zC1t;2sA{nj+%9(0-0;UyBIU>|IR1&W3Lb;I11z9<=f0Bgw95cyH4DG@pU5SmDkQ>v z6UVql!c?*Tx;yG7@y&1F344|;WSVI-eDR^i)SiB&?Q&V0e%!nnnX}l1$YmliC|wkn zsYf?1RpaC{SdDAkq(GtW5?|GH7Tx#yDxL=DHzBWc1q3jzOU^Sz`m9{~WhD$xf{E6= zReEObVZX-z_MvS*C_pvU>e=M$H}Vio#c1TjP~1rt%=*YQ+KR=%DFikj#~Z#1umQee z-<&h;EqId)d8AaZVKGW=_}-~17Xp5EgP1i&!sQQU``%kYX5n9SFb`i9r$rWcZcV5u zo?c`o+vTERffvO^F5)St!J8m8$XjsKhX(&$!{h+k8i|r)dlNPBl+yS};AqUN6G)Ze zuhw{p28^cM`e*n)eOMU$Z0F*<>6Pf;WFI>%6}u6)aMc!HaHkdzE2MRPDuj7W$scf+ zRS$9OZCRmMnubn8a%;dF%bgI2<~#?y9G0a)NmgH zKKyG;a&Yk+$2D$J+1D>YUy94nrpU9z zSk$7#OoZ4^yvz_RbO~`}RMbyClss6CdDQOLE~2SO z%%DN+PMS{0zE5h;aLO{ebgBO1k0vcbrq8Ct@ahIgQ&?UMtLGbvZx^;pW|;bFCQbbG zd9I$+DlvmCl|v(n&#Y4UC%S!^r^tCs1z~QqrxZWdSNt0L^8+iJGVRw^jDUNR_rLfy z?E{*PggXP;T_})tmtQ;aCm)o}z|#0vUd%%Z*7%TVz++LoW6AsGuwmPSLB5SMSc2a> zh)F{=!Jt|PWmeV(ajt9XV-!19&oNI6~HF#Rug7egjccadUs zU$;DN%subPSh>NQs7cRqIWwL@hL0eAAH(_dPoy7%Ke#DdfwU-a-~9(O zc^iVWqIPz=imkGXH-B(5;{w!Fm}uYrgPG*w5nL)Ek&ma&W1+o-4YPJ!^7!}&ZkK+O z+dKc*6UEynri?QoktzI9-*R9N9=S~7SG%*Uq8^J-1Ykx8Bh1e`1ApfMk>RBu^z9ufnes`4 z1jVdgm;ZrW$U|0E)Myz^r5##*_a>1bpQ-$8X;q(uT6B*K=;hnn+15*Em4xxKie5p(_cVax21Qaw9+#8?7`!tB>Dmp;>+yB9O@T_6i7L*pU-T3 zmsnd>kNv18F)4%#&HJZ^3(EVE-w1hsQtmuX+cL=#Y2rvW=RfW>{BuntB!Vus)7ZM$ z{$rIyBjwk9E1l;jNce~YTACcPmtPuu#qb$DzcDB^P{r^I67zctK9aEz?M(c+RK_a+BtrtEwV-Y zbnRBv-4$m-vtL#mK_9O4MwKcvUm`xM5=%89R&~RetNz@L!Qw#c;)r2v|G;Gm-RMV2L+5Bk4cbh&Q2@Z zek!hCGpO@W*bB+bSt7i{;inf@NjW&-*-G`hamW8J-Zx>Y2+jvO{{Y>F5HVO#Hg*4H z{}ujgg6PQ@rV6`$!|@-)ndiw2v&8*!v0izN4@8DBCrM2z6JyrvTdb7Tj>HWZkI)pK z7JaE!inAm8Hx-(ufe+;lTUuHcjBeE0H2eyWo{20rv`%KNZl6yQ#%rE$C~oave9orc zyhmHJlT~Z3_U3&{2a96vUXt1}_559`t|Ib%FG5z~ErLL`$=g%r|6p@TIe3Bz?Mk{g z{DVr>;YXl~mv=q=7v+ybs6`#24XXbj&dfSOxICHuvi-j(RmB4X<#WSu{}$4`p&$AM z7#Kdf?tdT_tVX~pk`Jc=eRNf2ST*0t{To6!hsI zC@~$mVOIKq=cn+tFMqvEbSN-TNkrns{K|@{Y!+~~9j*-Os7TnTWo=Mch8qb)?+-5T z9MBkvZpM%fL$%=Y*5#jDb7~vY5^HuO^J08A)5m}r4$|C3>waWvj5KFm5dEaFE?$X- z6IJbPAD`$wg5TF_g=>jK9vc_nu3YS27fd+NQYAKZtTvbg9Xm#8lbTpVm*}@)4hh&z z3f^KjYs%CiJ(MiTXzxy0w5>an|EoG5Wbr9SZ zKoj#Q$vx7+CGPv;t7bvIScqkyDn6aI*6`ggmf`q-xXL^sK7eP zE(Mdne6aNojsq&IAgZ;erUi4|6|`Ua9o>jhyM%{gW9(MM&RH5@S~)mWHg#EOPs7F}g`v;>Uvp^l?LyACMiRG0MRIt&GazgZFZinY<35Kk zo~I}7IM_W-RKdmihqmZP36y%oxW-}FxGXuMJ!w+sZ7b{5-%ed*l?{EeE_Cb{kjaUvOnn=+|@GAbE29D9I?d$%u*E->0sHg)0=%RWz;p67fI zP3-a(Euo;f=AKa*GGd#|>uBMAIa{NfPx0IiREC53qM^^X%{8N*#8q4sHdi8gvrozB z5bmq7el1)1TGj#eMXT{`HACE&g(AAXdaYDkdqhM;cX#)ZTX&b(**D&Nmb#XTa7czq zTG1dciR*(WYWS-;38LzI9LkuF850C7$zza0DS4P?U#nApW;A zSgQmp*yRz)P&5@c1r68FUTZB5N?WYp$3NTaW7u=3un_)T?d64splI)}HJ zlD_Yvjs61G&QpSo1njB%;8d2$ z+RlBikr{vG3~m6NWC!Aef1xH^SBchxn=y#X)kqquC29yBA>!P!rjzY z%6$2>70n*1mRa4&borXp@lSLhnH^4Q`1g>j&>h%gmv^Mv(IWR&rqfZS)yT>Reul{Snr3Z<&BJaJq`(;5}RNhbb_7xrj~bZX*IX@i>5kh zZ1jI=)uYHBRvH-}r?N*OeGAz(*)0$5a{btm;Dg9-4bW0k@ZP*#Yl3qc)NUlr;CKBH z-a>`qCQ`R?-&7X0#Lgt8KsZr4GDbGzy(2@+iidG+#6&0PEj-K241XGL)enb9R}rUoJepk^)on+x;z>^c^AOkfjTk&itt3yMuN}> zdP^G8)^AW&T_Lz!({i5~BWWp(wBj?0SGFrtP>PJf5A@h`)pU-N7*})&F0cG}V-rp= zHYrr3D=zFlyeZO{2HUSPW2|jbRzrD}bs2NPFDNJ~dU$!!OFwz?gqoUKFSYbVNu+Lu zQInu?LJyPr>oEgxqx`!&)^66y+Ro6?fJbiQ7k{%JBf(w4Cn@~Z^spdNKkRGdTenk! z5o!ZhoT8pwImt2f^2Y9A!H*hWj~kM-lW&u4KORhk|2tU~A@F6*z?zFY{RnqF_*uo>aHasU=W2CaSM@qfFf%p4PqhyH%>N@0# zuj7wqTWAd*i0VIDES}t2Xqoc1Decy7iJ*MppiM^)U38{ZWiXak&)U9QaJ$VEESqg9 z(*VLrQo}4JSqePTVv`cHfn4At(_@Pgx3v5*wpy-)z@R-Dg@JZ@G9!`X8#IqrgKMtN zdHDyKmdEs907owH;O`YnHx@gA0Tg$%C=YCPzgI9Ibx~|0&Z+lxLc97$4bydp$Jc;w zUZWDf7=MunHU*KWRLUB~dka|&(21#1bjN^-bh}2)B#X3$f+Xz;t>Z~?mWpMmH)$+9 zZc~wVc$uS=K%MD^xnsNn3D$(Mtv@p7?iGEI-P; zBV$XLubJsL_0*3M|Hs~Uhg046k3YG&Gwz06b{b@r3Q0Jnr7|)SLeY>}W_IT;R5D7D ztsztyK^=;7j_&*WT-Wcqon==TBoYje_ zHx28So5(VVM~X(fZRotiyDj>}@`4R}eD~e{Ly370*Nvh(yzi_&C7|duciiC4%yN4= zGl9k8a8nA#M8a;^=)?ewh_r8NlkV?t4l~g zgj3KNWZhSc3Li7f6<(Kg?Qo1_$~*cE*L;wFO3W61@QjX61k(#<&PZ?aTSFm!rUequZ6%&GRb=g2pQY zWMLFfq$9~kP>e&}Y*eAr_#}buPkeDNy(X zM_`vsQ>)uJpe4J>v%D?fphtYn%bc5nv_oOMO>E)!{r!pZ&5tvp_aSlD9XrpGY*c_o z7yym1rt3wvMO=|}l&pM6kyylz7IilVMg=B5Sv4?H#3b&K{Jdpkn-PtmYhZw%g@;#x z3o#YUWTkF>&z|7*?y6n0$L;>T?&Gm-0XmO`pBClZTt^`iCInCj4*j+SD71pLCNH#& zg5*;pQ0QK%ei=AoXHjb7VC8VXD_d8)m1UAMS)vDhmWjE=WMmE)g$hjai9(lY#n#Pv^4zk?)3i$5?d z*p#{8`9G~L%9J>>uDXq*ejujheg5{x_ASNS5r=!81inl1NdbOHX)Pr^R!O>%qhwkeKQ?*t zK>mb1oZ@W1bzY8vVSn3>IP+Gvwxp0H5XsV$+E2An)~9;Tv~JL08vWhVg&Rk%N`CQkz@g( zhnF?1V>W27$y3W2(BkzPv2^T6jma7}5xAQg$7GNuw55qnFv-jVKF$hcK8E{&f%V&_ zsF@j88uAi9(h;Q6q1^2B921{Q8ZjeJs4!1%!X^)`d}&E2XA`;%PUyS8%w=PMvjA&l zwDcR~)6-qA&vND`2pkz(wM@v+`K&q?@bk&jDoKuRfZiEMXQCym7sQbHdh zPug>G^L2deMC?sjnf%eC z^ge7fe~B?%)E}S;#I6`o69x5m?YP?QN}pGr_o3{AVfJkjC%O7fm8Ely!&|KN(y|;x z>R+WgUoqcd&$IJjo`d}oO-=MR-=jjRo;TCbD?u{ExK zT;;A@OUOANfHbGw1|c!hA##@- zAY!K>Jc2-^M#yV~QaA*g(mG-CRI4#ZBlGm9^*aw5DpZJTWImu>0;z5T*7ZGRwu&&^ z<~;C_0_cb6ZElGO>uTOZHMZp2IAXSqWFEVh<)C`+%*~da2UWw{*;F@PU?W)`piW%} zQkA)APkN9=iLrt`p{`Z8n|8C#*l~WZ5&ifeY)aCEi3-1|j%v5xYyGlg$+F@HA>=-P z{`#D|{A#6~;DZVVCHfH3ZJ#8d)TirnFWVv##FDaA@*LRIg6DHtEkS}0=C0wMv@(1;;p@%Q{qSZKG2a!O~Gw zcFZAt=fR;`mYGSft$cGMF0B~{x`{JVG+pNqZX&vH+sZlgl1%;8Hv7e>)@XozO|=AN z4aJjTTMnzKwyRubZKW1& zVLP0^qLnOt*%{?&Frbadp(rHiU#5>5hS>DC~q=7Ir(!!q;pE>re%UOhJR~WeZZ@CY}vGWzeeek zoqlIkn*VgBCM50*oPL>_>-n{dmoI$|!R`nnBM(BgZ zKJYU<$c_DeM&@F8_DTfpUK)WpGp)^R&{^k=2F6o1c3D5N858Px(yodLdJBPI?9zh4 zT&7?-4wlt?B6QZd48!FFN)_`u%?~kUO*P4E$}w-oj7uu|4`>e9^gl^a!GKk7aHJ9W zUlyr%LvbB}VFs<)yT2+r;s#P|eb-BViKY|ITpYK8YIb`wsY6A{GlW7V&IC$?Mo-gkAeoJtYSozWkz#~?^E%`lbXMqSIbwGmymamp zTY;ZjG^xP80;y?8X5YEHi)0h-xikV58_uWG20LpasxdicVmzg-#rl!p*tWsPnQ^b5 z3PsojKDu9N;h`&k(3o^mF#}Rrzv_?;$-ih?4{R-+aDB)?5U7RKFq*S!Bi2*EEKB1^ zjnknRMts>sbHlo$Dc zab%|Mqc8oJB)5z)WX&OW-poC2n6{F+pyNo1hcp&;JdRX6klDU{`~EL5?(H({{P7hE zyQ8p@WA`X*4*Sc+7Ix~u8f%Hmtax4*N<{zy?GRn{gzj`V2PS} z>7Vrzj#IFM?dm~MllnAR|NR29j`Yl?3RY1w9CKrHfG~sx!={)wI?lhWB;OK%el5~Y$fM|9MkQS4Uww^@7&gFRJ*-e z8=HRg!N#fu%hFBK2)6^pan zC@CeGr$bA~x-+sa8DFn<0%PcV6j(eyh$Lb|I(g8Di2c;CXw_> z$V4b-C{C8LNbJV_<65nU$5Jd+CeojM;(cVhHN}CEQT~sDod;KNiEVW@fB&C^&C~cp zC6U4EQM-;H>%BJ3+;!ZH#CPND;|96DVGr+BQfZme{wTOmo|iUEp1a^#Wa@;&oR)(; z<_W((j4Y1;HmoJ{+?OMRD`E!olnXj)?6Y37j;AZGJJ&5!kQk>Cp`Z4jj>yxWH6qMC z4BA9yR^eP%hu)q63GA4Bq?{18!M&X%NdNCKUmuv+xz64N{e5CxWOixj>xs=6Q?;2EktAA!iwD~4dFX2 zt7le3E#uHzDAVSt%a7jt(Kkmzh6sdHa)GjA+tqg-EZrHfg!n)2`mM*?H;oXw*F0+xrY2J-O-|iSU2hyE zk?9`T6PH=%Vsq`s z2AXcWvx>#)IN3GVfjyT9bqgVI^C>YCg3^JTX_hiMYU5W0`*mZfR&@!wqY@%>0%!Kk+m z67MC`4w1Qn_sh_p{jB>PLx30Oy>=%kz0#iLZ|RmxAW* zbc?Qc4_RZ!4qcjp-SH`%dw#Fa_z@7YUe?#wM|$4ckrO}^ zqYD9shN6%u=Mw2P5OetACn6(;AudaBd6c1gkk}W$Qw|BNx!4gnp+5$v$JRIpbN}FJ z1P=@@&ob*zRMG#1xxr0(WZhE3WvojR2S>Q5KQtnj0 zfl71_6b_XMDbXxNJ*Ws?gq3#EQ{#iGo(8*@cK8|4qqNBMELRwjUcmPckZlY`XoE|J z_-}C&K}z|B7!80*GetH2Sb{ONv=`tpoP;DXSUGFeY&aRV1V>^^D2ml zNQsI0s`TDmLZ0%+0Wx@>#k#qroFg6kq36&gzFUxG*m*p^O4@FwotHl5(+tLKeG3cX z#y{t+>c{3z!9x1RHgAHG~?se>u}EcR52mSMB-*;-xNl$&5-R zpR}GFsy8opcXw}qt;GAcBWu0;zjep;GwmBWZZ|nT7NeQEvN{{gSXczVqVeZSY7r_J zW6~RB-AHxS)y@C$R)K}I(>!wba({k8ls|qo=`;j^iWKtp_V&jS5g|71ey*I3mj~NR zbtVUVSF!K5@7M$|fI7ACuJoIq)!N0sRa`v%>?EVmF1J&}d&!`7+;U^T z`BI7?;egx7)Z(-!`p4AL#4Yk|Tk~pQ`SI)BeZO@6JwHr_z3&wBHKv>d$wUKb3+>2e z|D{;hr`Doz{kc=l%-TE6T8sGOvIYQ|<)x*9=9OlgTCnb1x?QKaL@U$ES-T!L((lyy zz||z9>v5aO$XcSPTRxqZv z7T|8)%^*i*c~ePlC|O39N8Xo%>+1+{a{R!aQ?maQLV*EJ&JZ*kHripa!P?M z7r`r^>>lU0cNqFqRiT=xU&t=>64MqkHW~r+G3ivA`|_1$s>zC=G`AW+h_vG)>v?>- z0J7(t>Ib^fv|vkcEGvmw(vSJ6j6OVTegN!p`rNs5wze4nqpzKB`PE{detrM49BbE~ z?G)nVysAHIuxtW1;(D$g2WL#X)bbK@^0)MC?B=ajr4scdUTXGsr#7I6x@(-ixao|) zSmpTn-H|O5Lvcsi>_l25f;_OKkD5WTlQD169xoqLTQlw<(MM^V2FI(oV+TBLdG!1n3kop3bD&XJ z!EwMlWHg@#NhTj$O0U2ybkUR`&^@*?Y$RFkpzRk`(BRWEpe8o*5rsw|3-t`APvZf|+x0#>*jsvlD*ON;U{X{Kpa%7zUZqC^f zpNI6;qc!XjR$-}Y7~=#!4`WQ3U{EB zH}G;^Kc~H2^GoL`U@HY!_`z6r(KWbamE_k7IkAQvX|MM0C4-C-)^X^G4x>Zr6v!qI zm9Yrvi7qe4FW=rrNQt~lH2FD-lfm^lpI#wQ(oXd0j+24n=8tKPq!2IVhVUfI#W?@6 zCB!G81w^d=VqPw2po6|bTDC(~YHsK1dE^5}fqJDfOgmLOYuL0G(Dw}$9rm>e&)>Xx z^Y+m}x5t=VTth!(CQF!;PUpFRrro-u!SU-3zynm7%ouNnwOThl(+dbq* ze!l##M)hG(yIORwmmtVd>S)-N<^(njN19pNVg1sRJ6eK+gI5Omm)xS;wUaZzNpk4D za)78Y^$LHuFv||#w}$P(j=4_Y96anA2F=o)J2lbY*3mm;8!|QCA@i!Y!Wswo2f^K4 zBHb-dvdo>s)~K~$pq22ZLy1awW!d*x06)|>u@5K0pSz1Sj>ZkfeIBoRq9&u(`*5vw z8l`E;U*F(hQ#IrK^;wYks<@GP*%+IX{idZa>Ua~(jLIEv+aiPQ_0@44d+AKGeuZO+ zVCppwOfw^0eZtw2L**GW<%dhMX;yP>y#u0s{iXCJ{P;xnbC(sY9R5zu>%h_g7Xl!E z(32w@5af61yPXk$*clJ+4dyuDpnTv&`=ybm8chn2fZATZoBo?g?ohSn`V|QmDj#og z=sL14>L91Xj(wsFu+VoZntIiky?>0Ks+f@+T@=e?<@oN#E6G!O1yHJ(%1k=2n^F0Nelp>hjB!OwtfT6|&gC&`6k z%gCH*EV`?E-B#3$0BM4akp-T-dqEnrz=>x74*QQejTaltN(2+nmHO|tF_V({y7@#? za*cLoi-*Jjo3^T|i0#)-#Y+Ap~ou}O)u9!+q`ytC_5NHIW{U%2`0>}Pt&1Y~| z^d%D1h>Fl($Q8wnEY5hzOZm%Re&T+-sjQfWva}rfvZCgmnsz!QX~U2BiZZo0LZu7V zX=>tS3yZo2I&Y=K)A-KesEFXYIGx;g@+K)8D15f)I2NuwpTw^_T5R0!WmQnK1Ty^o z`Xn_N!#{kpYYAge!gv)`Rfp1Dy21WjQHdx3xq`Hs7){@g`Fol9ww`@`mx_MVwRf2H z2X681aJfW~0v6#n^fiiTIu9N;(t(KL5=W!|SYG}9J)1?v9taP}3q>E2rOpCQF=UPP zl={Y7oTf{%nKSpjH&;gr={|k1glnK%DXjV%CwdagCsUejC&xNQdTPDL*|<0Hd{)Y{ z%v&MGcJcYLmO^)l-lWP+@`0CIZy($wcJZj_Ja8$5~`g3q5lj*ME z9CkhN4{`>tQPInbx83b)$n20#i8|jkXzZUMAXd=IDiz@fMG+jPX-ps%%sa|oyJ=lv+bC- zS_+RbZPiMW(KYuF#OklIxjW$`i zH>8?h7-+C=G)vxauODJPdsp-o>ee6e5h$L*dabndK}ydcw5fOt2SH5*%(^K1O6~JX zU)lohhV4uzD^rbiu_JS)8WrbzQ~Et%hcYCK&(1X{-7_-+?z_ZTh-JMzVMWOpZx?=$ zPAE6IE^dGGhk=;uGUsRsAo(p#*ob%oXt1P<%`XcdVi1d?ySsbvRbOpl$L5GYx|=4h z(WS50%#Tj~F@o8iZ9gJmslF@hc0ah}V%B~2a7)9Q*iz{@Fu9yptWe`Eo`_O#;G05^ zjT~K%9Y4RtL1E0NaktCbpeJjr)IBcHjEW!DJYhd$x^Ri2KQ!tTq~sD`j8;s|`#k7r zgg*W%N(|R~nVt1Y#0nm*-!X^8@!yywkt~Wk6M;ejr9$cnVIaiE#|D9`f=BjB(HfElH># z1P9iomt*_6qpGT^!2lM)Uaf+CM(Ep`6z zB;QLxpKS!tG5x1Q$j&KpVP|~8$t!l29lL@#-V)OLtvrfvIxI}HhpIgtzdlm5#(}iZ zb4;AjiPO%ug-Q=0hK7|QzR38;6houiL2kvVMNuW&vINFV8necVc|wc?3bUaqWSn05 zQ{m54Am7>D{qKv`QqvB^WoeQ!7Cf;`Q3~}@Jqy9TK zmq$fMM<4LyV1LaIIOPextP5eB0k-=_sk#GlRvW`_93QraU^6?Qimw->ZNszEGQsNQ z{vw9pN7z`E^}^rHnU(*>nYCp?v4EErNM9K@S{zUvQ_Nq%@Dps!aWu#C_h=W9&VdAglF z&+=H@OhO=13bfaKG^%(bC;G+JbnuRPR0d%2f-Ibdo53*6<>{6gS!sfliSNfrodD)X~;-!X@7S^ zOqhMssCv=r>cwWHm$d@V`bKl6+*PNdewX}Pa>sBKlbd27=)13 ztInRHckuT@(@~$<=J&W(_c9Mmq>&5gCbaDgwU*-MSfEK+pt$!YIRm>d%fXU_AhbMK zl88$1&oZ_adhw*7RrBw*jZ*t-2Z0sy5SI~jsNt871wfT$Jg;UjC>|1A`t7bH_FT6t zPWX$xub`$CSgO(^_2xI8jt_#n?=(^4#BTZi*o}QOd+5r=qMR)gc1~lDMbYy-GtC%?;Z=iU*7eyFA)Sn^kVHh!~8IenHZWuiRN{p!1OI@4K6@WK2HqyvPS98b@-hA=A>HUM8fKaV>ag zXvk(bwzZFjmCW18T^(~<9ige=gazAc|lU(?9cNyrI1n_*64nT1ZKLFkn14rPf%`+dE=1-%#lM7#jVf-|h% zV13ATti4Q0d_=a*4#IdkP7^~hZH$hG@)SBhH|N3sLw8AD$kjhrPzTk-YT+PH5_>Xz z+ju%C|E<>;Z~;lQNd6vWbT z@>JqA>(7+?3n}!{DPGCcI=KsPJ@H1MjC78|lgxqz&GG;I>yt(kQ7j>q*^p@jE4+biC#;P6Qq$5}DSaiJA6se0FBU&5FpM2pLJ4<3z7WB+8b zMLTQsk*5@&fH&>>1J?vsTBhbzff4rd@1&i4wf35U4Ej?P>0drL3D4 z4@Mp7C&y+q`N@vgq_;3$K}kXAq~lQ-zUv!(bM^IJH`(|$ONa9XGv5)2{zpQ@h7 zr1vUXNSutz9@Y>I5EO6*Epg2Z<8GM>tE*3(@S5=8lfq8OA3Mf=v)sDv^*wM@zJgBl zZ)3a}hK2Oe`M^sD5{KMydwWm$5$fMVd7z4=A}}B+ z9`1Kzal3>Fd5{a@PxQM}pe#8#Ir#ydb=pb@)~95&f6*u&DAf}T?^HUPQx4TtwdX^! zA)x;^vxc&ArHX|ea1h0-Vy9EWW9`yM+P(@%VHI`lpyjD_+b#%No_lluEfi=V*X{$` zNE7?5`i3xA8s=blaLtN_nFv0nWXEh%`0wSB^*@IZ=@jG=OQMt` zL=E2z3R|nz8i|%4%Scw^Lg>S|-=-pW>x@ulvf`T~Vdon)c+&p?v%~Ab+`kZ5iZ49n zS~e?`sn}@O{rKJj9~cA`hiBY2zDrZXskzAJ2MAIR9My-|3-q;>C7PS0CUgX3-lwZ) z_BNfQNW*>YGKc0oq|G}?TgLqd%a@Y>6h1Dao7o@Zk)n#50*MTEV3&^Wb19t{yX8E)3Wsr`v&?Y$W{tU z*tGi}|8;nvyk5T=!|V;d#O{dKPx1f!>(!-%6vaUu{bJrb*oSl4DIvw4G13f)xyH;* zNL=P1tua+tU4R7DK8I%f9pCDF+9KN;8+g)+T^* z1H~qm%-}SLKzqXjoJ zR|T+u;w2m;uV@(G<#Ch-OX8xR#C{v^kk(mOl}b~@+rADGe2OMRy{JnVD1KRPoA#oz>vtt4$T^(~NsNpswk~ zRR!oAsrL!%1J}iK=f~@e{Kt1tK=#PV=IwrF5y_0795iE^Yq|SlwX=79r4oB`JjNh1 zk$BbsT|~jqpXHbS!6h_-_MQ6Ma93PGX1aIgnIeR;*XYJaNT z&?m;!eI0KmAwyOq*8?e}k~7=V1*sZco2I@hOuc8gI5yBGH}aF6`rMI%<%y}QiJTaY z{ox-AzkQAnT^$2@=&eb1J|Pi1=lie>Z9nBxFtYAqf~B1tN6l*!&ej^GaQmF4arb*1D1$Yx;CfTB@}fC<!^ySY@^;e*LsR4rM%a*fwVLE?fH4_68B>v*UJr8_@DFa9QA>F(K zf@aLGja?sNXqbhNe5T`{Nng!2d5g~&$PlBtt1RDD8KH0_JBgO7LJFOVb(FA1K_}3v zU7exzK4P!CWM5rKaKqY z#;QT%`rHo0T)l~T&}WtQZKOX0%au*XbRye!C`{HHG4WLDk#dLSq9 zITU%Vlcive&>}0P{^&|p+smSj>e#y9WLY05eCE~pODgl6xqU?nP`okj@;$J7E`8L0!mCx5S7DH^Nvi)ZXH}_&(iU-iTQW16#DnL5jK$bFP zP5S%$KYoajaa}36Q-1Ofw_>p=b-sx6!eeDK5vGkr8`-Xc-W!AZG>tFjjNYbS5`Cri zz8G^HZFU9!zEtZolwM8vmD_Jh-S`3rRXbn%vC?8q;JwpCW@ul`NmtIq#-WVL;@dK@ ze}u|#lf?%;`7j{Y>P0zg>m(g{j&rxV)J3$Xh?_amW4BWmA#dx4NSOI>gVLxzE&j?U z%yM=MMc#~t6V`UEMYnG}-RHGZ@EJrVks^sBzCziA+C0Sk!iZd6KB&lHyLna` zqq;mz#`;&;kh2vtZM26P2#eMYyWZ6SRo>%Q6O9=~FXtHj$ejD(>-`=%%3#*dUNS3- z9V~u>((Zu2E3sL2385DBaSGd^Peb8b95$=egNJ(1ouvv=;m0%Ezq|zJ7YEf*VwStR zpC)>MQht0;EJ&uQTGLdr?XEJI+ks`b<(<#dg3Za)l!K%Rv%VC@YGrQ9>4D6`wGeFm zGbDr5_vklvuzB4D_Lr5tiO+4#=cxtw&i-g9lyo4?){Ln#4ZVoD@j^FeYO;SSNB`}^ z$HgAI2M$rB1?*7D5Qh{nIMJbC% zX@m&X|H=m_uFdG^FtQsz+`+OBRdfXwR$%gP*@Q0H95n{=QP(o&Kw^oF+cx1(twTuq`{t}4;J8+ z#cdsG<~7^S6hZM0#%LUZ*p*KU^jOG{Tnc1dJ_rneg<@ZKAtD?4LrUu~Lu;ZV6#{pD zdEm-nAZk#TbOFgzB_8nn9co!dk>-7ef%F+laD~tl=n|n!BcO)#V+>7YRfmQqbtc<% zR)TqTPWerUv{U|dTExZzMc&X8J|X3@4yh|%BW2o{buI`u)NKt_Av+Ae&dKPIYHa)# zXm8SWz6&o&?+6ZH{Rnxj*A5mT!6@FF6A<^`ZL}wGbBa-|S=&7|q{h?VFjhTYcYmUq zragqa#NsmhGS++U9)QRn7J{XTQjmd0So?mgdgj6Tj@&aHG*||OQY1STD9a?jBTLxc z17Ihk*jv?JuiC4hW;@*Dhv}5sh)yiq)eW(KX`!soFM$_D19Ty5CvBAG0oHJHo-1eL z#TD$kERY(Pj=E$+nYXHlK~q4iq`>F7{$#NeJpgO08;%DK&D+yGKqTHU5yb4w`@( z*&-mI6qJ@$!&CJ{0|SFQL7m6|7N8Te;*Gu=SdZ_RPZVLV5u z@Oq+v9zmO!cf`iUl{;AIkfA|z3_@j{I0QYt{kvJQqlHi6a=xiK4XMdeERD%$&X^X>sj_#Oy_JQf_PTvPK@f_>*MBL+V>+u{Vznp!e$XRgI=j?7wlwk4UAYJRjFmd`wg` z8MJSfv$Gu*N5-*0bSdO1iZ?PFtZcA|l4-4guczXgzd?}`v*^hO8%DrU7lYOwC=q&g zumLIvYA!kl^N5}Vx5IdSsPAu_x(-eqAt*rlIy^ubN`l-+K>ZFzg25s-I{NkWp(7)Z ziXV3tk!T2vnohQx+5*`g7>6`g;M&QZVuGeVkd`F4Gj!{cvHZ1%|A~&$8jVTad%HZNPzBY0LgRJ zkmHA{qWNRfK0?v6a$kwln6Hx`oJ$p1k5>x401)4M#co{>OKvit>{lg%eo1O$hLOW~ zfRSxexR>ONCCM~F4G+>Z60l;8w4;3@ljp|OCo(Lc-cTDdB<2vsDh-j&CExD<_mY@z z`pdso?2y3k4BQv{vquo8!Bo@?3=oLgnpAfRt0Sc=U=ay>7x%DQ!F&)z)WFflYr%AV z9AHuhbptsLN%QpvDHuh+2A!6{X-6U1YOTamhy5@7@i1aAc*u6<; zD^#3<37R$59w0sXVinZR%z%CAaGD3|H2O*{|PvXK5eMpZ3s`RRTA4G@YVHUq6>?Shbgf6R~b;vQ^( ztpiYxN?*);NFrh+d(m}$W@5ayE?U%Xh(S+5b2grP4M>)mhl_vlOw#?FWA+|JW^ zM62sE`nRVQL8v#?wJG=2li9JKV_U#omWJ*Q33V$HN{a3KhoM<~ z6v~QnA#~(`)1O0+tf2)JG=2MZ+9l;Ixi&HAAAn=_S3=<_OUUoSq`f*hFu#q0b+nMh(vq|{{ zd`DlkDe{p=#$cI*%#9B4K%P{|Yx6!qZSr0V0b7V(WdgpDf+|U9<Z= z8U#W{2PqVQF$@|#k8jUC2x+el<3r?IlswnW(8U>{CrfqBG+xyCW^<6e(U_=lEWz0> zXBm)UPjG)4FSodd>)1mbtK)bJCzvCdSE8VN^-9-ksj&O@A<&WHCX~S&#qjd*%R=Zw z?uUUdyCJfGRQW1ak3pzVmd{Zy@Ox3Hk3E#?>;#Dhk)Tu1EgciS)@t}AL`1-pGPBCDBVlnwuwI~XBO3bMeD(eQw71WH)yD5W432l=cJ zhvC|WOl#9enuc3c<#S?Uo|z}TLTU+uTJ9cqWSUMB#EjGHJ5L`Xbdc(D?%T0pl>-FM zH2o)N(~bVR*Ntd8;Rm)T_i;ai3SbB?K)uvAP`%iMvqv_lzw&-O;eI}jzBmc$qal)L zH(Yxj$uaiZcSs-}h;R@1enX|Ej^<6z(a>|kso4|y8zO)Ksr(SOEyVYh5H%a8_`OQc z<%Mhk6F)U~q8H*cigwm-AMV%R<$h(NcZa6>$9*1>!yljs_AJyw&zg?)AA4BEOjMF{ z_pfy)2ErK=dk)Vkh5?=ICu5QSEq0wv zWW%XfO;4@$!GbXb=}&90%}RU(GodFLTBUVd_TQgVV@p((&K%(*aG^wwq^~fE--o%N zH=e%>KZ2BW0t7+$n?fn-M!(7p;q=dP!xQ@iw!1?FjYoQ{7z94&f5}R zll+SBs8o4~+7wWF{A0$`8+avwb|5#)wPu-arfN8!H&Zsh$fJ3$qF#hl#;7Mg28R;{ z1{t$W+cgs`H;Ej(JywSe6Xg!yxxdf!@RP$^jEu$}^VC|kB9>)pZ^MXz z(rkk9Q{eU4oI&drP(t&%x4xrg4uwx&jryX*A9&u_b!%3Nv$u0;@GgQFcoZsv=}@bA z24cl5@ z+);98(#(m{O`+XAT|}nWoqabHHC>@izngFGEKF^vFX!Ioxod(y9$tRUy9R2t;uVZA z{)QE&XM4GNw=RFXmw+IM1R>eJOw-PdNF^l;|S zj*q^!pCcL-WJmg1Ju);5*0qV!Fst zG^Ozf6?Hz++amVj5jVpO8F)oJhb$LVfN}0}ow~s=Y4dFN!7k#%gYq{6qXgoF48Pm_ z=#`siYu#ix4!aQ;BhYC<7Ud`pMos@jhtp(-mUTliR6SR>LhQn<-S0dMXmx;g5D1^f zSRRR%tg`pkIP>=n@CpBpDd0;*|(ujEHsI3ftC3 z-^B%V+)?T!K^n|E?jl#Z0nNohJEP`ojC)5nQ;;1{olZ-r0S#qG{(3;X0Y)vztnK^m z00<6)kC6Tud;kz?4`f_Zsw1upC$wrl-{sAsg~*%uEf8FcvxtadW7$Ix5z3*rLMn}G6WV}hR@_TN z#%(*k z9Tv#CiL+__pdUdtr=%bS1%XbJV_;1~8K!2pw}Rcq)`0R|-k+4I5Z!2Hj@^0hSuPH+ z-~)9w3JJYM)8ZJD*>|C{Axca(o%d!%A(fm^x~2ivJBK{R|`E;!B4Y z;hyPRg&rki92IW>D-jq0sQ_tAx}a>xhcvfZX1IdWqv2Xz$G;U@?c;yQj-nmZNNsH) z7h_s3)wxvDNW#jH5ZJy0@*Xl`V`INZGTyKny*~?jPvey3_#6HDw6k=PAIiI|`s&BP z`fxd14~4N7ZlXX;(5`CXwk7%PiTJUU_;y|G=nnvMVNBhQ6y1^33(m!j`97uzwqpm~ z5dU&en#z_5>amfg8Qn6M_+Q_%*uTIMchw6DTuVu{gdRe};R5aY(qUE>IPtZR`KyIa z7w$h;w2y(y@GvD$_d947doA9){?1vb4zP$a&3hgRko;Q|0~rjL8ZTCGOn^8cNWjpP z6jATueYdT4$kt)?Q#2lu{`&6+;<7{^6%CMcprfBdonF^aeItc>)7^uzfwaPePRPJt z(JU3A2@?WA`-{M;I*4hnTC+7Yd(1k`9usgXagtp~2Zu3Qj&ba{h{IUz!a0bf*pJZM zQF5IQyN2tnH`+cU!bCA9OQ@|t?Wckg{bQKZ!uVU)&8iYib)y}jRWPV1us#FjbHaD2 zxB0!A^m&!7l319M8*XMSr7$g6?QI!q76ypwq(@HcOEV~tYz$|5bmQ{NaKyXjjR>2IIBT9IBiJ*j*W}l=7}tO%LVcQ0ivd^|VA-*$Ah( zvSli0x*bZeCjF*y<8&IM&?>lXCXUay2fW6^A1Dm*9>^l_XWt(h{Yc zh#z-qMS?6+hkq)x_%0#-!GnLi;l~GyHDLWpyg9B;z+?QkUifR%-T%pzkh`H=X+etS z8QpVULZAvybHuoLK1BY9uHT^X9~AgMbP=+PNTZn$N#KNb&zeVTkghfFd{+ zwF(R6b_ze@eL#_?K;H9THebRX>$Zt&nk=91KzL-x1YoLY2dU z={E(J0AfUuqO(ad@P_Bav5Dy%-c0b~&tl1F@Fsy5bc9T|&)b)y9T%c93vDz6d!lk0 zY*N+E;lFT)mQp0G2HIMWKHdE=e;CIKafVm46&s;f7MX;w>Ega?_?IkVci^9uHlx+=}{bLj40ywaQ(fmmV`0@Kgg)T<5eLz8mX-}+9H0z^uN zZMulN5K9Kx&jMDl8A=(|M*mb_kWBwwQbFOMR>dU5xAEV7?9W%4#@qkUHJ^Nc0{QbP z>Nn8fKl-^D26R%2?IVG0jHlHEMl$O#p7~MEl*rtod-K2H;)AsdO|XWd8o2sA*R}*Sda3^uO?~8}O>2`;QWcFSz^17entQC%?%2Fl<4Z{&%m6(uG&? zv_}fu{e2|NAtZuICv^3)W%bUy7naZo_q$rgYYn{WhBzP19Lw?_qh%p;3b4Vu5A`4G zb%*PI_pAiP<$SDcH~_EG^>|Gv3r;FFh=JpOtTi9@OGfYiSnD5?;=jaND(a!Jv9ZP! zwR`U|pRpxsvvU=KQq{BH=|j98f*?_Rjz`JJDmtV;i}rZWtqT z%f+2CtT+8I#&P|t-X+whUB8EtdwN`NPV(d*BX&_eahL%VI(KN_zpLdIXkmrK%SUSi<_kot(NcQPR zk<&ju{h|k3y#-$NW!I`F|AmkO?=jG_H?Cyhd1+B{{ikG-e*|8YRhDP)Pam`}G0X$! z|5$4tIOanfwUL}jP-6$|2t4-afb-ss?c0gh$a+i3MSHTH$U9*Cf_7KMWUdqG)56s3H zji9&;4OQNdJmovO1Nur~YRd6b2b75;znBr6m-@s+v+uGF{hlhU*Xbze0_71DX6qb% z^W(fWWR1+F>yPch8;Z}JD58gOdz0H^s$WDH=T@1?W3n#T#lB0yCP|hL&!kU3ay1Pd zV3Q}_1d383H@K6a*o`mB`M;AMir26Fr*H4wr2m5OICKkMmCyEH$ucr&+B z*MU_@bL`!JK`(O&!>j1cRhZ@k%m~Q7fApvSu@-SMBg_c@V=cUf`8`rZ{X47``kD;N z#*O)ln4+_|Dv4Wv!c5>mwB*JA#(0srst)Z*!NS7wXYVMLvuF4C&ujV?Fg+`BP>*41 z@`Qu|KJT~sx*xQA7RU0gh-M6N_ZZgZ?$ zwdHkRc-7?~*Q$j8`Y*rrE-xtbeW->xcwGSh|H?~0ZUlv{-EmrkbObMo4Ppn{NDT^| zR?+&q@%gXfXC8pim#HY5JZfxC{5L}9H$clCA%7qA+i)Qn^jzBTsuoL*w@*tg}JhX8Rtso>wmb8stbMs-lkc-(2V3jQ&Ykm z1^cRK)zc4QmPgFV^aL_eM#3D51zFb`9E4xy9460YJf)`uk;jbXbuJ6Zr6T&zIrEB)?(|mN{C)Gjp;a2p% z>#V`^e!K6A&>{qb(xuo2doONiWjW(b zk3+tQRyWy6SgSLxfl+8~TXNP2K{z77-(MaU>-NNGs{>-Lfk!35-2CWT(bnAvS1v`>T zAq5Smlfw)e4fH2!m|Kw|i2Bpoa@EToV#gzR0`xw_RnHez->?`o zzcb#UOq8;+_g{TU(&WQL=SL8{1Mdll&c0A#A)dOaqTdPAj?P|G^TfXSXV<(228{d% zv^t@_x@ia;in;Pv-~5!%@1Qkyh%G(wZxVt49b$JLdv%s* zBi6q}tQLZBKg0Ec1QCr~8GVAPsL7-1(uu!_5!hk?n!Yxd3z%wpmwA2m5hQ2i9Tw}j zu4dA3r7omZ3BF_Ale@qR4eA?AB|6O4>jX%f8d?;^MML!g23|38uM@?P8GNoVKc@g>Z8%gLoz+ zSvo{GS(-pbk)!aTzW$_+4))!HO%@Te6wJ_e0LV*h$A9*k$ikPOT&%U{7u<&vnMwHNV#@vq5zKp^qzV z>et=N>^QfB=x)));5EaPs5hXTUX5Q7@WhMhMR3xo0dl+MfW|-bd*6YwkdTWojw2)# z5K;>rp)P9b%RX;-p4d0%k^j$MMw`%3HuZ`6t^D~9zuXeFo8rvHSA!>?&Y#g{wJx3F z`z!#WEb!Aq6>T6(c~4A?_?Y|(WrQORSEms@CLuhb_aWNi{UvqcrP&qtKwZRMt6!Y? zNx}11N4Q}2)U95kb2!7~fO_iW>u0J530>kR7=BmdGjvP~@1tE!JPL&}UG4RvfmQx3 z$5L@N|9u5WsN%T1G~Og#1VLPlfWQ_9spAI;k|V*(!J|9(zy&3*8|o8%;s2xVJ-~8o z|M2lwuaHoPh=`0-L@1h%kjO})Xd%%~TG}2fE0s}b50n;ZPl`g)Anm2n9@@MAc^7)B zhj_og-|sm7-{U>LhogF)`?{~|bA8778K-*`9_UxAYc%d({%%2}P?QJAN*0YzjE9Wq ziPN%TxeI@>0l$07@Ntvx5r9lCS#JEH2~s++PZe`F{<@|#$gSAP$#x?iS$AFai4G>b zAsX<*Fxtp7Ib-~>TM`!#ns0Cp7XImsw$A!d>C0oXTl>QBp8wbr(qYn4zC=>@WFrV4t>z1}Gl27d(FW@L^n>i1!)CP5%FD#gE=>fbrq8pN(HL z?LHZLzk@B%Iot^}=F|J%*o2+J*dptyiSHkE{yAmD0IgJ<21R8#C%4(-1iX+C;Qc8IkE zWw+iR{qr#kkZ>VlJJ!hQ|5L2BiV=~)`K@8w$K6o(19(4|=7|4oKP6mAZsn_UuTk79 z2{+(ZJBuIHQzHl^Uz>VyTEkl9A^pm6QFQBOro0-iD5l&0W2e&{Zm9#!QzlWD))B(c zI*Du-TKMUcjJ$k)*!{3+4PU>0Eqcmt_6HxOe)k5C)_XT_gLg9ujD~k&*^Z;2E`590 z|L#xyP4NZk&uQkEB}z>)PFv)iG5jHlzZfGTzgpp4Kyr$!)LSS%o4$t({;SC2_5y_p z557{9Zy6c3n1l0**81n%fu<7IUOKMC{LcE5(&z}l+f2uRokD&=-37#(HI=K2`x4EF zL-?^&Q!)3*$jG?3IG6?PCJZZl^yraYYT;~s)#O%@(+*-3fFJqFck^XmFI&e8jCr2c zyOq0!Fy`KGVHShymzo2N`ALF~^muP$Cq;g6F)%;)4|ZO7C1Y^d)-OhH3U!>8F4MCX zYOs4(sK1_N{5$=t0~MN-oB(aqw-I+dqewz8a z!qVl9PA>k{pZ!!qq%NX3wfNJO@&B@saIytIWUTp>O&}|=r>Cc{RZHH-(fVLd?i8x$ zO!?vTtu*&B+IA63-cyRl-;o8k`H9J`yF?^Cs7gNBb*`F@4oEh1)z#IVe0~J*juuLd z|2n#Sq8J%wYV*U<5s3IjYtzr2_{~2^!KhXXRq*?Rei9kE;mBS0N93N6vX*t+&7k_K zKR!h&g^19HXO3UEE#V96ac5DCHpT7x?N?KM@2f<~V2;=G@h4TepC|mAE83jn-Lu~= zg5-<$lbrKud{R=0tHk>)4AG{hN`Lzd$`_3#K}qv$+9vMyDh2iVyz^jK|D_@VhJHNqbs;KbwDP{EUvk8h^X9Mr#&BnFRoWw|@|A5_=;`uP%G zB)1JZdA}Vw^!@o2j8osfm-&y^q)Gx8WCw4} zxbixIMv)#iDNlkP3c%n%38woxfvKEdu+ORmRy@u8>-rrHopehw-1=J|4-6>rOD+#w z#w#x|Nfd(`5^sTRf%o{UD{l%tdA7=R@yC6$>1`lJcw*Gv9cAUSsTj|l$GZ7OdXRFw zVeRKj5iJQjYJ*j$^tf))J06`1x{e5>xQ%pu|6?I>x;>(Rn zjIp(U5SH@#I&(a@?;e)h>gI<96xwgC_#7Z#`To9s=_O{PPwrQ`4?jOSCqr^94+A-b z?qZNj&j;f;SW|)lezE@hb?W(Xed9|+;VhyR$;j_Q62;|`k!0&#nUL!ndn-+vgNb7; z=5Ju2Ymi+MkP*zhs{}tnd)~M8n2ruc!XUewUD}}UiQjW??UHyA`IfFUD@%fXIpqUA zSSU)*)6xfPgNpLa(-(EBJRIOT)x%iA1$2PSz*R;pk z@~6335K|~iXW!Pbh)bW%^|rweycpRE`l%i91APTn1E(72%H?2?x}u_D=V_0^c1O%k z&yrOxYzPqJbmUPM0deNdBa2L{JZwe#&+agemR~HgbUyD1i5>REAlRKYJxcIVJ2tOM zl_t_kS*AIDfiKZt9rp_-1pU>Q85YN3j`Y)8LnRx$GbDp2jcZcb!e}gxNAuFNQ|c`U zU4dzB02tgjbzT6b$P5ItdKKfMklMiLx%?85qH( z34(}AA@6n9W5{sg3_Iy)&*evyRzi<&IItxVfHyTIvj z7UW8b1{vFz+=_1QiLY>w-{oxZ+5fPuaJ!t|g^MSy1y4S0)ta$`zu z@yFKcv?Kw4vzsfRJ+EtP3*(1a_scSX*ji6aOSrN!U0Pj@RjkwUfi zgt~>K^o%CHBl-%sZ%m@}cSxKQF;Zus7xcUl;O`~)Lm2`5sjJ_|SZlD7nB{ASvqBR@ zDq=DqFBnfTW>u9-Hc;XIYOb-Xlu<%Lp&~U^;v8w$#1BF(?|Ce$C0Vuk%=0Vq1udaA zn#ynQyX;c?)T zHNI<{)G6=V4d@D+~wisM!$YYIelm16!R~M45H2$DT{&82B4i~Sh(rwX?wl0 zq&dtkjKR;XTD=xu5~X+Jim%`bipkvnWV>aVYoJM)4L_kk!7*o~>B;E1&a$@?yj85A zGta&0)>BZagpt8@svN|;4b0Y#-1v@CxQBedIdhTJKX&@#Og6h&DT@hKF?H76bZfL|G$Z~#D5m)xhE{!L2h+NCYgNV$ zRzsQo09T(}!)!&}h|bRT@?Q;ilQj-dib|E3 z`=du+lSTXWm~BM9UA23|80=b^>XevAauf!)6766#w@aTzJAiyd!3m5I_JJAv}OcU=uN?fh(e?Mfcnpq7n?sl@%EOk zRZl)hAKz-n7*uB#_kQJfR5-I!=i%6_H)$G?y={`>zWNX0<}hr78+itlhAyCLD^=`x z)1JtD%oXfLr7bt(EPBLs+6pQNiu=@!+0G!0wO=LH-45o^vk7!7x77$n#(=cD2n4eQ zli-@Ay}CL(9$cq|_(5b_c#&x>*!5WA+%|>>D^$RLxE#|cEwb~zsFh`>QqGxxqPX{Dh~+2+v@H1)I4(Jh?vZ) z+xtXJvJT3!g4KhdhCiGco@lysLIL5Y&Wmqyi;9Z!3H4)=$;Kc0$8Tw|ZJ$(}Z!E+@jV_T9L ziuXTI&fT91M+Agc^CAU7`6o3yPSJ3nufw+B-M-qJ8#sE;yt}i?KFP+$#{NM9PhucE z0=Ik-bG_~P;%B(-{z3dkO@Nt}zzLYLL6Opo*-4YZ!Bv&CK=+20$O&mUJ;dfY@#_{v z=&7Lgbf!ggU2_8ly@LlQ_hesB$6}1?ZV$e7$)x4$_D6y+AI%5Wp2@Gnfe+s6Jsg#` zv(-z+LRxGhfRxJg=N=Nnd}CU%Nyb~ZZjF0^NRV?N)Un=jZ$`jB4Na+!P_-GE-&J=? zbV1yg4WBLs9S|^Z8Hi&e+lWE=zF(-?X+79!*2<5>4ERj;(*=6Tmzt`2 z`--3>y6y@eS&zQu-f#2+O-s#d^x#!(7r!TDawhB%lB@DMT<`}b6a1>)!4WA<+aH%U zhDsDCB_&DhAv-=lnR+e6nOAj}chY~oYF~(1MU{`3DSeg*|aM{wsVW`cuJpGT6%`kR$qM+-!0n>eW59)qIFMcxGJxDIzQa$~&%v~*>`ryJ=j z?ujBEuID>6HaW$(4_E1%5VPM>5}P&+SgMu!9UQ@QtDNh#aY>?pRc~KMv1oj7;R6_# zCWLOOv3K8|II}f8(b)d06$`Ka*Y^c$9^PqLAB>u5sMRRJuOP&l)5UlSe!=Y(dukds z{XtnIwY$TpChNX0I+Szd)g$eonY{4y3-G#FA-4U>*V$7%UQSxN-C8|6uBaK@wb$y5 z-`-k&cUp;3%vrq?6H0?${L?@f5coY;4cu_?yuY@7+!x=PDM@Jag6<}D$-^`eiTA)O zN>^4nqX=F#)EB`iXHw}B$sRI&fv?_J;U48IP^#hKU%9K1A9$M84Dnt4_!Hkbo50|$ zsnK7_{|*P zTv*{E1=pv@y<+Tt4+J_{zlJ-LTj6s2wlJN-2lBntngW~&51CzkAW&kx>`T3Q13})CD zL|D&c7r!WU1vG%lR();x;kvrU_VCc?w#-3HWMX2>boG4^K@10NY{Z*_KG<3V zWL)PTyP9(HcEZQWsX|#lXZKA9l;o=c+qdwPl zE(V}e2^Z-ABfl|XcvgZB(M6|xHc+~^QS*@Z%fqK!=KOa#WFkM17O4Hsg!?^s_nkB4 zSh{_zZb8I4>DjkaDpDLP1IuwU7eJfwSaqe`%naXJcA9J1wi~R1MtLFXuoNlvO1n(z z^FkvF38tumlr`!>ki@s31U^xJgk@JH_Fpi+|bkBQz6dtv(I6 z_$2TBH*-1L*X`)+PDB2CGp||1w8cBiWxr+%^>>z~3AOC@wgj0Gfw01xj^Tnoggl_q_#x5z z$%j|p9y339eQ7&s+e{hK=lzrQwiZau^m5-qJ7=7RAy zOXA$GmWau$_40H-$)v!>APh3{fqR=PV=GMl#U_&>Exv3lh;4^kDUoC#Yj`VF@pYhJ|ENhJ4$ocxg;7Uv3WVu$gJg`3vSEy&X@ zis`aNaGdinTiMD2%vsNlrbaDsxp%^cLBy)RSL-BwvDnO>C!c^BSYpI<%2y?ou{%F& zO*#U-02*KMge~6V_kGALkvj5vqvOc$A*s?-(?)0>dKeYlr9aQAqtIA$eLig0hfQTS zpey6Ny}g4?0s*lRAf#z1@-T$+zF}4#h$(M~i{)NdGj^(P{dO!NI5=3lNNvQv4?c-h zH1#4&!7<%a;0^_;eY4SRJ`5QnUtY7i_K}Y8tAaH zrPWNIUc6nvytApeufaIOY~`K@txmmR>5A zRy(nfZKRE%dIu!vWV#u2rP()(+SMqM>4XLlV?Sm{v6e^z59jvnM4-x1kq-7u#8D=| zmXGB)Z`K#WcT@b=b1@e+V1w`)y%Ui0mmL!YnADGBuSG)|hInauUVW#+Ci zHONwOG#aOwKbz!Ye%kb{Y)mCLmrDoOZq~_dp6%puvapGqf+o2f?7Nl@%yctYF;&>p zz>+PuEKe8~6NEkU{rD`2z-p1Oz{=up^F=hS&MC2k-v4)Bw>3+KA^~v_Y($>+AgG=` zpLZEc29=zt)P2%fuD8)U@0MN}-z2Rgf00ujwf!g9(?R5(^Hki1<@M68^yyh4%-nK} z`8#uZ-``)q^>Dq^ZBMWnDn=R1hua2)+Vh6pHU^<5fdpuoSHW?1k#ykRX)DV$iwnFr z`}YUrU%y@!^hIU%Ax*vuBb`!0Mw@rAeJZi6^00mqc<_N}bm(j-+717Z5xKk$=2*Sj zW_wLkeu(-3e*j}<(SPDR){$L{@yxP0fRSZ zJeus2HG^X3E?5|+v0?7cMpw`USHEmMQdF%HeB%b%hxyI`m3<}=vLH@qqxo_TsFCX1 zuO?OfN9Wjwj3MA?BBjsoR>fjM7B#+)ktfx2dQ(wR(NSz=rIiDCG6Nb{Zf)#>8Ur`O&xfCNB2D*^%QQLZ zL~bFV;KXcq@yXWvNQ;n1QCpTrsPB*kd1yesvE5+orKWt%VV_`B%|`h)_YEtb-y2!h zdTT`!!hmFH9WXL;OQ#pcw&&G8@e7RV`s(C$7}8zVl*nK!{v_*WR?1!;AH_DU>N1r% zv3_xd2gf>SLQGN?f(#6=9Y9jwL<2ZdKGxKbJ5|FX4RW}ZV5Ae_+nS`~qt6=m(E!t~>q;Hs95970Z1ALyhiwf^mCwc)={zLx;_>^N!Zr6RaDTUAzZ6Y}eOi2o3eV63}Q*7Mg%*5{cvue!Fs%`i2>$g^G3ek`R#1x-OF;42!ba%q3Dx4EV&%7oE3A&GEhs7PZ4>$L=q%p8;;7Iv)aM}VSr#n4A z>&A7h*!VS-zt|ZFc1J_u0s8VMMU9ZRF3=7yJf8SJ$kV1V@-%CC6B7BCJf~&c zLCzx&+E>kcLdZZvWB(e5*Dw~x?KKSLLpA2G4#0qj<4PsJvr0ah|FwP+#Swfzrt-Ta z)3$m7I1VyWTMsWp&4;F1nU{r^;AgMhP~5bdo*7J;0MS7M>=KvW`K|2Z1z(9y(h`|> zdkyt-d`t`Lm^CuJ-y&_PQU+&;>H0+-)6<`P_E#rv`=cvEWlh^an&7XG3A`GhJJ0&wg{$mk)xCyWNZs(G*(^ zSeTk?mIGzYM=gb_tmbShAZ(~vP;#0t-SH?pgK%jQA20Bo;PDMs@Rdm2lwv*s0N+*f zw8vawN?h;P8?>1TOeEI#9#O_2nDDm<`3bov`H#7`Yh^?==oB>1R;;jIYG#6SO$dtreQ4$FJsZ_C zy=9h}r>S)=eko(D$=>IX^N-?xJnqn$9094R4I?}wvNzqu^PdRVjk^}v{T@`M+0eFl z^n7*Fbv^TLRffxZ(6^(+CPQLQeOF6mav*$MK0;NJUMI;O+QZJrZSZkN18N4S-o)m^ z5CU@%Rx=2}5*ow@JJVXRg?kx3E0$kjzbWC?i*%j@!)3%5xmKNti#6IGg5!4vL0{}i zzgq5T2?D8lfJ__Yp0|T2kqF}8;yu)1sQ3^M!v+`b;u`|}&GVJ?$N#>k23_HypC`x&CE|iY;w4U+wS%tE;~+bQzZh@0Skga(-=5u|U#?q1AM z6s&ui7+LAMfd1=FVB~Z^x)wLNAK#$zQZ3xm^ULK0I#tsS$GTOgMg49}@OXVU!3ab$YA3 zJWpFq!>nkq-}Gr9I}pizT36v#zgp@ccr4+f+*+o_MSNOv-1jWP0S%W5il~$!gU|s_ zGx_|++RJG?P}uWh`OV)}!A>rQRmtJ^CeR~Y(WBQIl*fhyYz(w>5lR@!`id{o$%Oa$ z%ey-CE3y#SAqDr%NZ_OVQ@h7BU~lis3bI6$ZKmoUX91*K0aZ07QEjX9ckzhLoT{|X zi7%i=7%22tcrQ0?B9X*Zv6tz9H7*dXuS7kAyawrs9*XUl=gfH+<*`n;C`NKk8Mo(1@RZOzc5XCJbSo<(bLLE-xjHKc)Xkkmsc&zue0+*kWWsy_ z12xl_Kz78MS5`km8>!r~JAnjvq4Kh6!WQ(&9)GD}NT3_7-w>+rWBANAtL6g3Vi6&u zGVj%*&q`25e0=7A)&HBBIa0fBtQb*NNA6{wa(Bfce>r*knJ99jyh`ufO7>ZGe?)L~$v|2@FUF(=cs8o*m@g%Kj;;%qA*wd8>LK8;AOxob3!VQ7 z@q0_|&=X~Po)@+fm#{87NSWJ*$|hSAn_TLVGiw6P&e=Y7E(0Y}3JMF0JQ+56O*2_C z@5d~F@c5lTvA`X_G|c9PTfz+#VDtG$6~bQi;3oL^_*^OKY|qz?Z}}jIEFTGW#>mH? zRpi0dBD30naNr|_h@?@?ntVR$zgiW72<*eoJ(NfsIe}3Pv4b{W6v~>cIkSi&a_tql zGdeDBSd407=;^4K7@<%FpO<~n#eHjDfkeBN;Loo8yjr0fu9DcydeQ!GwkWyTX;Y9l zq_%M;a{IXXnS;-2NT zU%q^i3g|ia?~E&jN@Wc*1nU?zQ)I>#JwR2@^4M zsiZ)7QsU%wP*pZI^Vc2U_C(CMPC7J-sMdqX!r$IxJ}Co=USrQ)y(ZlIKt%L69K$9h zp5qLR8h=g=Ro%%Z3;MRoU713Z!Ypx-)L0a|)xHXuyoXPNW06QkFw#didxeZ~zQ>!h zKKz6tlq99Jv~!+;(LL=+?Yfy43L5cC?v!rzm()Y zVTyu9Tb9QoBh{-!Vob(gDat^-Ga=<&lJ^&&Nmf8tSon3EuW40^V+Wp+38(7dIl(e( z7QiL%--_%*DjMld?kn3*4f>}j8k2GoWbr>N$TT$`$^>ERop0?*v8F_U)qEbhv5`B~ zO&Nu*Fun-@CKuVZVF6_j#qx-R;sRuqj1dhG3i%Fs&8ua}wu=|PlfIa@5WOMd4n2#3 z>=I@g!7_KUtY(gA-$zlRw2f>4e=~t`_!XUav?SQu~nw8!Z!d1moM=P ziZjKdG~LSPBUKIyk*(5JZ+Jdlh_Y4lZMTb^(7;N_WagX$P}d5d1|VEGX55vg z?ARJvpHp9Y`-(F-^ory9P`@CG^6;Eq`RGVac2~VKTmEAZv7h`!ZO2H{&GO_0Jo5*t z8gPv8jB4OTJ`utv-qp>q9$kzQc4 z)Y1OlE|Unqy6{hc53gOjR+lWht~q42Xl-`T079gG6zi`+iJnY>QpD+FK2@=y&7DN? z!xK@Nbz8e1T8H;~Cx_3cGkg(*@Z4zDH9aeM_C!(}1=Ik{t)+|w>nhz*xKwiP-zOZ* zajDCv{aDcOCCJf%71ia}phs;6CY3-vVXspXn3Z%|YS;S->Zc~1;(pO5I?y6o+Os8* z-&+JVJeCqZ2(CQg18+@SVxDNSW*)vPSYTzq>JfYrMh0dNvt#%@ROi}~u zrqf5Gjp~nY`LAA!;WDY%^T!QC=su3T&*XaUbY#zqbiDNy}UZ52TAd9Vo)nXK;F6hZ)qvXM~bM1HeIUOH`&- zgc+RmsS<|1Lizivxlw=(b>IP>-Z(c%hu@rWJ|;0hPj11~z3x7+6eWDxiNn|Q z)+#9(nLE1*bemUAwK1Q24>}Cz;+R*8aAg3B&O3_5aM@avY@%4)DGyE?3w=R!$&gSE>qgvAA+@+i1Jq6 zSV7s@@()>?-W>QS>eh7(2J>R@hSj!3N6`euwjbFY8nu4j*m44?eCWIAt3xpH9KNdJQUfm}3f_OaJAb*4Uca?A$wUo^M z{r1@lLN-$@pLW)MS#5WW9Ja_+LX=ewJSi&b|h}6 znQO(^0QFaQZmJ~G4haH0(LYgGiI8(v_wH1cISNrb^)}9@tWR{Ud(jLTWUWO(nT}0R z4q~p+EbJDz;awrG{9&g&zk1ri%;r6LE!;!nnt!#1ANPuoC{$m(z7(oByqvLn^5Z93 zr^xjOEX)K~s#0z{<(K`*12O6%ajw+4=wog{b>n`Pe1yOJyBdY?F#rpcu4KmKkick*xS zgv%7{=t}<;KjrT)_Hp>Uw0Ovw^`Ul#!#{y22@|OkqS+D#BA`fHoZ6wKmrNu&-;2?x zjUvH3o%_CVv4uXX|6@G+eJ_02$)0eb1s>#uP;6uQAp)x3cx;yC?Z$ME3pM$^v$LtZ ztlep{)CDut2Io)qSmdX>5?(~TzWbqJW0Ssi;?Jw^@PGK~lQR2{NpC3Lwm7Donyln3 zeQFVR?xqvg%AoAm9wqhZB-Kzn zisFSR7D;lYXIiD=Sh@0q+3?>=(`1OP-`TlGM7`_Lj3Z+`PlTCwUKp9Vt=)%6D%|`) z0?Y7cr~JYBkVK{!)O=D$^5fV_6x1q&sO=ZgdOQ9+OmfT|I7Pa)NlC+3@D=T?c81#! zgx;7oYJ1q3VH=j#bJ0ZFLU3Vc``hf>6f+P|2lOes_EPte@VE~g>Rq25^)o;3$W?gl4di0E1K zj#2n3!)NxVZ%W$A2&1{g*>mBzpCxM$MsxKVDm8=SewN||XjcNbe#v_O560Pr&cYiW zGS~T~clSrnWjYU|dFk}7c1oz6VnG!0Q7(a)e&Ln}zt#eOa*%e}1RC1*EoUM1r%;@Y z-BviT`d8Hd$7x9-gpj5^R?($+7mBA)ESmIzK$hn}9Dk7GZ`W?ZgF`G@Y(IS@c0%nl zp>uJFpD(T$bw9^l>BxJ+bc>|EMEkSSAKd&bW<0R_WCP^`NsH+G<-;3%k3mTXyj@}>@BHi3e!Ounex<=S`8k6&wEci(=2jq!olJXJL6UfPp7!bEVl-2@JB;5Ege))ARx>q z`)bf4-HEc}NTd3^8;N;g+Ggk&>unJo(1$@_t5Sx915vT>Rl(>80S&(so0Lugj%^hJ%@re(;cjdx_`9KgdBZ&J}9nRgUHSStmjB{`No|n`-w;b z4cHEJ+TM`$dWoV75!AX7Da}cm=^squHs)NAXK^KEy83xn%^wUYk!b|d((h)^ zI-V>NY3Os`)6hSJLu)IWx)lc^uW-HIHB>aWgg0q*@k(z0n*7p>n)h{=y3O1<{8ti# ze$t!LC?dOtw(xG$*Cl_@!dcj`Y`jLhmH*X1>Eiw+F~O4Ych&`CjL8RAVWPrze$dJJ z?~lbD4pftyHSt!v0x+ayLWyUF5~y7(N;+)J1!wjQdx{kImr@S9xTT!aoSySjNX;)4 zA}Mv^)WB||)J%gBotaa`O2Xs&w1bplGyQaBpLG!v8+vN;kGY~d#|>3FsG#yYzV~ml zXA*ayZ?6jIydC#EwjpM4xuW7g$>Kq~^fZPv5Dno#gwibIk-LsUf$;Oi@rLDS_Zp~B z?td6%Jbx?*^NURy(ley3aBHGHT>WEyu|q%il_W+hQ@pq8r6ffzf*iv{Oag(x*3{?_ za~e>l`z-nhsuaHr^c4^E0aFx0%^8YJ-{OMKTQK{b5z#I(vZ8(ZPIpwz$ba0qkwx0* z%(#a;@eJ1>8j(MrvT%sRO2>rCfs^BD6^$m5V_Rg41GmJj;u@hMnz^KqL8`U^MfZ<=iew{JF&9Ym)@`H;CZ3HoNiNDx<{il+fH+zvj=s&TnICr)^Nm*j22?{5tSfhJ4W0>%r7Zz1Zrw_cw1_O@c~Bx)vN zge^dw1FYvzox}HEkf+h??6KYnHJWHomSUvcoO*^XaTdqWYprOqcNjZxkPjNR)i0NHcm>Pypb+!8_RN_<3~!k?o0U;%nRs;G z@a~Pboe{`4uC$Mo<+n^eH4OH*S1r{XSEsw5gCn=bJu#TF z&VJT%f{;!vj;N^e-)+>Y@x(>xT+d3;uAMa+sy0Z03Y%8r|80z@WYj6%bL^Q(Jc3St zD`b3l*!Ye8BjP9I%WSCe*t%)^<{$0^zBipjOIUKXld_722Y2q=x#8BczUCC39c8QK z!-R0VJne7PuThNJRyOtYj*V1m?|!$mWruL0uNK9QEDs#5A+R*kF+gI9IhCh6C}d`X z8W{i>Yk^5ZceH@J2n+a))-an@R#`48^E_FDwq3=N;A{&TB@2V9F-xkvZ4jt!{7= z$mPUccYf}$YCLgJ+XR@9-}(6+I*PxXzW-=fGhq#FPlloJYDBhh|8;ORNsbp`7hvc|JFPOq`TQ6By8c|qY63s-BzSfUw z4#@CoBKwYW6-K&5uGensjH}x@Yl#B13`tD!yYlw}=MI6R6EaveuE5a{@x?iYw9gb* zTH*!?^_8BHsNC3)7vSHA5oJ4g2(?etTA}+Ig^o9s&}?e*e{<8G5SwOtrkx^( zMM{{6s=y$%Bn&s>=Hamw&&(%^anU=mf~Fhu`Z2%EvoKu;6+M5Q{v~B1 z?YlSc>UVgB;v$Zg1tx0iYo2&St7~TkS_O&JzjxI91*oW1m^U}ppxl0kc=W*#_yxEZ z#7GX#q-ZM90F}%4(@c0eQoIJv=!X~hYKk()D>{?N3Q6lq& zG8oi4;2x*M^XX(ErhV1FUFo*_p%Ds8F%fKamd(b`LCVD_jmNqleL;!3B1&EmUL3H0 z$Ab2!!yN6g$V7cQ2d6|A&HTPP?KXMkPJNu>EB3IBO4`^l(6|T~9>I``oSn%xS&4b7 z4D!K~sjPqG8?ouqUTZNrMRX?rVyAu@0tvbeoj}8PZ=~E3pxl^JHh)h!yhS;vs138U z2lC_lLHd@Ax0J?7O@c-qDD@3#o6C1x6ez!Vv@8#) z+JdQ2wetI(Q*obuu5;)gh8$AT(#;rFf+l1C8QE($j(ELtv6A!jYtZkyCp84~ik^QE z(1eonm3Xoa)u-@FHf9y(Z7RJu`?&p$LPSeMJ$Qy>>D@APxQ~>*ahHt8-dY7Tg*Q!+ z61Jv#Yu%d&qaU;WxeCR(&EiJ$oONlU^^OTAKRBDzJxdNe63WS<5Np~7kYfN1uAy*LXjc+t7kT=~ zi|jiR=bM-{YQqQRifuU~7(eN!lx~o6fgR8&tP3e3egu@NKDUiD8!X8c!Ty- zU-OwobGsH!TUH_~?_OP$}39aEFDfk~IkvgjF%B7=LHF#E_s1@Y#v7{kw{*sG|dPuZ*cV24=!eS{Yz5| zi7^5;^Vc4If&Mj>mvzyhY5YB*H+J6~0zjOcR~~*ew53)Pd*kdyPaXRu3BZq=%QgUO z|6yQ#@Ydnw48(tjc1+VL4Cv3JlVHAw0wtn2yGf@Mox()P=3@r6qca{z^K@jz#pEmX5>4ik=$qpVK!D zzL$BGPN|$p%c$us%i=fI2cC|rs-!q*9$pIG-$NNs{CM+`%Inq&?CLobEU#FtIaIFm zUB2Cy(6u%c_CN{uezUbwP*QT;qf~mg1Px41dgWhUU&ox~+ux+8%w=Xq5p*11Rydc_ z;o)39^@oj80A!ju9Ba}o^8Yq8m^h_8{sqdI_)+SNX>$5b^1|>>i2x3r`P%v?y3fH9 zxS*k^T8~oLMm>GhJs#4bvxo+z(G4%Q=@^pR^AytOXuw}Bg{0>>@i10fwCp=5B3X{br0#@AEq*8Xq>e^6{f}T5uzNg z_xpHaVk9XFWeL>*Lt2P&Z|#s&XwEQXm!o>0sX|jL5eDHdwm(cdcWt7;; zogeR2*sKZ2h4G`z@E_J3`i_g%wpXvCn)|xMR$`GMwrM%VIAtkO0_pXL`y8;iVsYR9 z({$hP%KuDse=4{i|2V;pOTf1)iNYL>KH~pVzhb(9*oIbLhHuwMwe%lX{@Z2Q*^t!v zF5DO&ZXEOQ%}kw$(Tg$mbjyWFckkSh5w0)B1b5tWfBni2a4u?4*a>J!QnGKkdDclP z{p`P8SQERIQQeXsyZ_rir9206{j#+~^;eh;An7sF!5sb$F_Gs}3eZcIh?j-;y)35Hza8a2Kx*_?2nsYKHr7GwHc*Hg9nqGcWJ{@2OnRMJLD2sQr9A`TT5- zH4&`&Yk&UaPyYcgvns<&B`chmxre5+P=~DWtzXa~^%1kWH)2d+`W1nTrUgXec7rDc zto396sH>Nu?I$|9A@;MJVkppB)MudrOC#|=`beRNjS*A8!AwI*)`8qm^^XXGH>2oz z-*%1Sd7_rRM3&0svA@3-O7Spe6FaMwy`q@gaV@51RFMehWAfdhJ8|JFcK@|Mzk$RF*>Yxf!$*t2%4 zXO%-rZoa=ftkt#n2i0AfAr@DUh8u75UAuP0Az_NKbq|+r)g?^$( zQHS|7oOK;q7C7wwj?UcQ7dn)dBlS)FQM<@cYTVz1blacSSyp!Sj~sSD=_|>qzf~1@N4he8XN9?S~h-_P`Lt9Q_iGk6FX)H0QzU3)lg0n(_?!{twhl7ee5&Mc3n z#So|bcC<0ib9w6T?~fzPxbnAH3LP<@h-|%P>FGX&|{M_O-;J zyWeOYM@@chcW)l+G?$l)3{GC*@VUxRBsT5nMB43Vt6sHF;`J(hxw7@`t8K~~R*0OP ze^+wt@!S8>t;=}BCcyH=X5O6X4@h>KW=GDOO-kP~CCo7FfVIGOV_Cz4^`RX~j>SSR z8fp|ZEs7iCp9JWbiVUQIU#p7UBhXLP@AVE@c?==zOCJfOk9plur#pd0b?UqqiYFVQ z{`&PV&^Rg@z-XZU2{#Ti@AE=j6_2Uz5Db2k)F|5t~sLB$};R7J`Etr7V~_{?LZF{0J2^b%m~*t zEax$K3oJC57`emV#`7d2Kgc9ia}mnNG9D6C38)aV5#g;cbg_I~kf2RF^^Q^CuG;Vy z>;XffnjU$sV}Z*K_kVu)roWO`JG&QRVxgQPhy9M(c`8zQi*XY&^#qq)hP2Iv3)(vgUND3#aGYZoEOM((#0V0CUqaBJD*f`Q*!Iw%!kDtl zX6Dpv>Q7M``w!9*nn|abXNB+$Tifw374g>TVJ}W0M}qMSs)>Q|{cS;*PExneviUaV zTE4oxPrIj-nD&8z0Nwu2KOhc?<}2>SbQ?qLtkdF-@#%U;9xm8C7{wP~ZeEtkBB6YZ2H zi`~i<)KYY50V1JMQ3PmYcI?=(X6@Ue3!W6ejqcP^r+Mh&m)X6#UZ{4cf#MZgNuT(% zRX*ePPk1jq`j0W^PTygV?*-k%KF`zYeF9&o9L*HQCjI@VhW*Tc$a*x27V(Uyi;)2h zePG{*LHsvrpM5AJ^e|>f#zaKOowBcqoX`_+`0C@zWm0*OoB_qoAD$=;9y^JXrbyTO z)*XTI1er9AhJGEB$M}4Q6iXYFo;PytSQTd5G}!2xtK=-&Cs6H8@b_$aq-(wUy$01+ z{59lbum-zTIg?}bQ-1x4@50?h?~J@me0NrmNJnJa!2{1P81y)V$5aqSlaEE(d{Jb{ zMt_8&27%m33u@R&+h_262|9df++~tvp%ILi>;8E==J%_5XZceAibOV#z^+hh-38~a z>p{@mNPwx05oI^Q=~s!dAW7!e&(8gMA2A(Z>OzcOsQf0HwcT?y$4`jomm8zD$345T zLq7k#IK{hsnpxFmn)ukUX>VMJrFCf(wQY2ln;^=(n$Of`*#71ma`$UwW+^nbu;@dg zdr)O<&LgJ!5fp^GjW)G?I#AsDh(8u2T^67=XA+mjm)B^%+~m-tp}Ue=az$g@ z+woI1o#4j7CzWdaq)lVvCujB_msaolYNXV*mC9?ssDlF_5PkpD&+lO5*iNkbYf*T& zm7^6H2#C>es$v5~Hmb#`7(gOBLBmO;p)ijsrsiOfDN2l$&-A9HD76P;)_Ggd+1Ff; z6to-izcv^*unIq$NY4ClP`%IL^yRicw;-8wU~voUWPT#TQqClGt98C1me&Q-S*W>O zM{l2VyRNhJ8>Jx{*f;bp9nA5auk(xA!}w!Lr}uO;Dk~~le7mmfyv;$s!)crGwYpvI zA^Hc8#4EaR^-$LBP}wocum3oOd$;~=v$3{eyq~@}OcR@bU<*PYl!lN(=!bINLC&D(>~3MdO;6 zpU)rq2T5(hzY$xn9~m*TZ`9vVZr<>(_~?*%6?W@C?iKcl`z#xU~smomQ*$+C{c^c z{(6T$F;}(q^mY5mQQTqj+==1?;>QPli||YAC#X6XOPOJZ-~200jL98ZEO`duTzGtq z!wls}%$p);7BRU+&AEtPsp&ky>@v{bhv^Ru5oSlsVot^fWT|_FOxz_X`>@UFv%1Wm zx2JOK0TWQ>tZbeik0vG1JnVbzo!PyoQ;pMw%7&6jP$Dc-GI@uOl$WWhrson{Phe0` zu~EJ@zH+m!F5d zz#rR2WupdvB_W3WU3Of0xOs#;KzFB|`Sz~b>{Y{Y7=@Dxy(aMQ@ZL_jF`L-j743Z> z$LR4|+*gIN%)X+IxDJ5HH#JQ#4I4k(5d@8ba80jcqE*aQ%L~lvFU!A+LjhUl&+&DR zU0AN?m(M?E1(5Q7h(nLTld*hLs0&~u#6c{BqE@hZP#nZN`al-e4|7*bcZloznh z??&*Eg}_0ID&U_7M4AHQJ>5f%qT$43szFK`6l=Yb z2b_@{Eo<-{I7{F6nJ07VTe`uRmp}4f-=l+f){guFwQYpimu(b?gIRP#wcvaMZ`vO> z`j>*@z8RX->3QgI`vUpJ_dTC(uE4#6%1t1h$DThpsu0{M{0Mwn_NP~RT9 z9WekFY8w?rIXQ&M^zDtsR+v;-4+~JBtuI=1GG3p#`YN^8K-LE(P)9Zf$HbUpNbWR& z%u#%w!rcx1?Oa41LF%-Cef zZo7~w0P<4?h=1hGL@>>IDtcJBrm#un@l196tb30AI32Zfn?>m9SO3b0{5(wZq(MFH zXTkMurJMN~ZS8;uuaG@6w!IzjPH>>Nr32fJV9*(3@XBKUa@j0<|v=x zeSR>?AWcHdae~ov?if==p$LeDk~HB{hl^{o4=>M!a@ztaxbn(%*Qs2ebF`dUH$JF|{@y6x64yA3DZ0UE*0N zvZ-&oP~TqV=YnO-9Q6u!KkN3clo|?J*hD8Z@;9f*?4Z6_ihZJfHHk>{uf;kl&zPnb zC2`|#ly=-W@w*nu+O>M ze8=@j($BvTqc_VrPelxViY)bid}7{I>;F6sMj9FGL=>)Si9%(Z~)$w-d-r)lrzG_r-$j@!JyYK>Ybz8{{<|RaO4H;gdr4fYSU(@f7TM0Yr z&8Kj}JV@=mPEEpoK9Jf!Nb&?nV-gWb5KNp}01@o;(J>+*UgYPEi(3}>O4^>1I@FbUdT^Ne~_+QokMGl0G zlYGhN$^L2o<-Yyp-jN@`cx6v)HK3;OM(!bL9vM|^mkz(-q&U8Eu95}T=FhX{|K(cA zv;cReY5c*6xfkX%Xf(FjZ`zV!G7N%}#U}oL?7eq5)%_noekUqgl2KGNWRryKbGK7Q zSs^r(?G)JuojXz_g($Kbh>Wab9vTW6m6dsr5jw^(kNJDPTaIpWx<9|)@4CL%_5G(y zXS~mAJm=%NV$kbj&>I*`G=nMDGuM6nOIk$pz3Uzs7Z2>C+;#0&JkuC1*tDpKro7W#PeGN$fgk0~2DO@z?y8s)W_ATe&CP*Nh3 zR+E5{9G9HDh6!dBww{zI(^&E1@es!q2YT^uQAIbgrwgaP{d%Q*S$O2?z*W>50jxs~jYDIqtZUmATG^-)A_v zcMsm-pr8R+lLjx(lEKoyx`i6TvsivqVWXAmz4i4LJnCo# za@By>WDUt%F2ZrB5Ep9jr7Qsbg}yRY1wS+)yKtD~SMxR#ahy5qvZ%S9U7L4wUn=-} z<@pPexA(6#E5gxN+E@9bWwm!5yN(G~^)^x>zxLa|_D%tgUc-!<2hQRk)N;fdzHB}x zsQL>#U?phVgI7sK7q94%V2Z(c072wWnUi0Ks^*Nf>XwNN8@-hrcI&F-Avn5(&JM6Q z(;>q@?Rpy_??HpDSqryiLH@rLh)N*zc2wTBoLPpUz`O3Dt2uXm7g+*EBhz*r_1Ms} z&hU1#sW1%#?e*;iTNVW;Rj6_u+VwZ<`T%v({=R8twrxRNUgqn~`do1J+@VXajBtE? z;rfPbf{@+yj^|C2OOP+>ZduxE_f}8#M36N^Pn(I$z6sS3HAA*RSlg)jw&g!5a|^cTE0OtVM4H5x7yV>?hW<-n zUTY?YDP6on``Ae>lC$!bGS|0~WhcYB74c<%OuD>`iO1N`S7-7DAjl$>AuTY?z_J18?M?orgf@ z-|nqM@K@^Lxezd!^{XRb_YXn)|+OZ0a{JDxe11ge-^{y2aOF74I z3B<@L%O!T!Mk@G{n%9X*n6rl>GT2+|?pv%7cq@68IVkpTc!L7x|Mh-<+1yWRJi`bV zbCtPbzW0BA5dPS4nCmLg$XIbxsDF8Om|t(Um5Hnh-lTOG`VJ{XOW3=kP}2K;d)#sS zK#{{t%=Gt^G4li45Q(<)7@z;bYX>nR3u0vI`~?p=57Nr+^>wBsZ=u_XLj{sgjm%>U zCDZo3sM<6>D~7ak*nT& zO=pn26&^~n6ya{_Pn6w3Ppq$eqKdZ}l>PUd$ysbVhg|So3v`-Mzzl=H7ow*E1Fk8! zloddxy@Ew{@;LQSZi2dRP=YeKv?HJ>xiwhv_&_Befm|;;dsxDmwI_6R*!_29UyIu_ zM4`0i;f;PUwKl4-@>5eCI~s=K2ZA-Z_gt>~$(`P(XG|JExRQcWb;zas0acSEC4mI( zSmceKS;1$lG2N<7vgre(VO?8lx3Ew^Kl2>JzAXq=aNGaE3JO*?uB% zgwyH5GdxGJOVxCgPWfh!)7(14iBna=Ozw&)U#iY*wDjjye{gPf%@u7GbLPlPl283gJU)#zu<|QoE#7XbM&Bns($z32g~W%R!lSXI zuh~a4;lMeU@XJ9fu06pUcY2Zv%3HQiAdz@C-&nu^iX3!xb)h4MdO9AD&&597F8d z437a=~hn6!OJy%&0Bc@gKkLz+OYnFltP>nKi&+VY5M2 zmC6`2P$8>6FdlN2Zbj9s=(!lBg#TnnvOkG;Xx$yZoP5)-@Y6+d`|VHZ!mTpOuRqPf zeZRjg)oXb-_l#A{#2M!2P*e(y1DHE~pjBhNDl038hK9Nxj2YSJ>8;qrS+7>B&=zdh zLb`+Ir}>1Jk2>zU)+V5D%;JU69q~5c+CL9AVB2XS#*>>-8Al;FP$;Z^g5=3KH#^ef zA(<7`baSp(aczN#bnA{R!C-b<$@2XH%-Ry-YENGVCqF7kdUD#Z{N#-(yG+Q%d_En5 z8hX{`Xkj5VG%bTIA+O?i>L^IeeOY7|q_t0H#C|gJjuwCA&Cu8nc;$e1X#S2JL((}n zkE^IoQh(B@Oq%7L0r6H8Og-P7U@Pb(R_`e}m1)MJC?1|>`iR|JdRcT(PbM&fc(9{> za+L@{JO4wb0p6rd+#vO3bA`iELOi2{t8=Kzb|0fcNuLdGY&H`v?%Xs>63ux=zpMdk z1jmTh4wuyO1~ISkyW{&4=nTgmup-Or}296GzYhLY~#vQ~TL`6}Ehw&V9_dFR&c4c$HHe7*dzs=au9Baw zwihE8;hMKrb&<~DT|8brc6N?u7ik_6AVi?fvt5~<+$hmDB=hLPTvaf?zfx|nhV-n) z9I2qm6d~2xOYK;+lo+N>mpiooh7GKVI9+1wqF@jfEZJkVlx+KiFwxl7T9^HJWdqP$ie{5wv<{e!C~4s>ZT*zV%}7DsQO-v z-%8kRO|PLrPrjOzG}8|WZorCZH;i$EOpg&_ot9o}Mc}tI_}{G%z+gn$UAKv&sFH&a z03z*@e=qHTXfIsI17&M zzN zI;=aGE;3`Fi2wFQw!~;lSs$>qgrxc>R}Ar8JSQH;+jAtiMgV)4Lm+ z%{ej#{sRP8eBKsx+uc99$*1EsdXx6&KTfH+ji`jfj75|Sn}?@bx1Sp8Xx*n4wuaAu ztjt<4o~)ls^489)?kMcEpHv<`JemBYBRDg6jG7y#lrxOMa2wCKA8exHAXdRaDEpns z6x-4!Kro>t;oo#?;V&|bj>#$s>*tp9K6X;cBuAQ2Wg5(q>-z-2-A;MWdt;v6Q>d<6 zF_$~T%6S4?K#mpJIbySW2jMo%;Wkvlu2uIAYVtMSJA>zwj-AOfT==11RZ>6V*DgWMWU~j6~f*>!ii}sTqyhgFrFN z0mrGh#%Ig#PDvT;c~X4MKLOLRwqRTvA&I|%B*@46#zM-3?ifAxMe~RLv84*dZc8pi z-36H*>4e8*@g(I9&1l95H{`Xc%Ue z!)=6I!gx}lWM6GLHD)xq?Mx`b8&zxtPIL(dWLxg0Zfj?U1V9=@6Bw=WqFGP=xQxO9 z_%r0-%{l9PesR$*@xdB+CwG56hX`7dKEfKr<)dR8it4*1{SEJTzq*IMueorE-Y?=5 zbsp}*h&9@ll4s|!pe%qO)@#b9A#w_R0-LQh0GtsFoZ(S9JoXisde+7f?Bhtqqh_t* zRxF5!jP0=^REP);5D^j)8_E#w#L;83s}b&$fi*}re;N83_I>S#mfcPjP(djcQNzeN za6Vf?1x+f^-<_)Q|3c66Y6rrf7Rp?`cYr_ZQh+~8=$$4dj5uBviMDcFxx)UZN1=KX zj-t&lPK}DAIJBr-VXvuWswNKPWtPVF&76MdLnWhCzG%!nx2K2jo5err9>MpYV@i5p zIk2+kdg`Ap26c)7ER!gd)$&=wltNfi5K7(v-BBYK{6RHN8$@a;agR;dw2fnY>g9dW zme=KTImz;bO4mhoKY9Ud#rd=b>pm#!jf%yD8Myn*THGlYEEEzv_w!&INNBq^i&!Xr z@~7NI^}ywIgeFeSddM#v^nKY8u*_9L(k3~>z)E(hE5Ep-{zAO-3Pp*iI&%ktRwv!j6_*qMV z^HJ4>@K>!HE2T*L^)ufuzE${?w6!d~q$nc0ybp5qDuT%?6N}gup5k_(RISrQpVsfg ziw-`f2J)MIZaXg5t*2rQjCT)7o-jqNXE~%>*RmWRYnNy*oe)+w-P$D}*9pdSa5K3`P^;aYOwcsr~3$VCC1e>}C z48trHx`)2TkgLmZoeWgPIcIaa7}TxFtqlyOLcu*=28!PGgUxxw=;~kIsuux9*Bg4U z!gQf;XNrj-lUAl?+02#^zg)pw6v-71FV1g1_?y%TkWtw?W7wH~`gH z=?LVZ4u0X`+R zps~Ys14(7_&@QCRZ`~z`Q7TAkc4C9#zky5v+{Pb7SPe#Ju+v z+@yMH&$YGkEQx;|5$E5r`4xSbY05UQrObC3TijIW1oMu2Gc0?SLLl(Q5e^v0vvPiG zGGn(c<$JPMxHuL%yK>4orqo(GIFJi&Z;d0GEm(+z;@S@yOzo&r$z}b*ACH>K{^>OI! zgsMW_2335V6%Jn$Kn@ttjQO^aDgzaF%M)MQP3-YWP5UYhP*G6&b*Tt#z0gJ6x(h^d zoi6ex^FluPx5_UevU&GhX)M@MItEU@j~T9gG!nGZqqm)M37qDJW40Ii0@(b~Z<~bB zJcNMfx{bJHAu#8;@h4&`e;?prQKH=|aTI+bx9bRv7Ag}@Ikt`AdOWMp;rTj+h=(SudV=fCY}8|-KwliSXP=UmCJT%3PBOPfla>n}*hR~(m0gmyk3!EINjDYaHM zvFzE84_2~r+=rKXyk145R6|xfdYj&&gol@s)ug^D2F56qNpC{S(Z4l*N~wAN;9|kL zt21cu{Gw21TOad$cWxhT-Vb9EYAg)V-xabTAi&-uAQ(jS776i3NzR4 zGJA>)JZu52V@_k@1E$8pz^dLIC)wtF4hY?pu*Kbyy;p<`$nD#jWIO!Z+x1(sYPLlk zYzK|-5neXSW_<^NQT2WHw&WyNTkQ|;Y7kt!s`Hw>^lqX89{JQ})wsJAef86zV z&LjEl#<(27+V%5MrR*m%4>?e~_<6tn5R4-q)AX5^6#wOD=6yQl-Vf=6$Zp+Q@gJo9 zZ%=Cu;?Ii)k^PW8enD>fpO25$mklxx$;-t5KRdRWz3+gk_>t(V3!3o%`%<7KCZu)B z?^#LJ#m=nozx?w5L2NZ)Fx(?s8bgxb;A*t)s)oOkD(l6U-0_Pr^bf*$mu%Z^a`fmY z-<0j!luVcmSO&aId^OK{Ul)(_*17&Z$XIEtau@xvlZrPyQF-3!UPU{3!@0PUcCRSz z?X2$|$u8|~lo2z!>(qPSsds$hwrqz~8Ht=7W_?qyqRHkD(oyX`#b*~@03$Z zlN9ZHC}e~BbyTYJYC%Gg%d?P#fw*mpdab!b&RYtXN>)Geb-a&;|2SIp>p$)g+;<#L zBY&{X$#%wkS!!B`g7~ByP zt$Si_u#8GdKC}h)&BT3{s$>5%`St5giw;23`y?rTEH%*6|MpYMwjp}ty00jer||1i z|Kv2zZB^BhxXnP}e$CGbsGE67=ic6wYQKBG=Yx%TefmWhmo3uZV*9_4E-e!>Yy7W5 zMk<6iHie-g?WEp1y^p!e?Qrw8?T_Zi!q}R=I9wwGpT_|WZei^OAAL%Mc48c~kMVnY!#>cpE&zx#F zhR)Q~^g+}AcP1k>TP)HGkFQ_LNI7$BUJ}Dr(FO$tRXx>ChUq>d1lQ>+tL2T&Gi-^q z8d_S_4-fi`1;nBW_^r?sRvu#yb^E%y2dR4SeD|uV57tItwZrwF?K9`_fqz_mxDZy( zX;@GvG_?v7^Y^1D&N1%tC} zm?G?*rlvOdSLflUK=r@()g!p)F8DL}wTPyYUsX+Q?PL9w3WZv_`1j4GKR-_SN4O#_ z!<1YfGR*=S)R1A0Z(ZW)y&Wr;u4KPdD%F8$6EKkKeB>vct8*fviOjk!rbTEAp`|AB z^tq1Ut7^RZD@t+pX(lIX$dS#=o@`eJghDOE6Talcw3i1uMbKhyGH{!0Tu{=?94hJ{ zxK%yTcPZw!W**Hmc-6Jjkz;N)My;V`7|j_OCf4Ob>+psPFIPfLh|l~{YHBKs%Iky? z0x*9kK{@_p9!6&UVUx(WxLu`ssa^v_e)5Me-Iybv>NT=<6XJbEO7NxdR%M#kNKCEr zNqjzIpA`Sq7;!3$hoAI%7>3R%KQNqWz=Ty_2AHm3dDR)-hV$q=?Iw(BRA{`-G> za3lH}pPwtnJ_6T`yDjZcx+`&Q2diPl-kEMRs7$(v{ZB82`Fx(6jl<8<(2*OR$fUM6 zoURiv3`#o9?#)3ck{HS82;~qrReuvTMOaT)XqR9oGP#c&(3tHnBTYDN8t5X@q3bws zyyr9K$P>M>ocrj`lGxJ+do{%GCvET&YO+>0Kixg|UH{;;nFB;#(ODa9M#Q>MMP(+e z#+H`&R^KDF(RjHtudewiOPdUSet%`jN_JWMzRQaiXWI4Z>*{j&j&a#qd`xU62XsFU z)UU-mJ2m=)yqUZwW+XrOZm&bwA14;iTKfm&uxpj~$^Imr-~4f%)-t>QH5{_6-DemO zHr3NR)dMpVIi)Wd_moRGLPz=mXwZ$woj1^5*O&W9(gAtsQ3(?&>I^0;4bBZ^E$jl% zeZ^nT`8cm?d42u;7m05BX3iZIk5W=ns?c6gxJZ-b`d~=CGfaOsuS*juwRu6$bJB^J zsurc}ZP%kW4r9f0Mq9kJ67)BQ;bH0+VgMWDy7ZU25tZA6F9Z&*@IyP6K3I2qH{ncl zq>)lbaA-2`fo-L@bupdV<>bf?L4H1_UJdS$$dj3hc^%QQt;FvdPCX`Xf;@oC$@BH!|JZW%8k1 zMM2Ji)M|emMnO(NZ)(oo_wz!(ihLKK32ydqt=Zc^k=5SEd(0M2bl2Z7M+FG&s zl-G>92(P8vgz0;}`}wWSd-ojfS+sb`D;b%ZO}j5NiMaH8O%B(I;7ebwR@jhR`I{vs znpVaX+a7!9pH;UoM#U?F03F@g`)16I8RD763P5>%xin=8)0hn{-3HTR(Z?RP$kCa2 zWH!RsN*fH)*I3FWmWqBA^Beq+)WGDr6zUcI8!G?#RxQ&eZHh^M zrG#buy5Ahm&oBSxj}Lsn^3rAdDW-GJ|7i>QSIbW$4VA#1u<-7Am3(EDbhJ@f=zE%e z=ZDI3Lwj>;m|xEt#bp5|O%!q8D{2C|@9-!!HML#Q@$vC^ynLpR`NOm{3Fgt8RX2J$ zZ00s7=D+3{dPF{BvKHJq3!W`h)ZYAs9j05dVjbhk+Gl6qEEmaUY+z06hsLhxhD>oC zF+95B$vi;fZ-gqvTaT20JW@ig+0TC;GoP+yTer(t74r^y;jcl+1ICf|S1wt#;s0my zxWO*YKQ#(xK*+E*%8ue9zJC4stw^pcUcCz6f3S9Dz0~Y!_GeIzh!s<&eT`zW&AcLp z>q(xrQ3wlXreUEk+{^`N)ZAaHoE97$oJ%f2<6$06zYQ|ido=FPo6AuU^etsXrve?8IXp-_*`os>o+<08wPd#sW5 zwj8nPuCl0%(SY%YUUu8f?RFB}vK|@T#gtpK=3je7X5A|;XC_S;yuV*`OJ^||<|-nC zf6dIzi)kgGGgwWs5!=>+#CGsc^kKA_aX$>@a)fED?=46Nj7UoOBaR1(B;|~b9lmX! zz~8y6?SlrGX?i~g0EHi?iy?NzD#{&nSO`_eegJ$xkDanPJMU#w>ZHeu$^i5t{WV7@ z&TfY7!k^MgPs{ZM;s+l~S+^T<=fH>)#K1?!26?Pl?>ofXOhmd=mMcj%SiKeEkhClg zvVwuk?lc7L5PGys82W|x@tsHUd{Wo8xHn_GXoG#vg`gEzJvemwQagszz6onm9=RXu zy-~&%hWWm?Xgn|)wev-;$r`yXNJWIkmzU}eZ1u0x&$hp~>r_vDhS>SyYnFbJ(tc=? z-UqSc_)aM=MbB4G8i%9!0^^zbq`LSWe$1b_!>?+gV4%?@RkWb)@jnnAzpL&eRiFjx zG~FJdFAf9k;=6Xe3T%Lh^QqPP9Gf=UR2<_;J&A;6nxHxCO7>kBn)0A--?Aaoy+2DQ z9+(YA6N7j%{3o~!P}u2tTB8gxiCjO7OJFa3N;&>o@;)SGK3pNDB| z=YS*yDuSxuno#GKKh?{Um@uvX`0?&xYnVKdKfZLu3z7Gb!Fi_DVW?8ehf_g5vvPhj zXx=3w+9LcfoZTG9`mdu8|3U|C2c~iZy6+i}r62KHNUlLu%;lW=v-M4x=5j42`OVyDm-M$Lzp? zAtmh#!EEu&UMcUO{XkiM&HlzEVcCMmc*3r2L0?hP4~X_t*>@ZFoJZtHMIq0Fg1RQZ zK<=*AwZ~d5`U>AN*^sXDk|ai3e>^UK_%Nx&D8r|4d2nL#$Eke%+$N(D7!YRJn4QsZ zZkil6?GWZXY_ie;7FNb=ina^cwpgc*`>^Y?Nv|9irZC$#*)Uj%KrXf0u6%#DeP7dM z+q^|fzW@}Msto`U8PbeHU)h3r9Wmu%*1fG)B}vQdtS8an%aEVIxdWxak|N5YwqHKl zYMsDY>R}Xla~pg7i!Z~Z2PyfBaQE~)=T~}(e0XpB_2*dkXW>e5;twuOkpFf4s_%L=6r|9Ua^OidlZAMg-CRAO_`}&ADkrz%N_eWbHNNDDzg^ev zXU5dqytcZ)VxUm``bgh(v(_|nYtSpF(O2SDbr>t97CB4{dxnL4#`gYA@)y19CBkMs zj_0}$y4_if)75AvaT{9sbzD6mEKP;*y}mO%n|A7H_6wGoSy@<9)@!bO+ACLqk+HkH zPsm!fJDa#;dtoP!cF~vvU2RWm8Bg;;aS{I!Dld6qnn{k9Ka3{}j)>lFZ4~$H;@rdE zHAW|u#UT9dr1|uj1VZ6}GcmQg6egn9m+e1$_H5%FgbfGE6cp?*W^#M4uF!sVW`E;j zU%Rw4`xjFWT=08V?AKGsIDXzRmBm;%&GlKe9iHgo=`}`HtRveFIv;vDYO9h+Ty)ME zpYP(@(*0(A`GubRo0~(?92UJQ9J`y>gh^mLCs#$Co|O2YLMj+9Ph;YgZ$7(8|4Q0M z9jC5I6Q%9tWgb3dT3HH*Mu(y-w#Z{hQ+wbd|2r$paY_gB$K7ZLwl`WD<-aw=uW3`O zA*{fKghYL_`%w<`6{+J}pDvo^2B@-Q#m%bx&u^ue=rc=MV0SXvgXo=ZM^CjsU&?vo zO*R1QV7NgU$7>leqG~$1#Y<$WO~m!yI4{l!EWA&zy>+wg`$4u@g>~_z<@vZyd;%5P z3zi-EfB_7PTf52fe92IEHN4Jg05iXP8*}`6&&-Va`nTko>yJbYQR~1x4JIA`RFIU4hs+0Nz&AKuZq>!>5uvoYWJ$j-fsF;zRYo` ze=e>(iGHoYWmcSiY!au9zDfe4(jLMTkpQ6FJvM&k+R`i%Vr^%Cs{1Sp1IBR{bpdv% zZXp(ejXe*~jI%jSy6ww&=>kJA)3AOc7_Fx#-h)LXaXxkU^M@lMB`dicRLtIP?-W7k zR3+Yjy%f4Jd-q$I?Ng_B8Z3i;zKBGZEVZ4Bkr}$9uQIGVw%@-iE{H#Lur7aCWOz)Q znb-&t=h_EyM{?YL-NTa`CyQUdVH+RNINbfFfxmOoL@3Z6AD1f7iP5z#7>pXI##xP^ z>#}!-rVZB|{$SA4AuyGua&I+fZtga+O=EAag+PC^-?-Tmg295a<$n-Wq1)u~_eM%E z6Cs5ue%Vs%ahLE4o4s!BspIMX9!Xbqpb_8vxIWB$kiw?9wFe8qA>J6%(Zl#!(n=3q zcfNxODRXGYMKl_`sul*GMRp?kB$yFn2?%PO(h9mDYctV=lNnZk2g7)HQwvSA$q3ZI zP_+S}w%m3+1f8`{d-2qO!t}sD%Y_TNZV=k#2&#I{rT3-!Pe;g|%DdbbdV^EJh2T5_ zWjPknFD78F>BQs+!DsbypGM3;iM;dJD;Ymr2-+$Zf&4FR!xeIrN@m;{P_nGl*qT$O$8AtE?wG(ojyb2r zz9{VBhuw8lI6Uuy^0FNU&X?X_A9{6~U22LmD@{WGdw@*!FpbR}{~IT%GQDZBSFc`$ zX`M1&XTZmJv%&hPrV$6uM`3F8!G5o#B^Z)lm@L5L$o1-CYcg#w*`{ssJ;16>Q{|BC@uL?2!v!O3IyC?~uM@V~z;%*?FnU0f9SsBWawp#A8rq~? z9EW-=ednP{?Zr3c$BgOHlaG2{j73?Cs+T9X!=S5>l^ha=`Q^z*Wypvw@QHU1e>`hu zYMK;!wGIi(X;)T4=cY|XrUxr=5BU(Ebnj}pT>vdB1xxG?fylZaP5tewf4cGSXM#0l zjE=jyt&O_bRjBp!DVpI@{`(Bl%xh{>&3Xa-sIC1nJ^bV$T@VbC<&>nS3;Kks-Ouz9 z?>ru2*LW{=bkWiceIL*I=v~ZbK}NnecGaDpfC+Gc;@#jX%R*=|Nm>kdIwTCHoc13? zMw)HEgga_KW$s1xGo3Qzu1q%*M*(MK(LL5slTGGop{V4UW9|H~r>i zcRrPaogIO5?2V#poWX^E zude0{rI$4IR~tMtAKlS0feV4U#iw_^`Gvdo?a5CHQ=bw~zf6)&wnSijCk~_jU!&1-Wd;Sj~+#R8JRR*Y-FU7Nt6`Mj|d{2zWzj2k8@gpILehO(kgE~QK zlP^8*yOTS+glml3ith9~ua+Bp_jG4Xf7CG<++QPMV9Xy>(KW+#sfBqe=;S!sOlbc3 z(1mZDjV(el5tGWaY$*=j-!Cu4q?{c*HQuQ7{5dBtVF&34VX(9F-`9DcT&5{G{J6-v z-jhY31#95g`4}{<*GQ{{!r14B{Zo*Op%N-9)#!(FhC$D7###P{B;aU!Y^GOT}~ zo#zB0n!o)5sgQ?YM{tIC(oG&0h&H`O*IASWiFfbi98-mSU`*?Eo=||Hg|EU6@>P`z zgPIrL(KzJ{v*?Mhhr41EEbG%n<;}Y)p4iwBr{AC<=E?99H0DlgP+1KYb!kJL82HfKNl<3Z3_;!wj5Ep@P;dl$xPm!`7i!5 zq!rF+^+l4LZH)~luee_Om&W+_Wobh|g-RX4g$jR5%WT}UbIjK~8z8t*d9e2yA+G#M z&Y{kWRj8;-f!yks?5LB~@a*itiTAKiMkMYOE`|Vfy`L5Ao&kt(Q@Qh~Tl=qP&%$>L zVvonY*jesHK3Z_u*ngH)uo|*>%}a2z_ZdDw!?4S)aYu>3rQSxlP5KG?IW4%jR5sz0 z>s%{9bwM~pw6R>r31XqTY3A1z8h}v$7s;52(xdCxE=~bR{ANc*M^M;yl61n&15SO< zm%fS021ErcxFYGn(aZ1j;V+n{&DOg??EKL>wFl1yG@o9py>|fSLnk}dBZr7Oz%}9M z)L>IBE+KK=5^{&603g`XTnwz0&t`CgHFvBl_KgoGX9M*CF914$tEq0nl- zK^DVfXm`>DJ@glYf9kSV(gh79JxUw6wRhF^H^Y$6AnFoahwsIYCrL*gz2{q5UQ6tC z8Oa)b_|Qi_Gcs~}Xnm@SuVLf*sj4wYoZezJKz~^#*c7mV5iqM8YA** zaczk2dQR8dNtzdQw_#7eys8Jr$bOw{?{njWoz}G`QK_PN5B--38sKHQZ!fzt8{MRa zy$u05AKp&QC!y;qLXB#d*6m-`25RRWq-%2sO}yK?8saA@nr9~g*{{vCG269!*PbCF z0HDbJravE4Ff}*7p&$pwUJJ6nWtH|(Dq)Qg-r#tZzvtViLue{pw!!JkGrRhW2aPm$ z{CQV;xDQoDZ0&K&^9v_Ve51t_Ax4H>Z)D#pcc)Bjh@q z9Oewx;DgZUXXZ6YoD)q;-&;iX-}b?5i{;FY^n20tDau~TI;`8hS@G}^86nqh!H$4pZwUeA zGW8bR5POxzVS$8fE1UZ)B@_Yr7H!G*mg&2ss*OXPL1Tcf{i0TRH3H*Qhu6T zwtC$TE>PjakT3*klM~$uxuKN`*6qblH{oWhs^7?(U)};=LGN4?j|`=j@xP6V9!~_R z#&`jdYW~Ms_x#I9_|7WsEX(MEU>thsXwW^H5*TkydUbs*Op3~}YAbS`tajBykmIAq zJc92guB%|qAiQkNVq8kY*GRnhW+R)ag#x(9{X%J$4PzJHvh?1-nVFd(n7_S>rc+JQ zIbeo25EA+b;hrJmB7eS)P$x^xC|w#_Y5qhI@em+_K5__vbZfuoB;MsAn>vwQ`I#1{Y*g-4T!!XH5%lq<`` zHJn~@>39=FmNKH+7`j(LFOwT$rO4prJ)%93>znQ;5d5Dir+C38uFqFZ-A=hj3L!oV z2VF!Hg1A>|m?vSFFVEgII`!ss+_x@;XumJ5%()`}I?F7f-U6@@<;K4xJ8-&}!Wc(g zaQGp_Yix0O>IH+FUk>OYhOEfS4}6IiKfRtVw{q`W1x}CZRT<__tGF}3RSqk?y#K$H zmZ(RdELhqF&r#Cs{G$7~@GZV~^&ke^E~e>*JHRD41Rf8U5;;OIy*I9rJka5@K`N;s z2N-WGi!d1v$P@8q@x}`SC6Wf0-tW(tgm#&{;d#JoE^mc!_w5=Tz-f>MF&>}Z;(2hB zLo3_~Zxd_4!YOrgob?xXRD*u8C>5|3NWE$X4D=@X?E4oY3OFG=ua{)QVj0Bu4%6(; z7O$U^NgUjZZ6{2$OF{~^xzmZpD281f=lGO503^x;`3=&KWTY@&12&GeWL!c*Zqq-@ zU#uVc{C?|*Nu69oirwAa&SQgV9uA6^QH$t6FD0k6Wwr;IfsJkhDmJ9XYNP8!KR7>h z^##ZOi@d>Z11ZmQZ0A)f^fQ+pjl$^j)tTGERYguL>N#E{@b07{QMkqoG7hq)#=3K% z2@sNuDw5szA_y@3I9;}`VHm+T0ag>al}AAe!d*9)uts8fti#58>~iaHXu{1>@la^D zLJwx(r{@6zg6rh(+*7P?cHMh%Vvoh?KS|O4xdBuiYzasFL{)jyA{sqD5Eoiqfu@Mz z>4Q7z^sE^Sp1pDez(`#`zl(Tyz*~9*lHws-2$U)@|9Ipj{Zmi|mVCa1!GozwFwDN? zIz&rD49Xcg*WBHGu?2?34}1nY5a6;h_&Ze2iRtw)Ohm75uyXH*Vt`c%Zy-0x20Xo9 zfGX*=cKoZuG9KudsiHPcsmN2^g`JKaL6H?jq#sR*b7l^nVat~j6c4S#ng)6say zY$b*V1qZVUp1APww8zE^d5bK322=cK%47$Q^1j;;pIDlQUA$LxP}N9ET~{9BSTY$& zohFQ*>u|k1y77#~pxR;bj&t3ab!gbgPl8Yu3gtYQ@gANq43D|qM z2auIdPyWJQxXEdeu}4@JlqW=tBG-+4czgt+>weqPokn`{g{nvJBRK%29}U{YH{iR$ zx>)pZB*(9*jOuZDZ*<~glnh$tTrcIGP#$3Y_AmxMU+|M~QcoC9WLUK!byR*~6Rl7y z0WfWm_Y*vR3S@r)vDXm6FUt#Aj;aL1#hb|7{^!+NhH=Ed$2B^djdE{ES)LTRUwSJe zL%2tGfUf0}xVVkrl}475-00UjLfqlrxe}%8sL_)CF98Ctk|6~`RYL~ud)EsKUoHq9 zvC1bmGh9L91dX?f#mzq^ZY%euXx{pZc{9ISSk}q{$(JHt%2a3(torgx-BrZ<0o`DX z>?Wp^Be9I97gj?FxWZ(oigt)4{XW134l=V;Ez<}^XA#q;M}4O4yW?>Df~iG^0IrZb zq8o1(pAiW;-py=%M?=~^`6%EcBO`ZOJtYagcoy1dMdtw-RTpZ3gqO1k*MkGWbRW_n z8fvWEpC5!=EF{BF-xt!i2YVW13NQUpVWT$9OOMqC$>)_Q6CtpkYycCs_?-A>;akTl zvhHrZwYMjCdI~&cDAs6Sh1#TZQ^PnPP zlX=FATaZ9z#DCFadZUz;VYiChaBiH>(+rPP0#sa3hVn(F^$?i2WHk7makqDm+#t)0@E2f#IW|ZjcER#q* zJr8VCJ8*oIL!>n-8yFNiOCLv}tUD$?$c#f>$8sASV(|jHBbjqdQ^{~Gk8(B`raSis zynGKzljn37@^*ue);a~CXVt6YPm@cfCkM+%01$E$SQlW01%TqBQ1!V$Jy!i2eU65W zes4B83Ep=py2ADclj>BfXuzB{GdAY!W~Tja^?pf(Z8|9#Q8QWrr9->tNfrIt?TGDc zLVsw330QnmN>RrWPax(vB+hK{Z`4mRJp7zBRW*ukY?wG+7$EQzVB|=;<*bIDf(ir0 zVvy=XALyFe1|m2ZG6z}tC5${TLJ}4p1Qlo-!8RIDS8s495$f0*4VL|J^&8_Ql7yoU zeJ_3$&~w;F|GMa+Lm-lON()BJ<5Kq)zLD|yftRw}P=(v4l>*mL0EsEoTDe!g=(q@Bg?n4xf?sA~ZhkZ=zo#LK z4R?|--Z%o`J~5LIkB*A=oV_Wv9hk9b|0zhAhlplV_}^E+Espxj&QaL{a<%{pG?NKc zHBvA`L5%uSn9Eq_)9ch^BK^XXC{bEd(3)P);wfvf0DXevCY7OeD!7A3;%~& zI&*1oEI%{vXyAhg!;WifwmwLBw_0JM4@wKfMm4$?BK>b7Ze*$>-nM^4=I*w zf5F&&`M4&=6iC41KswP_A6hZ4ypt}b72Qz(zEk^?R|vYwR?j8a7h^}PWpRm{3JVaZ zFhoNErtbM~sj$XQM;oK~3MJ9z`JcZW#ekd-IVWb_=~5`%|!`T);2)Ou?Tk7kTGS z7gX+}hF^0vW-MY4Iy!3-sx~s8Jrg~=CBk#e0f4Ue``JREd1HUA>*02GH5#mbj$=rp zm3z*MdskcD&d7M*zrb*{GkVLi#G|VV>@-c@*K*)pA&GwXERSl@yZH=29#0rw=Ehad zb!irQEZ3c>v$`nN`(IdoC>zgGT%{94L010Q?*_|Snw8!=muX$2cyEU74u$1G_Ag75 zGT|As+!neZFXj6E9BvAd8Ibpj=sD zcXfga-ibHd6xVbYxp!Ux?B7?G+|kJXhximsnGlwvZ4;{QQtHR?P|?Z>wM38?#B<{!>=){DG7M;$ ztR7pR;Ej-vZ_E6W^cG$o089Ry=S2lD&Osw6OP^)cFrxuT90^?Qw80rbJiO{^8&RR@ z^5Q-D#A0#7f?L^VFa4)I`XaUR`wG`l8Z-WTkOYgBD_1`LSh#7<9Y(8S?THO*wjNM7 z4)`zz=(E5O_X#}40WK00;8TNK{6i_1)~%e^ry1V8?|dDl6rPqgJQ~m)YcOegjR-}7 zo}L#G=+?wbQ+7QT>=*atZ09{Kk@!vF^3NWfIgUd?aB;kuayDHRAS;(p=_buyY&aKO zAe-C!Ewf*q+tSk$xd6#qF2uV=d*K0ZQ)IU>vLyS%6F8p{gOV?=(M+!sCruJ zFbcs2KfaN-1(C8~a~^tt~VI9c9y` zz}yXZ-E=rRfb^MMA5l@;Rlo%H>hf1Jsfe?5-7Km{WiYHT&L|RhyoeJ9hqGIvZL+n- zC~PGdE`U;%1WV$A4@1p$sq8@Ua^du*wPBks=CB((=poQ9Uy%Ole}kl3#f?k`Q@tp2vU#l>_}r^X#M7f`z@GWC z(XRejg^=JcSQWz-q|DC^&GX`T#4`X1|9NV%hLUJ!?c;}I22~-HCNo)XJ zeev00K!X6m5D0_&hOB9R!oU|iR8Q%{KEuexMpvQSW3t%!9Q`gyNrmZ&0pyOMIwsAc zj$a@*sq8$YHdmjtR@xn2t?v}Kw-8BWh9v!n&%+f@)O@77qG79df2{BaG2Y@vLMhS@ z4^;g{1tg0_teIe@Ypm~Q|2-ZDOtN) zu5GQ|XpYie4!EtMr)61~f-ArWh1O4=Jdpx1v{$J^wZ`GYqr-(f>=|}6kT&0vJ3XGu zb06lEjyJD%-EA!mk>u!v1eM+IcPw}KaNuwf=5(NK8RQ7x4y$qa5hdSEN6f9w3 znl&~I_ERa`g{qws)C}>J?llf!`3PYka4LMCWKBW<-_|%|@v7Zi>h+~3A+xpf-N`Fn zLOY=D=;(VQI7fh%CVs5bUf8vt(U0%qf8>K*ErH5rkeI}qlHYe0y$vcj1)xfax-!@= zkVYdszO_D<)^VfV-@vS|l*4DLi@h?5kP%piTwS6~UtP^Qec){KJ0R z-5=CNqz{O`+2DtHaQpF^WnqNk&KsNLGIz<;QiTqFC&XK-TpOVAw??1i?kH)Q1(6$coP9`c7NoO6MLX^v>!-C9V1xz==?3s+PL6)^ zfi@FzQu~#ZO6Ym+GxA${6qSN2^yU4-gQScD0VhrY|1|eLb~CGpJ_e&zxf>g7^@QJ5 zR4||EE91FD{(IR1OPG=NBnG$XSEA*d;-ppO--ijRKSKODho`<&!LF*uz&qEfxY)kU z8f>_$G$m|$m)=K#tb;1xR@v%fE;O;4agLD7^77df5_ACrPTC(akM`yhFN8R>-$RDV z0I6juHq``l*P11)oIdfQwa{;G&0sRX9R*u`zQovn(UrwQ)}tJFddpb_PXKVUckj#B z0cPL^oPGnrik0RW2X3qrJ^jK>RnoQit|(X!BKkWt=dCuTG*~D&3~tF{Id5J=n$P!4 zXUc1vIkTB>U&;X<({o+2|0Wl+)ynE>{BWvusT7ZT%c^PUpl;AACuCrcg(O1*NKFKV z2DM045tR^DT^l3e0YrIW0L=W#h>Rssil@ehi6gj^n`6KV@^4;c#BTazq?e$eagpJ? z2yJ^=nCmBRNqHze0WDh~Gno@$mhZ`e(7EgMh^vPv7y}F#K4WT_z==qunIqh=yZy_-Syetb7f$Grb@^&pHn^&P6j%jE)Sbx zj$2!FHT2@^_3tfCBUz>$NO5bIprp8Xs@%D}%Zrcz_FnEDaF<&*c47vSm>(sJ^;*}3 z5uWy$nwcp~G_Uq($&To;-TgV9Kcn#;CV3~nS6yi<$JG5X(7ev+Z90H%l!nNxjoeV` z2vBA7)0Z}o%v4=j$$kGL`E&^Msm}^@aX-_V1)ehiYdn(m7hWC_$w{eRztN%fw(B^~ zRR&Df7ojeqq=xPd0`_N8rJ$t=%S2Zsf2yfdLW~eWJ;(f?Z%CNNmZlDGektQ0*_^+U z1(t?kbikeFjLx^&EB+zCOy}$jX@1gTv_95!d`m(tDXvjcxBb_zBln^n`>ly$D>{>= zq%bbi)R2eJ0cq|8fKCu20x@oF)evM;#5@CP0ux71(WQT4cek)>$M`WfZ2}-D`o#uM zOO<;&&o$*?-B-GZXm%gPJ3Z42RN+&{0|_k?PZ!HiN2JXJ;Z_f%J&krSB1H~;>>I2K zHs>#aPvzfCR_$h!C4l`+2AIDd`aUo)A&C0Rgz1Bv&X@kyT<*mzOV~rFdAeIY*gCaM z_jH1pY59{hXm3JNB6{(B({ZZLXDV+0?BXkbQrx1MDlfsdoqr1JBXGfutTu z=7v?`fk?=;`WegFGe#t#1G4%0$?M2?aM8fjECXN-^Z|)^&*{lNMK=Ne)<&R5UzMZs zN$bel2|0sXmk0Mkg7Kc*xD$e|!m z!u_zuSC>1o@6KALuLl-wzeoYCKC$M=d?TNjPv(V*FyF8E=j%a)-#~1HhcB7&yN&Tt0PES4a8~NopJ!CO%l);@TK>+!H_sXD z`TNepH4zm^{Q9L7>sC^ZZn7A;r{SiaOzcN89;(bBROE_ODOffH?Wh3+Pt{Oi;OdR_ zVv*1ep!t=p2a62Bb}?`NWtw&w$en_mdg0R(kfgMHD?}7SE=*MCP7QHRem+RQ6es%h zGCA#;*TKs6lNMyhmq)g$TJ&lFaR%WEguiVTbuzDvp;!nO{@wW@eoIan zg5ayTj+JNiWSRlQxUocKQO`}!R8jmlqBDL2_ym#w3M!;B0NVBxMCZn`g9M8`(^HJ+ zev5nZ!~~KO0p&OnAb40m$N~5pQZvrm?|s2C)!9M7&1g$*SRc3Tiiyw357fCkTYREjTy${8DG0xA9+_z87(E^&fZou;+@$zptR{h2Eooe_)nkh`g z!!uB@37n5r1cxijS6S`*oq(QY5&psDLx8mjOzahqo9kJ%%+YBg``hZ;yKfCfAepqD zvhmTq10ekYQ*KnfKEB#@bT4G9zEF1=uOqk&KIBx|o&NBpXAt_}6~5=ArLDn_{<4#G zpAO3zU*+?_al(F@(Xm(P(jza1EewiF|MoofH=}wGjps^6S{4pXW^>-0YrKc!Of59Q#~@RjCmsK4xXhbUG3gM9t#GZ@=xZV&&h|0qr?5KSvARZK_`Ts`-*WEn84i|9?2U4tTED_FW>5%e9qzY^ULq~ zKF@t$_jOweJ34lEE1i4VP`@~a@G(>1G}fn9 zW?tsUO^oIUnbba0u`*VznUNc9&om2+PIc9u2W^M}+Ke|X9iuLV-(Gru47lCRY|`)Z z(M-Mcs5S}#0RZK zLJ}zcTwC^XicOy{7RYKPZ|k7awh1aW(PaJo8=j*d{&GNhM}abwNQ+j=VY8yAB5 z*!eQ^<%<`jl6T|Dl*}XBI;xU4Wqqm&w;ELK0`cL)EWA@E&n|#89fJF?0qWuAkkCcD zQpj;oTRwVLp`*dfEkV|DgGuG>tajO=tR=bMWb>0YSrmE{1gu~Fb3wGpTCJ=(e(cGG zOZoHvN!d_LxNginl_B$eud|1eQ+2M2O@DpjUz`7lHhZnat7{o!eP4Y_I6Nmq0=hQ- z_PyL?7PCpx?_nD}%k1g6W|1k~oAVb2Vh5WCT5GSn8!eYzB)G)M)u)SD)PZp4#13xH zcT869y?->%t{0@1Bt!?&*Vc|zmsci5Bs5e$n|bqst)K920;JMD*(x9)@Xc8F(J}7l z*8S14g8=c(lGU#AZV3%@JswsY?)Ix`Ph;+94|XS5vd#PGT<1Aoz1QviFnJGsYD^59 z^kyLqE?K%_$GEwPzT3#>?2fsC^eVp3ZqTfPEh<+rv8@y1b?dNd3{}w(ytez3td^CA zZpOx(hd)+!#$UM zMfwNl^ayOz40~ay>&;Q+B3G)OvbtkdbBv)iVap)q|2F{$9?nuq*+<(D(s_PHr*~)! zjgC71XNe_U*Vb)s)>Z^R`ba&w{rf&uk5l2`;VJF*I4n3aPVxs{62L;q3IqF|>XQ()bThJ*p=VlOWo^5a=HP2L-~KOaCDpaCCm~ zd?4*jfA#9sFQ%u%S8ZDKhBN%;r-p8>WrCX{g!ipA=Dm2%)#q}ksH5eROUvZWwq=<3 zV)x$SkY7tt!1K82K*%$P%TGvjAt91|OS0M_tiTq1!SJFGDjE>(SZ6Fd)9y17TPzEy z*KIQ&dHC!ug?Zss1@@9L#NIg7*yBmsan%-ug6561>(&{|xOWsg_`P`%IO6>N*|M&{ z;Et=$udVAyGn7YMF~4CM+XPY+1W(xP^f;3bL$ce<#M#1RAI514y?Qe@`V)ul+D0V? z@`~*s`?=D#3gn#*U!}*MGP1{`h}exJu@$Zm}=-|B>Gg zdM#Sqy4vP}Cg-1vDYcjCU^AuD?JQlL$kjO?t!E&Y849X?+2l1AEpRj&SHvdd;@ zu&b|58cdfB+@=GLyRF|PVT0H?YY@MMJXNGC4I5mJ>Hv z#is~AGPk(`KJ%`C}Ba zG*3Dkg%Rub82f8%^$&{~#-DIQ@%KWWpUeSeneFV`HfkR5d_!7xMzKCOy>4$* zWwO~5$U*Lj*gv|HgC}seaShe5!jTs$Y@;L2J=GgI&hkER{0Rn*Y)@6Fv^upjV5%gv z1F|5Cwmh}7EhKKoX=n4z~rsp^`(CUefyS!YBrP+*UI0-SOJpsA@Jv$dAIWd|G?P4B8s+cdUR1Up~A% z;Pj-t;-dR0H$8YXJcFfC1@(t|dEv^`)Ku0Rr_`${pkGD(Ci2{(|1?Y%OY=L*rpEbE zR~&nvWs_~zkaQ2Wxe>{{Hk|rSHir>)%ja8PX;RwHVUnK7rB5bXIp&v7`X9b^|3HS8 z*o~`Km9u&FwhOat`tf=xujNsBF4GQPO1FFen$O+kOJK4$MI$}GlEle(V{P)Gk#<;n#)Pi4 zKl8}j+ZEM%Lpa3Us6}v*1;no%1x|IDrj^MR>1=mDjRP{d&5i}$8J!0$M!xRJq{6M| zg|$s1&Y0pstC#1jR&EQ#06DI+dDC7Frch)@KC|eu?DrS4(iQb=H2*L_vRK9V%t$v` zT}ZjNF81cNYr8m;=^jyPtPiPNi=`()rMTV&u=Z{LGlxjJ5tj(%!;e=lPEO{PIYe`Q zE8tYga+z+gA5nAj$Rne-|ILPr;#)kc^c;w-CKU8RP0ra5etVpZuKip(32NGs&zspj zH~;Hl(e6d^_dHBQ%)^M7C53M5m;HWE?pG@LLL&_h`~Hff2KULWndtX%?{#%$(W6*g zQFQCd+~l6)ju5TE{q-#VgX6E4aYh+s&vl(|%el`Kep}ad-%vJDJ+}qB%f3q#GhPgnoDAo|xsO-12xJn=;ElAHr4<;pku zQJ~JL+camm>P#hEH@T{UbDcKQvak@PV=;Q@==tQK8(Y}pae!=l1iSvMm}8fyRb$G= zZQHgD+v-0fr>IZXn}0^9^z7NQEjbN}(d~B*^*CHz!!f|TKcs{TV76TBCp>6p`Q$$ zF{Kmhitt);sxyiv#^oWCF>?OiqZU@3War8~mQecT6rP{7nRNuyHnW2!!e!?b5tpfq zb-gX6XOH(}@jB*1sQr5V&6vgXIo9tkIPIo59U?TVTu@^_5I-m@R{0oEaiF$HCMzWv@0)f zu<&Z6=Sf8c?>~-c9NqdEH3>EXkNrT)AP@#;$NDxn$p@_=&H7hfriBf@yKOJonp zxz9KueCY$NSaS4^4F~(6^CS88@f#)CWhIC?+Ij{o3vyIG^#5L*AA6(3Z1G&~?LX7j z?<4PDSC94t8IlZ#yJ&{uVd~%ts2$tU5{Feq`H#TMeY*agpu)Y&sO{&zykz4!W8urG zfKTH8NjeGH8cLT#A!1Hn#h{l^lAN^z@H#xbM`QmZK(Ia0@w}E=_gm1I%ELxjS6BC1 z?PK1WY=*%E+r$Xt?IQ1J-Kb7ihUOxcCaFg1k8asDIx9dqHF~1t>&#UE;GVNo6x8&? zc?YT}!$j<5sX z-o8dsC&n{eXGs)`*~HFOSH8>m;_F_-*4ti)7F8WOkQOUe1F-v9tfl`XA~yHkqlg0$60MC3N9juP75A2&^;ofC=donn zHha_2dU?#LemGElQlH$r;ZgX)T2a#*E^Xy*N zx>rOj$B=J4tf(=5`drwLg2TR;!9R(K4M< zJsoL`*Rq9SQ2XK0`=?pt4d$0|8ER>^U^9+d7+OzT@sU9P7u?fvCn2953I9lB{PEiMI{Ey1Bm)#xe-(2#Wpf#m+t#NbC4d z90P08u#IIJLm4YDo&u(H(1$KQ7&Ctu>R8j#f4}|q7^z3{je~GzJ7#e zOttx-vm?)%qacOGm_I@2~0HR?@NB3cD1_iuN z_2)Gla_8D^&MrZfG^@NnHhO!%_}TZ*Aa0@0yLX|891P`s+xmg3XMtl`XKMD-Nbh)2 zYpXE2GNveJw`nL3NTLIh!S=!%Sh7stnj($Ah?%{xy+2cuntyYJaBY1V1M7N^pPW~& z_xgX4fd5_SEufSA&~}pf^1`j{?k*hxDa8DA$9%beGoN}=Xzmr8Q)Ez_zXADL?wqL! zHL~qWL-Hqwq&8>-tcXOFqnm5PVZQd&{)J}=QHb4(EcdJ+#2en0c1=NYS*V0d z^R-cnt{EP?7XN&mEOSlC3Li_Cb+6dwzZSBQs1z2v7@Dl=lt9kH7(;Wm)zE~wf2M_E z$MJcPLw4use=<>jE)SJ~2l&|n$Z1IfFENLT$i()7*U;pz8~PuCS!X^9)gq?aB@1Ij z$vm%hZ!O32OLN5z@+(8GF4Hb{ZA;_bwyjd->A%>5g==H;k=7y?(Z~18o)xcYHB2&ZFPKE=ZFp8plttv>Bf!7P(xp5X zMFGIO4Y(pQb;s{jaZFn7>wULwDek z-XcD>zlG}kvYnvEtO~wdaoWFO=jrug=TdU7>|D5>-&e|=94W*W33;6~T2Hl6%f8Mp ziKKD3?n&QW>MtMOXMOklx}#p-(De0fsY1wIw_R5ZO@fcCL1?ZZIky3>zZSpst$o>f zOkoYu#Jm3b8e+5b<>F&HPS{BzYK*akmru5&WG7s6c zvi9gx%j!y+{a~@bZ*X>sWl?her9l>3T3^dFlYD$bY?gyw7C%3c;xq@dR6eb&gzrbY zhl7*OWNfQqDA&;lcc-^VJZk5Bb2(R(%Bj8b-Fnk$Bd}C~O_%rY9?iiE?hWBfhMLkp z!4gxDEC;he$5T%|XZP;)^+E6p6?3ZH;|WMAiSg;dkuRjeL7iwXMz#h5VZ*mc>-Zyy zmQ1tQ>pCtINMRehQj$+(nobPAgWx|iYk)v>h=+Xqg$q<@70xc)@hzBa zTrbY$p(8Mb08I9&p~!z{qMhs5p>#K|PXSZH36$zRY7mwnx5`RRf({s*y!COgYlc(a z9KSZsVA{+kcnZ9P|7Xwii1aA~gK(&sAb$ytjiQU%2NCl=+vr)FsC#W14o_YT*EdLCEqJ#=| z2&~1CBiHY}$oQqsqGDMr{-RvAW7TC%okG%E*^Vq>Hru^k6$dsYHX(BG%OBr1f-2+D z@kG-%{a@_8YeDml!D#$ZiD6`W;ZY=3q4skJ-W7w?wC(6WxAK$F;aW7c%kLEFq@8{Z zCYQHN;!>00MHNpy``ep{oTc8b!*mCje752Qg2-X?L@D&LF3Rkz+;gZF<5}Jl>e0V;kv%wog8gyf=v^Yy9Gu_r|s)^cg*3}eCrJBs;*nH_({*ifn3jay?^W|tZM zgi2fF*?BY*P5udcUGiDf+j7#DN0VydQyNs z7i9J(XnCpCEKUH_I`!}_D~YlSk%HUfhrdiE>Ef!&pNmcf1$)kcKD!+o7CZ%Zish&AgzM&AQ0nqf zBzwkvhmQx{-HSH)xT~cdT<~g~0hK6s4)=USW4N9KditM-<^fZcHdZ?zroOV0DX&45 z?%-mIleh(@P0Ry2Q5hMT5VP~!i-(^qSGc=dU_5dk@(j@I0F4I%ywI6yc66HNdO{^O z%XH#|Bx+^5Le!4(h($Pl)op(sdgo_etFc3~%0D6PfhOib2zLF%v$ZWv=y3(gbY8Dw z?$fD=p6T)zC5)Bmr0L-n8peHO(qF_SPU6vE{pgI#vLwS>YF+Fya8N5~gQJ{5cX z4(ub;rrjo|tHNd>TM}&8tHz4kmk5K+G8*_Wl|Euma>o_4;LrV;Te@Vc8nm+}MI+nY?|-29`eEi!A|#*woT zGs@n*hzaWw!;Bw%FKQp&|Lv0eEi(SH3i#Gt67}FWPac<_?C>u?B1KJ?1Fo1lM4)bA zPF5*$zp#!)^U?!WIHA9jRd2UHbz?lU>A;p5mcFvdwrs0#O%)w~9<@?!U;Rml5HrcG zi4NoAfVrfPGo-cXDkkPWhQu&xg{7^JY8Nt*L*E+{h;+m3-6Wyzy|!lWl)&A$c6Tr(ZMDxPCoI zzfUmiD&#~AEbZy~A+GS*Lri4q`BUN5lns~SSJI~KI01vJ?AM+foR}9rwAkPc$ z**4oq--FT&C;_b;q81;a6|JDA=h}e_wh++XC>k{XmjUQ+8>BN5XkUWt`+EMDdu%Va z_4GFjTSvhI>WB-$=)j>1%J?#1n@%&AD%ENaxRsqSvcZgxhADGv0*2Fw!kL$6+qOY$ zc~n_vt*P?%vp*nz@ZiDD)2}+;+G2UN>%RL&;9WSDX{4v!(@Z z^K(Gug#8alk4h{JlFu~;-k7K8;P+29p8hNjLx1!!Z@etVPe!zE)+A z!>T9+b_)6wGgigm-YNPT?GNUfZ2r8#ZDJ24UT<-4(L7B$P{O^~rR^JTvmz)(P&;VZ z>~Ivd>#0U66$zTP7z|A_UOY=rcnIObnqi1BD(~(oTXS=8Qo|tGwV7~vr2d+um7Sza z)D^!!VhbL`-(TXfh>Es^Wzp#?3m>rgGAog(o|J|7xg=gbF+!w%(y|tXDElp&`bz2v-wnsdkM~{`)rCux2pkD zAKSFD20;6C8zrT2Hlbw=0wDWL%_jZTtf2n_T7c^qL7g1Gt;$%t@nyMFlYa+ub>1M_tKZeycH z@&I%a>a@<&9%Cx${plx+SnuveJ z-92@VS~COo+CyMp(>1j}UiZTGv(qk9@>jr>jJD21K1jbB3_%OwROa zzC9$z_~@@xX+{;MP0=~u(DXgQ^+cMc| z3bdLgvn?k=5K-#}uCXo`X6)ASTRj{vB{$#Iug)y;mzo;qjf}l>tiupMXlGd8I(H{d zM=$8!IUXyq7wTHsY^B}w8C;*raS#dSd>wSIsMS@>ZA|>5j=UooMYSl9DyN%ff8&e~Z*J&Qj1ZQF?==4uR^L*weZS&DYVh@q@rdEyfem@ z&XO7YCKCP~Eu7m(Ytk_Uj-fDT%U<&DrvqhB%2MykUsN<17ruqmD{8KN`4G2*MZH(C zVGdQf_c3DJ6;&L4W8#?)AYJRaQ_;bLJ!BVR{T+tK5yuA6(Cp<3iG0{ZqzqV%UBBt3 znRex~L%iheuUuMir|C2EdxMZg?mda4xW4z=Q~d@_3h>Zj)lKG@zFcC zMeLOcxk+v-8Ni6eN|N#*qbR4VmTC3280(;ng~C@L*2?Tm8F{%c(dD_nnYcI^_oqT5 z@HnUzr`=~Kg&P%@LO!}9h>eg$r5AOT2k?h@Dv$D8_)Y|R3Jxqxuz!#1zYRb*`t0=N z5B8s2Q~pc7V1Gh^$Ym($(qb4rtjEF5t2_nsy3FvR&mzZ7UJ-ZV;5>d#ckHj{*X(UM zRE5c@hkC_xSVJF18R}9O*WTzr`b8G_`St3`6l#x-H5E9eD;uQK-i_tvq9=@XFt}b+3@^k$Nh8 zTcL||s%!d+nyZ2(1~?e2`kj%ay-SAr$@N>4afR*3`+WY9cYeO~)#?vT(@p9)T?80e zSO^9He9aao`jvmY^FOWDKP6A9vqX70LoOh`AeyqzP~ee`A>ufvDqd#ib#cZ}r!F(0 z%0Q;dpWBdo@99e)CgWJm3lbD8=`1(Wfklhwm-+FkSGG>Roe0dm zk~UAi4Mv@y!9bT1zRQ@dlW_i)F#qC#@q&;2Pph;LQhZXb=N4=>gHj1rJFxTK^U@x(xTQDhY&VLr&tco$zlP)mr;Z(RARcEel@i#TM?r zX62u;mRnisehb2!3B2IV-ad-nni2hsV}~*Mei^CoYj?Mfz!$H1KBf7*{vAX6_{xe3 zkflcLQAG6NJBltz*{GLCmOHt=YKKP=u6C`dshJ|l-rTsX#A}7e-=q0&{{FvJL+;aRvx zXjfpS84eG8Y<8_=}w!9#Wo zjJwaUhm!{;n@4QZ$>XAIvhfo1T**|QX{J6tRV}G-*Ou$($Fe}B#b2m99faOo9)&{= zFz3rP%#rbgzzvM0qvXLo)FRsnnmq7S7elON(%}T=^|!!q^-w#8A80RdVo(UvAIM?s z_q^!mSJUYl5zh%YO5LhtzI_bbEqnC**Rk*w;tSiF9;>Nli_1ovDxtL-jmXFCDU9JG zKgA2Ww3p{dg&KaI2hM9IOPJL@?YUk_n8*Q+Glj5HgXpRqd3Sv&0CLjt#Jj1z4d@S! z^+P$HyS@M3PD43@u%VlVDR7wcps=ma<}UQ|c?IfQ-y)_Y-L$CKBUo0H(9li0@hx9; zLN>Alsr}KumsQ3WpV)4U{;qQDo!&bVcxvjwefai=sedXGicV;7>27~}u29z{qz=C< zP7&vXbgbD<(xHUr3~XzZZS4wGUm^>1lRxabe*n>>B>g9(AYrKK_u>DSkg*$64AZmF zk)F}DFQ@+ghaURN4pNxuzxeg%OEyL1_<$qIi?xc^gb<0rHdG@kneqH+jf~u&;ttmn z&J2o}7};B9-3$ZDZ0G1tcZqTE`UK6Z(N_J9Fp;-~qktTp!_X%b3g3o@-nO-D)xb3v zO19PR%{{8F6yp7CPq${Bzs+N_-+l1$d-$uq#`@DSbETG63oGo4MGO1>&SbhH9Ra$i zKZq$Qm=#oPa>BJl2>Tj5EKMsGy@DtJYVZT&O?}M}st0>Ip1HkA8iHq3I-AE0;(kNF z?N^oBSP8g&BP=v_>wNF#eVbiGcN>=_(8_9(fqzUr?+pvaWHxcqng7v1g%(rmuPdz09B_EqWP~ft-S%x`K`)_<^+}KQn-}* zqpO|7r3!FowVA{qdXP-R)A`Yj4%*tGxwV05d9|^(`|4W{ zW8G0c8zgW)kx=w(>{y2)hB2tel|-zo~Mm@=8D>BT)AA5H=xw`$%vVT{Yzww215^-!L$R zmP8}&__}{b7vhkC=|{LpsTRx<(u_u4Uvp-B^c4l*c0&)~3ayyH%`&UdrFUIZee=xh zk>g9V@8tNuOguJ7PT8DKF!wIk=(!(!qU(RTH(O~LkMh;O=DNQefd7y)X|+9eKV}K5 z`BlPsO9~kg)&wD2q~U`C9EXBhz_tu?$FX3ly6-+KMZ;FXJn$Zg!j$)Ui=w=MO6-g54YAHmX#8`>V<#PXJ z0S7+qrnt9X9njhrjEs*as-=RPDZgJlwEzEdPVQy6&=Rj&vzeG8Ct3u61ZNy(LU+@lVZD`2psj z1VLRrS74swPyFVltPAa4LaE$-;Y%`*Dy*ehfTRZ9%n6&1k z-emcMZ@p52^b4LyT|5S0&HfO_<)d_aaT5soE}zTg94)(zkOB$(n#=jx26X%AKD#>= zXF4O@B@92;C$_*ot73Krdjky$l;&u%bd`_2=IQq>$8jU3RBZghl;T$N`{T$@+U68F~}vd(VoM z|6a=fZ;!a^Q2^&K)6qq4JT}}fWq0G}4%^5D)dW=-eaxi=Tkc?}d)f~3BuyvB(&Al0 zMI)7>6(Ovph$G`E4I|rTo#)U$Az2zUg@AqKI8MxUb(3bNN06=vQK+^_dI9(Z1kn&C z-lK#nz5DK#G8BKsUlQGG&Y>2)`zSS7W22p-YBjemsh4BgWB^WRskeB(w5ojgfT&rY zq|+~#*IkaWm;Fwy~k4L%eXW*=T&Wd%qT7UsnowLt*qa}uU9)kL*TM# zKj_}W{PnfAr$Ri~1X6<=ljp&4+o^Y&hI1+~ig!jGi&JT47O{IcnaU81{945bU2XjtGWZP+FMx*OWs_uZ<*U zkrf-PN;dU5JmFKxh7ZVCbzCicz;L3u;rY!2!A5I6;40g{@{CyO>r8d!2|_~#(4JJF zKHF<7{A{EfIErY9iRV8TXFg8p3X)?E?u}xFubP~JB7kmA6x9sZeZ_=DPi$DPAU!!o zpiYHdkyxHK|M~i)RB6$2*&^i`dC3fzL(8=7(|li}bAVaw+%Rke-x^CGhJUV&z7`ht zoO^^NoOMC`UkJSZUhOfjUN3O!(p-n2ee{LREVaviX80)f#q*qGQS4MP-U~J;J1yU0 z3SBORr`hRKskrZ)G{-RR ziyG}?rQ8=ORExXWGSM#F0u6iLZi>MDcBr@ZEFo>n58wRKK!$9|d)AV~`2AKZtI8ZZ z&^ge3e(X!MU;c_c2+2}8)UfPnF4)~Lf3NdVO%l2+cgIP}^$N{!O??ZnFYUb5DQENM z6NgODaDWjxzyFODQRQc!TNmRr$;Bw{jOQBOd?FyUwE}_64c8gJP|n+--P`gAbp`>^ zuj}yibnm0NBhb*uckOv=?fJ>zew{89CeVE9(8T82_XkYt$`dw5ev17;>Z}o6D3JkN}4{1`EAAG)} zSAdv~vQCuc?gKd<_8~sqZL)Wgi^!|!TiYNleN_B_YBTQ}Iv_&lC#*%ehhKjV|8_+R z`g9ESjuFZkwaBA397F04k3K#l^<$TKY@x8rjy$5#Wmy#@@U(kLEe0^!F(9cvm%KfE z8>g@kBRg#>8A&_(i55dfBGb9$7Y3`w_zpLG*fMrZ(&bQM@{&=#=eZaFoV?X*|LzGz zS!zH9;Sw?2`8YsMa5d4V9}UvNy&_>-+#EypT+pg_QNA}3=$hA;%PIGM4n<^ZEIl3F z2sWK7>a8hld734bSf;%$4xfs2P5)nk=3g(~ugZSjhHHOapypravan0GaCd%?%=q?Y zcuVXj)1$}{A^iLm^i-#obxNFle<|9hqJk(RYQI)Z1V-0hQxHYRR%H5Ij-m47=%Z4n z?d&oPo%S(8s3fkbLn__n?d|tT0B1N7&30pquNoI0fo=*DbyTc?ub$W-pq`V&rJut7 z9CG@(hn`u`)^-ECI2^H)j}s;DvIK5wol9TxdGrVqIj!}&GeFWRS^l?Kn3(#D8q^|h zN{yX>UHPe%Vs8lhN789U{kfp8J61YubeBpaA6?lPb=a5B^G&>ZmC942Y6_G*(UMhT z$cFx>a<(dko$o4TC`&+e*t2~uIySFQ*_Ol;5*DLx@{hvR|JVtiqoX=_%pw;;!n(uST$LsH&!M+a^=RYLMAU?rkUsQ+LC`R-iy#@crf0K+}UUrO$MoSWc3!`GW0nIE$(<6r=V{{17+YQ zB(r_%QjW0iV264v^LoGi+T2ygJ`(wppC#=(9;P)SD-}8>mXyk6xW(zl;o9v@G!hdf zXNGjES;{6S0!er(V`%rbwwwp_Q4;%d5Fo$JF}Goh@Pp}%gL_I>*d%AXX=IiyW`+Pc zLv+r5YScu2>v!l!()yM3mxD2xZcrC@;a-_ibKWs} zszHf|th>L$4;BNrMOesyLa+&hRSIN`xG*U8h*DWQ;w+z_paUUhBMIL7eh)RlI|7pA zh@3}r16Bcdw&{q(PQ|hji{+8Bx)BHi+h>%wWg_vUn+dFVWW&Uq2C`$L!^!RB0hmQ4 z?Fa9-AQv}0QY$;K#9{Jq1Ukx72Zqpan#a)5XhZl=Bp0;$Wr{>|~5;LSR^FOqH$(yfI)V zaa49#htTK<=v*YIGtSY#_qtA(`o$rBW0z#q1qm)*n*--5`unV%`!;OL zA)6{s0qN##@it$himi6DZ9&jY5tH_U+zTYa3_*s@sb-1i#5O7SqM<7r+n;uo1%7z{ zo;f%wuhw9f!}H?N`;TG&vF3W`cGZ~cb4wjh9~4=3-CcsIM*b#OUHE6Y_lm`b}KvSmd<(zv6}fc~#f@Hg$6e|cwy7s+D>L7mJ#ak$l8GIqN&8Wi@a+*4B4owhXR@0lG%Hk&FA|~;@MT(m z3$b$!rDpeitJa*8?HPLTB(XC$Pni9d@qL(5_9~g25G#Zu0=98}{7F#jQ6=z868aYAJ9HV#2d_0>eRcb~uRxkzB6Z1s>b1 zsKQX`5WXM)j0#`57|B(ngnWPxc@ZkvDGd{7SHHR^nmsr^TJDeH9rE;ZghkPS!W}m0 zzHj-+$heyJ*4(#`$HjVL?<|^)2q!C6l&Xq+gg?0;(Bz6Kn$jr@Gr|z6Gj^`G-i>TZ zHbr&D44c>c9i}wG#}ebGt=+JDM)ZW+X1+Q8&w7y(8N>q@@{iUFHdBd!2XHEz#QDb5 z!Jb#j9*`Uob;-4DZJX0=yGpyHB}ERJxCgY;@5B9$Rzsw8=4iJ%%eZA-3S4}~TX(SM z+3_!K#hiBb_rP#eP^|lH_u`IhQcT~_R$u@kr>skE!!n8n-i2` zz`M~XW*tS??A(lQe`#A5mPdSTG%yxK z(8rJEHPGx?Q9rYxA>I9+yFEph&^5O>-dXN1I1y~b64c-v=Hp1&x~9=B!K2)VCE&h)v@1G7ue)wph+?94g|P zy;Y1^RcCRc7+zSK|CirRfO^Wl>CXHI74`FdXjhX#QD?{FuI0hrew+JrlTE~fL*Oip z%Pd+_;T+`AR1Y2GEka{@b>`? zbSE?=jWpJOK)4dARv}Gb<^FvoV_x?_kO2NERI!_5E|}H&f$03vAST;k9roPC{ZL|p z?Yc4aq4t12`2cwWr4i@#HA~6jRHD&PJbIH={E0q4-##+TFI7h#9mP;fAZx@BD z$&)lIUSZ7JaDyWVY?PfT$C{fkJ(hpZ=*NtQY%UowS1M!`CNK|lJMBTqxT23=B=*Yy- zAAPZrT1nEn)BXDZ73we>^2#vreqk3;Xo4{dlJ>wAGl9s$ZnfE}J(*|Wvw%KvpP-tX z<_cCZjZfm2w_P$}BI|Y^Lq`BPFcExiqx{C`gO>7+xcborOwtaA)jc%-x1e{p|mVs^5I?VCDd0Iue4C<<{<}OZ2Wh zImNo3_A>KIHi=fTQLupEaH;_)ZNqv9FuY@E=x~#956^3(-QRz0*%~srm-$X3 zF{zi}Fv4W$Mi2S0elN0BLBL(Ve9f368_GTwIxo5sO-B>r%6JxpN_TAYL22nsle!mA zcNet#f9#4~v3F!F&cp68Zdjnyz4>s7f6**7L);y8t*@<$XJd8`1HuOLvhbNPVBjeX zG7hA7dQHPYVI)D3n}in^EaIl0o+#(n>4F9q)NqP~mb2Jf{I zj2eACPw)Kwh-{K!M>3yMxF74X+w={W0^{7vpkd(=66qzJl)rU{SP|EE<{3W(<2{kU ze?k2{wMK(QLD+n3-6Ka!j23Qg+{_$cxk_UQbTxQ0WCj&j(^zXTnNj&kp>3xN1_sPJ zwvFIXgPn@Bun_|d)uc@u!wm5}rr25+#ek6&LnNLrn(LAfk z?tQ5YmJ2uOdYUu)34MWaer!YDuf4Hf_e@Jx;_8ybLekW2qdN)HD&rDAy6RLk9*6--#jWY5U$0>;yV|Hm z5C5gfUO?1CIueg(Z(mC!|Q3y9tA4^rfO}pBkB) z51?Jlzmnd{IBwY&yfquWmnW9F;kV{2XBUl-qq8rp^Ixxvy2Ld>DucKSW%8TRuWOQ>VH(n>&HwNdcg6 zf<3Q!d)sW;EP+5Uc>gWYTDjSE%n1R2>i9}4DvlppR1+j80* zXL04_SBdgsrA>32cXgQyZj3zWFxVRRlDaQ-8)^SLaJQ>nsZJJbQZLDybg0xw;MFgL zoOFuoDxR$nU5;d7rvFma&OVh(%(Fz>opx|Sngc74d*^lBlStG6FAL# zrQd95zUqQ}ObZJ%2-dm~;vH=H_R(9A*=t%u^t%ur=}!uxZ$>^om9JtFgr}^2>y!;; zUrppoP<3lWF$!l6-ficQnl983-)F$3wk%ILk=X|hq&9g1K928khhsiWNVb?o^<-l5 zPMb{}cClxW81MlfvO2vlV!qdbyrL##k5tLJL*IQ+tgYoJohjLrTm3Q(noQsKo#&}E z9BF-%78Ja{9JJqm8@i?kG5^SnJVoqO)OYF!uLHDfH+GHoW7zq}L;W-4hpssXXZe@c zH~5~m0s~@E^WgbV@w}x;T+e5O#&(t9gbHBQZk#Y1S)eqxxk+Pr!wVrO;GA(g0$;x0 zvrqDzInmkf7Kc_n$DXv8=2(Uz8llP%6I45cv9R@VsxK9@YH%nCX<*sAA3c~$!Gr}6 ziWw8WN9gtLF?~Z|JLbLCC9`voChFdxp-))Fz-7MddVO2x0UGg-#gh{z&wz$Cy9aJA zvJ?#rf3BKNXc!GGb*%^|9l)(!Y)MSH;J1S?{n)>lKj39}k82UC6Uc^g1~W&kS;i$d z?C!xc#bEOW!;{kz9;e5w;l^lanAcGa>!nBFRql>JlS$YTfm+RTbVe|ChW+ z`r$Z_;{`gxMpc4aEzK4*4lIu!I$tFZQQjazMxNkVnQQI9NuD6uzrnPyH#Xk2{YrB} z5Y56Q`=5)XTT$*$vUM!3@4w?&{l@`+f;&A1Q-uBbehA+G+%+XbWU#B1J9i%USBOPr z6B8zrXF-TKhAZGRBaQdzS`~8wtzh~kB|}{*eSg2-k=P4{flkCVT!fJ~`ljXnJiJ$` zRD3rHKK0+^^#;7wIDt3zNlT}Y<}XGfg!VGCwVh~3pqp2DgCU_E(IWQTsj_><&{5G9 zoU}fDXO8Jha`D{Gs-xU*344f!y((F@lZ3uCAXUzf>tx&)L*&vs0Z;8?Psg3LRu!m+ z)&rOQM%3@Y7erNjN-MYlpOITTC&iH6(7K<$H|(;7N%ufEbS7;do)8y1%}W!)kGmtF zvZGpbW;4^!Q-o$k<4{8t(FxYz@llXpG>!t(z`($#5JRI_`Az$zXgF(z`~YQ$_T5tw zIy1Y>8+Yv4ruOI!CEIx^^M{o2v>nmTvTd^9PpyE=NXH4Hu5D|=v?u;P90yq;Bcy~c zfICERP$727n_g?{o!BK_8&q_9J=P+6)Q1~@( z%=|r2ztJ(3A3IBZv9vTQj#3RB(63`!<&8(uBAgjU-VJ`X&$owwhoh%AS~MJ(O|u!! zJ0{TdPwAM%DVeJl$BNwyYV=4VwRQH^V|ah)Ti;`zsm~ptboiTEFAmb#tuswJ`)FWj zHMDi6VUIY3nhMJL(<;h_0A>OVY0EB0MOkq?eha}&A;(XE%y&!DZBFQe9%;=*%g-QNOr5@6boxwfIfnt7 z*sLB8hbeasu%X>c{ZucxTdwd+P7JinuoI0MDT1hfJh*=&2kPvkO$}q0Sj9gbpiww7 zeB;Til<5VIPHGK8rDIP655ZR`PlDNoKHl}`)z@*`)=MWV&ZkNDHw6qWpg~~~Xt6kGv zJg1;1K*$Hi^UJHzYA$cDSlLpk;&D%A|UHcxyETVTxhMfgWmUV*< zE*q3v?SJy{efek{4iW!u)rfvMfy<-~{-s=mSKD<3^^H5&8Ks4}0aqM~n{mxn@+Ch6 zxMUC+-es>th0|4T*-^lEt#qdvdf5mcPt^3$>qiSf@c1}}5H-S_vx4xk;V)zUAry1}cQ-!&3fo_Y^e2}f< z*%Q1rPt97gwUBcdQl`fbYigkZIBEXqXtW%|NX%wK(Lg;A-49;yKNV&w`P|-u!5aR} zB585X{LfeMIPOesr$6|RS*6Px)p|p#5pE#QGcH3NmRsv)C?4~?HFv)v!z#<9R6qQ7 zhJ_TPx`KU#p9$c^UA8Z$2GYo_<8^PkZgg)M{yzPF@UB$FL<7!v?9HQouL{s9q9L2I zk5mVTGUhJMakiiH@c{#e%L!8G`ui0nO{j|xN;_5LiP}i|=hYp*k**7@c3d}|7!O<4 z#2_HBj5dWeDRA-P{*z8dV_UO|wpXLMF<48X&_S&&4b1;v#cysfz(5JF8>rWgH2!9<3wSuL0hF6-p3pA zco>evm5^vwHh#Cly0xDIk>F%l?)IkoZr1_7e7oMS7|u0%b?dR>hm!1?krSf>bZjr* zeh>n>Hcj^}x!H5_6w2^=mJ7Ka73YFqwS{+gHl5craD3_kLW-8ku~x~GQ~(Wqb!X;ZHG*l%z5Z#D;Bq!7C==*z9fg? zlMWnaaO^j*ocLPgL!$o9u-@Jr@iMhw{d{e#!_+~=VpY&)A&p-V{UtWf`D-lcLo8xF zqrP=+&$(fJ@!X?_Tc@nwxOBruo*0A0K>X-@ietyw<7(sYQ#v+y@9w2iITm%;oGa@L zJrkGdg_X_}kG)&Hy!M!0f3a5U&7yB)$CJD$n7%Q3tl#lKh2r4ReIh)cb=bNctHd3{ zpIRG1FrgYYOPMs*W|sUlLEM)5Ya1+a&P+U9!y2txm^W|2Q^S32)0zb18lxyHo;3-v zRXg)ZcTrhgUZ=aB)teAR42uei(QnT0HWplX)r$Lnx?hX9u~6#da`X4$IqL*(y#0Q6 z$j^&y*dxg{GTDTzl;JvUr++b;o%U-MvqR%a?%me~o6OTISaGpaMr=0f&DnMgDub|; zc`2W$3wZQ@tX+3N&FvSbw`drZ28yOsR1_tx`!=`@721=8N_%T@DM_kZT9gW*g-RM4 zqL7j(MSDwo@6zu)?FjGB`~Ky+-Fv^^@AI7JjL-R;bD(I{0t%7jADmT5$rrnVCy4?F zqWM748Z6d`%F;ZDNAo18;hav@E~2N?Lw6EYjsZt&YO;1}ONdThJiQK&UTHqJYf}N! z`tI{9|3X@={KUzuDG?X~2#f+e4cB0LdCfuTnXo$M2rxGXk9jB-t1e#Sb!5$KA6ZchkS& zE9X?-X+h-ft(6596U%DIoQ4faRDsfnYh#r!#lH3W_zEJu0{mZ|_K4;)5O7ZXN2_2QZ=RXx@gjAl5qX-JH{h%L4<5s5}gkLj^NX<`SfBs}Awj zQD2hs>tHfR0WW&_?Af7aag#*JW5989`FhJ8T2!R>u=?~!sTZreJ%(Xw5`bnxtCn7< z;Dv@4`wjV?cTnJuLBktnFFxO6B3{9p+Bt<~Mj)?n9Ux`&&&Zk>KSS4NLK`91VqY!- zQ_^!{&0l>v#kkzepM`mQ=$3!f8JHuuHt9PTmgpAzSsdOWUH`orQ@>SS_GRGmLa#mq zWEjWMaB1Y`hwR*ARwG=@wwuxr|Ii4lVF!~_L!o~c6Q3;h72J7;*C2i3h#S4g{hPKL zpf&i**tmKjXQpqx$vd+0%3HA$@0nCb?)Zpq4%^fN_^&AJ z(ebd}(~SiHw2_Q{l_4z2&+%w1Gul#p%w?? zZa5sjW)}W}OfT3g|p0fy8^yJEnW#(Jg@1;}uUKdpbugBp-B2})rFhbJ$w=u=cUv_HsklJUl` zWlD5?TeRy0%k9gGr&q8>7DES-DxgtpNvw1|0rs%oomszxSd11~SO6?G9?3p<>aGkU z9bv}EsT}TL2^V5is>k$~hA|hKSPU7W4Y8(ie5WCHKaTu z+t%ZVN&Hz(OK?6k=cHUydgW^HXH5>@Jj|_=oa(_jj_*cRD@l%tb)`0nuj}gB6@tx( zyfI2~O`gTD2WFrXk8(FExY>QsGrrrAbPp zI>m`XKqxjO-ndlD1fifVguV^BH&2-GtL%fURpb55LjoB*)oLfE2X5xc<3?&HHjUQ^ zIob7sAfoDNfKg=Kwq?9>>v&slbKie>SML4llCl#!4yj%kgxAK0H?b?#JHX9o$Xpoo zd*a@DSKzq=^+SQb(y#B6boc~!T8r|R^=>wR)_I%=kbKed1dZUVw==faI6d)|?o4=% z{lw)>j?a8+w)sa3v~z6;dId)~FZg_I(WX26c&<+!zWdL z0e!q5^f6i5OE76Qxvq*Z60sZbmB6dP)|;g-GNr3vtv8-C0)+-8Haz2Q8w@lQ~d4f>kf@C9Go7M(};XM``Q@+cNdj zFLf!IpL5YfXAo8$zua><0xWbEl&dGy%W&*DQ?`@!16e=Grk0~`9L_!`q6H?SNbi$w@EiS>rQuf29;XkQ^!dtijO~rL5K8OZF-Kji74e-$QXA8CNKF%n%7MiqHnKbJc8dSdJXtsmM2V#w?4W$ zc+c6-u;aZ$$>r!BXnPK4cem`(Ynz#Uy1ccXQEpgIBm`Vn_s>jKCA1We*th+Zq2KZ% z15to2DdY?Xpyb)R@ByGgOy^AFG|JtOInlR6?A{4Xy)WnVF=kcG|GPWtj`ve=Q^H~J zWNw_d@18P4b){7Y0JgQzkAa8rl+4%WYVZ666p%bMrq;8pM|_R)h#As^Epo9J6ID`b z9NH6gP<>(EHYQnc(a)CR2T*$=dVXWI2Ckd?z9NebSVO37g(38TN{85)|2o+d=)3DH z&rDLra$0$2oM{GTWRNHU;7373Aj425!mZjbWD&-tJ-?J72Va<9740;S3AV!g{ zklXba0rXU@k5;ND^8&D>#=7K?N0HQy>p778d-$|1=0S1=LJaHG4>6h8t@?6`7@6v2 zJ+LJPYsqIK@IR!>!(TY#Daf@c#sg$|Z4 z)>Ii~yYMu-cv}Eiwgyk#_t?{z0D%S|0c^eA1Zgl9UrW^jhw;fSyJwzPsd)#an42G7 z+UbSba5VLS6l|xAKK2A+u9Ka&0AKZ$b%7WJ8<`jj6+Tmtf)?k^#rKN@Bp^~abej0~ zMc^5f`p9#1N-%%SD_=A9&Ex7r8FUf_Ip0G^XQ(MMYXI<)O^(84l*0YsT5xZ zIrfpWgp9H;HZAsK<|O3?b3l!a;8Zn-VJ6%>v~8f=wP}l|+0ouU$hsF)Nb6mCkLs$Q zT)P6wC(9YTLk#FasC5jYa6qIA#4&A$6o_*nZ+Ng_;)E)fdLpX|H%DIBrj>`0&xGS8 z>43iC;2Req1qkQG7BFtPPe!442q27o+8n6)LNgAv3<4K=XqOLt_3ON(ZE8N}n+_>o z7_6$u@1aA}3mA6mIFyxwGBDv* zWkhy`QRD#wO2h}c?)I!X4}DTi;}Z5w+j8t-#*74E@L8ZGP$x=az`!v`>|uMw3oTU{ zGd2KK164f&`D6gRE8$2zXa;A~#r^v22?ENv*X(`KjL#k3b)JHP$JOiTH)g#D^kMzG zeT`RvVZq9M96pO01CI=(l&WZITfC%3R6t`E@!v}JG8@7ko;@YKTQQ4UYkpeq(k&n) zrn;96`7VZMJwO+Kt;(meP96FqJ)qlU3TM4L@JAYiy9HwhTZ_Tntq zMqlh`40Q$*;LQn;msq!X&sUghN2dP541#`>xWm=$+H+ZO>LF0d!;F=u|`9etJiuZ1Jf3veEkAKT*x!uicUr$Fvbpn%_6QyX|NG zUe7av9V&4fq4+Sa2A&J4^EEtJy!PNbl?;td zEWl_J=1oY7yIqx1OGK+he!U3N%i;uIvGH-G&{EMHB&Ju@rmJc+;^Mk1K9RAg+tJ5# z4WK20s@-T<3wb_lq*E!F_Q38Jxv?<%cfr13BloD1r98=| zOo`4bj+E3DW|p*-wgYMz3rrPr7F<*#S)rvCCt~%gpEEQ*^bzVxg%pjh<(qAW6CKz01rq;koqn;( z_+eP%mPr~-#-DsSiV6q9;{g4G5*|WoQBzB6@44<>z{mK!M}`#c;Kbp>hgpNIO7Vw~ z3F zS3PJ6ZacAQZHmh$#~;h&Rod~SsAo-lPeLz1XkaQa*4b=CsKlb|pn~7Fh*19n9je}BV z*$@o5G{^u#u~ZvYIG_y;V+6DxTL7qaI5?Qd)q(m&7hsSa>EMdw^Grh7KUT_7hD%^W zJ+T)fOLsiV;58Jcf&9aVc8k|A#duTbiPN$i^bTKd`922V`HG}W3?x=A`^aW*d30+! zqzGUouaR{f4rkId*f#h`b6N)|DiS~xu~wJe8KTJgdLt;lHvmYxxcM2lMcZ#$AAz5G zac!3$Kl#s$+;SeGKR0T<-NV!Rf`asJign` z%galxP>{rGVb!5@k`_${g-o3oZ$1dqW{)O=y|?#6$B29#Y{kJf(NVxR+9cMAjI3(G z;fHmdb`X7SG0$G1s#IA~L>+vBb7748>#UsnFpAOsPA;~YIkA?Ch+sH$a`@x2T!+PL?Z(DMuDV;|KbW!!i78^Bu zMK;k`5HdfIX?yj$pRM0z;E=@ml(^KT~+keuw z1E;DRD3b_H@#Eg^v#3oSSAHhLcgd=NtlxWLp#2k1){X0ZK+OQEps>OFh&(i|RH)hiDD!jr2mBDHx_n{! zV0H5TjhL$xQ0G@SqO2sm(vHaN8MHD6mPS0dkiCydn}O4%2WIUXRNFB3MwUVZ?cUVw z2*vW?xlr;IkQ3VL%KkcTS>~1W3pAm0V+57UA_W?h?R{2c@a(_@kx-c z5EG^3fZ`_+{oZwj%)QtrP+jJmr>v^I7c?DqSHgbqb+G2K50bA)itp_`Pyvs#A;beM z6XtIc*VRg{pM1eNfd&yU>UAg5MJWGlw$z0UFf-^vJiHJ-;Gk=>OQPd5Om{ zD&8cR|KH3Davnu3EhhvU928H3(jecq1AmdhpPIs(fYSpIc zosgJCr|)xg51&gNZngKu-OQ}wYgt}3B!~z1Kqju?T&C;MdOnR*H$4+QH*lxS+q>Y5 zCOjrZJELeoQ18|OOo}X+n%7boLi=o}C+aHrv->5*3A*sF`>*o7BYtF|X_|J@DMWFd zk06OhaxI{tkk*|5$AWmhgU24lSpb)pgM8~P3Q;@vqImRYU_U{NNZP4ed#~~Brul9+ ze_`L}|AcQxhJI|_4Y4I(j#Oui#Gz7-;;QJbx$`@^jXZG!wDDz6zK4IA>M*!x!b<|| zuAXyXx_O1+{VhQ4=g`sVr9eI=N)BHbSHCT}>OEA;8IT_WL{v zO_|FfE1YW|Y`bp|Lb{jXPodCWA`)`xP*k<9U_D3o_Cq(Q5)G&N!>6vAsW_a4#s%9$ z&BdKUcI6;Hk`;pn&wv~L8b6ifGC2nNVC|W+;C|^sZMbA5zE&*Br#mu|UOGDy=uVN% zw1*oo%t!|ClLYn8Ie|Xl2x%;ta3g!dJY*~T>|wG+JG4jQF{FQ(*>GhCJWDc6MK0#C zn=@AN7%&_;uRoi+-uHDoZRlEbwO+#EQp>S@%bKpAsOZ_vW&A``j9Uwt?B&>b^M*0fRw}0l?8iQMneF91M zrq99>TU#u**U2|_(k9A6ZE`GVPNx1-#-`ic@B!lw)*fWlk+E^#VhjE31a3f+6`7Ev zP*O4Lgvk2iUzk>^@8d7;H-i)oUc>rC;kSYNVqT#B%1opmgFk&~O$A*#YpxGiGHgW` zwh6fk zKl#<}*|>Jz^DQ;uuJB&sT#4*?!M`l z#Tq$}4+pj;D2`nv?=g|ay1DhnK%xTtbx`K=##~S*vR)mpR~p0lF;1P2Rd1{(XMM;X z!z0R8QCBUXyy{m*+q~?djOMjMALv7`NB4B($2;xd1ZILq01zD3d7THB z#DNa{%W+Vfg_xmENYGb-o%66_7oqgK=x*JB0H9Yl+)Ner)w_D#JhZvHH1-qdTfeX? z>vfz5OHbdlQE_4&w9NAy1EkNLu*)N8r&@d+_y}G;sH<;Q%(Nrt#OFbv{ISNb*{O^0&yMPiJUpSgAn~Kd{KK6|J?86 z=xI}-7_(9JJ-DtTMgjg3U3K{PqrfeA1R-mHTtwfhYQy_CnQtPJ(v{V`sy)0~*$sgmB9K6=p$WE@>OMv7&dU%dJEHjQ&H=9m=uL(kUlcn- z&iy{GQ0uO)e%<5LNx{Nl&2c}ZM6H_^zzq-+N| z;HV+}naA*}?veFywmqd9$N-h{c*Jk;{uoq_`uMOTx(TM9*p;;c%qLg71M1xm6*!Hc zzc||6z)==~yvr~kyNNncB8!%2vDEz|xD<==@9l~l=q!y@9c3#8NUKkK<3rN3>%n6I{Nme?pDZ^?n$K$=hVWqi z{R#ck*)(ZZ!*J<~bnUkW?14B89W^Kn`5dX|;FNAnUm%1#(_~z11ks9kUm+^9htAvM9gh`?`3*@9U}Rzd z>F7!_EPk7h0-->kaOe;2G}s(07C(#8My;Y zPwYn4l80Xu;w}B03)r&@&`N+lH{5-0$`NSLdcBcuP+WRxO(Wp?>e$USz_E%n5#JR_ z<|AhFYSU@gw3i2`J?;n$VGSgOa|NjDn>jLw0XuO3$hcnnH6YtBD(?dwLQ3u_vjfZ3c zQ=vhc)e-mbUi^tYO*HGNz@Tf}t3a>F2jYp{cx!Fj?s56%}dtxmM;vp`U4|S)j42A#4I8bKS5Np=7|%jPCqdjk3gv zk56rip|6@f$~aCcz;;P{*B+YFjhF~y0=J=;CvQDSF}Ax{HuE{me!`4etovOeI%e8Q1(i!Zp_L3? z3mRLMu+3dyWBp1>gyig`{fVzv^01l|-_Aa5>x!1qw9CN2iUXoJdRa|NJw2jirC9W6hp%rRJ+ z-u5lL`dE(g6o~?Wa*{y_^alC+L-*!DTt}rxrfs{~&B6tr3G=MvtLD=gwYN?C_19yQE<{69v^uX|} zsnJ`AJL`tpL-ogBNVbQPd!XLU2#8~iT2R#UlJd`AG~s~Db~fH4a6T~j;YHp`9B4q) zi6X(n1-y@`i59UF%0vXw#8oz*w0jH1RfZuNAGyqn7KzF`8wg;Hv~)TYFgBHeL0Ur; zMf1H-4|jk$X6ah|qr1e2l3d*)lw5d;g{sd`yKEMuk7S0G=9H=U#dbyhT?cWU6=W*) zwjioqLLvd~5GhQHYKDN!doSv60r;&RJO~ofJvdOjuX&*79<=fP1TvwUxhuxgl zI6r;SC&$QI%dLJm4u6^~iGIdEh@b*@LH4=DFS6{6g#=9QmY}5dZX!OFZ^!k7qojll zM52)90^t^=5rhB=`2exgG{6-&5E>=&E1sS#ToSASWKaH;owJmWaClev*>y&5%&#s^ zX1W3rp~?W*^|Szh!4J64D>`q$Na4^$`mHLR_cSrLNIpK$97YL3s0xs!5rx1XTG)Mo z)ZLCftJ}F^v++z4dOA7$!k9f7${2#u9?0|v*deS7!(mH*%c-V^!04G*vL zE%~z2!)$ONzCm1?>yrTPuKP}0uWtqi56r+qQz#F3Ie=3Nqrjdf*w}o1e;h^7fao~@ zNgw=ruf03Aq3$z~N)0NG7&r>vn;9^W@>bWtZz{59T7X-1Ylor2HE-(c3iavnA#=R| zA~k?ud4SG4{waPSBPxo$7&B+X@aG5smMsQHcpOM<{yRHkVju1YH#J8yYeF-c`mSsp zjm@4Lsg3N~#jYX>FEBYMfkt>SU&*mU;A z&HbH^>xs`8Lo!*9ZkiMgPYU53Qj7>h0dVYv<*9d}kYdp7iqBa$ny@D}Y7MUEIBQww zEugfc!p<2h{0HZBiHTy2##j_bF)ooDeVt<+pA$^@A#57`;I)w_9tZ;?M(niI)KbiX z+H2pe1w_OJFiHfYMeEmk>vjOCfdN?Th-wPNTGaHYLE&T^y&p~t_*n=J$y38IyI~Fac{{`KD|D(IcDua z`_(Ko5ps=3LAXoT`3bw3ZN5 zl0dzn>)Qz+)RY5L=SZ3)-K-R1){!9Te!@==O~>BeG7K>aAa)IjY%(P|2km|y$b31b zxI|ums(qL2>i0(^j|-m6>ddpZvl!9zxpJZ*ev={_NV}t{-{`>uPC|%%;g~-H7Uo%S zhzvJPft#@ZOYn&EiCG^QuR0KNM0=gIZJL$(5fl9#KU=}_bHX*X0WL!^b`I+#Mk&B>X+ChqWe zS6y;Me&Ij-^iP7E_!Q}AOKUN?kfqv5=~6cIsz*T{L~pE}PM%!J`%dO06mX}rnvnOM zLFgH9D&U1`N8V2=s5 zgd&hc*Q#)@hheIO-dA9N_d*VPM`m~~(>loQ?84=aykbTzY9Z>MgergsP=1t9i1>D( zu=8rR@fSEn$`ypd&~AuE5l%KWUOOefzw{Z9B7{1VO4Lu^3>b)8ssn$zi;H!`uh$7f z&VKKEg(OLcMj2914S$8A`N%`-lmUz%_Bl7fV(|j1+v!m$%0Q@ISqG4m5XB$CMk<;n z0iP?u?3$+5U&^(2U^&i$636&fN6;qH9iyVwx~n`5e+4=_W~LKHX;hjtfjXj zVh)_&acKpTpYp2y`6DfrTS8nL)prts6Q)5~5a0v>MU_GQ1Z3qq9lz=?)YUoNa+Lv8Xxodd9aHm$`c5yj;lg2;ir#zXn`rICe2?*0n;BJ?Zl%Pg07A#+Q-cE7oC35N-@%|J2eK$TFEh>tBrxUYI=86 zZkq(Jq8^Se%AJa<+!+azKm$WinU_<%U;goRK=s!{kbvcl~ zI142>IRFASw8TTw0%(iLXFhR@2A4Dg!wQNk9RTbnZojg#>0XHGemI$ZY?b!R>`tGL z=zoZw4{W zu%12ZEd}TG9mJo5P8wIA%)c@H0+&Rr1*Z}9c!-OIZcw6qc`PX2m69O^_GLOC+>I9j z{o406;-U;Q_${d92KvT4N4gxvnT6BYVF2W+!FC}&Yd2&&eIK{RAnemayBbI_Ot-A` zGU9xJ?dSp{4JM$zx`78qO?RTP$#)L=j2vqY$f4JPuogQt8gyQ0XU}^;Jm5AYHV^0N z<%rlq{gUJbgcN)Z#*FNk^14a+Z`THsEtXeU;D}^kn-Cr8*))vj>^YaA0E~@_{{E6h zj=&IY1u_Z{WO8}Ffy>$sDS9VXf9Ml~a`vxR+kHOn?nhV!M}Np;dl;G>GV-{$86Pz! zM(2&M5K4kM5?>KVxHh5zCJAp>2;Rk1tN;aWj>BDBNCMLV7O90q@%vIJUCOUMuFfMj zMoR~O-f>1u@Pnu@n*h*tz~TpOxF#U|OQ~LR)8859CA*FZAYQ{DD$Hs^Ea5I5AeTMs z7h|YNvDw;gBbSzd1h@&R)Yf|;4^tbi;Pr$8n!yOWH0Al~LUIUBF3i&o%-_b5d=}Cb z8#Kd!2@Z5_kKR5hXy33wxnMd(+Xo)Qzg&|8i__T ze4*v_XNb`nFXqEOW2G1~R@^O?n{%b7jgwLgW`sYwYBI&Bv<+PJ9mCDdS@Gc7p|X}V z9v^Kr;_w2_#Amys=(#@E(pRTa-8VUR)HVOZsUd-b0^BbRD{HB4SthA7b(kre9GVz^ zDsIl5G^kSQtZdNus#eu4c}RRD^RR)VQRc7o2BZ*GapK>`C!MNGMr@$G!U{UP^-`Ri z*_H@%LP$4;W+p@Dk41Zt=bDWaBuu&tw236yz_tb`m|(HE+THFZp{XO3MPUwY(H_q& z4+2uj_K9*SBOY;3QGGP-N8~3pH1Qc%0;d{r!O#&Al}^@ z5D2>u(LD=S%4a>#o3<4kly6(x0N@GRHwBJ5+p2z+L_qqtakEz0c>FyV6EAf*mPqIS z^(PFD3}m)W;C%Dq!tC>y{Y0ooJNS-!vt9#5WdevmTLEJQJBz|l2rz2F*~~@st=fB$ z&{VPlv4?l-WaUA!tEnQg@jykBA~NB?H#X6K0dsw!1)K?Mus|u8U?vMLL?6{toK2Jb zKMVWICsnK?C?#{63{+UUKb+FXAaX!?UI~J(k#BYt<^x^TgdxwXysBgyw0JWGx4#Gc!%y%vsbC7>25*vquOCi8<)3dy<#aI~ zw5)!Q>h-~~fE*DYfNj`#r&1uYvFU6q7TCVZYBw*?yCF!hfKD48*TkCe5|kIuZYYP~ z6$YShRDXxKT^>>Yxi^f>?%cX~i!sU5+B33d9n}37gucC_MF7yxW)TN#s8p4GHU<`= z(kS);GaB=-!NdR2Q&{0#GU(19y7BFUJm}X}1hg&4C?c}G2j6-!;l`_cl#QcQB!a0d z1=3GHL8a(o^|AQUi&yji_zX^bLzIpIS+dSzy`=vD14Bki0-OZTd{SfFFkYbh?Fd-q zL)6q&|NLc5jr(%6)Q$DC&uK#KhVp+YUT7hT*#Yw3yxZnGp8dCHplwIN zM95OyhQP@;uvO*-5^`+Ot<6VVwy%oi@9Vq7!e#XtO59MjT#|J_;Z3mU+j%qFN}V$| zF#Vucf1yMBRE|}pT0r(w9?lbDI$d11pWW<};ql+@4ggO21~M~wxo_>Y&$VWuRS6sL6B0Vykk2F%(!_ z!?Adif3xP0OBv{_90t&YWCy7mXo}{N;yAb8N*OjC6SL`gv1t6drSLjNht#_5Bgdc( zDy=OZ0cGnYnXh{2hW}Zp)M;+#DtJ@@iw?q5f{LI+P-YK+*QuQSbbF2b_jy5# zg0x>Tgja~p28R$)RiT9eY*?X|mXzR^4Cu*~yR!|<6#GF{?thgySy)S|3n(~q}tTCM<-;@%3&a61ioS_E-ppDKd>b46)OW8l((lE z78!;w>q`grE8V+U!+(4=jE@N{ zCI6C4E_C1SER7>wkO};M3$j zIt$qih{AW7A$+`NYr;prZkHpm%Z|D%GYU)UdZb6f*~ z?z5$?*9x2yHPWptvYJ58LFF(cD$|U0>`>?X%5_2=ioT-S>AP`wVP{BJ`921C+`m^? z?~ih-OONwnUznJj7?Nk{YZt^BeHPu`;x&KxCq%1K!Qb|K4?80l3f7`^lrh;WwqB%zENU`7gc&1gH`pIhE?qQGHy= zApxli&9N}teFA_!FD*ojLo}ufG1RnxMbScBl9}rQY|)U7RR56{g8 zJ!AvqJ6N04YJt-|1HAk~&i~*~AbYD9%O0MKfN9kBjgp?5@%8Ixo8mA~i3FK>itFEC zWqAS4h7yH?4JTu~8N|LJrdh)_fPbCz6eBMXpp-MzAqRZ+(4X{RV_qsiOH9X~{0WD0 zW7Q-}zshJv1UYO3%5LXIlY%x2+nehNzsv)G2!e(b2raPVpvWh_4L|cCRNlc%j)!4& zJ&++}wJnB*BqzKD*>-dP*V=}W9Kvf`x&@Iy+k$hVNrlQ=l*G@a{?=)BE*)~08yce} zAEte|fhH~`Gy`k!KC1J4C6&J&p<<|$1Xy;vhGy`x&`c%p-YFG4mj3QiUGfJ4KuAo8 z7IxKl3mZ-Z5a9+(^X=O8P`ywFJXP?3*hE71jKEQYDT4i|V~cPl^s50CDzKaT$U4MB zSx>(&9`dN@5Ed8kJpFnbb(?AB`1}8G^%kk2pMPuFoK903BCeoPj!4f8$T%R*yQ?(IP-GDBo8O%qEztD~ zo33~K50-dg&)6xV)?N7S8S~6=_$}iAwTM7X9(2~cky;F{)Nn^RpS}}fbSOZxFhDS* zDkdO#z$7sYRPt{?gF7hyGKPs^;JEu1n%FOr^<|o7&J7;hIYmpMB}yL@CU9hiKfky- zh*t&DY%op$x)yN5bjJag7v-=kb`aD;DR()WrqN<;^}A=y6GN1$chH?7ZUI2(m5}3?^SCz z?*sxgP%XoO^#W(wPe+-uqvU=ODkYM+*daVPdg)Gs6@WIK{N-;DI~vdv)5LN%I(pc9 zrl6-Cl_9Rh|A*!QZyiiS3IK=4ZEoQook`;Uxd_g#6r(mGM#&x#Tl(mXu}CrX0^J6h z7fzyN;mO-j;T!z4p8f8jiD)ROhSL1%UW(>?a!5XXyG!Q{`Gfqgx=Ygvzup;KDEZeX z^?4rAH&}nSZH$V|1TU3E|5}1Qq5a@xc@OksAnKii)CqVvaU+)jY<3221q5j-z|pxf ze9zsB1pLev;7Oy7WS|Y$#)n?{=PnJYmTvBXy#I5JL;-4uh4DK`fiav&ysQJNx-9^J zfqXg7@P1EEPXMGvppN*cyx`rco2l{EI6P}6)ZCymE8f-_V)`^%`WoBal(~YGe|`^3 zH*sEa7!OZF@Xytl61-+>lktxTO8ae&mwgx1b81FFu){<>2r{hq*As&e%qsGC<6qKf zO5WHDrP`FySB)U)jFJebZ3=i)(8G2B;-w?>cY!$vM3ooNI0XjPj8pM=>@9d(te5kW zmRHbP@Kp>nAIp2BZ3!eUYan`yLeC>O#I@u;x^CYGIlq>gpNk4co#@hfh8iH7m@BjU z4{CJI>@ZG?mK_SlogY4WKC!UpRF^RjDjDB(>ygiTUq;8DvLc8+{VsKkBICrg%0i`n z&yKZ;1mV7%^q@(mouEXqP)G3AVA(&8poA;F7xg8RF9mjSi zO+Mfp6}Otl%xNkBIdA7m8ZUQPxcTM86E@UsXt>%fxyC8e?1ATuJY%ImcPaTNEqIA| z>RBqYK$SjGYE#_E2VM_-G+k+}K%`dX8{=Gn-gnGC#+TAUqumQ4)u$BkUi7}2Sy)Zp zl$rX_r*B`bogWtrx8<|o8WQ-x8qPc<0RM3;YsktE%iMLXin_4lER#2L>Y34 z-&~%V4^_y_?$>f48a(l_YXksyRO-`x7i;|clS@bp7@bAgySMQ0%iQ3f%HvON%wGcb z#4;jnIZzvCO?njoOsMADkw4=5Zf}!@?xxq=q?+{n`#*)gT>|nh?8_hAG){|p=1jb+ z&R7sDs$dM(Z=p=ZG8Xtb|gtOrs!m zgYHK$lIai`1C|r(cWdn;$}aSAqN*6qE(^IieEjLDljlmdUB5Z`xwfM!$ht89+dWxc zq49)gqiKjmzHOXvF-|_PfAG6z4&ZcN^u2$Qi zy)b#a&}n8paB`$nr!9A#SVF17a1cN;dVO?C4pgV_v6@M{G=*a(bl&jUlHmwq%Uv!55)q1ZaP(~msbpzdv#ZobKFapXgt$-)kb51^L`eATRw5iaH z0#IKS<=CB}_jUb+JMYAV=Fqr%J&gI_B|ERv|LqGP-2zl+AY{5+A}&$BZWzctmnm2p ztjyi}t|^G7iLxBD|0abKp1j>;$gt^eZH8o?#9PNba}J!YE<7#%&sL|&KO2xK$kM(C zzgsac+9PD=Z&z56h(L;|T!wnh8SElwCZAhTNr@^wYNnLtL`dw+AthC*gD!dd!RG{a zlqNHIv4FdOAqw!GUuf!jVREvo>*n5PTi)h@4lVdD4df+4J8%90{mLj!g+%30V2fNg}#igYFM5)f=*=W&T}Fly~)MSV64)pQLC0!EWU=9u@5b&;8F!Awnh zGzqpU^u|$@ltd5pOcAz&^J2hQKuFr@2%WYQ>2?*CBs?=*RhZb-VHRkDy>b7HXB@RyhU8In=QH4aAso|9}!*Ycd(&ZxTpD>JCETep@%#S&~8vun>UfLJ&r)- zaCd!|mUQVwSZqh7TjrF?clo&AD7Lz()7{?!8g0D^SJ1iYKKC$EKEhnyT@UkEY)bdr zj(Rk4ej%n8I+i;e&ljEdwdOdPz!#l*rG{tKM7x5of7@7vW876TY14k0=+W46w>w~kj%{nFJ7an$gmHwX>5z4sq^mHEu-PVXw`sP`;EQ9U6 zSK~-UQRpH>Jc1qfu>GDrv+$dP1$LYdXS*RYl5A|&(xziqx7oH~*ZiTvQ4-$HTpege zqMf3%rY$|pgk(po8HutQ&#FP~qGZ>A(iB(DI9iFMv4`77hw?v!-f14U9h|i0z!^AG zbJgA*%iTZo)Y+p1VFK?`EPv7fD3pi1bJ8lwsidd#_3PIk*QZx^)z{ZgyfUBt{QL8; zhHI$~lg_W?TC%e{VbcZ&Z?+8rNdf5cOQ9vp@-WZf9b$OCfOcqFq)-h zGuInqFOjfWT=W@}MM`AhBDvI;hrX$2SvYFXcq@!y*@rUxPfnNI&foLQ3k(s#_@v9m zOIh6t30r+$=hWj@iKvo0#FHPYU8iE+`jZnsza#(nMU+JLimv@_tTM~o@Jgb$b==Rs z-ZKl*Wuf@bu6UW_*b2M1PYafqsM&|!LU77FNNamZitI!0&kO7JFWn_V+Fj>`?Ek_p zQyLF-H*iIDrjnF`IM1m6?26?3NH%6a*s%Eb6t84gS69Ej|HxWVMy_GT|2s(xC;Pyb zZ(^h+DO0sCDE{%T{nmYyBDHSZN3$t7V$m&(C`YtEZB=sJK58&}ui;*OrH_wK>#>wd z5n*AuX4_f!Gn8nHTHcI;aA+U0v$eq@fX?RG!@b^IGuPp`c& z{W?QdYp&i;Tkp44K2cSiSoY@^p5vbf1r5ZoTKCI%mt>e`1nT{T^P8$Pl|Kx0K4|Lv z?_9fVYJyefy0|Yi%cu^*WU5^kg`Q=#R*n{S{wwOzlCz&pzw)otmal2cg(x@^!nbwr zT204n;piY%==;!j=;hkrzK_N0rY{70BXPUsYo-OyX94qx zMIE?sQecIt0=k(^j&?A&X&M{SkjOdH9^Yw8G02s?$CL10mgg>-bZpX?sq$rmcOUwy zV;`w~0M|yB@#T*d>3$^%JeB6Rph;SnhaJaUNSWoanaO z*->2~_FoHXd_cBoijaxO7Gr7;=UHVm0SB{1Acf^ep;<{#KoV=Rm+Z{`Ch|%xdyeI8*x9Z#65t7k(etPWbd;i0RcHRtBzGrKGOOms~0)9*-``_bgtwN-yVwU(i?p6P@k44E{oPe*)CyBMK#frK&93* zH70$ZhI0z1;+__RQPWb@4?|vMsvr8i%8L4yQG$y!DJGWS!?&6(T(v6WDmpW_oGnNo z(4y6}dD&y6#5AwMJ7-jQlr{Bpv#pV>l_5cx*@s?u)a6!vIXPIO*`~h^OR-}3h+o+P zj<#^u5yUI`QXlQO*jo81Eo~NeTOxCRc3B)RNGkVZ?%T`R47pH*B15(H$-Hc zUd{2QHDWUK7Dg`xtN-6Nh;~MerIiHb-+2E!9ueztAm4u(Kna8 zeq=CM$m3M8`v!z(DQ&R^;|EY&bfEq8=&$1j2uBUhb$bVz#X9fcnK^uQ^tcc!?w>4w z8};Yz;hbFq&gW$6?S;3lbKm3gwC3uUgQjCcP7*u**+SuU>%M*aRuIGk39Lh_N9~r^ zlS|}GF$g2zeL|bUbD=I1qlPn>YR)zoy<}qSF?}|0#smlC6+W`Fk*;3!W#b*wfn`c( z$`d=)+9z%)+PcmugSw7(gW8(*m4l^rnp02gd{k?QXwn%Q$nt@KwRINqFYT<1<^6WlHP-b0Ij{umj;VN6)Q!(lFB zvJZb;dGy_TZ1SReId%_|G@RXcvwW9Z?ZYfLT7y&eZ9*I3dn!v)dI$>FC^(-cpmA|T zp4RnlIgzsEu7^m3U^2?*xpX!X@z6!Fnm|;V=o6oA@tjn8c!Hv$0)c{@u8q7@xa3cS407pnQFME?SvKRGK z1OoQT*yyXjRJ)7zL)P=n&IQn)TbH{bbnZ|?=P@FOk$*Mlpo&S9a$NzPaB_GBO z6RxRqQOGM=&d?aBMsy-sPpM4foz+19!L!zFf$*(%W#5T~tzOWS6*LAmt5RAkO@<$I z{0G;XU2=O(Sl1Ni3ID}JbyE?v{*Z4u!CcHqExU)cRQT&s!Q3a_Qc<_idK7N5nVTB+D~NiA^9{$sk+BQb5>W&fQR@n$^6Cqx?E6W`%t_WjvtMnWiTrGwj}&OPY0BPx=y}xnkyUIn_uzxO zM?Srb&ia&AYN?9!QP988L10EicFviRy||E1E_K4o?%QpWj^Xj+8^^N5wz&vzUGFZj z*-+aPJnAP(GNB8C_-}=eGsRd87hme3+J{eaau*t^ExM;4u94kL zz#!_huX@iGt*lEzzr7Q2OnV0RVbuhQ~7xjDGoPEp#2FZs@VJa1e$T0X8Yd{oJDbEXxMG@Y!Yw*T89!A z0St=%)1W9<%p}eF1J1PDxs3iEQh%p*v@0S-nRBB(YEYjMA>}rwm zUqOXbmr+=KvwW-RauU60jd9|H(_oC-p0Hgr3yNDqAh2mDp6G|wM^f{nFF)Z>c~!2q zrmVK>w+ph2*e!bzVk&NMeCvrQm+diEN#@Ugv2viZ(@~u8UtjVgV|-<&{Bil!dnLIJ z%!vK5O_4jpIT2Wp8dl@BbhB90!VExGk4|@t3o&FQ71|YZ`cGPpu~I30m$< z6X(ta$Yi;VSzhHVtm5KpL2OyQ&wKCykLAL8-a?>gpVu@f8l5_Wqp!fV5vpvymRX!w z+Dp0!qQ_k#nohFk6owu-syk>RjS)*xDr`xM>bANT_%6y!wshxqT4!Z>F3BU0&YwFt zSSH`}o5dqPm|Z*E_)+8D%;zwBa3KaH16F z3+3`V7eBC?a<*f)@>v00EoT9q=P@gzx??gzcphej1RX0~@zGAXrjS;(wovxH{)(}f zH;FQwk73cSKR21>XzbzX0ir&Gm`mgyF{nO)BD6340gOLB7J8?NqGxzg_?_(>Y}$Lb>{quXVSEz%yudzgRzkRoc*sT0$YycTE=Gs2G zAY%qfC`Nlc9i!d6(ms3e4KQ_A4p*pF6|eAL+)as?dm7)XG_@a8t_>s{u#zox3iBKj z6)mccfozen<#~=76qcLweFE1a^calgtPC;6FkJET>@9x3Q z=>XB-5$@z{AwdQcC^Nc!E(>)95j$}PJluDhHC0kPW^ut_F7pqVPEYQzEjNf3Z;9fS z={S<>Zhx7Fg!3m0u9M1xTz4tkb8ls}rv@g*j0GO?uxYrgQfot1tGgHZfwkQ$RCh8> z+w^ovAGKLxK&o7J|ErTa59b5JCEJ4QNAecfeb%|2b4J`Eh;Sh^e)zu@?f$~1l5UuT zS+2Ctz$^yiXM^vVi3wQz4CY+eu_YH?_UGrGpARCXFp{s!kB-dPY5op{O1jBb3qbEb zm#?4QMLz&7VJ$7D3wnRyv57Oddxoa38PvaF*$@25QxX!tr5x#uORxS3G-^f~xv8qP z#XSc=^m`Wv#7cTwy<7FilDi=~mZuGOX*;*bVO%(le`o@;O9=#2Yg=c1;@KZjQ<*!A zYPKim(x8>3kqH%sskWOFoJkw^Am`Y`Q_t?dTb2qMIP7wp?$w*3p?q5z7%JbX%=z9p zMgky|4Gj$`oEtlecWR6*x2g8;Xq9#uOMY_^7&FYkn7LncvQ@V?Cr9Gi$(w$Cg4Vaq zXBOuI@kl6T7msu`{Nm(4LMi{L;rx5+r$&8Spb80=OMwXd>jn8bH6hH{H zF~T$I%RVY0=yjltJ*U$dn-&~K|Lm!?bz%21++=hTXGICT17^8ylT@cicfY)BKEqoW zx?Wi?Tq2}Z(_>nIAS3N0FSs}(eViymfK1b2CU-G=X6jh$x;YNnibqY|k5GDUFv?$^ zQ1j3HlDQkY#c!i4zg|(Uny(yGjL6>S zO>|&eq#%KqSjxdDvm~dZX09@$E&44J>E=8$Uxi$YYs=@SoXi??i-9X9%n;b|fVpAL zz@jI@xWH<@G0PhA7CTqtqgZP&`mkDT4s!{EyR|oMShKt;cssM6{f@6@nSvND0)Z!l zobq!QT>fi+b`Zb+RtxdO(5O`7K2fpO`P+jaOKd8rIfhsb4-1MV5Op{DYx-W^C!a6k zF!`i9C!;F~+DYuqlEWSZEcg~MhUmumXZQV8D0;uY)~swS|2RoZ<|PLfwZk#CtWI?=**KFe~NX^2=>{J_ zEN)@U3eM4ip5$M%K>pFs|K!osj@Atg@q5+C>$V;%bdH-v9Q_enD!8HTsp*w2>r-Q8 zvsrb}RZ;|D?VOxt)VB_xT{|l4DkG?rs5;?jM>c%{1L^GU$-o#6+BpS;dqN~E_Wio~ zbJX=8yKbY-8DlW$71({mS#QhS=YMjWpH&zuYSZsDr<>T7&jPidRq9SvcK(N9?a!C- znEL-{`x0;}*Y5q3R7#TyMbsfwLW6mT%3Ow$p-dUdJhRQDQX+&Tvx-ca$8E06GGrd3 z%)>Tjp8o5_-qELXzH`3c|GK_&UHcRJec$I@&sz7o*S(&FkYAI@xRUelfAwDi3)~mx z6@r}^DE!f~ey{wmUyA$ojUUd?gLNeaVGHyB!e)Q-w*J!-H_9PCjPTBe1J$n~FvXOc z;u^2zur0dM60A^VZXZX8WOJDrXLh+{J*9m zd|G(Z)6>c@^Qhw~%@>wgim!*(L;@@j!pSd{*m1=ijgc3Q+{R>Zu_d{f7EJ16DG zw;M)LBRK6?H{ndSvYjhGPI@cpcE7h5bQ%ZNKX})nQOmR8sHN zezau-v{b~dQX!MbecJE2i+k74CGsXrF6wZtrvpMxK+wAyRXCo&zY_FD4%4r_l#!i) z^L|spKF-%chA7J4c3X={q_0UGH3d4}dGmK&`v2q`*6*^8T<}SQt2hq#c>jkV9JX)6 zRyvg3RDI0>b-z z7$h?gDr4KzZf9e2O);YdLrF=gZ6G_g=BY_R8fQ!7v(^r_1uu+{-#S6sisz*p69SVm zO>A{@H$7rrW2REy#)5<_TVr=MGR9+!H7Gs(LOyFDkgx&pnj-?;)$ zucp?L?$Cc=rA>1K`;;4eR_%!uQNPZBc*2@_P2lVIv<+{bx37QI{|DA|7m*0c@T|#V zu$vtBl>e6>&LWy}-}`@8&D*dx|MF>1UWH2~4*a>fuJ&Jk>E`jn!56k$CB7-w`uiq1 zn4XzAKLb@(68YN8|Cfu1-N;UK2UY2LVO&YF(M4o)v9NYbu948*tLSY}Ftn9Ai=U>03#eaf-*xQYn0_qSH*$uZ)t0CD4dgAh9vOlkLB|qO`JR(`E z99K;8$H8bCLn#^dH|vxA{~gqSG zOaBKhWAjIX`*yHIw!(x7+xo5W|Hq~9slcU3W%hsjANO+B2_(6%>F@6diw?k6N&ezH z7eFqjZ~H&KBS{BbZhx9U*6FwFiCxCLckr+Vr3NP0@Bs_GJlBw$!)@Ev-d-tR(zf|m zNWS5-TC}#>7obGob2#|bU%ZL&T??}?)GQdP_|MHXGS`Ek%?)O91sg^HW>)3>1vB$S z^k%@^>)=(A7jF>`_gaSkDP9kYC1km2d+>U)&D*e}@i`5PpUg>D9#NAI($MYBC$T5y z=RL-=pZRnQ8BG=X$6U^_$11t)28XXbj^W|e;7i>g>evNHGCuFknZq}d=LE~lKgc8; z!miqH_O9+pM58oLfz$ABQEtFEx%Wu|z1=lDgdZo1d zZuzL%ocsBAKA*btX*WRJzp$Z=|Ku>f(hX4DAF}XDU=23^M?Xd}-Duelj176qZ(4;? z51xH!&1KE?uhLL$;`HonvA1bZpNe4FVYK!g4M->FJ8O8uGVH&;;YYn04etzuEZkfr z+k=D3xF~f68+NM zS8x9OUZq18dUI1Qq+IJ1ChP43v-9Xmud@vb5mz`=PGo{ zWBtd*b<~tQo=w8~F!S4-=r4zWZM4*lf3-<`ihmE_8fBFfu(a&6>1% zv6tY`spm?B{vQ<8@8WN&*#Fy$VwdCOb%=8ZqN!-H59tuR?OOZNtxrZR2yyYE!?X&O zvz9t+cnOA)(533*j9yLZ|KTHJuYP>L3|u}w%!hsLhC}vlsYx4*@H$C)0Jpp3G)2lb z`F>3?=)S2xefk*o&j0IYUjGjAI1!nLRyT zNG3mHgz)j(ys|gRUauq^4h7pFxe2I!u(mD^l6Y}E5;^mD=o?`T<1x$>DjWO?h*vJ| z3)(5&e|Loz5pB8AxM4wYYl8bQ>cW?tYYxI-ALIEos7OF#c<{7*$y&k#16)p24UE2U z7CnA*(^WV`k86edw$cjMUtpZDg%F1e|1(MfM=(D>&jggm;v5UbnZyu*zuiPo%gQi1 zDrL1qcw$e3oc8KN@tt(I7c^KAkkfW8M*|gUQ~AjF7HHGv(9UlNR`m$)5gtf1{w0?7 z#V4|uw;ccMQ$l0Y*0jt;#e!$6G~#dkAcn7G`14^(hZH zd&qWqDcj*$C~gTiexOufDBpi3mvfl3sci&VMY?{C4>-k`-@ zcm0QAnmgOg8MWqdo9dr@7{FzN11?JWt6!VPjnr$BFE^OgaQ<4aiI_tVJNLIp_gV`m zmrU6Gby#CIQ8>T?+ zCR-zIq=rDGG-ujFtLG7Ff{H>=ZJYPrP2J5dU?P_|Zs%ytOm%juX{Wa7PmhjD3ODRr z(_j=+gS>T}_VN7A+IiK%j1MO#d#n|&6i;)qnR|m6Gq~*igVp0L_$cA7C)iuC$HG@d z98-Vi`uXvzu6tX{T+unxMpnJJt}OH znSA2T9O`P_i`ClC`q+U$A<_M3A7(x}?S$PA|MYUJ3lon92(Yd>*&!Y8ok7v>b8rZ~ zIs>tSJi|;f|_~le9w+AeC?(}*V+mYb2O_0KXnJKHQ@9aI78o>=u0f4LWVVTv- z)Y~gtJK65wYDcBh@eWJs%ii5M7JmK9bXZssO|aR!H?38(8$|)TtUIDZP9VgYRG!d{ zE0U^x8$Cn&wsU0)r*A&JLL+}K_vxx`O#R@fH7|LJE7tPGc73)FQWy<0-TrNM_8vhs z$;SIkhx#$8xxx?qx`!spjwARnxDA{rEQ?}k(+P44r ze#wy7OLSWZPhdmXdPt8?z)Xw93@;j1F~eOmH7(Kq@$i8TQsA)cw~XP&JLfrriN`tV ztQ`~fYuvFA0CXpNCjh$(|DBB`BW<*0b>7%xH$zSWymUGJrO_;sVfnOk`=KTo4HCU}(-c%_MQ!w5g^cQ!mg$R$`@ z@-tB{us;pk3CEA!7RX=i+Qj~J?G_wf!7(UB?=#>34hlHD#J^}WV<@V@sdH59cQ~&8 z_QJR|{fk;8pH`)rjzl{nLwkz6K#mTfL5^L-fhVG1=HL#|Xy)h_gyjeNNVhRgje{-7-cUR9mDVuU%TOkL}ZTg~n z#dUGFFHLxeD<@Q2`onJ)%mx=+!`6apN^hJlmiNIe>_7Ve?LQD)L-&8gu6!~r1Uen! zwf1uCPq9zcd;kJnj8*BsDyfbpBB!1#-r=;E<-{JnMgr1K{e&oEtgc*_;m+JtJ#jqm z=360)2@aZ=7mWS&zHmnOS08RN;@WK_El+=33tWsV@t4EoZ5&^{?aN7w>b4kACd)!+R5SP-+#sJ6T zIFm`;@bH)1d?VkX$C=F^Yi5&~=3JDs;l^;D=)eBp0t)bKg10~O!X^4UbEy|Me=pEm z__-rCL0k2I^W#}ak7mn7yVbRa^)!ryw4+xPz3#}G^=4BF#9n5WpVPWyjn@D3UzrNl z-r;x7UhPOr8b)2f{iy?;!-01O=8B|IWaD}{tzSf2Z7$KQp@jf^?yf$3+`IAIR=s=J z=qSQB!+C&*H0FTV{gWbeg60VWEB2Rg-}{d~IP4qwQjI+efOMO_68GTGlhQ#qD*7tN z)-E}AeSvkoV~E?i(9L9jFvAS-5>(P`kq9oqI^o52Pvl0+!1f|r7K)_&^1 ziJuynlih+DxO0GJ^QZfKuz2dSMQ*$Q2c9^Y|FNQT2;}wVM0fY&uU#tShJ#+X0bO!S zON%bG?CBT}oV;PfU02=bvmyqTU*l^2?&05^bGLr&HvILkEA@V*v?w!@q2Jv3YiW@q zc#t(XNAbGiBhmYLCJq71(*v`^6>Fx(0W?x&q#iD{2iBL>a?kLr<%eCjH?$iN@0{V5 z!$}gY1+J#AWreR!8QR+;)dC(KvxUxM%QNsFy9vt%IrA!@SYWcArZ5mN8+Kpb;pFV> zEJyVK&$+EJ;i2Nw!UtsDZ$ebj+6S%<(a5@HpU{3LdXRY3ukiutGv_yrEv`JML-Ev& z-gDMU71I@gpTG7R4qTiMSo~`LJS7N@hcCBgHN58(yqc;#nf62lA;QqWtgQII_p8ny`!zk&uLq2TZ zQLW*Ig1MdhPaZm19xUrvB)yiTiZXSKELQt-QDN<#Z|klXGal)|!Q`XOz8hX>xp3a% zoeLRqW^&qLitVCY?VL6=*rdBwDzB1!5v|`GQ?L^z}h zw4399`|Rc2Q>z=5PXzxqgIxUay|r*~_76i58jLpXrkSZ71Q*fbbEpe*v~s5%#z{46 zdE-i#f5bdrxzf!S_aUPkFy_Ka{P_q;PL^LW1|57y+;ge*B~LyUyx|?uAGEgkpT^9| zG|#5qkBg96jfUmo@D9s|J#YOydl&m|kgNDP@`dr($0kp>j$*WG-WA9uz{EtDh@eN( zF5NY$@w>$vDLt5{YnxJJ)fz ziz^)PSrWeJxQ-4EE}N&nW47LfX`b0K{m_+0o^nE_c`_z>g2COucXdvrL+OFh3BkLH z1{#~{u^n#gyxBaV(JLQi@UnAlNyV6|GWvb){DeJsnIsH?|4tS ze*+9@uy~}@&sgzy#Vf2$t$&)I07UX7_?oiuL^*6xGb8{%)WD~vF8()FB2CdPM_R=q zNB)kG|JCK=tZC(gLo)$EN3841gtfbJC_VT*N71sO^~mNX&1Z&pK0Uf70ay3gWEcI7 z*~2KLw`^%8JeY{pmcsGp z)oJvTrCbJ^Usf*t{_bH%@+1G7mQV8rTve=eqyxLg#>BA3v9g7~nL-(&fUj=oQ|2AN zck{dYX*9S8+w241Ik;@W&04J8c{3ch%}k8TP1>9SbMV_$+z}i3!f12*-0TXQyl4kc zLV^xP4)j)RwWm}bJgBAevFP>g=jR_fj30RZK~bsUlQ#A?|J~)6>Enx+Sr^v&d*Egr zmL=v;lgbb1VG4PT1g}`3X@7M$OgN0T%ZiyKdIbY^GDmWdNl&&g?Qm=mCe61%_6~Ew zV%3V=$o11z+$ni(r)WzD&;ELxEtzykK3ghGkw8XRx5Jd8bpIe27WUnR>1&L98bt2G zIQqD(ME^d)?0Q;QYd!-0?dA*3;3q66dIYHLTv4~(AFq;k|K*$fxm;LJ%-6qdB}%yY zEyfLdiT3+^|0yk*B#o%7G^f4 z`m^f2R75rHrQqa$Zfe8YtofE;JocUqss`o|F~Y~=yv?kE!o2mIR&^+hwUS|x?}NePgP~sH%`kQ{noKG=C{xbk z5~}`|1~CP?>U+fXw=kQ|w>2K7Xa(BM^}~u))R_@(8<^;o{-%3+_2u(%5Y9lN8t0ah za@e7@T)ez8TCXKFIwrMwfY&wy#yG(u`;Ew#7eCH+c}8CSDt^?^=n83?nd4-$3?-b+ z53`XD4HKsYz$i{bmFziDwf4pyx211Sjw!(e`m{Eb{3HF!V2Fw={fw!Nsvh~-Ffws3 zCAUTPWIa8n;0jYp#vgKtGHHGmeJR#aWW8xt4dJ)$W6iuO6TMA#B>=_L`Fyyux=}+e z;V)!;IsS}x4OOlGJH#XdkkE=3AU(gJPS7pw;~I(&C0D7spiyy+tuBgaOKXd6QaKE@I`*yMDnrUmmU%=%(`wP_ z8hu1^S?tr}JzNW;pIj4jqhu91 z8v30_Iy?|{TO~WyJA0~G2WBBVT2q+SUWd`Ywkp|CqFVa8HE(m_UwoA9X8XRpg|Q-m zB&5xaFzpI4J(hYowb#^pke%a@v9oqrB{Mj9kk$Z~h#(8gYz`Qqd~ugd?viL`4&co? zWn1|*WL&r(K!RF?-?~FOv6~9nW~VW;NfVQSYcBG%P>5M~K-2{sTNWW2vgB}Fy1Z3& z02~0i|DAtzjaGZkqQef#SE#|7SKtTqz`$S%B1{dOeIX_ORNwZVPN*$4ku}V{bMz9b zZGdUy*X82$Xe_AVgsqf%GA@*EOm$i(9}G=1%=Z!cV;Tnb%CvOWTQ0y8%P`x{zfyBM z!eJcx1H<{Ld0kJM!#iTR_&Xn9awU(&Xoo9nb6?K`J=WLYPwJvGqHg`C2|y#WRW9%Fl*BHHd&hfJ>`*Ny)Wyu8qB6_nV9tWwBn2Mg1q z213He4j|*@ma5#Ij7q!8ZXPyP7B3=(4`yk3Dpm{XX`KCgaYe*Yh)mulF@dMnmOdo? z{twJ|#$_dBCtieM&&kM`LmD52^B7j)&N8OlXL7xDvdTPfBB;mTU+U3~5TO+hm|2=1 zAq_+Zj0+61FxgGk$-*A_G-5ZMX^=DfuE)At@ZwzG+jAlhPsDZKV;oX~d80($N^-m~ zQU#gRJk&x#SQN1y4Tany$XXaLgMNgk$yLocCr)u>3TC@}###)g3S{Gi;4hK8T^cyddB3rkk(l_z`4-MGh#sgv5a>sI<+ zpnDTRC+2tPRyE9s{am5&K)p9=?{%@#DVP|!6wbRC36mk4!s@el)C!-qp;|C7AUsan z2gVqK)uI8+yD(;GKDwVfKb%<;F2O|h2dR1sRGg42MZ>Ee5QxTps$moB^kk*_M$_G2Kud zY?9h^KIGxvsM9F!pcDE2+23ic(A_UxNS})Np6||{{yGmnj?jm~+hQqxyp&$(v(=Xv zXJkbrB^-)7+>U&AZ(5D2VoSwh1Cs%*zdzQ=VHauRe7J-oHT&P6WFGPuFXlUMt^4by zknGSKpBDGv(921Bhuez^bhep~8yLr@Ak{o!1uea}g#@65q4zo*JIqSCIy`4zEr zj{^-q?j-V)shbI<+}V&jTW;`DPW5SPd?oL)jkmOnFOM}UHV9>q{_SxFjb{;+_2j^0 zDSce1RG2Ho#Jxw^&r)gCL&Q&h#cT)Wn7cF?N2+N0WiT!`sO3-_jBez&nN4lcmrp+x z*~KXHEN-V(qCu!GV#baaip)5=Ur6m5JY7dvJ5U)t0QSBLJWiS*9)5OPV%Ez)5f9%} z!EBvFw4H2((`q7IqBZ&+TNoz2WB{zESyA)txnZ2M?|bb7_`?0-oaR^oDN}~o>w@$T z?GU!2$WXP{g%s@DCT z`VnlAN!EQBhHWkvk{jgvgd2LToOs3T#Mego5ed|zVn0j&5FGB&b=bu>DJI@#NUx4H z2n#fb$=SVq^P~oculSmF-E7V^=W0(}JbnA{s&lI9fLw(q@%IO!lQ2A1P4 zp>clyxv|SidEx`3@@2xv*?oo$tSoE>bjl#O>(vCVC$0iS$N3{UMvHfGzTL|}b zFpjxm09>ZQKJAUYo{7Q~x*tO(3u?$JHQK?j=k2=>OU^Jx?@yl_h$bvraTk17cGiBo zo&_}1u6FMAj!shv2nzp($ta9A{R%@-KQ(`6^Ai_;qO2F;YYd*jiRx?qtPDBUfn4T* z&9yDRp-Rv~X-%>8+5x9>=c!ItjOc!GW4IwIz$kEpRFy48=s|tDC1=oH^}pV)c;CEPmK=tk%ZE)s*yBIi8>^nRI!*HtXU{r#txb z$a{Q~{-XA3)lpc z!(j)Eg6FiYROL1tZqK#neq)e#<<$o2Z~Y7U%hV;r$^iz2o_mwldC+x0sClgdlFSWZ zpJBw0lX@+$&ASX)KNEgmI~yE2Z3h{K76w9rHk47D^_~}kUpqRcE~{*7HdS!HJ3VmxwwytWRU$1JaE!-ANp)>X9S_Y><*Sr`1>W`btvf?jz?5%r>LkQ6go15Ch);d~3 z7u;3YYB!IO*G&+JO|Ke;0l#*=f#yAr%U_*S8){5ItF~nz@g@%Dr?=*S-)L-Kno1K^ ztE@9y|BzL!+osy>mxFk$dn&#|{#+>?HNaY}s6K26#_uv;lgw`LHA`L}O@pb=I%nKf zJk+s=wefgMOitSgwp6Ki*GgivTlCV(rK3ishT9fUs#H#y8y|@4=(3Q)k8S|IPizuy! z7&C`F$w~fHg~5?!#8+z;!F3~(FNYG4$@c02lOyfC^1&P?_f&SFP<*KrC7Q^5+(d6p zp+Cx*?8aOa5+1h!GBWOTWvkeO#BCGrW)$fexzS_GW5*mL`>viz$Zua>n*NxV*j!<- z!3&m3-GRKgl;Qm-u_$_rNSX&AE%!QLG6;56)(g3kd1LBB7lGwigz8GM+fims$y9l< z%t(D*!r*-niCOF3C-uGiNVo5p&FG~s9xKSIDjq04^7@*erT*Wo6E1FU^1;CmAr_x{ zVyCV;ygUx{(_UGlu3`_ODDPo*_2TErZNjdQmg;{IAT1ZaXgxKg0PCakHq`*4hOk5# zrD{i>g9tJMy2&c}$sY2T(KqX0zbP=b&|l6*AVXAPLnyLby^kTZsi8$b-*86o8~9nCeYRaZ7p( zCU}>B9cRj!`R?IzyRJha8&<4yQWouf1}=*(y`1T|rF8_dsms&nS4@kHpKrVQ!?S+a z%KCrFmi=O#k|y9FS<=p9&pxYqwk_14;oJ9e7~MNF`mNs$W}}mzy~Ee67g|WB^M1@l zd4Y;;_O1Ixzvvh5{L5;GYa?sKxiBw|X24ixd4p^-)DbUQF)P@miQZAoL^^;0jlM!i ztRU8@b3H;u&yaZ)-=~O9KGKql(e{MZJ_=6ew5w0{Qc&8Aeo)G`>K@2g|K>GC@Wy&x zxAwnJEIo}D{i09ZCP2a?Gx9YXBE4UmDR_>%nS^@1g(EOy8@%e!pyXV>u+r}80|TE{C?(^ zb7I)$f|F9<0L?Pb5~XlM3C*;9>t6Pdp6d$zN@kk;FHQC1VCU+7c(4tvs%EYX#1K#- z=dEAJ$qm*ooA3Anblp(BoC<3kcjl=UHx-EB6*6ylAl@j1rM5X0E)6AB=6tsK3LZ#+ zR53YqB7X+J!yYo`h_l!99EoKtz%0R_Z$06_Y(%9eMqxL0%VaidM|YogT(LfG$s1aA zQFTDE__dKq@FEti8|F84p^|%|Stsu9j{Lwo$fn(Cpi8K%WL7OgXFHEct9zf?_YR1z zR-f6U)DM*z3X$15bx? zt~}mdf+CMYlJ$Egv7LNCO4)RK%aV;a320EBQ{|5haw%D=i?geP$T#!~hJb59TG=G3 z!i87y-86BMQ87aoyknDSTQg8swbj0rsxAyNPb{fy=bvBM3)!E%@jPmvw%VU7ABCtg{fNu5?$GO2W21qIBpgR?qYIvG+gC4*z!O{Xd3DEN=y3 zOE(?MJB8b0CuF|?R4ea6#Uxd5?m2Kk(Q7hUr$Il=Tc*_21_p;4dkbPJf_Ua3SX5Ac z@8z{k^zH`E3+SUV8HBljNTWgTh=Fj;E&J?g(o-#TOxTd1l|`=IV&StRUR;_md6Z5f z=xDoxg5n0|fI*@I@SzP%j!*1O8A>eE2R{$1pNVAQ?%py8ff_9vmrU-e@E(PdN?rW4 zkM|h`7pwdca4o~qa!oO4)WNTflwgPG0)F$-7pIJ@FKSkW0L)1+j9xK`7cszVBxW;{ z2>!Fcx-m{9pFr?nzcwdUah;b7>$b$^b{hqlmPMl?z%=~NE004l2bej{hXc4u;SM~a znYE`!?3d?zgYAQO^79jf9K=LO zSs&d^Y5U`glUdm>h-4fep`;P?1KyxXik#bG^uX0K9x;bf$wwFyN9CGCL&Y^R_i>Nr zJvnCgD&~-05nJG7wnH28`u!hjMLPkNwB1p5X(r)%aG(ouxtH0$I6c(@UIs=(iz-`Dq@N znDNaWI8x}O1K%U+N?`6dB!S`=bf=^+qNHKhCuHYWcfI>CloSx$mAxFHFM5f$v_kf( zJLLo$_%n(;GmvMIU1qR8W`1%|)}jj7*=XR2loze9Wn%-`Y8yJP`01kh9_Uu`DJ z2ilLN_PudILH704RC=Nl>JVm-d6dksy8z#s>SwE_{!C(fK4t;7rBZo^sZ>CLNhOaD ziaHX^L` zan5A?#fg>;TXcgLsiNApmv>zWUIYS* z%Bk!L2@vz;x7BU)FozGOQzy$m5X3j^b-Q8vKw96BCv$9Bpn}E{lUyS%a3RG9*d?(1 zX?R+3_rvWO;H>+fU8oSIM+<&?%53?^G%Vj?4?QUO80bfeOhafVXE*GCzi0q?!kev! z)Ou z=eBla`fG9)?VFrun(KBL3h|o_2bqs{eCQu$(PDLX5cms!vc#0dNP@cmr)RJNO& z+(MiEJ^=fP9v5kMdmHZh?)KBp-~Sr+{da>#VZle!5oa=!M4B+o9x5YV1nzU6rLNC^ zg{n`}1jTuE;Z*qtD3w2^A&T63&`JBm2_Hi+P)f;oAR?(v*E;Y1mL~mVp=XDQE&=1v z9C%Jmuvwf;j69FR!^GpTwDcS*@#8#z+Ul!J{xq|}oAXcadg_%<=964Kldz0HaVB{y%&#EniBz42*wo5vehY=H z_1yZc=@!#<#$^mXT$xcBz%av*gqbZnj>ZG|J_2N!JNLM-G_{VBJpfm#XwE_dB!2xd z=vZ-9r~Z9$)>nX<5}f^^13QGAZ2WR4sHqig0bkS&d1fb`JCoyEw_BX8&QOjs=Y{K! zXVrqI-0gs)HeKvLC^Fb@)EQNvGoZ?YB&s!UfTN!zb;^DA{hS^5=eXsY_x_U9`1?>- zyo;ctLR%9{-Q4y=_M^fVB#o%x8o6dZ^yO_45P`v{t2J^)1;i#hv|Cd3VylJQge9Hv zF+*Kf8PV!Mek6J8A}({GsnYIc3_o52#ODBD*f1?=m8iEA-;~j!PcskFUX$x1s^lDe z4`o8_*PnK;zjeN{{e4YIy-=1VBB~_h2`tQUQRu_7njQ|cPLP_kEJer61AGN?KB?-h z`i>W$Trm*uh{pPW`A58GgPfY{(0G(Vkxm4qMTdh$6;ZIZ{@3W>6HQj1&lTA~)%y#h zjfQk<|MaP;r&XAnOkdlG6DknusYedI;}CFyMw3icrDDV?gLOMlMKcg%-piQu?ER9M zIp#F97<|#gHz=qd%*?h~D_npr@rwbV!Q|LW_xToq6=`U%+KsdIfAGN}3ZF{lU719% zAAk8xmZzL+?CmwEu(H(QdeI0_c^)4E;U$c*aLsf)O!C&;N6vaiRwPq|9btUc74K$> zeMRa372Fe_ThCJ^(2Gw%+3NFuAPrV1+orR`_DElAlF}c_EFMa5PVEd@1l(JqKNq(= z7YD0%PF|!%;^J%88(da-Siwc42BFTWZ2CoD?ChUSh#JD> z#Bl4d2wRZrGcuObT5+k(+9GfLcIjPMjAQfm(+j{mEv3yaFQut(X>F>Ja6@vkR#oFR7+2SO+Wf1f#tH3i zXOXnCxk}IA>c;T0u?)C6t9W^LC!J`=_MdA#9jzEeCp5*P9oKz%Q2(UyCP*dl!vl;(Z&C$WzYaer3BYmY@)P2{>A=H#e!qN-tZT~EX%v!mhU{E7mr3vr zU4phx-fdG}*i zYV&3Oi+tuqF5e>qI+ttY-MRPZe<$2S;Z5|EyQp`8VJ@G}^!6Tm5CoP%uuqgU9Uwtm z`gdooG8?-t@Fdg@zgi8(G>JA3 z10jio9)GEqil2c#BN9=%%9L&TC=%)?-@=xWa_gr(Z0hD;)(h~VHj`i8e3=(fJ4g@a zcDivvJ>e|CfvD~L+4xYLILgyjn{7S)HQPG8P4keL^b+7olYJso+?>_XP!b7MtYAw8 zAKDIBf(hN_DMV@6g;bW$O!6*`xdV2)(%L3#rp*Q@wLd&qsjmLbBw{g8Eprhg%5MeI z{*77`(>hus`t%ikiuIrtBB2Oo&Gsjrtc2HUzub?s1P8@l#jSyKHi(O+26P2<_AN{UP zLI~xBBrxGVylgHKsZWZu5<%P`vax8A+hblL=AZM7A?FTS3>cbPq2o(I&h5%$8j7~G z2|pE3|JWiBW8sqtsZYRb3QWNPyHW+nk@c9WR!HQdmR%lA`X$Xx`$xM%(2oWMZ;OQ9 zgFJwX%hJY?s#rHgn^!Rt7099^gNoA(=ePw8mk{QoUx@rMjijXDQG`%(iCNwO0zDvms>70l5H0q=cL$@-1V9vrR*>xD`B9~t_860xolqWQkJJ?bHrP-2w{!of zx&i=EM4=SC<0&@Uegp(cXA4I6&3t()v^@H0U&5)c@+2PZ0!25K6;vFFtG5W4+zS`; zpMugH^^t=d{bSwF4iATtn-6*@1r94E6S<$GO5$QIka7dCO+s<7fH`9E{?@&6#w9e- zWHQn`B$nVyiv}}gAQgm=Y}BA=-UhTrXDxW!XyKi4+8$%WX;2uX$q~?rMc;$-0H|iT zP_qS0c0?kr(i9PzJW6)p$HS2nqIle;~hxnUn{|v#O&G0g7 z^iPo^F>2UQCniNEw)$|J%BROHwaHA`KdOQDl45TG?-oGMdQ-ec8M;xF?_S(NVOr&H zkmJiGBi*Lv#zC7{NacTq>yA6m6#H;`Cs673;I&~x-C6L99$XKqLwvz)fYNUmsu~ST z7z2Cy&&MmW!%v&L(WJY4rbvhyhMGoN?TxEp@|`NeDsN2HslvCuAv#rJmf65qN!~IP zNu0`s8nS3qdBOvc->76)obj{en&73I>9W$^PY=@3`g=YfjCw3Bg`^KPF+?u|Q)>kI z)#y%D^Dg%voP@AMCy%HEO}F&Vd=&I633{j7Tlg6y8lL%Hs+{a>@BD_LK6g<0H7FHT zp?rl_k>HuUsTpiqErOndd8|nBTR6v!(8Jd27QdOqtFwO|NT&AZO1moEhNN1M%ojiv zXB;#=Mali~P;BoDFsVSO9F^@zx|Uf&>z_}0e5!o-z>)4thc12XzmOm-E0H)~6Mih= zW2k3ObDDk|Cwea7l&h$O&uai-6i}3F*iz2;53tvNx|;u>|L>iudMD*V>mY)_aRYPw+V zgg8UlNO?9!Wp%^w;Ntg4=||^$-4UpD1Jy5Jdh=TcaDKW_r!A|y(%+D~WVTk|#G=0* z#wzgSpo8NyCn0Jk-%9;BZ!&+or0GbQJ;e8waJ24f7+^ozkGs}uGlV~4}_%4 zi39U6$xAhk1Cd;6~ z)}V{MXh!k*?!M}kwPW_&?0x?HpEVk z=~zutOK>wltAZq(gTfqY?oO_L(*Jt=_i=j36Kaf^w{S-Wgv{=G1|@3O0gw!P4I*5$ zX(N>9jbU$wwcTK)GN3U}2O-?a>=$UUJ&hC>Z7jA{kVpx9m13>p_O&TvNc&9D4T?%D zs2W1~*EASJU9Cz`_OXk-(YU9Pcj4PU$H~ydneQ&{K!@(B6n!F4EBo!PXxh&X48+Cx z?gHEq0=W{pK#18S*3!`o~f&v196^zCiU7 z^o-pg7CCsmtUtUWEevseSy@q_Y(*y_>NTVoRcspsu~XOi$gZR$mtjwaoY@|Kk4Rgv zv=ar?ZtPUC0w}&@I`M#4+$Wt(`w2Y7)25)ds0G#LwhQ+@3l9pAL#>cs{Tdy@X4`1L0dOa?W#Ht@tAYF~knQLjli>a4bWz_urycWI`x z9l;{H#!w}`=EvNhP(c8G97oLBJe5mb;dcK8IzQIXbj9pKNizzeHxDY+7kq&SwB59G3_M$P0OBPiL8 z#P%CIXH6@Z?{me9y8exe-E7C`0b2jGp$m}NxGApJ((qNqXG+{5unY}A30T7<*a7d!a! z?JGSYwvX?9Zr$@8>hMeR?pYLT`HSLMnWgdpSef$ zeSAXaII)|OoBb4mN1?N)eD4gXm_W#L%=^{oN|qWW!sU;l41d{nH>FM{v*Q7wrzpQ# zxIdLC*R4+wN=Zdp#|q<2rT8<1Zkpe)T%2rx*F9z`r9yomjT+50FgB15I7Y``FIA){ ze>d1n&(rV`UzfYUu5T@g7jCauq;zj*KUI5WAJ5I1(2UoJ6~a?hm=5*S&GtWVO(_8`*!u?yKBBTTJCBT z#Q}!{L?15@1PWoA(@68f03g(J^%E6zpz_{oe$ONIj|dsXQM$t1$K$j zUDyZuL2IXqKrW%%rZ+^cWF&1h#(x!!}$qe+ZK zOQUiT)c(#w_)Xb%plT5GG_zTPb0Fy^eJ^NS1P!{#Jna3?d&QfLINSi7gxZ42fn={R zJ(8+d?+swa<7y*w1?=-i%%AnkD|RDQPZx^W&XW7=HE*;!fdTvq(TVidS1_1cdvdp* z`<7V7Nba#%^7+pI<^|FFd@%@GaV}d^;Qu{Gx1J}%m0$SU5Wz=zxe^v8+(WnxTA$OZ z?~0(*<~9W35Hv$UsH^o(L*ejVLAeELSwsYjR()tr=#^-aaF>NcmGLk=3PJnIu;*G= z%B0d%4ueegvsYu~CWZmb5q3sX@n!W~xXF9|a;u=*QS^6b`ku!;pp{3|dcR|SGuWAD5cPLQ&U7#n|#={*eJHw6$MI4P?g3*0Mn?X zIuHg9KlMg5isW>YQDoT5sFK}Ud?sB?4eB=1YK*X-2n3m0$+W(R=pqtSG&tuTB%wYY znFabi$C2-)d))Nr45_Cngfq=tRNH}LMm%1ZAw>PF`8Gu^tyE-OC9n0N2-#&-M7O6{ zJlHkg3@OPREV$S|=^8rz@t_DjB3Y{*ZcI>1&XZZMR=e){v)mOCxE3(ATbc~cHVr>hT2yPZ{$uu895;7nRi-!-t)DFwJk9~zoto#g{CkyoDCxVN8EWl#yl54dTrEm1_^c5a2@@g26i`qG} z`LcnS@+HV$ymNvu{Liw4D3HCJPfI<}F3{tqB$g(hrf;Od39NwWwXQQG5U7nBf@R)Z zm)>oJx;BZSMJGV2X{HRqcT*Ll_{PtB3<{uHVKT0;`RLf}z}1vVJELkMx|wazhH?&v*y|D1ab2u`_oG;4ZcDJjhR-fAkI&!wI@{ZBC@^Aa6?ae-r5X(0#{VP;1@Q z=Zht8;G|^Fe_iBC&IVj?SHh=>O5R{@yIVk)3{K6(3a3-@Seb@8(2*@?AE3sb1px52 zPa>Gz3c9U88td~41-&7nq+ajt37uR3uGFjEh4sd_H}W-+m(%xiq+LBPO_oO?p=P{w zpviTF&)DgNWmZs9Qy`xJQgDwb!>HqEi+^D;&{3h;mi)xr6dR@;stNcH8{uzF(W;^4 zQ1JXfaqd_cht=#cnj4hl?-+hAstBsU0bmD<>qrsaZvc?IFL`PO z=io}Q3ekl&Ax6(4Q+MnkdqH6W z*-X(@|D2_{LEUp~w3(5Q5tHEQxWCo7j0>y>sfD0ws zONmjJ8dkj9H0Fo_Kg6iMYw-Hch1)z2oJ@y+E)x&F$m5IA;GMtqMQcz&7d%d)!El;f zAYC%G!!AUb_a|wy*7}_PA4h?^RFZ?&vd`a2yNmN7wKB)gr>pru`YtqwV)ooUta)Ox zxuV{)P)Mm70I+Y+bs%btZ>jaa6V&FP`e6XW-V}On8o!4~oAsf_!&qYs_)bAVRIFU@ z*+k)>3~AgDdq zr1I&>zDiWIL6Ni@n{R=d!@v{BU4SVmd{x}$cF>(^6a=H5iUSKjsGDp-D&_Qqg9yW8 zIo@S)W)vyRf`;dueIiTZ7o@c?os&K$xjHI3*6+}#S-_tn&2j7i@U~|F;rTYIe#G2El!nKk0n8u;>lK8P=`hx08{aPwpJMWm$^iU z2OtHu1MhMA@$Qp=6#Ar(fc`l3B4`?Q)2_Eb4S5p6ff;C`AZYkF?q~*gO8p`#{%gE9 z^y1N-hn=NAQ-cWatl=5kpl*~XqL*Mm(2JK28kaF5YQY}^b@ZgCSfEs@m)dl%HsZPt zz`D!($WAFfp|t9QrmsM9G$yr0u;X@YCmRSb42T+tQWWaqfjN{-iIkbFZf_JU zML|KbGex?|44g$c2+f4@(faWpwl`TxV}xBU_Juq|+8bK$zjfo(Mr54CE+}eo&d5qX zmgv6wjwGOz_PAyXNkCCCWJUB8$9y|L4lkBL7hrffEBZ{^3%xUN*1?Thul)lS9r4ft z!RM0v!vb#tf=&^A3KVJWZGo>ihcx4UC*nu-WTbr33lF}SV4X#GM#*@phvh*_cY?$X!}WW+&^+k?Vi8-Qna{~HlWB`j;C#hzKQKs#m|f*L z*Naso9+$icUPZ{AvJK(F2D2sFimN|fD`xqnAjY!Kt2Lh2R^WzT;RF)9ww=_m> z+3JL!BGA{46AOUkA$=<%99(P7U4_h=C_JQAtzX{M`t@Cg%V&m2zu}Ne52KpNrk|ln z`K4JBX%Xuw+%p12W-s{`L|O~&soX*LxWQYX#hUb6MG=TW6sPbiCmSScg8XJELlz9N ztirh_zsF3n7t*7|#(LsDiXhb#rxNjjqN66)xDTJ2yQP;>H#a%xv)dZXkyg|b1 znwN4^*?k_LgB~X}^!69IvVA?QItH|aP@<5#n!pRotd|HOcy(ZGJYF+&ODl-9U3xD! zDOOJb?lNzb2)2-xzkNnGRH}P#AO+IDK_`_B>H2l|KZezH2sECAVeag6CD`RI@#V?Q z{$d5{#l4~J&aHodM@ayz%av*JPqFwqz;-+g+sI`NnJsXmEfbcFqn0M@>%qphZGkV2%!QQflW3Z}G^Q|k= zcmpWDL8bjR<^a0U!!59lMw~Z?yh&8A1bJDVWrqdLM?08 z4rXB*<4;JAxbq;bx`xYy>p^?ix=5E>j1E>2fq=4*rk(swhWh1D;Z`?}u1hxeKub&4 zxmuS%LPhuG6Z#yiy@ASoGyQ8Z88kT%m9{k~n24#<6uF}G1C7Fu216n3Jv0RaJu(PX zaj0xj_COJ@Emi9*qOs`oOq!=ntLB|=s!S}n*QV6RP2<=HK_hp9Lb9b0Sumg61)3@6 zqC-$$tiVumjI1?NlTCI}SRlEzf{#UaBjk68AOosEJ*cO{KN^tkQ3RtoLw9hN$6vXA4onp(cr@tp#6X6^sgW!%{Iw zc@L^w<=s;5M>)p9g2YtAt9OFdg$o*G3rOULUdro>xM)#V2U5=Vdx5YozuP4~ia;vb zPHr)+(BZqO9e4{wK-ARqPCU>G=v|1CicN3U2EVS}zh5BVqiwzQ3ji4?Agj5q+YDOZ zsHlNLMR|4lBA38jJNWmTs3ctUM#9+CkD;a{&=nQ8Vr+~_JmQZA`vqT@6L@T)&e>q& zBW&W9<`S)H^;P2jK@1k3Qq)~(xj3lBQFrpukv;AVO{ItUy+ zLr}CX{BD0dqS*v!FOh-tb#cFWiaNtY`6|D_841v=ydI4-p_Hv8i{h(k9WtXdd7T%1mao#n;(XmLy1?R^i-|iPwqiUJXYRWcNUUFyoz%<$RS4>$#+ME~)_*q&!IsUW7-0i*$>_Jrt@y%bb@18ljo zV&&$MmBqsG&bx;{9vKF<72r~8eC#jM`}oq+(Ook0?aPbp9;pg@!SgtR=lNq8GBrKd zyl$i(Ec@O*?FZ<2$Kwf%+{nLKF+wZN6j0hlp!1W9wp5LSz9y1Kui8A5FYxTjF{6B)gK-q=FpB`roy?#w9^ z2hdEFpo6v8BX5cbSR2W5$Eazzt*e;da_1Pp%W8zv#>7EcXUb+c)FiookN6kP%x27?EDHT2~=FlDUo9KJjiW*V`^h4G>9A&(6%Pc{q^-R@<y*3+_8`G^O3j`^cgVX+(nE*=dc;T znV6E9)>jq|w{9RH!o**@(RKQE81)FcH;BWerxkp?2o2?_GfAJ%_t!^}jgg^$Xv<9I z{h4W?o*3QsxsoD7lu3md}Rs}K#3Dh$UG9pC^gKb=pjV({5<H= zQ#j2-+Nh7<+%jko-yUvv9(|TI^#4e^?szKOK3<|kNeX2Yq3o6s8Yd#7kUc`S%#uAj zB`QQ^6xkzNcD5)P$;h6mgv`oF!uz|Ab52eV&-1+R+aG=I568K$@w$Q zlJ!RWSP{4$z)9`h9Sw?A1gdNI>wJ^kURUvGK)XgO91e(?_ER9W4Wwib&09V?EaZun z8R{*259dleQ;I!FP2mh)?X_1oDTGZhVarH^FH=%EdvbY&p|LiSxSQrU36Yk`r|ZUo z$}RU|&Ny{K?UN7UF(hbC(#?0OJE&s$x_lh!i3N~g8T^8n3lHOgyM%Y9%+VW*I-oPd z7P*SnedA;5g8as&ESW-jHOYG~wzNW{+bPEzutoL;uUY5b zc1SCakvjE)ANU{@fFT%9x&1PZa1FAdb$a|jHyN&PA+AL>(oYQsY~SSrr8H>2 zKb;RY`TrRbI{`l_aJtC{CEaaFu4%C4t)moGuMjfuZ;%( z{F6u*;t!=BAwS=}cBfK4N&89~W8hHLSe(6}^FL)c*)-7`@H9Gnk*FTGwB$GJ{zyAs zbTD$);|DTz$dXxZ&?Z-GQNIKY$J-V8A@hnfT!3$|2vxEVdO6TaaU-84noDZDVKBAK`wPv6yp;}~42-S$v0I&SH!pZiNz7@Q5HY)6DNvwBZxzvPEIZUD%K zq?p#1fb$R5bYtC5*rNznuoPPA zcPvpdkR#a&T6Kf_x3^D#Yt5I`7k6a@l79&JP{Qm;n-yR#-r4}2S_y+J_NPwGli`;P z@#~L}bZ^TY9nrqrme=$)&(PgDjPdU`2heqz7K;nv!`3C$xEdV$PRcSZlW3gfHmM}C zb$g~D`tUl2KC*;Cz29P$*kus0X5BOJ9IlL>kaU12V*s?UmM)0e?H zd;3nV0bquoBH*VX*b%CobFEX(ml&3R-av%_JiSc*@?_boLX*)X)Za|~`TB;^p(4ct zsK{2L>ACMot(&qoVE-VNXtCEy!EF-)99BUN*^x`&5V}L}lCa(A4=C5=K-sb1Pq)&V z+5tLy5oI^`eGmX|K7)=pm(TmIfRyhs>gI8y+3(jLYMt6dFsp}TfH|tLC~?YR8M#wp ziAV@v16$((uo|(O#HzDz6ZWb}jZ&oT&LCE12z{@aJ(e)_UNBW7Z2=<9sfDQii#8CeV}p+WcVkb1-c8keb`PD-Ck=dC?0=6@J9e%1Oa#&$mTU;Zg@$wb@5nFef@bCaYh1Us`IRX4|C_e zj7_^V1|y8^C!Nrm7xS=_<;%nYjIg-7p2Ol#2jqZ5n^|(4<}6OPr=m8TY&V^3@b3)w z{z^=m4NkzX?@5MYLd%rk4MfDhiu;IoqZM(pr)1}B*tM-`;n&3gjTI1I>xp=@0c^Ln zDZ`Pu~Du@M}NIHKIZh8-=qp5Kprjw6+vDchm z;kx98@XSO~N|Sil_Ce*Nw$IElqmthYYBk*>d6WTnR08)mIBctj6~ElaHnNnSwNh3;s&!hCP2s0N{R_-aJe|f46z*ziMTGrEkZkxs zLIIsF&}f{8)D+*ropA&l;)b}!uR9{AS1urKW}sr_l_I}3<$3RsB@m6(clz&6Wuzq_ z8I63#^Kqd_;IepHr1zK-ZczxoGg1S5`j&6)xLgokTK~-hy9gQ*fi`n+!6Q@i2?^Fy z@a1kWg-fERzl*HU}jTzMutaYrB<0PmqQ!R6fEJgY!jPWWtuIL;+UFt=B}mt=4qa zDK}h1006H6Sd@pA90DNZ3s*f50HJGh8X5dM-lWM!O2a{W z7W7%-7xmfo(M`u$!9wqLC*dYQ()DErrL_HO?WHfv zO384NI%^r9U;ZkLJmI;_xW}p^m#0EYJ1>s9$b8GO#@-2ujuk$lvmq6oscXqrvsNS@peX1>oLKd}eMfx?+7 zy(Zp=Ab5y0gvJlc$89a@D}7ADZzTAG)qlmK1)w-GrR8t^aGJ0I0XNZTc3CMezvWpT z>4LAF?Nre`U;TueT!y!5c2e7(Tzyo3_p0CXMzL)M z#Fg0Ov5UB!+Ij$%xSzWYpOqv0A}C$D7BZyY<^R8ynAnv2nWXPoy5CyjeIN0@n<76K z=4T_I6OQjgo5@+0#HFqVi4jO^^jER?L6?w54C4zdJsxIf_+nAeV@YQ%d<{PsB_Gt_ z+b}DrSpgJWz^G50Rj^Md`d@7N-z=gpD@OkuwiTFSP2_9(dJd;dm>Lp@;+FE$F0)8N zH^n~J!V9Gr_8*X^TR$5WchznuX5Si=h&tv2;x%smzO|dZ4$3o#g19UjyLqpxvAf$$ zcM4x{*9FpFOQSu`*#;lOj*aVtnc6d+e;5^}-6P4u%yR$vtpQ;w&zEeUOj^c{SY~Ww zIb*PYOD(bbRrShfmh;&X%zPg@Z|wO%Gn+%oOx#m&d6wp56YuM};=VL~erLNd#ivQK zS~F=2&3nUUU1tmXW*3f6$VL>;UXH*SayVm5n~B0VJ$y!t)|oT#Whq_%ELiPud~#nX&%1r8M-*c^p2&j#7Bn-7D8={{?oT}w9eH9=0XIcEEpf9Dww-nlvVxr}vO zTCpu4k>}pVE+z4s*wb)_rmEc4Ia;vn15W-qcA5-2vv%YuI-u3C8%ub)@$>(uhf9*^ zaAv<_zyCUN58B_XP>uWZ_qisiIEHR!b*U5jL->>O`-2$WBh11yZ)e8Tc-6nk zgURFT#N9kG{5Ys4CTAk+E5=s!;;XYJT2lJE7Sh{YSo5YZN`Sc1mtK`D`(l+ucl1BE z3Da%&zh1bVe-J;kEo@AiX627`;&dtDDl$DL%CtIgO=k^X5c%+-gfhU zlYbroX#j~eh(XkY+JTz$aY5F~_;MYSIML?(bTiG&2Ock>>E%q3Ma+2fna74; zQDjl_FWR3?8+eG23u4wY=XUpq>A|i33dcOZRevV`z3P{K@)ZPq!k3;>O zpC`X1DyjEi=6T8*><9%?OqV5 zFM7h}IXPD~xi;R{N4t75AAVSCs((8gr5!_KV?2z!v`N!;*reZ4{7_Ulfbv$z_6<9vCG;a1FSBX5EP z*;Dp4YzlI{}ulKG<$r3<7qXkGE_Ly(5-t@GpbJ2*WmqJ?umzP*; zQt16;24k~5>fU*Gg6~Exe+u2ac`DZO6%GEN`}3kpN=o!H9@P)Z)Q(R~9NbC9oG(=n z{cM?p7(Xf8!w?0U)wpk*LiYmx@cvH^RQs6L+lva6AHj{jhns1Wz2HPzJMISrLgBw> zeMjEAELD~NPx0+a1jWZfZF3U0;V2%^d~MOOYcK?4%c5q+T07_-|EqI>`_ex@?2iI* z-SM0w2V+{cE##z$Q1s#@a^}{>9aTH>8(s7Gse0e zJVt!N`ucR(cZ$*l*of=q5F8Ku?EMYU&4zulN8)qv zo<073xCg3zhoJEoN|eYQ=O}-FHgybqI_}r2F0a2Dy1T3lrS`;%Notfr9d|`6k{4~$ z)8>18TB_hfsu|a&G49^e>U{A^OlED@r1PpXG4>p#Nc^kYiY(?d?wcP|oBVLI{*)dm zqSt$+h*3RNiootis)IKj%J%rp)y&*db#KAPs$t5Q*`UX1kgOv#@`?JuRiQ^B_LlaW zxP(`=Ig}=$s8+YWupEajJLbpOy^@TCDOG&P?}_2kC?aED2OYtMAj116-F@h*A7z93D8(=qBS=q7=p>&>w_=i z5AC<}PZ_gn{cwKtma#5ow<5hsx~*)!ekLQxLf|)&aVL1!gW!+9kg3I*1=MR2C*cwz za{OVe%EViIHV>?O9b?dOW)%M|1P_O(&{IZzd!(A*;?p7cullbZZf}+>W8827|1BnY zU~tE11oy70^9oc!ZI1V7-d|e?ak4-#++{JQ+x`f!zJ9Pp$eVm}!TE@nX{xqv+2Sz` z=GJ=WpAOq_ak?2do3ztMi_vH*D}Bqi((%X+8jRn-3eF5EoaQ~oSvVOlP>xKSuddYQ zKX5lK&0$B5wKsv|fW8mLmf?=}xB5DavHhfjR#}d?3*^ccBxc7FZ?8Ije`0h>vHM$% zBIdanK$$2HZ0+hoFtk`NTDM}4X!dzfjJX#=aoVGS0OEcOD(=r4bt&*KhFYX}WO{l! zCR$M##L8cMvvt}UxfFjx^f=hE0mhwckksL(wCZx=wHhjNn273%U|92Yytw#+E$ew6 zc9cMK;QUyBW$+fV3ci0>S&$<%Q2*w|N;@D-3Av|_f9V*l|INaM&qk}p7bqtZgFe}b zUUe*=;qTGohV`$05*Y_m%?oJ5@mH-U@+F0--VV98>KgfXBx^SLV;wCm@*&zw8`TLz(jhx(}b)s25deU;e-t#6HF-`fZGaoW_IQ$=MmI`4KZ$lG0Cs z)v?`rcG>9Ilf$9una7(4R5FQYK?K7LiFGn=_|1IUzxbuO6kQ_+^PLzrgSvRc@dYyW7y2DWEfbQ#I7UcxNU(bri50r zMKQrfr>MnF&{^ln!<>b!g%OGVN2$%Hm&`<#9oqu!vigOzKE3rgze9ub(9nXxwCZaf zExF`Pd--}*LID&0v`O8DG(>- z`_ht6sU9Q+=pB5jUd?pNf91RJd**){{mrc3vaTfT#R=-Q6K;{(=&K(q17$gAzc8M5 z|3|4~5&_j}Y@9BR3nGU$qSYwIElH);$ZW~`fohvJ8D1+JM@l&)fQB}p)Lc-HJv5;X ztEy=Htl$A{ME+^x#*O8V@-Lm3>f~-{ zYoj%Ka@;ZV6N^O<-b*G_@51bqi&u>^)VPcHjlVx~Zsk3xRXxbRUr2v4bhuJV)4o+@ zXXSGL58sXb^Jcb&t}e|lI=s!c1#g#Ui%NokT6TIy9mfg46hnmcqmth$htNpkBP~J4 zJbng8I4HHry@D(Hsju#jq2>FZhJ<1v0_4tZiFp+VcG3y;31J5mLSh}4atlO>;ns0a zLoyQV2|#@z&b*?dT2lf650ulAJ-mf4rwEyjcCsEN~jrxo2tv{s_0PcrTLrPP9Nu@pYTO@=ZjbG9FqE+xgxb&7D*&#*taJ;YmM~B&`Iv(D!LB`X zO37&Okfge)&G2sHZL+Eo#uoW;OLT8dYho5BQZEcw%;v|Ul!x%XA9Q;swG~$it_moV zpM6+Sf|5;alK&dd$54wq)XNrwj^uddy4-CzEP!pTq=8MAm;zvEQ*G07s%Gt_W5Ok? z+U=6DqVbq&s9Gue2Hs%;1D3a6PO6bcjdN8gpyC2cp~_A3ea_|bt%ZdJ+Wpkuvf)l9I;GM>2Lb4gky zEa?s_U)4khGmj=7YRr;maL`C$-l)L}OX_`TE6(0m-9}B%SJUb2e_EB~RK!8{Qh~tY zMDl{GWP3F(?Hb0S#*g2~UPeY~AR&VO*C$YqCp7>9GC=kjyK&oN4Ox+*#41Iqw8LiD z10&1^NAu~YI@~}^?x5_8+LKP`O54BGoR4Gb?M$6ISAt{-K26-F#_R{W70Fl%YvQ`L zh%=cEjV>6Br3Wa!;5RQyyj@OcE+e&J@Y8qWl9%0q_z~0W<&=K|Bh-Kk^!JLd%EOF~ z-E1(na1GL0ozq4quuCgK;?ybhIRE!a*}~Oen5f|CtGa1*CARAhK8+Q3dbx1r&~p62 z;V|5U|3-2A-LGKbsjk?+*iVKl=QW&wQ*B?beV4#=;YDbS3IckqCOoM7f2Bubu2e;a-+&u)leu=r0qb+?5pReE^-=fQd&(bs|mPJxx=~z zmkVy#19q|NqdATb9TiI4G_RMO@sfSmH!p)+w8%GKi0d(Od>-bLt1O#>P8K*m&QI!a zrT!rmbiw!mdgqoW>;(lM&NtWacoHZW35d6^usT(r5?s!iyOU@zFOU8tjJ_xD={~$| zSh?vas^z8$spnr(u={M{hmv!SKfE0OAfH8Z5^4EU#Yx*xtZpMw&cr`|{=EB2?_|Wm z^KF>CdO6q7%o|JGdvzx0*dR(13qwkZ$ zl`7|759HW=T=;P9fGgiol?i5Bz1KBk)$!qN&ObfeKnlO_mlouBRX?-;k*Jcab=As( z6VBFaq$T>nBFI4R_2%k4xcN;P)V7=pewmiVL0;QA-My3V=CWZ9Ktj1D->l{4S;zv2 z5=%Io>PTs8w0O5mx5z^C=^es_%tz`YBagEim+K>!+d`+8zlodld0$mIulMThg=4gs zELH9j-BE0pOalk9gKSpXxV4laR`{xyd}mlzVY}51dyS&=FVB6J$Mimgz8R@Y!VCk| zg_LvXbMS-G;yCnezIuO2faT4}s*e^G`_23W=y{jfOI*Y_r`O1UE;ZrN%x!R}~kr*o`ml61;TfiVm4#s;#7l zpmbfq{illQbk$1EvO2)itD?jC!6N6%>(}+oG>`zir=x*Y#ll=*h>aKOuxVgQ=o9iD zKcKnrvp{!-=2h3E(-U0Ku)IcN+u?-n8I-MifH|RI1!-^o$q}ogUhYon9(j#(upf{( zJt#V6hF>+w9F*R>;8=~84+LH_e%6;h{Z1}>e!(P{`*UWh&I;fQ^=oY?erH#G0R0Jh znkor3Tvfw>$-&Wmpfp;YaF4)ACc7`vSpdfN++ONnp$Y>gDohq z>O>xxlgSpvsY>+D&8(c~rS5if<7*Ol`N2F0v;7V#*JFdq@$qJ-e-z-A=WP`+YS;;T z7+P+Er+h#!9blTz9U*)>UL2a(LEF;V*M+Pu>TCQJ{?Bm_Es$YM8~@0TTQF2A=-W2V zxYf(-q^xovxu3@FP_ORf{@ddt!FtH_jIqdX54DU6%?e)Yfc4|ftzYdH?2IkE3*E#> zuu3Cq#Z|v47a?zZnAN zS9ic5h-7H$FSl_(bYVkkBQS4gWo0G&C~F}WEr;eE>nncd=}*>h4gTf8 zBt0NmcBg%#H*Wo6l_Ik`@-}qB&GfDyYqmIN103ZJ;ereip(im?GCDv@P>pGdCh$iaTY*v`porD2_) z@e!R#H0rGO%|ds}4-|9+cf2^LCXYXgt~0%qxZIsy#@4@lBLH>D14#zW0m{p+abdUV zWSy~R6%;HCb#POeaGcmRh-6)Eu+nbBmgM~n;ZSE4&Ff(;d4YVS#lc+R2X?XKg6AZO zk8n5SmZl#u=U&eH`tO9~_`}Q{t$24#75NzG!Lm%r94Ep>%BE8o2;{rFnhbKKNIh-)Zul>*{R% z`EHTl6;)U-Xmk$Wq?oO~m75rZw#+7)z$w9chn{Q%K+)<^}#t0(HlXA z9Lpa@X%Zu?<+{;G5jXA6B5vHQErf?d($AELs%cGz`&6s9^^su|94a2-s`_R-a$HkF z!z-dON2&3}eD8zI1gZW=q`{2ynAR0`%*GYIr=nhVnV{=n-gjl!CBeC1f^4Vr(i5lx zEw@g{WaQ=9FaDZKe7>IttHex#K+n`Y;G@8vAg1j^sm>WK;V<{yL1mK?5qIuuC@4+u z%yfB(-A-F*P14yEl!jlYY8sP@Wb4`b>Js9Vdn*l4Dje%}&JF{gP>hdR{k$e+*%3C7 zfhaI{Ml&1#9V;J9f|sK}E!7~$<=LR$l26&VZC1f;0+YW3S|E0(R1unFjhaO(2|m|z zdTMqS3i^{|Cq{TbtnSZar~Z!u$f^KImot{$;yB~`fE6U|fn9|L!K&lGC-Nb9dG-o@ z-7BZ}$I1HVU!k2qAEcd?!Sz_6W{_st-f-_VuIwa1aH4ho_2D(_C4LeA_5r1bc+_uH z)JSo1;Rt@o0V}zbH5y`s4}v>1I9o=x`cmN6i>Evstaim7s=m@^RTLEppB(tUf*{0 zCG+QozV(MWdsS987OY&mf4AK~_M$H=DNdIDe#zpgj=UWX+n)fUC|p65xU38KWT${A zkm|Xl%pc2s`aOV#hYMQumM_h@06b`NYHlZ;%t-{_3*Z2=03^?l>~fU^Ct-z~H6JeGjlgWZ&Nc?>m5GXaQ3Q0*AA> zWxio*IHb@a2F^EA3lZ}sdo}kc`Jsu4ZMP4Jf_{a47(`BY2<)pF))EW+p&Ang*nG z`uJT*a=v2-$_@a0IRk#ut=KLEgDldR2Oz%sFS`N);t3$N5NyA6^YlFC0vLKb8Q%bZ z;r!tC@f+`O0*-8izXDXgIC`Gb1&3iw5x@4v0;~={zY`i{S9YEes1lSqra@M-*9qHc z5jg_qXZ$A*4b$==@aI8$>X`Gh@kr*TbWx1~MafdF&SGYbQt(CVY9PqdiK}?#1)PVe zfU_-87n8MaM9}rH;o-K(f^T|a3I1Z^IHS(@ht-5R(57_Z_tG6gtK6e zjpBehfaJB4&|Z}9IB6my>A@JDSYZ}afI`5eCVv$INaz+}qv^_1OLO(c;f_W>oKgXB zG}ftps1e~{14<>GpH0EhzBS15H|#{;FEzJc>JIQdgtI4@bR zU>P{ZtlaU6R_9{Jo+I9fzy*#GntNzTgMSF)E%2kgZ!4KWF!EB<-iZ|q0+WR;K#*^d zN*<9GU^H@s%|QSLCvDFo)K^*$DLNgY)O|Czt>p(`QvujiVVa^|ScRgCq(?CznQA!> z1Ww=RhI0(xXdzkV3Lto|JW~}GhGP84Zi%+v*nQNfInY(a#}S~(Tp&6+0qj=EJ`mwnj{_NlSgTERouLX6yFDJuJI03Mv1nrffC@;_E zcAy-$ad&smUjW#x5y;JgJuw%YCzCSj~kSC1;n7k%~85t07o}A;W8+K zp!3R~Xafwbbw@z3z~&0Ov?(=^?!Wn2c$-vN#m#Haguhj>c+v4>Z^{F1Q{#l}kAR1$ z1#o`lHUOk2{lh37q4S(-k^zk17m*u0WBU;VSed?!TZGdQ(Xc5-8g})zxW5R}9`rmV ztZ0EzWWJO1lqf8WBmePa+^Edq@jA8JWAR`ljb zt;ZXa#?f|W)i$sIHLm3(cED&8oSY%*5P)D2A}nV9=eb=L0gdvNa6l45ZTqaFTQq6h zKXDAe%6Hp%H2eSxS78Izy~D0_*O$o52f-~qCZ zQx)GkugRQbwy?=Rq469}WnPC-sEJHAgKQtrjEIU3n&>AogntAm-~vD`MZkD7V*2OC zfwCR})&xg{&idTzd!Ano14uZqU@GUH)fDJ7i2>Vjq*H;{50nrbLxeveKQ2ww;ltu* z!BU}S4y^e&)Z94PTm*>Fr@(&+WE9=^Z5>rpY8rSq88P0p2TOpk7XfRXWK+%%iwdb9 ztl&i$ngYF~c@VQA`GiqK*t9u8%dTSpTsnjmxPmkz2KczFs;u4M7{BTi1Jq5`1u(i) zX$duA7}X3}Bi~{V`=#TecDOcoj622@hvYvBuJZGWV8Up#qAfP~+QkaRwn8M(9^}Z4 z+7IV{kX&}ee93jx4#b7t7axTat}xn!g;y&2cTNfK)iqMN0?=8Dz~*t#i(bL?mWNIh z@UsMaUNjn*ktdydg>cCOI?-8rGmfQC2Tlon^f?-hFf!DG6C}_{B{M`r<*+q`oySQ! zkwL)=x&r-E=i%`AFpdom=fmzG;G=5J*-k*Y{%h#$sk^x^YY+tpCz8QT>3lG1%v~pr zi#HkDT);{4t2>>qQ55PfTTi72##87-@xjIB$x8^*-7A_bkOKt4Gow<0|Ik3l&P+GokY|Fl7Jn* zsES~Z90$@SPvJNxqH%w{`ERuc{le(?L~M|BV5jJ_p%&Sh>Z$b{;5;jMizds-8)xMA zoN{xK*8n@GKNj_~-)nCg5KUzGg3mG_YW*FwbZ(}5_5gTQ+ZF@WOK+Bes-_=H#8eLI zs7&4~7tIeE_0xN;Jh{V2GBIr-$vy{@nA4n?jy$!d30vN2Rz+-CqQA6L^Vy}-&u6e# zOx}1mELXtSp=mZxWt&{YT@E28WmS(v2ke!icsk!ob0nnh(cu_|HZrah`M0NY;e>g` zY7B@)J#+Z_0Z3JaIu-QiLd??cOmpuf%LwvJW}efiIz0mv@t()^GER$Hj+jW{fTey-C({D# zh*?MGXAlx^FCbh*aM7Q2QjE06AAf7101w!Ct1@Kpd1wggFgt2m4A!P~K2pIIV?pu@f_T~19TMIlVThuc~ zyG}16je3wA-(>;lq7)O9=5vV8&`SXq23sHD5{^yC1#=C%8eyF4e|`s`SX>U^p&tS) zRaamOsi~L%%2ohq;#Dr4ymfFC20kDbSDvDtrN>jDg226D+;m@1X~9}{cXEA-iXgf~ zSl)pWRNOq_X}A+-D^;qexn%|gbMR~chhns==v=fn@7=(&KfSPMI^{eHX@Q;?mU*-c3-WhtaIbilc zIF|K&qv)Vxfo^FyV(~IWes}pPlo9rc3etY`iOzmd>LEvsWwXUGp)*JB zYbT3LP?uoj;mCEdtxv|Wxm<{zsjfVAn&-w{_Lk3sl#1;`+YcVV26;$$jMbVpS3_nV-N((+twM}eSo8{QPWSTERo~NZVp^` zVyX{7Yk_euue~a#_O+}MqNvdt#E{t$m)g5*!B<$Hzl#3aKS8}i%#v{8dYtrE0|JIf zzViZVBI*%E_MLh>bxE=z zd>pY<-uDC!9hwB&B(7OAFbk|jKoi8NDy9p--`sIZ-l~~F6snMi`a|2)Iq={I^n)FpHW%k(V0Qf_yy>v5G;CeO)xCW z-q*ESdh=(e&C3AXRG?KMN!xY01@cW(4G=KNv_L{duyaohpweGR5hpBzf1y?b$`k#k zF5oU_1o@i_|4gB~mj-DSaL24uG__g)_T<#!$5VPwFM{vh@13aUE2gYpof+Zy`7x3% zN_cw{LzLV2JP4dBe@5Ge@Qh0Td&h$^gk9{LfgWx5h>GfTX$g z{7X`DrG{s!%KrCAChnQ>Fy@f4?DW6s4EN_(Pg$-ltc2^{j9g17*87PQBLxmft83(r z0m0o;ot2xS_87P(f`GqlopUkaoVY8)q0FYRjJEI9NG)`lvkL_x}PVctZ%#ozO z&8&Y2V#K^AX)f_Xe)*G--O}6U!#q2S@g3Z&lvP;<4p@qvA>RZ+CJ%z5!dKKF_w}lc*HK_AihbqShvH zIq)s<@b@3jSVl0b+JaCd|dG`tsgjR@(MdzjQh*fIwuSX{IG zVP|9?E%lh9j8H`V>pfHD>fnMT4schn0RfVIL4&G zn8Xk+fiQivHzq1Rt+wuQ7}fH` zPcHqcUphX@fw{#9&=jBuRzOqyn`8gi&-|$fc0#{cSXx~nc(pO)CqMa%MYmWB8L)T3 z@vdo6&}~go&j>o53|hcM@;&v5>=zs9&9fUN8iV44#f-+S}nx33q**&_^LyZ8wYz47bV^C!c zWNSar?u#%CQjfQOQgikPI6kHbmmcJ3xI{2FpwI?zCnxNUYsTsTQ2$m)=b_9rUUGtZ zJ62b`cZcTbMICRx03=c}33&$OuC>aU_UFTo3(>se$?MJppIS}da2oNa`Qh56n#{H2 z?}PP_x){{g$ApzZAZ(vN+4>4BEbbljO9^~2|C%S%Q`R_C$IDC18oe83#c5(XsBh!Njm~wIKng(!>D&TC&nv! zV3HVdFC{cZIVZ!zL)@;~L2~@8+?IjKUvzaF_K0+Le>uI0QAy}MoVkkc-@vF%zT6?F zw5J7Aecud9R0JKWC-qk``}aSArs(E?vyt=VG`OW?B8Q6IQ_EmLewl*|Z&0vn6|+}B zZQ!l*0v!fGb=Www1nxiySmkJ*n;7$Nv2Ant3=~pKWUkdhJ)4=o9mJQ0F&B!)2+alP zF5v$9fgEJ|r?O#?{iz8YE6kTIUm=e9DhK96-1FRP5Hp|9vBrgi_$zBUbSi+bV zd2Mj3^mtejJy|<5-XXyMYBGVQRl77AnIW|!H~{5}GtLvmf+m8P>+k-QP`cWYxjkrQ z-v)t6ij}Bj!PCIrqp%D>>1CJr8NZ6B<`2z|jKyxs=CGZs5Y9q-Q1&&#|U_&%qX zv8%~3+rn-~3m4_LF!3Ey2ccGhq~xbhBLs6b98b^8dwl8yzt=gNO$A|^)&^P|6U1r3 zXi8XkgjTT1m4^O1m(H9t=!BSlFH^H0ehu02BJXBAa0T)>#1>zQi$kSRRD%^8*RT}DeOWdtnF!^I| z_&u(@c`|0&&n~@~VvBed+P~ff(Ar5G!QA#~fDe*P+|nL3c}uf@>?I^Q5PI~*`O>9% zB(DXe4k~+1;edt#$WOwBoW*-bK1prsXsRIhy+uw7sy(zntPEVnuW<)mIX>Okzci8e9XGynuqc~#UQ z`|)m-bGqmL(Nm8!BQ{;|>nhxT360yGOa#cWVCE;p7LJf}t}*Kce!SQB7&X%_xU_)Z-S26%>9%lEyF-?luBjmVB-Ywn&{#O|KmUX+<)m; zy=Raq))Kadl1)ZzW&h!SrT(UcZ1^PibbeCc|g4iA|zM#%p4t20)ei#H%kM6|8>NWZGv)sXFCrq1T}ch&iua zX~W+EU&X^hZy^iFwDKyey|rZ@>F*xORX&MPHwhP@ZGTNmnPn280ezgE6}ZvSVJy&q9dmFgFC5pgiCrT`25Zu*_#uxy|-o8#;S2VQK_t zi+}nUQYdcf2d9X9>9&vyqDv5#frfC!_;Vd#_w~I*H;(z!a(5mBMoJTY4GNYsJFa+% zG1IG7i3ZjVME3;Xf<;7~`7@#?C8vS>$Mj00n|J4R zYk8l)zft4y{^rI-;7$2uRDGa`n{3->ghUX?S3^%bx3=%v^GnR_OM_!APoB^I)IBp( zlTOS}<{w7<`UIxA5Ny=OVjCUEr24C#_}LU=-R>{F7~1#CsQu?@V?WEQ#{`QUk0FJR zO?PrUEHi>Ulxq6}$j2_KJ+CYmNoexv4}+4ZT%rr;+i>s{`j@t!WwdREW$Sv&_ShRP zjl6_D9d(=4ugbs@LIm6WXwgj_4eG=-;gW~ z1VMrIjJcEw{;z+g0HsAnkbn}BbAcw=PmST=Hv#S0cSwfhR7#00j)(-g+~|UAS2j$w z#X&En3lh7FkkY(WiBKs(p1%M{3Vn7T-QT(h$JyiT5BRG50CC}+5YtBZ^SDA!hVRUi z6V7%~@@m;87ZRasP0;1MYI{TJd|@fM&cZa%MGk-@ZGp^YWqrj|^5`lPK{AcfA4a$2{a?;`dFdBY+CwGc*Dgd@GxozxuQa}=Lk6cQPNsEFR_c2r zwqv28rZyw3bp^vY7O}FLwL81bd$t&A9_|M69iO+5{uBonB}6Yh>}Y(d**nS;wbG?G zyLdBl_7~@dZ;Ge18nftr{uRn8AJyXrt?FhRhIgzRh)vhbO9P6jO3IvRb+qmCJ%5~5dTok>Xa`fwoT__v8ilwBaeonsg%Gh!m)&a8^|HFS*DCK|7 zlr#r#uNafB=EZ)^Z2&KQ9y1YQEkVk3eH{_zzxxL+ZS_-e3YhGL zKcyo%ZX(c8qypTUEin$3>947kfQ-5*sDtd}uSv0(*CXkZx#&)00B+v(fn32EGWL-< z62?*O_AyU^xKXYuvamXNo1NuC6BI zSOld=4F>C(4>`9^$;IvBpPvJlA}yE7o9?O3q4tcJ)JmFci&3pCqdH&+ObLWS@$DlI zQcj#URZWmTov#7qzB&lHh8%B$6^3BtUFTj}+NaE=A|(N@R3cI9N7!GPb3Cl=6ay(- zF^Ah6>ldOwO$Q#-DVaJKFBj1ZDHF4{v<@;b5(VZRnd%~n3JP$QU4QIrmc_iSa+YJf zoAZg6=LLJ3pXrL3sfXy+(DGh<=BgC^%Op-!bCZ(=Txe}jc{sejyLEa9t(mHkLkFC- zP{0ONm+CNrWQQSn-jd$cdc@2bf=eJL$eTHq=f@}_VEaX>W$5NDU>Q9|`!qif-tJ2; zC}0QFLT^(#fRhn2(LU#U{KY)CeDx6#^bUMtscqDk`tkK+ zt5)lbRpP;E_U1yMH)+)x?@~?IZdoXTwC_aelJ+1QyP*Cwf834;kZGWYV^kS3N&+N1 zUhN_^a!9&)(R{S7dcY90JYBz8=FYnRSaSwBeruShNPM#C5tOB^3voTtA8M(wZy z{$4N}ygDW3_Y}f&zCAFXGYL=ZHWGdy)LCu!6}T(3wB#64=AR)Bmt=@gY@}AFM0~?xr`kkf{Kyw zRTxdTts0DQPdoDwE>dfVwD2k=G~8!7n-X?+SDI4dgPhk9xj_c><6`UbjD}iMLq$F6 z5(BQgye~t8I(U4-)CGz%HLlBv&-s;hzJ3s&9^ufdnV;dl@=g(qeass={&{GIqTk){ zlQbGmxb~nU#I9D5m#GUCOtx-_JPjn#Grx9<>h{&_U4oUIo~wXD+!Tj&$h#2rUP!Se zG$p@FxjNLHXBF|`>1$tl`){A5%+$k#ZKp2yS-$0a_;OlFAS_d~NiIy-&__WXj$W_Z zyoHwF$nm!pCxS+i90v>lxN}V_hl07)zkx|`13#?kjdt4tQ-7RHuw_?n9YeIA%~Y)5 zbg0gIq@EWg=Jke%CkB#JmHFpF1yWDn-1z0f%lwjtsR04!8FN=2(r(9`QE@s$8hpWIZkA7#cPgQ_}XV5qPK6vgSb>>b&WsA zhkELj?tBw_n=R|d%R4)y15G=#6FXu&d9D|pxkCk~F_-4~Wuz-^x27d$PaEt0{RIc@ z(k{XZARNrlXDJk%L5mk+WU#jl*DriXY=d&YHTbc%!%YK_s?RnZ+I=B>efQlDIV4w{ zC5$u=RGVmC?agI2`jMX6=lIS+v<1qmK1W%ocEzP@R*A7$tb(=J?H{VrWfDRVm#51uHMcI?iTNf$y$m;m*&%%rK*M zvw>WyZ{&_E9lunzu3n14$!@$mkdG;j1rDw* zPxhFLXCCf9ub?oe?{^};xMn!_*8E-(yEnTGJv~PxTEdu6JZ`;3j=NoRpIB@)-$xlr z6l?YRv+ZEYA6ED;yZpawo6sdlDHt>8uEItdv}po$^x?`Sd)uF^6QVAtovBB z;W=l#D8UfQ(KX7WSJ)>gbikGyjv_IX8h0>))FCl0YvP+n? z2tMheR_rtlnORHv5>O*xLOO*{2nI3~8&p%pl!B8NPGafgwm4^e^iY9rg=ZyY&lcck zJ<=H-VH+!$n)$S@UZF{|w7dhHBmSGRF5nV`DY6Amr@+kaz4rVUu)d`fH?+iq2=@vqxR$ArbEY$xxsRCt+;# zZ)Q^wF1>0qFkO3QiQmiYox=bSJdW~BctDQq#b_*SA1{gda996iMrv)Kq9=Ygan9g> zVU=)y>ruLHeRsdRuNpH>dAyH7DJVSH-Ew+kp5N^kdj*W%?E22sk!k1*4NY&(&-y}q zBF~}U`t$KoV~vM_=8oM-qs@sAb53S;g2-$gjSA#6+{(9Y^wS%{@tt{m-A#~NIVoT) zHlW;mqvuf4iUoqGX#$dWs*0fQ~164f@>ey$ySovNIqBo#qz zP#@m&q}#;2`*~yQ$Na>ULEyGd)Tu~TPX4U^zWds66Px&jJd?)qs_?fD5BL$i@MUiO zF$#87q+Wl##gf;?vZ%kaG+UJv+octv>W@9-9@t!U5-Cr=8UE4_Fu2bTo9{Tf>Jh=*09+`yC zuEX}@7kSEl9J>&F8O&f(qZ41?^oZnvxIM*mf|llQFK@h3A91Shr?L@E18N<5ium}u zyy+KiPOqj|{trRGZTp2cNVS{~2|p z5D8g-I+W#Cx44yF;E_elRcJdfsQbCsJ=$ipJp;JaI%-_HenDx>e8Y+FB^D$Uq0;fZ zs%cMh%0hC@Es`ZlrFEcC-l`Wo27UF35Ig4TiSOxhI#Q4iLIkwU>Su@Tdy|xtLr#!6 zwQk4@QqvB#47=z5B&3#?cFXkW<`;oPN%Xap{gE!^@H0 zaA*&ne%t~flT!TI`@<~W`^4|=5=&X%ojdZV_kxd`*7o|{=3)i*6P8m$K}R!7>uywd zZG#?f&Cr7SLYrZ|nL=~5ibNzx8%fACtStdjVhO+Kj5;l{9)Z?|TnkOMtAjOxwcT+J z_TskmCqkirCD;6Mer;CNOA9vpWSs|)e=&sUwT`YXlHtlxxo#JtCqZ9nAjoDKF!zwJE~h;cQW ziX<}#?_$kmK2f9Q(3EBtYGHKv@D&TLgnEK|jN2Y{%%~#7?dfCAK~9apA}jp}E#XzO z@AuQ$oOUAOh3YhehW$#Xok+;2AM5wnqTFU6D5Q@Rs(O5sMPq5{2jiyFs8<)cOlmg< zUv7o14$n02Dn~1jLTESQSPL%EUd%6Q=!Rz7BR@a=o}%~dx7M@k4}o84W^4PRu4}9^ z29orTZ0vMoVwCIli&GB94_!9g%4hnjlly9dpk8H=pYiMKN@`GglRZD$RT1ho4lFN5 zIV~P{x!u_8p*6(@4*g*pgGcOA9+uXxDJ&m`4o8Tw$9Z)vw-nnv4DeAYQ8KUyHhi|Oe9`#yGsirMF)St5+S890IUK?QO z>WN5o^u^Bk+Ei^6vc0UV>_>-MD6eT;alXxCT_4>~$grc-va{k}4E68l9l2_9!0w@B zKz5mBDuvkMnWKvqi#iS(^pXB$h5t|gM5sY(?6SyP^q0NxX2ncCt!{y>O8Y910PH_u znEKHfKHA%t)&S~$uY5+HeN?j>^1L@0?5EeDKYhWwF66s*1s7|B*Zp=aQ|6O(1zw0a zFvnAAr|(}poGwKpxEaaQu6Jpi+X8|+PNM(omj=5ItiXaoJINvkE_}=3I5WW zj{}ifrkS?I53?LUOTS0KhO;vHFzu3ow!#jJrCc70-i4~JTPRT5$JPC+oMl@V#j5%u zwN40@qi(pqHrpXv$_zCIerjKOfm*|*W)&$Xhv|4OQtv)nd7uwTMZ_Z`!SkoeYQL=ya-W#l8V^(V?ntT$|i2Ytr;nN<9n1`;0o0<9Oy-v3M z8l6Ko#jB%qC;x~uZMKjktB!20t4Q8EV83^Jy#bm2Hb+NUY;fdKga6Z(E{N`^L>MlU z=uRedw`{rXJr|mC9(7#VcT#=CTC(l@6F##yyL!NG_K7>Dr*!?M{rU=V=dTaFhbz}>evy{+_EZK!HjsScWUa+)8YpUyEd2X> zNe43?d2i7l^b@kHU)SNZY-^Zg;FahZqO0IipI>yvlorAl?bLoyU_aSAbF&wEvWKdz zkItZujqK&70t+++P$603p)W!FM5c3|z4{n`vOk+_go4fI z*jL)b$-w_F|oZw9J-p8^O^0~_c!h^03%;NeAuj- zW~!NxsaM01(3_-ABOf92E>K}ZQ~u^BJbGSM!E&*xnf6oGZUs+-vv+@MRA=kqU{>zY zs(yICEZp%s@V7)DRY=Lw|1dwn9^HJ_C`5~WOO_#jEb``>jpr{aY42@lmjMYzm7lAM zC;O>ec+n!m(oMB~)hW(a!W-Xx$~yIuh4lOp$HCr>Y481jYy7&6|JO&?Fz>AKqw#u$ zC9`ivWxkj*^{Ry5wrFlDkgQ*)|5%mR)342{Rh&M>9j6e3XM>aIL36YpmqtoR(c|6Q(dnc8P{i8 zL312m%uEH~u3DHHxA*SA7 zOz1|Mugft|HR<@!8VQu?!>1}>UG`JstQQ+k7F2gEdB~x{=@q!l*_(1_L%gP|fkBr6 zDAPqJEB1$;N>I=yinh8Bg}5JaYTl9xvb7BQ27tcp-GjF}+Jo5u%d-8sLma=3j$ZDm z?QKZ8OUDy|;XJ6(Nj20CA!}69^J~C}19@9pAD(ooWt3Y_n#ub-rfA7Rm^D2$;g(sj z>~um=M+xX8n=}m6^n_05i;zCWUGLS&jQ86xyHo!^qP}aPBF>+BUk-39tpD|Hj`E8& zjU&ZA76RG4*qJRxl~DH8;sBY`dP%kl1kc5M2vH0R1-LGy8C6Cr$^UW2W~7hDg@A~X zTS8M)Q;k6}uRbG|oF)=B9s8&P$WyH>&iU9mb^g6JLA7D zcNJDENAoqH_-c?`>}((Y^+R@cTDbE@qCsLkyPOBRd`bP+Mz5PU^xu`hf(_iB9QF%6 zes7yt2hyX1Bk5hNGGXp9$4B~_WTSFMkQdXwEe3imR44g7V_dT#QhpC_LU{Quv(+tf z-a@vIgdMWz3Yxqeg#w6td|zWnDf8`*2qdaDTzQ%a4C-mDD>8_fvq=R-H1fx-F<#ih z+k;aL0ffeloF=9cwG7MRu#_oYm|W%)Ufi6iNF3E)KWw1T_oY}|W8jV0@srlT*!Q{+ z9^PV`RJb**od~R+FL%!}Sfaje0k-pBK&ORPdG5*N$uJbTG+MuKM~2&^i2t6r-h1>& zN97~}-)P^gq=XCa%7OU;F7wIr%)QVggUIbetohCah6Fkg3}nQThdNV2v!Vq18j#9` zD<*{S?3U1AKDj8xMwD&N?Z6v(kx_5f7qlbeXZPmCz@2J|q#>n_RFh8#>9yW`p(7J- zYX;`KHQ_VQgu)OjD=W~>?uL}uGoc0pJze5(qsbQI$=(PsEPLx&)%h|%iVd1s+o%k) z?>@`0ijMnv+xAi)o)dTTeb5irR^XW_F@$0qYp$|mash53t5{=o_^Duhj2RbO{GnRq z*qzO~NPP~gSXe|&btSD|8%;?ySjnMu2nNXPbG~}dV57{n#Io=&`lXp1HiImj38oXn zy;}V#C5EH@AM1nF1{{EL?l|T1NK<#ed4_#|?lQ~>ThG=A%8jm<_hTQmcU`b~Dw)TZv@?tUq>!S1VA!B($QC?~ zC1u#JC6o9d?;ESi1hMa9bR1n69pu<3wt3(%6yJIXk8SsWU}Xb)aCPS#iT z7xdeCdh0+p`_rbAx+5KCHxYNaO2oouD9T4_uvRGl(JC7$$20Dk65~vplZk*5qt?&5 zJnF?y1@Dd1@tHoW>#Exu8o#Cf>=?*mw9-U%Z;GO2|1bcwj%vq7zFTX`DuX7|SbY}~pfG2FydX3KjCN{HO)cbYG zs_O=3Xq_c7bvrVJ6Qa;OQD|uHUo9V%&bm)k~f4KLTIcZ>X!$?&0BqK_aY? zh1Lx9e~FF!NyZJzs?!kH!TdK>>*}+4%QKdo798@h?~SKXPBlJcRWZIhN-9VU6^T76 z>4PBE#p)Pc-wr3C_U%2zR@prMSNe$kbWvoXaNKV*X~eDbz6JfCoNHYS5(Lrms`V; zf(}(gSt5^8xbpgQ6_Va^KkKRpS%Uw;2KJ)Nm*zN5vI#@gK}&)E(9{Kyka8BT_W*@O6(9&DjnPLwgkCkKAh=r)Cxs5-O&3 zUcKd_%*9xVG?ffXU9UR+^(BS}H|oP;z2SIlj~GZ_vyazPU_?nO*t-J5^~b}{HCYHYqpDRoFeM^9jXbTIIi>#lX3fr&TP1a zZjpA5pg6?9fLD$gY_ZOFXS`S$DPNkZVj;Xs;du*6j7h7cSkms<#5jc8gJDrWe6eES zjdW;9)1*Q>BFFyCM=446almlh{;kM6sdB6MK^m(1?MmP^FLayBf;=) zQdWw5tg|OR$8`~HqpIVT7dGg6ZeJ4*#};&q(yU@e44gjXwN>05Wold{5BI3~WE`#w zXV}SnQt@t>PBM}YMH|j~R3$INjdv7zYiv1ywguki%X#D}@xv8j3oq4k3YOKi>EXjj zR@cWF^lMegS%i3E|brS)H`jvj*wh^0CFYq~kum zYzn=`kZXzVIj@p~GQByC*j?JvDTHa=0o_OiB3fJEU&H+j%{)p9Pqy^|cCPzc_g=Qb zFa7o4>(QJgl$~+}%%NyG*eS*3@d7d78+mW9P@9t*Bs3wzI)-vyv?%rU85HBI9vynJ zozB{u8A8=vNm|&A#QIM}~odG$wo6x&2J-`G(g2pU%%gm*cYg;b{tRhyk^)~LQ3@O${ZRej$zW7C zFv;m&BL``YZs|%Hkoo=^=~JPQ*)-xlY>^}r|hhGzJ2?KU}TVP%wS6lBaz^X zXYjAj_+qx`63SX`y2W3#G^C{}QNockO)SecY)VI1!+o*x_%hj*=12*X4VxlrI{~B7 z4pBV!%BHw|ig%`J*&2fAqu8ar!v+Ya5lYK2KwVlD>&L*|Ih(Ani0g)T1l)E;?G%^_ z@8dkmW2p5%1pt$e6^g|)$>jY|CUJ-eROm;c=&`VaD!rzThtx3WSykcEZ*@XP)R^xg zNo(Ry6k1xAC|(f*bAEFz^Wb8S@=Q;e7$^S3tx{S=0mCi1SBEC|8=!zAejLfx<9H-YC z9!iTYyxMcI^M8BfM81|qB|UL^jTcRn80l``;GbcZhzKcp%WCrN4}SU4H3aAuqMZEd z)sY?(WCOZQgW1vfJKH#Rt7SFrm%X>`D7g5Gm9#r~vvCE5r$_UwmC4eHCh+R5AL`L^ z^PHAUvxLEbB=yuPx&>28*VV}GNJ552CjD)-T z&@nf<;LqoIUuRWyTFDoXfEDg!ak-=9F{S}0Qx?sWo z?z4XF^535)@`(KQR>5>Y6=!eLsmC*U&6a!_*OA-463O=}aheOZCpSngO}S#<+S+G#S5?X`VoN4$1kA zmgH%HzEsiNYE)?H5dc$mHTXosu-vkc(?A)q`W>v0L6f;5FVJZg$)$rIW~MKp>KF;x ztpRWYb(4}+-h_~3tY?hg>27uE!?3cfH>V~#=u|bf+MR1vJh!z>{h?xzGe>58K2g|h zC=OCV+EEp1;7YUmVs(_a#SQ;$Aqmo#kv~=$(BCE+G{rU4;xF7Zr0j7Ytha8huTRpm z*hWNZ)tz)n1`XAuGCXr5-2>u76$b$Y4j^%Bo4K}{t21OA@jbKEm)d=r zsXeM}YFRHD*|@bp1rcyP7-qNzi8Ajtofz^7ie8osgY!pR&A4@0IIE*l$}OY9 z>c=6k4D7mC&#Fk@EVSA>mG+$G1yEqYfa_~v%k2p#Ytge zpie>ILv7jvKIxy)Lq9OshKg%jXM+ibD`d9Q=L$&YCk} zub@gpa~*$Rl-d3MeWGdJ5MTi6_ZgSI-nn~sM2~;zv8WtW_7Q>GB4HLl|2iDT&Dw!; zAIV8iGBD653=Ej%#4KCKxFDTa!<_T=>KcnQ&KI=`8Ch|bS+rS<8y7s|pT8lM7fIZ3 zM-yJ4ZdDP-@^k&k?}=1XZE?w)^9R>5b5QB^_wb~R7vbnpkL^@#b$o|G}#pDH*vd)4N} zgHB=VHu9NXK$-@m6rey$m;j$deul`*^pt0o3NSW6rq;0`Bu-&8-b?= z=Gvp?hoV$Iz$4tq;!`~M;~8t%jNzqGa+7!6qm=vw#Ncg%yA<{>c=`Nmox1#0{gX>? z>&KiMrrucp{Ob%J1Rvmxuf{J!cxVIp=rW~46jaOkNtc12^c?>liF_`s@zX?!d?Aih zMvXt$vDtg^RG#57y+1zxU^9;&?Sc=`!i4pg;J=r>r#i+c>ao%14}pG*e>~&f&YaRs z_bwGH{Eo*BBN8_}W`#Kh!H9n;R8 zJDHeR6qwi~?mYN?#>S9Gl6&GqN&lIDW8dd3@}XFIXCUGI(@bNF#KJ5x{at@v^Kww-W%!n>`kDM4N`X|m&ndF`8 z{^9P`ja}n@zSDULe1r3S_sw4Z#vq6sC%%Dp2`^L1`ei?ZYNzK^`7|_<=hn=<<{m>o zzLlOgitIn?u@@uN2amm^eJ=K!mBAX>t|31)p1gG|9r{NEl;#^TsEQp~-GmWtu3z!C+IpU}nMkNc79$bqFyX!(}U zeF1th4&~J6APpgnGdN`z9_KJ)&up~mu2IUVh{4{2R^P#;Nj%>j3HLJY;6}$&sS$Z$ zVVwN?i#m5^emrubBL6cyQ6C&>D!xi9*uDc5(w{E}07G6}YH`Hv$ot*7XV#D_IlZ^d zrf8_pR{!w@UwPnk?6XMYQ=EMX!#L^T$$JNcg^zvM{fhRN5xmC|kbPp3ko$Ntem32_ z3sv1oz7XkrgKHqm|0M z>ioh5rRpL~99PGtu1mXmX6@++Omarb)3vil_{Jh9cf057TXXJ_2Wa0!q<;wi&Ms(4 zXZiUGr<@fq$(NI>r>%@HIP%LjLxKmZs8B7|4x0@@Qxf(Cmb ztU3r%T{^(9=qX0MfvomFiYI(R{|Es@eJsoPNqm_MM_hwTY>v>7H{6LxBQ;trU#Ayh3KCC&;x1aLLZ(;QIqGF z$dvMW#!=h0R2J{(3p>rFky2O5paxck?XrONjuGKS7%`1R|X; zCpK=GAI9gNkn=S>7M$y_T|z>tiz{w@G6a(k2kY^&H}%a>6mjq!x1JiR@dZ~g^z;)@ zOEe8t)AE={p}L5_-7R^p!Sm6h1LmEQO4_4F9173Rq12DU{HlRqHkN}$^7-H4M)*lz zPDWouK?nt}B9MYMi1z{^2fe)lrN0T-@1akpSSWk*;x4NVEOuLnoGrZ2v)1}=r_E91 z0QrSgE=Qj)om(XG^^!|3ojG#`gwVL@&!0bEyGDBb{?3Er(#WuY=n@xek8ujx?kEzq{91!j6|U#f)tePi zRiFwr@~yF}iBYkBUxFqX%a)wQ30x~Ty@PAfB(k+Vr3jRp*5(ddERVy_> zf(Eu={QUy-GPd??K17>>(**~msnZQ!d9e=9g$j=&(?0AbO6b!)a8k2_G>b6&Ur|ZnsDM=DAMEzdGmNZ5Z)9 zau1PYkyEb@&K;DvT>&c%AKM>3eAv`9o^Cw0{^G`|zrh&5Vlx_UA14PV4tr1L|>pg$BhC^Olwf7#qbuY=XkE>=R!5FIIB z^Yq7qQs9aw_U^54Av8*|9-ETO3F($UquPA^Pr^6{+2LWiAzPMQ;UV62m(rKIuoMDlmw!03f;N{KtPC0J6PB=ss= z(Pwgoma8J<%@OFzML(dtU`?(|Tb=Xg(kIynSd%VRrK4P_gc>O9B>-yq@H4?^AWatk zupgC+8vIGeT~#S$&-iYQBylTt&c$HF$346U#4FZM0b1J!^;&Ms+o9i|3My8Tx^0?) zQAB_&q&pcis|E1m+aqW5$c?tx!Njz2X6cz3StUU+ha-L@t_%O{yp=|ed3lOPx9QuL z@A^QjL;Vy=HI~`-Q^*h3t_b}yy?K4A^0VXjKIXY((67xYKz~q_YG!@Ma`fL!fxOZP zX)2*ocf}<;Pd<8&n^VMZZT%LN+N>;v@`e+_gvnMUIb?@Y>O~neM%&u^i2}3th>uP+ z2-feBMesc6A@P_$iFGImoC-qn2_(@jS^g0mXBF5kh&9wDXkUt1*{0fDb2z*>Zr*4A zvps6?gdt3MlDymC#m?Cf`Iiswo$zs24ebkNSZ%x%B(4vw>l zI=NTK>;yi7Pz;g%Rt)kJI;I|Cr7Xxe_|%(kjZK3q1vgU9l$OxuhKx-o66 zL{oL-&c=NpAk&~gKYW(9{|a%L__WWTKhLz(c+aoUV`yM-i9q8_;hNf^y_*0Kon+90 zIaxglF}$%BKeUdcn$j72g~eI`7RpeIMKT~qFgzB*p=X3YcaE(iNGq5W0QrS(t<)qf z2tt9pG7T3MjfF1))+Zjh7)-K_t{Lo-x~JY z-NWN^$0UAR*(-&JS-4~QH{+wRkizXss!?6>&1B2!OEBp0{N;g;DnO!Nlf;e5@m$EK z67<_1^iYH{WC(Kfooz0Ua4s`AEnx}da6Ac13sqIwGMzYWdV6;>EDh~T7Wtt+~)lgmN zgQ^RNCq@u?K}n8xhVy1pPGI!gTbC$!W+mBHxZeLT=byOs8o~@Qd5E+V@{pD^zy4bLZdP*FRTolp!EnKDN^! z^XcfG+KHT(KWRs3Gg;KPpv}ac5Ap-rcLa|8mKb_m4JU2Tpmtpd33L~tiN!BS^=kl zj27!vn5K_>3Y@b`#EJU&z$z1Q7ijv|Lf0ajcG6j&AC(e;!viMO5O9M&b+n;l@#|sw z%BQI4*${0^f$K@|q-g0PnM^W+wRDd6-?CC$;lG>fx3SPa9IIR^9T+5f0H^cF&>{y` z;5e1YyCnUBfyx-*H@KCLmMors59eLb8#HjPL2cr1I;|27o2&s^5R87%cN*8R*e0Mp zj@}9jVWze0=p^N|`hH=AoygG|H%uso-!4HJ(B%)0IDZg3uE0a`dC+F8UAHc?`kH#~ z1~pp~a0HAM2r06c>afnhhH|lqbhJo)9fVX**VqTr38yxODJ3^Uj?P5IXkG zT1kTozK@-%AcgIy5xZoA;1B_jTD7egT=#N{YKGmHM=xY$A9yQiei!kH&W0AY26%nT zqLESE@h>Bad3#R8VI(Y6<=HIsITl=VeK#T(mb>R}QLJ&T`W<#!wiArkL6r&xp&;CM zR$)T?pl?lejEca;N|uqKHD~$Gzq(=CR*$8ar ztP`Ea)Ht(m_%TMobSpn#wdtvf?66giJ@mOGZ%Mqo+5y!)E;Z|x=Zimr2|6#wz-=Up z2%)b&DRSc2sllF_uUN`b57#r1a?!M0T0|3mTiLRe8=q?y(mZ@U?O|mn&|+6iulnY9 zU*kWY8AcoofeV$Je^pp-SfCM2V`_EFN8macQqdk2()3>p|fqTCpNrdS}98)w~|Ru2m~9 zI-teOuR{$gr*J`!^{!-If_O=ZOBf_BVA=ijYF%;EN4Hb?q(p#;s|SJ(M@_g<3uKK< z6gS_F2aj7#y&AO>6Rt6&{GPl0{rtX!)IOnWu9+;;*!8cXr@vkdDj}lAR+@BEv|z#1F$U4?mkEHDC)6@Bl$-$^OA9t<--@$mIM zrI6GjnCA?|861!%k1=U8=>8ziuC`jLa_DuqFAqT%j8`l!=H7(PTh|GNDsF9Zu?`>@ z3Sp9rF5ZxsLPN`ENvba@XtRF*@b-Wdb$7RN4$*L)SY9g}uu}nv@!Q3u$aN`pd zCM8(M@NAX+8g$P8{Qf!F07j-$$f9+q^S!w`(8daozVG{IVm=e>M8>W=?>U3zkq9>z zx8B{%*BVmv#0M1XLEt4NT;_d^b!P+i8uA8q-@JLVTI%Eb>ZY(!nGVmBeh13Z7{Y8o zjIo9sg^MU|iy$Lo?w_HhBchTkQ*yyfdJm08crI3sBGO90C2}A5Zgw96pC570F7drX zSNMypymUXdOwQ(-@&|zggzzJm`+E-j`(NDHg`8&l{E8X_9SF*IoFnu3NRI^ox^Q@w zRY_j5=F$3pdVi%dhkDg z&2s{|BEKQh-yCsgt6;=Q176pn`^<&#;7_@Yd0z*Hqc7&UzkF}I!m`zyAt~nUEADU+ zsOqu1akH0WcK`o+jef;&^M3(CW$Jk7CG~hYuescYiU$GCvEty*M}D)OPS4k4HT3ta zTCpNE2Mn?yfW;YpmIOc6*-~+HpeFdTmlD1sqRwC)NYg^y4ZQ42TbmKwq^2HFa@&^X zO3iw{C(!_Nkq(mP1|?s$ZhGWe##NL#O{NB1XSf{ zOzFTz9)D)e&sn>BKk4%rDP0H zLq6PdxBTFQfgmnavcGZdppW_#gn|8p9fSr?Ku7xNz#)s$ME$z*v>leis#&&UNE5-{ zQboK6w-2gz^w&)|Vs)U_W7QLR`B`AyQUKf&0TyB$B)el!6~mB=Nnn&>@9VnG5_)a0 zaD~M1EeM8oS$CC@0IhZnkiJnDytFHtjgN1PerD8VQxz&;K>2Gd1^(NmokJ{ame!s9Twk?<39%S(DX0NP8Kw)B1-RTX)&)Faw|x@CX+*;7#lC3C-} z+VjrlO%gF<5y)vwFAuAZor#Ec_7!nDeo(FY7n^17kN$W>MTZzu^iz@4OK}EtP-0%m z2ZDml8#xY=J(PVJc|GqbNdv(Fa&TE?23`wm)0I@@as{nP62AM3tT$HUQ`myvu|H@k?pn2RuLxs{A)Bb$K<+Yn z$eR|9Ww^(&O&#a59_$Q=aUV$v_|C~Ue@_`&5^@kExW#GZb%byHCj#EzZyOAU)sBNWL$c~uX#v=iUhu2&#Q!qV8ihw+ny90>E<;RBbrT}*wrlUzGne3S1$5psxcI#Pdd4r3({(-7 z7voOC`ub($i9ET4CbzFZ)=Kg+EPC3NsqAblRuGfN#Ba&(N+Nm8WqzgOj9PmbT%Jtc z5R)UC9|?{-x(pYeY!yPni&SfiS7=@)CJ?VCLu3d#R3mUMPLSf9ZU&=rY0BkIB2f1t-J9rGnl-+oNlTB6>`95pj^Mpjp|> z|G(#Va~C&oO+KAZo-y@2ms`FLz{~U>7f^MR3UFBIhxF^R$6$BY?=t}gLEONK2Z6$3 zq=PQI3`+*VmJ`>skfu%JL8SOCeH1`}kHe9+qys@@Fn1-QiHAFSszAu^piR7l`qFqF zPpl>*N59X7Otf?ZbZ|D6Mdk96kl#yH+_*mFb*F4RMVqo6X23YeLZpg5*DUX#-VQ!u zvLiBHSp`ef;d!F>Px-yyXpb||c4tqMlTe3F)nSv+tuLTQ+AX61yKryo`)3#)`nc$S_GpSZ~qp6K?%#yV+-HbU75ry%kPt&Gp{&L$btBt#ae9cyR7Q{dm;>1eoni z=rW}^miIsoqZC!9ECOKtj`6++mYwO}0)hLEq&F!fZN9OJ32&_QQ3xL#N!fDI?^Bwa z6M74fPA2CudB+pC04B=vK;pLBJO7M?YgKUBkTiM?_yM9e;keojJ2N24XCppH_;V+?;7BT9BN-#qPqu&%Ui-$4eF#SR3R?%{j=_1m|?=t4DQ3VS0G-ke9n zD^{;VDW~aFV_M$Id+|MJ2?_^M1Z7U5PD>S~xcD2eb-C67c&@|72YMLoM?WrO)&zy3 zv7Xj_n5b-EfBxWPNC@VAhh!yjIvWF@q0wsQ>w{&QK;YKNM? zYRvX%hUt(3c>*m3mtx9Pr|J~pGtBC$BIPreo)jphx}6Da`eP^%`f0B&jz_4Op;b)R z;R)caDUG3ggw0?E{c|>`2~$fvX6@iaFBR;RbIW^v>7yFNJb+yL9LKCak2eCCx}4)* ziBfy`b3$I|TDy1`%aWxlFJrd!VYAenvqy9FVHC=Pcp9G*-ADj3Or^cMc&rt!+eerr z+@>Nm1|zEdu;g!Tya`%MXQM_JJHGDJeGEAr={xH z517-cgKlO-0-3heeME#w5PVGA@pJ?=krcg}K2#u!8WT3|JO2t*>Z_T~grXlDNUqSW zec4%^)OuM+6-2gxjAxd<9@P%2u;nTx10X{Xt?C@EtSbUUay~>TJ4-@>VxM01jWJ(> z#ypFPj3xkf%nrt#S`rr5$euCDM$UN!NzY4lNwf@3KO@)(6MymSYh z86m@0ClMQGH!%#q5t722Fz)%y2QJwq2+qtB&2g*AroX0}w%w}nJ=9Z#LG?3lDH>>( zQf{4upn`MWcZ9-maQ7|IN7SrQ|5@2Q=?^fVgODy5{4x@FOpV~h6h;{p67Bwldv)j~ z+!%E!NIyjvXp$%Srg(DK__035@q_r5M~!Gt@dyf$s)dug02rPYasFQ*__<5(O&~v7 z@643vEbLAohL6o_H)N6^l}Te93KkpmXV(75CIgXkq#(rH-kxwI$gyxyA7+~GrYoJ! z274qP*<@^JHQX`u4KD94)X7C*hB~tQUngsKzA9PE zEKYRgSuJig<>)tyoz7_kl3enDn0AoH@N|S|ykzjML@)L9TaPD%`on3V<@Y-Pm{7C( z;lIE!loRjc=R{3O$0KPJx}qBeETaewBS5-n>UElV+x*z&m{f=jy~A=vM^bXqmqH9| zu6cBlRO+wt@2i#lpguai4=Qa zH2}M-cPFHZ@HSWj-euG6GCVDSjCGMrO%v$< zfGSyrX{6`v7L+KOQ%ywIJ_YNC*#UEYVxcZK+3LE7Xs&(qXyZd`uhzsw?yk30LFPTo zL#iCfa3UAw#TyB+$O&k){Bdy@X_k3=5v_L-e1(~*DbtI~7@Pnd3DiPPBkfC?FsUWf z(|g|Z32bidC+4XjT#OVA>Qj364GDDzNC!v_S=GWA)_jhqvU;bT5oL&*X*yL%Mny{- z-rz}{|86%6i)8q-H)e~u3}s;{q?ZRgq!b)G)Ni|7ZkP(w2RQx67B_OP>eFNExI#C( z4gY=X=IujH4e4P!gTqC0jGRS_eH||t7g~bSP&UKL=1`EFNS)MBP%FZ4j+DtZLuYrg zo1-G#ig}Ub+#k#lK^C>@)w4)PYRQ!?Suj%9-i)hIg$}dYAmji^@2Sdm8|GAEsCLzE zv!rt<7=n*jE!2%kT)I^eo|bNTs$-NFK+?OUV}98N9iRx<2#)6J-e$XoOq)yZbgFMy zKV&xs=XKqVlY2G%fl@v=cfQx(WhL!Xm6jSCz}uHQ-!r~u&Zj(zzBFXZ$dzemXxax^ zZeD#T56$Y&bkhS*W{Bxk(7)hC*V_FaX`$18TU*}~O8lVui03DXX1EyDL@R|hp0`q981cWvtGl2Iiuzz#9MvD9cRC+a+AL(A}jM=2#6)Q*#}8TU@ZSP0ud7 z#2~_FTHLv|O)b;f!bRnK^7mf(GB=TzS2WkJeZ=vEa;-qj+V{#YM9;3=u=kT(@J8X@ z?p68yNf~863QBSL?B{~4YWOvEn(h21OHK;rS`SNjoxrkKyd85gv)y1mAvav|IbQb!c0 z`prZrqD6=YpWs2)cX>4Z0pkEeH_=1b9a${_sP4Ng$(-JQ@Zdr8LfX*j>gv{$8Gb#5 zS$f6ARR@$ct?67QMmgSHkbl9nZQC}e(`@^&n!T)cZKTSXam#N*;#!vgYN6zuj6eXu z#D+b_^{p3c6DuStH!+h;L$!nOZ_IJ&&jt-#nxB1vibj2!nKtUsTP!^XhancWTlb-5 z#xo5{dLdW_d!q{%p23Y|JAfi9QIXQ?>YCg}HC8)@>J!o3j!eImEKyL(7*q> zr^N5JS{U4$2FlZP(!+N|U}R*MW|?>07xz}H+@+LEu%^$vw$Nq|&&8#9kBilUF}whH z%7s9HIdgw1T1DD6mUJ63*Obc{qJ>1U2!XJRSZwwwaq)8hJU9)eJ8~IsGBd-&!|Rt7 zkOke>k7>GD+BXH02!HrgKyZ1_2h>|&ni08#C@G&ggb-`Ler-SIKLtM{nXr8KGTA|| z+Y*eW)$~FK0X7ZEm^9ep1|%Yo0okecEcbqz-DjC@cF>VA_YxDt9Y9jQKg^#9_3y*m zoGlzeHs6WQGysGd)d>z3;-4gg4V%1{Ke`l&o90o#Mx7TWn;st}c76~zR-}O6Gb1(V zKcy56kTg9x)Tigaz2cWH=6?)@|C)N7i$ZAp#=0Cdt)IVgWJQGM`%*k~_6M_3^z84| zAiLEoAL{zfT2bV%g{&6U-o=f;W=cTPG3~AA@svg3ZWLLSOt^Wt7EG0ul~ujVLBKe- zLf-^FaayvBKkyu`=mJP8I=V zl4VlaLco3i)B{_ka3SDCl&&saMcwn*>$;0eHt5vo7xy}%5lY7G!*;Gax*Ob|^apou z-TL0YwtUOWXC$v1jn|WwYUkS(n~7S_^BZ$E|Gz%k1#&^dd1L1}+{aitM|Ww8ubs)p zUu*u?C8npq?2Gn}jt!@juLyM%`|fnG_~O!Yu7F&DQ8LTc%2S<$!EvH+PxcF-$lyZB zOG*aBe}>wN_;eIuh1Rkhgw<&h1#jh<(x=1#B!g^$ABkyemX^sCTVK?M`I-?xT~AHy zCIQjqSF8K>?%%&3_lO7_Py^71uTCEzn$`5GB7&7d6yTEipy1Wiipng6EphJhuAu&R z$eyV!g^Y6I-s#&IyYT|IEq!VdkZd~7YBFNf#AHi%b7n@Z*pVX#23wjNFnI^_lf+9N z+PIi`Y$Bm)jF5>BCD4ACYol%1wAEAFT%JBSpR0YMOr)`UFx4ED94q= ze(Y{nX6Nhob4OT}n#Di2_w~EI+`nD)*F^HXvc%YacfiIRyRq+@!Yb{+=b6+M&tHI7 zONY?+YuV$sh)hhcGAvLbR&|&deT-QU8Cj5<2kKcJQ&!8ab~qp|MMqvsE94qkkC&pd zySqCT9bLpe9XYvbR1mo-l$)5>ly-G>)gOBo_44J*M7_yNv9H*Bb_jsh_pbkIoICd< z5wNB|K4^6&<;{aDh_FY|lr{Wp*@Q-$SqR|;c1xtFtZE@>GRVn$64_nEmY?$KsjF@L zj2Q1Lz$9`?%1awvQ{GT0SVrEDRn33*uI%v((t@7qQLRI$mB{P}xqmpO{I21u5gwIo z6bo(l3R09(6uEC1M zVSwz>3riF%bq#?s6Z!WE7Z#dQ$YiFR;j~>}>RJ)Q)K{UKA*un|y{K!=2jKK7rsYYY z_k4UJR9ecLQMH6p$Ds+TH2cIAlh$AH#^xQupinl^@lt@_9%17VzPJ$!b-(bB@?ne6 zK-GSX`Rk;~pv&1U9C7{n_4KAUuU_ScK54zg);X-D#;~uAjb3@!<+;jz#~LHqTe%fw zWo68}bKf?!b)407zO|Z7MYqOStzuYxuB(^0^XJ@h;8#9pgnVY+RDC<2Wx)#l#VGvx zXG=Co;Ix2+)*N+?%8%q|ARB=Bv9YmS)|`m>ZZzj1854CEV44TCpoM2bs66YSZ2PZF zb2JF0o9WBdj}qibsVmN|qHICF_#Lub+WGg1xc(2WJ$p*so1Z<<_O}uX(Uvh9o`S%* z6&kjPLx{}ebD~OI8~`$)NX_5}T22x2M^KewP{fwNI`$<5k|!!Axdvj&9+xbdDD9Z<403*84T(B26OPC zQV6b`gr9MGN|*`q4LX}GmhX1@_Q{?#&qr6@*VIp|UGm(lF)<+rWrZk+@Q1CQ3VxE4 zA=_o&CQ=qIbvtf2cOdM5Ss_X4^&1mDl&U*VE}wtEubc{~>6L#T?KAYN`1PN*#LzoOvm>2Tp{L~0-u=duy3A&pf59t zj3*zn-Msk%$*skW0P1*X#GZz=Y3e`mACW^agW+j+P+A{|@f&vc_qQKtKNXsx?-myq z=YTPi`bJF+?up7xiwwIU@t|1U(@eEvOBJjVGCDUMnXy>=wWJ3jIA6Nc81NF(HoXxp z^dI(;IDD{yTwO17BPzyHQd0FieZ4#fC+mZCxj~fg6lTsa_YnW)FjeF5jyXcg+iqe# z@-r!o27>I4WUrywD-lys9z#yiBeBNaa;$)Kc@S@Z^{XwO7&K6PoqKiJ z)TP};n`d{qe~S}@{b)`(4;p;nV=a2Mhm?9rYTM4jJzk&QF%fJ`h)WHWr)U}yk^EzI zs9uPQidqyVu3%DBX!Ahrb_8|bdKJYNyg%dl_sEocNnU3XdOZ^Byx!%fXtNHthialx ztIU54b1}nJT833$`zfbP)Cl!MouZn<*e3RfSI<^oPtRZ_X_>ud?I%6OZ$7(wuK`Y2hHwpPIaa-HpkJRX3Zxj9L(^1qxd1!EKdB?)BrO>XJnd8>{YyNkeyl`#Q zsKUT;D&yAQl(m<=eKtg_2EW+AjVov_D%{8_>2XnBK|$w2=pdm#GxwXz3@wQJ4xQdS zTUQ}x=%*(k_#D@+5u=vXY`K;h+)$-0dFV;DM=zb6~_GKbWP+AL$$REP2Sqb)T)mk zGBoUi6nwOjBJg~0ExNs|RQEz%?B?3x7xdP~r*qtuWF;i-6m+VqtFvheDFkiYVh=79 z`_59kVsV2vziU%mxWGRS-@y$8<>!b|;fehRF(duwQ*yFN4^Qc(7yZ!p_;D1^^rkMI z{|Zm5c8%Vl96F~>yoO;bslygGu2m*X9By2`@Lf5R z{%2ZHYe{!OJv$Wyk918ovio~p0H|*&K+7d&9CdmrDgrO50apPqsLo2Zx;hN;d(ZN| zX$aZlSLkbG6!}PM^zuDQ=iRpLPwD2Ztteg-C-o}=yD!6WTem)PcbA0TA*BFom+>DX z9J&vq1=-mPlcVDpg~^bwSF*6wSAl%?UXp#DeVyn1ngDw6!Nf*G*1!9nvT38q!b zDPnOg_l|94g^um*NR=7L5ounyK#0j_6txlqRxty}?@a(0CPa1mf)gE2eFoZG6IUPx zr=h2hRr&PQhFvCXFEYW{sBL@p7y-Cer9HdU4szPXo6gEu2*^*i5W1q~8TYRsQwjH= zJ;QY_XA$z^doo{N)hcUf6ErEjQW_R#jrzV&ST75s@Xd| z@2f8SZTs?Tk7{@X=dI7VC`Zu<73fm9^*(8ye;5}nmM6O`VIq6mdcIA4F4h7@1=|v` z3yVfj$5#y&U%xy80bBybm7P3*lFEZQpp}l|=gy#7`c3QC2d^zul9b$wRAgd_ow@)c zBO^DrjK&T{Ma9DrQURIlJ9laWbE@Y_H+wJSmswBp|FQNR@Lccv{}B~RB@``+$|yw= z8l;q!>|{2OUCG|sN=ZgmWN$_Gh%~Gydy|qqQa1TNKj$2LyZ4-P&%M9@eVqGvNMGXf zeZODh`FcK|FJf6ZtkNyqtZlIio$R}iO1GeZfJ(SDyOZ#~eW^qjlfxum2~pyN(Vj3a zAvyZpqsk0x)`apVoV%AIslr%`(nM9~=&_|<3G{|n2RWK*>Df6J8n6E**GMyd0U)IOQpRYlJh#LF%sMPFg?beKFy>U zY#7pGpdK?oT@!BGw)yAKEtEw)-qCA!ytR&uh>%>KW|PLKs2r=j6CIf?i*Krh^V=49 z7h$F-5h`NA&d#pC_F3&g=f1u^cx}u2?JdeFICwY)6&8~ESoUTbn^RP6-bee~SM~lh z)sQ+NsR6lGg=%BK_h2Q#M&R6=M`} zQ$c&1{5KybIg~8qCl&fZz*$O5nm}0Ec9K0&$Yw83Cq1IUTULD`YuXnA;v2M|MQZ}$ z-{iT0en3oVGdI%0l{f8exC^LpDzL^IbBE_Tu@5k2^V zE&@QYSYfNd;C`YNn^0)_h+T1~Pj~fv60a^P;*a4{m|C3xw4j^z<5RJv&CKU%a0d^a z7Y-9JCo4BqBHNr7ziwkfSFnSDB4&LhkdqL9!@uIlf=_=XNr%$62R+@xay)s8~QUp45T*8m*qmnGBfxxN*WECZKaGhqHy#~{vfX0o4=MsCwG)j@nw#&w7 zjXs9luw8n^la+i^OALMDEEFv3c-4A^zjZ7!88EETT6yoEamz>^(QlzCopV)B4_Osy zL<+}qQa0f8uB8N_ZjasgCEuGyR`$Ho3nwy|4X5Z|=Qei7^VGi`3~Nyi zARU8}lF%KFWl&#E2AycDe|{{ z0ILMabZKLZ>U<;GriCOqUORCvmE`TAh|dGnBqD1R=mnljuXl#x5QJO=u{T_d{w|1= z4nIeG37i#O3Us#MVlX_|$+>>dxuYne2Xm)PeC~5bTVcWhP5_5~V!VdSRrYlaqcu@0 z?$E1r$LwIY1N_YS#=Cm?rUR#iou)8VKzTzr*Gef!%E(kF3=)p4oJubY>$u1s z*QwvL1Vw*KK){9xK`3Y|zcwbqTxD|WF)-$)&ovMu#6Uez;LHt6ceoF3U*@sMgztyz zwGhn9g*X)kgdt;lEmaF3oAC=BPlV95oV`tQy2fw{uGpy2tnfhfs z{TFxZf8`ecT8AtgGtphE=)UyoO)PvSmxD!u;&&k9SS1%$8X(^yk#zbe>nJb03ZmEL zL}gc?s_RDbS&Ex^NQg?jn!q&&U-qt`qUx@D{uy2E7#^(;hl2QJR*#}B`(58K>i|T+ z2GbZ-A&pXP^|i}Y8~ba2q_&|5f{Wl??LDLPZE$5 z`nmTy3$JW5BM)nsXyQ0~gyicfau$_yh&oa&T6mq4(_yMU2qknf0ploheD7es(}a0m zAMo&kf&!(ls;5uy$4*f%$$mcoD|NZYm9r|=j)K54^=fZl=kExoL2LW7Krb(_TejSK z>(PP<^Jj>Vx%5rtvIcH9+*(@Ng49H1gc-@X^XEIt32hQiJ)jD*WRX^_LW~||rv2*X zya29lR|U+}%*;p4=GuJEq`T5jjhF!|Ma}GSQu~(X2b78PCvPx$hk@IG#?2|e*f7#G zg5NBYJT=iU$+&CNkw4t{NS?r-Jxhvs3_?V0dsOF_Shs}|53lRa*)t?xO7Wa{cy`08 z3%Pv}xdTV=y2SbzC9aq1n$IOLMdLqsP=oH|-TuoJ?tXqfXDw30tRSmtl&H;ZcmWev z1kK5+zCU_}gY1F|4V0-lSn8Yw$g@Ab(hWSB$o~Aw$G*N3kjz&@D2Qy2!&g{RLh~eL zs!9@cz&PO`z@=R^kL6Zv+-NMG_Cu9Tdo(@<^Cr$J*O#nOS9Mg~+5;FUrTzB($@%)H zrSNWOYfZ$~bwg+OM>fe@M<(BY?{e7Mxla(8dG|>~ywBX-zkq4nbfDk+6rcG0NZs{& zIo-j7T0_yd2RIhoI>O~u<=+F0!A4{G3eC+%P;F;YcuqCEzPjc)sQN(G>#}LdYZT~QMNGG z-b)$R4T@-qfiqa_@G*Me4&LPytv@*Ql^s^+?R?akt6aep_LuYDg_2|bqoLK#sIhA^ zW;|AG_NA+wdx|As>ZRWEBXKsrN~8s{a{{Dk;q0@%(?=aux_Rps zwsu!l=G%1>D>y=-C+6gXbii9uRe~SBdhGqf^`pqLQw>ch=OgTM*|u0DmW@A}-Y{}-Rj zTjh)Z7L<;MB_%tzTxi4dJr#z8D^Ch{2)EHn$7FFK#_v}4}b1%c2 z;G)rnzEhdX)VLz-4rpj}%1CB!A8bxc3W1O-+EXw-16o4_t-yidj<*o%47B7lM(XbH z(E_b()U%?Z;swnGj0?=zpD2Y&>#(>L%-Hz6J}b2){+vbpq~z8uTi80&9A|&hv1j9! z&PGoI}8k#J)>ESXCS1HKOeBL~J8cs66T~P)TzVH*@J7pj5{>0-rAq9TAqQk%e zUvZX=v2?$U*b=#Y7nvB=z0XpLUV6#U(bSor)-}`)l4nk@;meWox)vBvCEw`&-xP(H zWD&f^n)XvK1h3r)UduznwvrsGRl83hcwJ-ZUNZkO9JM&cbTrgBN=o9!pym+dEX734 znWwil$@_kXrbemQwYC%{cUGZVFHWcrgR^aC5QAYhI82pKhj{WaO>+ZT;t7>S~wZSCV>x3z#<8A+idt}CD*?mz{lG~7NuFI z{#X!a1@nl+1m>=0Abq8FJhEPm4IeXUJX^={47V*Vj@xU~?}y5gA3Fe4e7}POtXRCk z0D8G^Ah+({J6X~QO9WuoA8K`D{qJM)OkU))OTPj0efEA%gGA&4j4@LT$*&B8ZOMLK z#a{p6!-vDkgv{wgQ|cEJS^s^oXwdX{y%B@d7Ah}Ja!#@)pu`H@#Y27|M7|$7)cqma zNg^!<5wisgc~2g{dNBaoQN-?==4t@7BmloAJ2oe^h+=!04m%PzHj)}>?n;qf!e2gj znmccn_57aw1q)Eew3?G*mZRFUU!d|_Rs?#}fTg+%qB#6BCe%B`4aAQf4(3tA@A12Q2$9?V8 zL~tClg_Rn+nre;cjsEiS{Ow=lZpAqp44~1Sk6aW!h+O&RxvKGgd4LrmwceG274}^X zDX|TriVKf;-8MYUvz}M4lfD-%tLgwY>rkR*zx&_;LEC?O8#JJk zi_<~&_VD$Mz8u^q37XKZW!X7&O$T&K*S#@cvFU&n2;qQPAmtw_7;l8P_dujj~P@FDo7)!;(4rti~A(Tqev zou_lw&kG&)4*A%n_2^w(EA_ zVLCs)`pbFMTx|s}G=|R#i^ol$Zr!?dJ-L*dX?x4Vt*L?9%T)uvQ8`w$ z`qIQCVW11g(!THcyQ?K}+-$p~}Ui~piN2>V{*#1w|&Ko(8JZybi);egz*R9M> zi~K785l)~VVDgYC-|gl1hm@F8Z2Put7$8gF-((&z^p@jWMHx|Fd)F_EyaOu zGpO4eZehvL>qCn)=sNEnzasqO!|$YkTW_5lvw)`)p1ZruU?SqNGFI)YW3;p=;FmLVo{7sfR`70&=O8B#@a%yItQ>C zIj^NcR|c9b@wrR?htYyD8if@5-|S3&KVI68aZ7K?RjejGyzAHy(L~*^&RXhj7I@uDWnMhuzg>ZKk5}wmR3S7P($?xtOKUW=*35Iw)?EBl zm{&7I?twAR8_ByR>4~bKo{_%o|Lk1hE1Zah#|?-27R;`8i#sU_pWo0;Nm|j~Thk`^ zX1|opFXQY)Dy$Lxgh^+{<n zLJ%fR!+9qNl%pz)hx9w_K7vMJD}DDbuD#jZEYZ>M3o9xtJQZ*pAWq{8{m|2WFLgX} zcRmfs{9ayKS{2X1c>?2^=ncFVZWFCEQiUm?Ri#OvMTS%wO3^Rkwz0CZa&t?cPmha> z3%S?U*JniaxhBd}qeAWC#V89IkpU&Dwp{pcl&WQYX;_mN|BBe$-JFCoSQ;Rx02;6h z#$7O{Y?yz1w4sDxowM~A>v2q+Vgc9>H!r6>Xw}h=f`rW~{fQO)$Pzjf~LHm}QC zd{o~5g6#S`_47CzuTRzZrzIaP@f4kg7Ld$5ZKB;;5-v>o6o*dZDL$a;o(ENkHzu2h zWzTC|*%tUGwkxvTR*+X_xI2>*2dZDD6i%p%i5qb)ty=TCl+^xUXe>DNBqXMCo*k*9t~t`8&W zbNK7?n5T)p)pkYd!dYI<$WmRVeJb;pS@r`=oxeSHrzI^F^QOo>sGGrY0h}5m+kw6^ z7sHsU-kD5!_G(4;nA59}Jux6N=f4Tbh}wBkQ3ze4<---1py43qhvEYKw%|c9F)^V# zYe;szdzaWkZ6OmpkOPggD=8fhsk zJkdOXeWl>_=8#JadgWalyT9U9sQA5gPm>Iaid#XTlnh_b4^KUN?4xhq?=H4{_3j>J zrHTu$3JTc6h4$`!5k`K?LgM@^XeS$(!m>68Y zaU*(j`=A#dOr^#vA|Dvm00JRej3m&T(=mn4)TyCgj%mM6Fh*7xoQf#;9>&$dFuwnj zyn+JzO|?+*@HGJJ>{YO|(ApnJNk4RmJxBPb>^`Zk7d=>n-Emu>?Qq>guuH6l03& zVbwoe)b9W2TclVU8lQc9pztU<6q#qQ_afi@SP`!!LgLz{n~VH1S_d6@4p_-e>=%}O3v{3 zJMkkm`$9qW`RF;mj^o-Pf5)2xgC9!gAMUbE$VM)m>dEpX-*oyIvXP^pLg_EtT3l%W zj&@_j5To^yP?0)-@7zw=uoE*e!YT+*m8mI+HCNY~Lj&3GhYA{QwWqVhN0sAFKSwK( zspHLX^!RazhsAW#k=EcTrbP66#2^FW+ee?kG4Cn*^wS|ua#32`WmwYVl!@=O05KQA z*R1<48Jl@+)W@03ARkQ|i9I|&f#yS!>+9=#GfqHQ7=w%!{C{7VfY4<%uot}s2}f6ediWf`$s+~Dr3dxJG-=`q7aPGX1x|Z2 zGT{}N_kXYB066>5?^kNB__CpZbJw@n|I&nalLHC^`Jx_ZEb?v; z0t3iU&oEc==sN(%CEUYw0Xs}!v6)sGs-7|0vEEQb%}C){@Pz^o+A4=i?+G9_-!lhV z>8PMDKY#9=;!SUNO9@q?V$}sF35RZAg%2nz%*;J4&z&!OQZ$GkKOP&WgF}o#q}Mui zul9C+51CoWO$%?`n|>qpEhlp)kiMFDDi88IB`UIRhe45q6%97qer~aU`s~>y0Bd;P zUdBeh6TPx4PAh^njq{B@VYh9XI}vg2c|3+vI?(6apx*eRLa!FOeLY%f}UUR0D2$A8lht>cBPu4t?GW^u2SlE8KdzSXec7$yK5(YY9TsR(lN z@hSZDmNrouE{c$sb>k<00{02O$S)Utp!*>FAiJXg_RIS6b__bsX4w@u+wt5!niuP+ zUbn=f*8;q=;rw0sGx#l&Q({dql{^nGdXwexhptLI_#4yi^ZdCE$3# z%~9%o@9W)bN5#csq@}hxsV!2nS@&BbH^L7Eha$L^cZwwKIWb&d6KpV{AZR&~^7rB+ zM^jc${pb!|m$#Fz6|`1$PFQ1G1HGi9qXQ7pxi@7Ns6Jf9C!mvu+?myzV^Rm)b96}^Bg?urxl+h(2DZ{Nmj z$keag7O7KTUr(s!acSg|t|6sdXl)m6^w6;zYqp#P$e*dufG7ydf-1O-G)tCH!-N+o zqYaih`-pp5Dfsj(PC1=)nVqgSJWlPYL+_}RbVx`DauK_J+0&;_v0XV6&Cj&2mzU1| zS_q90A2~m^z-NqGs20I17P6dAizklVqPv|92eLO!j*YHtR5K-wJo*nGgu+RrFyql- zASs-_Up;a)PMy-`(k)m@c_3RUBP|4_t2}Rdhwa*?tOH+u?$C1Ap1OY>)S-#=9gb7% zmI0`Yi{8BfH2Ed8AY7K!Ed|2RVjpTq=gx$_9kaZw^?k=F&aRIx^N*@LS4%7TBI*5F$%hug zmvy_fouqD27+kipA`C^kMtj%<$J+9*p?w<1W;c}1UJoCBGMKc04immb3A|)QcXG{p zy<;VMIS)>0xY=pGf4t{2QLs1i@o;m~7XkPdJ=p|5LAI&7YO4;k5fc5Dw&WYSZaUpc z;}j6*7W(r1-6pJwxzGBr1`PXp&X6!aPqKB>KBLES3g-SL z?u#=LnO>15gTFuMzx=IJBe0PynRg_Pr?c;}qAjkFf0-5AcFmvWmkCfGI{W9O9T)30dAmCl^Bhggu*|TTYM!LK=51JgTb)1ogJSbXV_nLTbp!S*#~2WuMdPqSogQKbCmnK+t=jdcm(Ps=^S6TE zFce^@JTFC}h*{)l${3|rlWktaUy1$?dY>E&`o6lgKR8$$qtuHMD3ebs>4F6AYkvs@ ziZ2m3rWhj|p?D(@#7d1r6+7-^5~Mz;63si!V6y_641ss1RV2BfaL0hL9cS~@hL7(G zJ}{`9)vm{%cKCcF`k+3AG_E_MJ||jvb&3m9BGsftFFS`UH_=y_rgdsRm|iQ7o)~u+ zoc{MY1%kzE$kI%eo-99eHI8BgKdS@ke-!VKl|He&sJ#+<+b1Bs?hx134$>TSo${-d zC0j#UKRV~FIh|(G4EUYZF?5UU!{L-m&S@gbb5G}&gZFPicnS6Ob#-e=BqS6MoOr?- zC?D+Ony=%D(7}NCtLPa;`nEcY&~?=(N3zj7q6Z2yU3)m*!{AKzb1NwW0|N|O?QMYs z&op|Z;-qb4Vp0XCOMf$F*zMBXw9`tyUN#Z1zOP1!9#WwhxgDY^SP zONmzR)hIDJmE$hfe{kM=N^VJ-UE~oEr1^VY@88!<)?MM-U2}2m<~RLnO5YNiE=I$0 zqiLAf^MTSY{c<|fEyWl`eF$xkQmLN(=-8dcjoxzv&YC$D5HHN@-sL$P!@7C zSGV5O2e4M`iM$}BSGGY-$Hc_s%o)G___uG}S;h8_P8RMeI!e28rHXGU2(VyH#>nfd zz6TZHi@XZcF5F~jX~KD<3A4rcX=>P(!%2}InS*c^> zrcyo6l=<>Omj*M$)ZKIPuHPP~Q!Fa8bWZVur1@q%(CKKBWX-5q`N1>lE0i_Fz{Vzam(-q3{PI~-wt1- z#&|V>DQ}Nd1XjrghA;j2{W}TX&Tuu`Eo5P;{)3m=L;#v>xaqzOG09MP@368)Fjh<< zGd&hGi(rY}9InanaT*nx&$G{jxBkoy&J}A0&+3HOO!ty#{Auh4s2!3ef`WmUZAR3VBs z!VV`=BJDA`0%cQ{lJ8!2s`px0P=y%X@fP4B(a0W%w(D#->@W>NPBu<47_rl60O+U) zcU46#j6!Xa3cs&pzakk z2ypa@Q51@ghWW`S=Xkm`>L~_Fkp=b4O0O`WSYk3>D|_88)eev{Dw~(rOCIgeo5+T- zr55JO$g+f4KI{xGx&!%ExnfS3TR`8*CPEn7Klk!JG5H{%Qv08SMb~WPA zeS0UcWY4&X&89Cw&JBIOOX7`JTQ_99)88IAdLHdM$<=&T+aOrE%8Db!fQgQ;=YIk`S2_g*wzEFiMbw!J3P3erNa;M@(!7S`^?P{88>1YzFBmId%|~*`7g}w6G%d$c_w%Rk z$mD0G;($L6ecnNg>zmC!@0cs`@{UtVPu|@r-*5NBj&CQ$v}#^hIhW(Mvje}^Clql` z5@YsH6v#(i&(1Gh6S+k5_{WslrO>3`gs0AQl%PFW4u6rjQg52O8^ zj*L0u)|RzTKD(UA`)L5f2vSx4eim7@ zDNECF7U`b((9q0}16?%p?zIM8+r+SF?&&WgTSG}rP0e;qts?DC-99wtL z&$n>6W}M?}KawQ$`-|-qoLU9!zGv?yG2~kKHuxy7mC~huFi17=rPlL#IK7JUNVQb- z!Ul z^~;D7qlGVz0}HO~UxoXBQqTTNaq_?Z5t|rEl>7mxW;&a0D3d&eRYOnEKDQ+6kv^Ka zl=8p+tG|E$X=&hIhlS5d&+pTHMsPn21DJl{epI2Mlp|TVKL7Ck&p*Yowy(?!-|Z6d z#jFMWAMI?=!t~zI1vSdCMIc=u08n)dSZSnR=7bMX9Ms*)*^!)c3 zBPVS$MOTcmzqwl0=*@r+&~w3q2{}Ktz2AqzS^$$2H2#s&0MWJ z%W5404_Oa?Ay~OJjc~cd_ZQq7lkJrdR?zO1m^*0zh~G6Wt04(IGE9EjkEftb&T}Gr z(-{87=F-UO4l+I=p-dF?!=Bp{;jCAf99gNf-}nHw^f}IsISTi|2kuqt&rt#EF!PI+ z-Tg=-@Q~_T`H)u2raK(NoqBiLomZn=dIb&pl4#C6RUES1c({iS&v%&D%Kf(CKK9n`I zke^$$DKUGv7+9J4qlUQ6A02XxYUU}dHKOmfEtTTKl)8VE-Wpq3pUqJHk<;o;e}YXH3T zq{ByZ^CaXmFhtXBE!_9}p(NYZHab{N_BHRyFJZ`8drh15?q}sz&jMSu)l9Xua^^6~ zR{FNF6|Dz&N*5Fzzuuf7OK0t=DZQHBK^5-rb1}f&dDV#g!h@$ES3-m=C^tgG4USNJ zBkJ3+-rDlfn(uC4Q-p_wy)bShCK)~_c*})<1a1Q51!Kblpw;4_*+HwpC|x?adgp2S z1u7^R3rL7s0Y^g}0(b4$@fc3k-`K&vDhCw7(K28mg~q<|T>~v#`T79;8=J;A?WR_@ zECYvawlBH-iB^}~Pw^=U58FR@-HpBw-KeKY}WZaKD)_H>ja zoM^4FI6tr6CP5z-aEL!XBI7xQRyTydVIR3e5%;Qplxdm>yFz0j+WEvzeiToO@8lOm z1gPWndIecoS$TOYMr-oi2<|+ZE;8?YjW1gT4M7Exk(TykJGA)C-pnM2qi#jd=J%*C zyMiPzJwQG*RND=LG|W`?YoxFV3maP|e#>)S3}vU;;ORy=m?(XpRCs)=Ej6XfL>)yg z{F5@!IL-bXdnq+-DKP>n+xmkxFA7>vKI2$5JeB(vf7F5Sz<3IV)>}l57_qIU^Oua| zQvPzph)2OvM*&k+;2`8))92e=SCzx!S%+}QP z^@|fq}+aOmuWxY9)Z2*>7$9dob{izg=~m9M4+F5)G4Rx}Eo2Y5MnHyNl|#c4{%Y zTOHxJs5hhDBz%IybeFovgyj3Bg_5zlr4etV>ZwzwsvN<#!F1PjeJna(u*Onzyc7V3 zur)Teug9D|+h&Tr8C;3#ns~7eAh;R~{MXjfE>2QJtHCX-ll#5*2aM&> zn&TA4d(`~IWIBB^MI3UN)?~d0m9(Lup$NAcKo%lx&DVEKB;a-IUT(1G2eNo_?99r9 zLm^)b0pm$LDJ%;*{1OZRaIhn#+~2}1cMAWHRgCtiB)8@zgm7I$LB60u&3rFEj9<2; zoAu;+mAKQaXIp8ro;XZ)JHm>Y)-C(@;)R8UQhjnpkcILz{d~$M?lHXm4y;@$%c#xLg{(Tl%1@kJs7K!rma#;z9=Ode$n0(#c zwQYMpi5nU95#uQQ%tbfFRKWM;ep1|j>hovQjzk^yXb64nfvKB4?m;Xj7C_t*FK<0_ zIHc1oV&3?g#fjjFCO$H3ORPjXNYb*!@SX62o$@9m{*e3TzA5O3i`|$F#1ay+vX+CV zS3clfrEa?ovria>S%K8=h_v@^lWm;UH~cOr7S*zIqB?M^A3EB!KU3LK(!nOQ*ZT)C ztETO4l;_YgJ~n9~aqfQ{5fgD|uhPCVb6x=R&&eq@4{`O&tfQxo(M6S{xsXi`o##NF zaAe&4M%E9jS*lMiElh64lvl`lI4qvnPd%$OIv_0VY9QD6lWB>2fT&1_ixb(=E2oaS zY}p}t>}=t(ZCHYk!?1OSxC`~UE!R$*Qd~|!Nw%75i|A@kHo@&h`ZotBPS>iM2KMX@ zjL@^095|c~C?eWHshO`jPXPr~ivMa3#RPS0xTjKUnccVe^osIEsG#Aw7jcOkUbSB{ z#t|XPl6klAe+=5Qr9^k$-InDM)ukZ1(CvGtwIWB-1Cc%UuOtEs26R_yq7Gd7azaIB zzrU+HZ9kPbg5n5pj#s`yrMaK3EzNbpK8GFa?XgNMp;6Xt2pHpd=HmB0JVCFLG?K%@ z!hQ@iPhpu?f=&5*44`N?9jH@Elxl~Si@8$LFO!kK5B7ikw-h@*z}2>YtrjOm-3{G8 zUgxe4;IwRSq{rg<`4Kr1%^6$0!DoKQgAYtkZ*26*T!lGg9MF-U91>p6ovB(!4x-H^}aKpA8dpYBHDtuRO*`bQrP+CcyZyKIAE_R-ad> zgg1j+6s~uD63z_7%Bsen7KF4JAa+9XpG~z?Lc3#*iZ9Gn3iLEL+a^Gn=e$a=8BYaos) z244G`9m>L4_tp!PWiXwv20;fx4~x`3kc!Os3_9HN#ne7Q#oH98%BP~m{&=wNd4ZC? z07SROlzNRa3SG5{L9T*!*K zVBpS#0o>hJsvG!pr6EKNjKWDu-SF)Q+$7Y`qfoC>T9f6kqG=s&_zl~!EdT5|Md3N^ zv1wN?p`EDh1_H==tx@m{uHAcGM7Y2aPHfSwP+C(J!NqM0Lu>%8D-5n!5-hHF*43+<1->bh$?SJzXs|b6dckQa@-_N~BlroHVw;;?!8CvgvLbb0d z`cd;etFuVh343^6PG3=x=`+DVr8Z@}WLH+H(wh4BUFGZQM;6rH_{O+&?W*51zq&gH zTId)+8=C~5UR~5Y37}!^Q!GUT5sR+fLVAj2j!ZjJb2KCjs7$Z?q)1OFD zIkR^e(}6E<6r)akN^LYTGoQtM)!;9CpEZyfi|(O}*^5}f%9WZ00U4-EmZ?B)U+TH0 zC6np=|2<84FCm%wNun*gumtF3h#4a+(})Gf!nHts10z(8P`vsFmeBJmEW*Xe(m1XH zF}aG&1%c4R=P%TjUz~r=r9=cbi!3d75t}lf#34DIZS~x&4i&p@(eUighAkW%MHyq@ zVU^w5Bxp$~fAYi$Lg<_vzUvh{bcGG4Jqfr4t26Yj5&MS)LRD#394KYz=}Q+M$B5*r zyt-GJ%p1M6oQj1wQ|BKXv;g-@B|PCeOhD5)u@3hA3kUi~hT{AFQS0+3ap+I4Bofo~ zOSZex7TOyYocx@STXz|#xNvbJ3Tf&Kj3AOg?x)*14$P)lI{yNG8n^FViYI#)4Rn=v z35m@AOwQ?aZHL$&1rB_02;77&uH-3_T$vvr2)z>T_o{p_3;>Hu*wBU3vbzGx<(xpbeH*oY^+o@Gk5OS9?wei>U&-b9ihTAZFhIF1S^`#CZW0TC z3hhbd#Zjz#&4TzhAwS4&Sc153Wmw^j2KGwAORN`zQ^=*7qp2Han6_p)h|ieAe5DU zE+sH4paUn1=!}2cAFslkVrJ^+Ew*^2qXc3=_KylFjnAM${Q2^;r(>t(3t%e}aKlDD~Z zAav!w;hXQFR+$l@tBK?L%Ql3rpCFUbZrI-R6z}nKa=tM$BJgzBLh~g5j_4d*f<1w!%a=ag~MA zK;3h(v5c}DP5@yqkKCY6keomHfAb`WbhmXkx-3YOHLW|mIJM^;68=5~jIS;1aTKs! zxbWvxL+YpGM#7Y`|j8tB?w%hwVUY(r?wLhO26o@(P7jxWE~k*+-Mzu^J#knPRX%yN|W+AP`o#$^C=` zuh%`W8Oy+i@g#%{wCDMPiF4mq>ezaeA$pszah^oTQ$(bQ;v<~xCY{8>F%gzT_AsGkO# zWtCuPgF1U$-^kJ!o=CT}1N;+}t3!m|R9e=Akjc zbm<0@vBc%D$A&Mq8@e}Db_Nn|mDS6rZA z+1BIpE+tm0v1NxwvO#eM^fT9vr1Mx$m;fUr3%=?jxOno$pJPRV^D@K}&AHZ!>>92- zt^f%zVb+~kl%^{;l$vMQI0BXjL1YhTUd+>3 z^yoNK6AGAQ4aL#Tc{z}|)EXcXnq=KlzrD?>@a|P}#YJhcZygV%7!$My(9v};X-^fu zp`vBak4@e-w2zW`VQ&v!UWLNz053T%%-)Y$M)(Z?5UL8A#qq|Cc+%5H{V@R&AkeO2 zP;wibr+hyP5=(^dt^?ujQ$0yo38H5)iauDs=4)(De60^1PRR1nL z#)3;$Nx7zSX?SE@eZfbSEf{y)?=HCWs(obJMzkN>Y`~@)iTKX{8UEZqUM%T%yAqdi zh@$k-_a8q;9<3ZOtnZdjjJtHqlmL_`_172PI-SYwA@LvHy3F$2mQCXD8!j(CKC*T4rk;1;>2;(@`u?N@}6s20+e`JsW!WFju zoFv@ajD2^l09J_&1$1AlFphBbXdvIfHp#anefL6yUzSdhE_>Pe!u9tTe+tD@7|V1X zAWRK}1p+&u@)ca1o?-|&0AwRFCr(`2N0Z$IYL?!jG0c_-%0%SJjapmnAbKdPhDeHU zOIqDWiZSzx`8BpnF3kd8b6y_u2#mMeK=wcouSg{%ArNkv2*`-~)*5_`xmWfT$P}1# zkr~W;FMhyx&D(zP%9qLdKPrw=7g6_t1_R)Ey-1*46OaxJyqifC5Nyj zA?Cr<8Tdu=**BJ-tLu;wc|PpQw$B!jWdOSrqt?!?YC%OI6AN_Yw?`vnbYq}z z*&&_RDQ(DJ`O_@6P;L-sYH3Ps_5OB$*#qBRLq$CDEhGia((d-pd~;CcKYXwL<*i%z zWB0CA;j??647@8MpS%0c2uzsqz9?WX(` zkmyVK&mg|4^XKk^W%>}JI#vw!;2y<2J~L}vVKR7a$cLSvF-^p7 ztuJU<_A1*9gDwfD)HNzvoe{moKyk|fjej<3i?jnX2~Em`zP3fiu3E*$$~*;;AUU=3 zEt{|R|NI6mybXW)(S;4pAWeJcj?1%tj&t?;ZC_7Ra%mk{8qJwzY-SMdTs;(?P@heZnRn|WDffq3FQth zSQ)b#VJQW;Nk>45Sg&lxr%^2GeEiZ4gflZYMn%R6bRo*PmbJ?)6grPZj(AAzdd~lm z8-%5HMDW^s5Pi#COf)p0G%g<+MaIkUFw?T_=hq02kOD^I`MSTyWM4QE-F}5#YN>G? z6z1%a%n9)v+fD{_nOktKzP*_&M*#?X;^%(gx}Favkq>P;+PR|2-|pb4Fv|q_x{mv_ z;aHQ@JR~qzOaAH8pMSjn^0&TX!H8tSyK)r^HynR0H}|fzk*h8?m6RfFJBR2mMd-kR zXygr})XMe| zch}_s4Fl(wxaHY#i%i~xH3WYF&5b~2vGMys=dy&t^UY@bFp*4F^)z%Lf6&%&f78Jp z=ABXQ^=Q}cG4uDJ$T(~}8fUn{_|T6JV(2=C;vSrQkNvTwN24{}5=Jh(_ZvaC2}_<{ zXa$2cGQF{~OY!LuN)F`xK_OspmE;o5J%t9y<3 z%A&$$hre#QqQ3ke7QcV~ZaOK5d}`FKWDKz7_=8<6@)h$;VY48u`*Sjv_A@Mkf~u@K zTZWjoFxKvUC_IkpmdpR`{Yb@LM8^8}BGf3KscmS&!W(wnu?4_L{dxk60Kw<`+?N8B zm)Luj72uUyEGpAu=q}Q8m!8AJfbWPrPV(6#IFqfx&7h6#^tu1n8(QY^1exx6YVZ_Zhf*}*k!@91a^r#jLlo+; zWBQDlFOV3G&36dp8i?l8vNo`{oCQs`B=_)+g+?%GMf3Ngy@%!R^2?vz|lHP82~Nd%*ql7?}4*xiN=SW*Y}Yi7t* z{qC?G%!l&s=lXSjKGVwIeSRpy-{eZloifTxsQ6l;Z3G^Gx48D$y0+Ebfgw7E`IGW{ zQQs$c9k;72Z)9sX)6diH=x6?M>Tr&i=ZGVOrMiIfY8qYAOMP5%j`xu@dEZ#5SO2Hj zKNnWMu3EPD?JlzoI~S_%*ZxjLsb(EF-mE|3w`uV9>a78vfi*Q|FnvpMX#unmA<_fn zo^$Uz{-3~d1z-RfBmGXMql+V+quOUn2))}6a1~(3SwKZal|U&QC{%sZGsD8#MBGul zoS$T2x_b=Z&S<)DscT)+Lh)5bIwe9YPt{&)e^xSS&mmpon`@l8)FHAhpv)VdNV+AQ zMBPli%%UbL?)YX7m0H#M6hoCx-TfV1PPdYel;@T$J>{E2Ek0)S_2t*-$S3lTlVaZ^ z6BBC1n0pOhp^_W9xI-o~(x3ygRfs#qjBUF;Qgzf$VGlQKKqAgHnSYO6XObNKLG4CQ zgSRHl=#^^W6Vb0V8+XLoV4T@8~y$u7K^FSqyrIw9a%!U9lMiZ0=~Q<_4y49yR_7;o&&6^lT;D)eHGzG z`!1yjDR68;rlhI3D2J_vhUZ11skP0a&$*urTXD0; znait0w1hANkbFmsNdgb)`E;o-BJA}s(CoN`klf3wuqxx#dqQX$XV-Zy+q+-D{Hw5# zJ(rGbJMg8Ro+OkxW^I#Zu&PVQX{h2`EPV}k=ptWr+8vLMYE3?P8J_A#t)ZRSxb*7^ zu(+#E)Xi$vo+J0Etu@qj#ZM@4SZFY7@KC(u#0UVkUhK^Aho*GBN%~p*5DDKHl1;9R zj_gS??^UkV{HKTqX z0u9NXZZl@gp-}|gt9s70?ex>Rc3|!;>@>-r(P#( zax+R;JYIT{+$H%%g>PfWt5gIucKq&?Y@L>E(Kfk}tIbZ!y zZNtPB(&0xQRhJ&!?$6AA$p3zsm@=I`9PodvD8=s|$kgttN*qvzWj}FirhMPjCJ-V9 z6r(mif-?J1%HhAAQ$QiV^^GHZ*F-CHx(T$L`jBzb-8k56>=g~qRjjyFH&1O*5j}pE zR^h>h(@7WZBtUvJQ{zRmIgq9e5e~&nIabMrloY*g2VLweY8*JkHULwx+jyhLJoiT% z1;bUBs?(H|p}kZVU%PTAzOGl%dSl?PF{$A5+%U1Fws=b|vE+s0j+(84y?aR8H6&xER z8R(l#4=qYLGWBAS-r{1CI_=NvkAIdLFrOo9!hG(u;QTDhRRmj;^hHxOIOU%X{Dfs_ z0Fyk9f%J(J%gmnzPbo#qcf47vb=6!A4#Kh9QujeQA#kvQMjB?wg(-wb+wWT2H4NzE;WKRBFfc$x%e88>*rnPAIB;O>}uiY)HytV?W+2r{?;7AAe5;gh2Q&<~){7 z$q>Y#e(KFC!=@<3y)2EGcUY*O6S6p#NOFAu z+&TGYC-yH-`vr1@X})}kFRSLz2C_kNK+kNAWx_Jo4UXSiclLNOY8YUieY#hvA?vY} z3A5u;ktsE{067}1M1l^&c$3nad}8MK7r=cm#zp)Fp0gianq#e0I~5+f^bZtsDDNK! zF{zIQOTSM&OKMiEd*O1eU)P2KoloDE$p6RMd&hI#x9{U6BpPO=RH$T>GDAeANXQ;p zB@&q#Nf8a95~A!>vPbroB#Dr{3ng2UQ8IqVt1`Oo>+`$6kMHMu|K+ONyZ7t)I-ln{ zj`KKW13EV-JW3cpx4a=?eY1+wSI7sZwgWS`c*ZEwftm7x+QHG$-Ws`MU2OeIR%1%V zqevCwWu9*1qHP$$UU1$nAfqFo)uz8c_XyB0o6Cu%MWJ^X_Dr`}Jdb|D>S)&btieht zUgdgHvo^9zI6VTeAWT&FcaT8^}Kp8^~u*#}ZT;i+rZO&Fyx>CV;RKLe9I~w7 zulRRUOLmhGqx;DJ4eD#2ojUZ&j0jVT4W-vG2yvWwUHXp*`AT&K>MSwt7AqvYpUw0y zpb2=RcXIsbj@nce-`qicO5G1^34+bF$M4*FP)Y7+6O>ma*Jl5|_x`gO^J5Z#ORu6_dbr&Bq2J;_)tX~!pW13Z%Kt9iZ~2-$<4x1mQ;3@Ss3 z{R{~&7=C>E7jlc6@X{e={UP5UdY0|a<+)mF{o3PHnzRq(%TmYd_;mZ*sWaaIc{>T* zmWunOKhlCKk~#l0)N=ObQMz1{x_m=s+l4!tz&zCDcA7V9{RBIc!?wAfCF&0MlJ0(l z|Fdwj**#A$Yt{6lpN69Hftb{@s&AB5cKfYlPPKY`QoCfxb!jBKSn+LYv3eJ=*eQ9S zIo3u;*&1pZ{W@LE8wWl!sB1q8drwrtuQlQ{cx=^s#xVx3nu)jIR}`{UYW2PdpFqDA z<|e+~=a%`Jr6wuGm_1AEeOk~1_{=}~>X$(UVqK`%CJ|O6*W_jbj`{lT$Xh#}8^i^= zTK$;#H_wS*EWBbFc-0++sTSW1n!K|_UUSjZPGq~^xf>p<)yqNJ2nCZZhs*1#2&YsI z`p6Z#eBhL*|9V7}<+1$b@dmKMJ9X{7Bm5@K9#GJ`3F3yEeTbn@cV8n5)B|Wz2d)GIKAM(1ueG+Rt$MYf_ zHkAQN7>M+`xX0Nn(TLN31b7q_!A$%Ani&6IcxCE-f&((iXfQozw$9>6oTqxPXINn4 zK*dtiMj#*YWipzjA^S4U-3K=)+eF_y1p^of0+8-_|8MjUEdoQW{~=?Wwsb~B4E+s^ zJMWW1tGLabSr6Fj1<$bL{`$9R4YLuCrOl4S8%IlM9cGD*V{X9sut?5@{`&H)0MD)^ zFOWGSZ%sInRw@v2FiJ-tDKnZ{H)VkJu*dfkpf>%Coc-{CHPX*{AXi=W(^sO8%h=%v zNv*D}=t*1{8VG(23|7WvuYh29OH+Yr`npG4n{>Tf1xZ`et;SZFD7#l;rZRr;JWd;( znt*bwuxND9Qpo;_k%g0j;*&jFmETcJ=v+ocRhphk_H+0dFix!>D4>Iq`5v_lsXjuB zLHCIl5>mTw3jB9I%j`fE{6Vhx0x}Oj`5~rV*OSXf)UJPpv~ZX3Rg$J%H%Oi^a1J&V ztKV@F;^b_${sOL)HpHEj6L$;-TurM!Y-oKi#1Vt^!T$bH8*aERXNVwnN&$wd8x>e~ zq?-gOXAP3;^4oXC#{G>vbCVlzGY)t2WS0t>U~DG1*Ik^36^ zwa1!cSDG^b?0A|HW86DcGbU&?k` z1cgVTsMnWf7^t>c#HQp37C{rE%df(F!xh)(Vq_#i6JSzjjQapV`Wu*JTr~$)&ih48 zOXuhEm)Hz*3KOSgPhH?Ju>)jer+kBG;psG-Gl@?LNIVbBc5>p2#i8?lb}{~4U}EHu zc=}=SlCiShHOZ{~62lY;ec&sFYQ99Jav$OZ@Wni5GMV+A0A}LkF$)#N*}AZn(P-T7xXz!Q8S=W%wg0X?I{&C$+73N(-tif(UTEz5BG&u z?nx;p+1XfPd*^ciwHbLY0AVzBRrcei;_)HYPuJe=!8OsWls?&`+?q1pOa>rrON142 zaU#`D+%&eS3OMwW$_!-reXIJ@?ih7JW2_&j8QICoeBLleN8@Of3wctb@>cN*L6e=* z?%ka1p4c%Q2%q?cT~*u9A@k~HZKH~|t7KHNeA`pFPUw97KRH8&S0m~;c{t@7z|N@|wOl{HN!)D(5v)7;$&yPXoI(UU3M;B=W3ervB2c0fjasF^ zLC{lz?=TFC8I({P$+dSO(LX19&)L+0!yyMSLUyn9>c^ukHd(#a2`}z1Tbgm_0cb~XjEFoEw}N~oVg zVqU0Dut0r{N?+MMqF*2VnsOC^rA~invuI9zGn$PwFyypIS-xFwPyih3uI<|mAi5Tjx%!YV7A2_o{NV)%U3n-QH$hFZGPz zd}Z3Z&W{r6s{poJFJ={5CO_8D3j@t@um!LGTz%LUnivf&TbotTBfbuhGn;eS2ZE%V zl5|iV8xGr0atd?lm<8ulKMm%z4_AA-@p#)X#>bijPNJX(H)1H}c2zlOPXT^EvzVdO z9yMUvaEv1_dk?Z_J}g@0yULo5fwV6+Sl<-Dmvh3R82g`K7{+eS}3d+@l^ z6&#vGL5#5x=@sRq-D8wSx|hgQd$Y4^8yGHrxsr;6qV#~7&DUH=HhMg)zcfxr2%;F3 z`>-~Ro25ZWgocu5uOF~g>%p|3gv-`iiF>BuM*`#=mz|-6a^T*iiqek>AX}I*oj4!` z$}CHb`rTFE1l$UrP_5%O9mkMJn>t_R=V$qlS>B`B1Wtre_ ze$Kj$HYv2i&ToqAc8Q9x|JteBU%uD2uwh-O?nd@4A8J9S(;^Fz5o0_^cG#jtT-ATC zMd%ED;>B)4bx-$N6&zI`!&mjQBX*3!=RZ9&OeCYD`_k&iXC$A}JIT^xdg%WV4cx__ zjzZ);>t|0dvUxomiuFqkTcX3(Z+L1Edy+R(bjt(y{1{5XjVI@%iT8ju)*!L`$|cl(#6jyd8L?NgxYm}GJ1|on6K79+tABrRKdQr zrLN&KMNXe`8=+SU>~hF7;@x|cy~!Y9RFoE!0jo3uRBJk%WPTrgU}K{TUE>a`PTHos zpKlVk4_|AIG>afv`LUak6GBgk>szJEH>X*7&~xa27J;zm*?aqk3zlJ-GvT$e3I0mO z0b(??byTnZa8%s4pF=s7$5XHZ5W7(bIx;$mszY{|*)XM@z#6qUs+Y$3>l@W zF>7wfC9@`1o0bh)@0{7RG_RqqFDvcOX!=TX(K3*=g5kQ^+V*bYQlAHt;VWel1vaSN>}_TUp(@a|cdE!=Ylj@Q zVI(y={3nR?roG}-o zpyXw6DtnnCkz`hsv-|qF)&R=JJAWYvSix??jhUh36hm>9r9JhF9|hXP3d; zoLpT>Zb`_4@>@^$GL+V_O_fuBdKp~nv@+YN^t$lQw*SHulcsX<*?w#Y+h4cFdaeEV z_txThi3^O2~uU}IH{X>avYFd)7@ zcLtFLvL;dGC)q+Cp*0@$2KVx_(JP%U0_|)*v(bwEUk~`%{ISzE1W-OQXMSrS5?` zZIFf|rq}6R?)Z^*yUFmmK}}NsC-VI>z^!rx)iknJmUD|al+zC!)qHl+r9n4FMIlF+ zL-2Wv`mxzDy9EBcB?CvDn8l%*Cm5qFwY z^3$zqR&YWSAUYRRFI6Gy&$knprezhg(9o9Y61-H?RSC<}j+|bfy5;t%)zTN{u)F_Z z-k@5(9mzq>J;O02mccWp{?F7=N|*@Jz2~=*IjYVQk^>Z8X6s1rOVt1l#JpmO8doz1 zj@^zg_3Dp|=QFb^YAQ&`ecy~>neJd~R*g05cFSKpnfK~LPI-M-Lft0nMF{T*%p!uEoK=3`F&{^Xz3P;$VJ;?=`!-3 z+qbufzyC&WpFW{X7eXar+<;Cof0;c{&Cw;ImD}?mN|8;WGbZz4KN3#POJjXq&0Wo@ zEMt^M_0mlI#sM%krY9NdymeR^+GlpDg>C9;zsLDiJBQXsotc-!pykGZ5QG+6)E~(M zuuBc<)li_l-|13qwUp-J3C2SSJXa=rcl_JL5F0gSVeF1SeyA6TX|w*)W2y$0m@zCA z)({JeLh~wt#+UEhy+b-VN^P~}A)&^f(li0m<<>`9Jl!&!s^u@=3Q`T&o8ETH;bkQ# z1mE7@6%?Unm)`LGqlDU11M8Xr(D=}JQqf#di%Oi=5AJ77TpF#f1mJcxM=q9bD7tc~ zvaC9#c$VVDkR!+ha?sKeN5%$y~W=R_j~Hns;nitPCpD&1a-Fg zh+4Kg>%{m(Z@DzUllXP?b5J&7zRxttDD%wWW;9=UQncvP3Vpr)hMo-^PW6?8x2C zgCbEXFWqzo^UOKD>(7J-lB1hND40Aunqy~4W{F`IJ;p>Z=TWtCk=AS8J5ZWmP}Adi zwCUaMyiuRWH~C6Br0f`BvDmujdGYhT5hFj*jfLMq3{21CcgyEq59lshk=v5_Lu!vt zeSBUvyYS?#-TB16#6joK8)yTGfsBYdz?TCSTvYp+$}==^iszHwYhxSeJAVxJKcbZ3 zs=x8*nQ`71;1%om9{8lmUA2Sp$==B~SNZ@*LEE-Y6^WfDl4)WP9t$>e(!0tYaGm>! z;rR#d%vZ+h)EU;tTN4B1npbt?LJsHlj6VY^Y)p0=C`LYiWtFWyYa&rB}n z26}|&j=aQd@f~8B%(lKLLYHW)?pvkJi=Iq+vIOa~Pp@;W)qZFz@Lc&(pS~Vvh;70;E*~dHWQ2Qn z$OkKw9plcD{IH>kn`PZ&YczZN;{(s4YB~|=nMcT$?t2cD0;~&*?YAM5(PTZ1!D+z< z4@q9jWu_Z#)Or%!4_ML>JN(j*)HIzB6}>omd0+WC6WT{^yq|?~ z!(|9UM^yhd?8sH^cAtH2@-aL9mo)L;SUL-TR-!-x&TI8-akY<1i2~JWo`;Y=Hbw#nIRL2~315P;(SY{FL(V@jDn-BD7IUxC zJG5cx#TYS?dGtfQI)5`0|5*hE zzL+G|@Ud<{F-}uor8GHuwUR!vIchb%o6ML0@wtMBp?X&=xUkVm<*6~Cd>!K%8sYD4 zk?F6ujq)-#O7tp&lg*!*JhxFJ@%1xDK!_lMDVJs){h(%U3kF`u%{_$nFk|}#8_L9t zHe$s1(q_4*e0R0JX#c#Qs&kOL6$7i|IXOUw`rZZxTk(a><{fx*Gxwma3U6lbdrS~p z7C)NjY5iY;wv5W{AkHd{rt5MVyk$t{{1daK_qp8mXY#g_Dl1v2Xt)88X)`+sGSECN z+R`GiLW`9F&ohu zc3@dsAF%`#EFIy?-=ED4ynA#_|MD_T)ewV$@WO)y|Q5klp=BOWHx zJ{@!0StH?I0M`mh;^$4WW1PI@+z1;RXMO^?Vs~g14k>&W62#e3rpow2C)>sf`M=AS ztAm}fVI@Bab>4x-BoU>C9F1G*vg!E|mZ4Iwl!y?~;AdTcxPvkh4BiHkmrn1$37{!z*QIfEw{R z7Pg)*+eOKK;YdOYdGk}hv+58}HG4|gnGI;VoZBcbF}a)Ua}%$~I_LcF)f{R*_F(pb z$8PfA5yg3(^^}J)Ea-GvkpEQQBda@Nq&bqF^zbTq6@7%urc$K2PzI~7zp3{w=S<=C z6(a137Ds09Nd0#Zm zQEVvGnBgj@`cC_h$r>rs4M?OeAaErVB#)+b_hLN~ls|yNSyRZ&DmvZa{lZ&FV;C$j z(2{ZdfGAui&#^v4I|N~WtNX8IsNIBJ-tAA+AKIfix86aTIrZVs)=0~*%+3$^L%i34#c+Kx1ys7M~hYmCqX~ngt{a ztqwJsj*2H#7Y&jysoVkDutQIv{W2zNwDx6Mp&uCTRd)>Q!*(;*dBP+4CdQ{c$Nmi+ zVU$@ZLIxx5+`JKed>0~D!uGo8QXRX+dV zCbWCm-!F_&&H3kxm6uFdlb_k|d;ZlfmnKVkJ?UH~wGjON`4e*+S?A!FoR5R{HPa-g zm;P}yUY;miBe(8Xo^SMeucP>JYgh6h>lNPh7`vOIygqfY>w=2ipKl>?gr4F@_x=eE z#;-QXY^1zT3V=Pn-P*t9;wNv=2F?^8y8Ynv2^0mY>N-!KsFIAKr&hy%Q$$x*xI&2f zY;$TaVw-Ik3fcs`5+)|Al}!32&&6LCBqd4Cl`wxQ^A9AgYbMj*ti04YI91&8^^EH| z-_!qms(&fszdxLH&v^dDGvi~|hCf#5V!yV8wsLu8()?3WXt+Qt!w3@e_+JFuug1_( z;|u9a$^QBh%%w<7B^iTzsok_(w9Kcv_o8wtv1+@jGoCD|pkHw5|C^r_iII`gcG;Wry)JD82;QNrQ;QZ~QxwIb zA@J4Vv#>eoV@@b$?txIe+(l$oFRm?@vNdO;(mDx57lRW4=+u5Q80bd-?3@fvfD-eu2vN?}^U6UI%mzyh&A6d!oAe2<5Ws6CYfcp8dhs7ub0An8+` zv_lsb0z!9grwi84iH*;_jyW6pkJ|75|1TsYNohy*Oa!QpGrPO7U7P=H%y&kA==aTx zZ#8>r5wullbB0ou-^B+*%S$lt$y`Gr+4qgJ#@Ukj_djo-?;<_j+P!}}o!&O44J3?` z?cfm-E9a zm39U@i5i(gni(KBG8ljdI#<4?VLbvN2>}ZrM1;oFC)0T|3G8%_`Lrg`=-pzkqbVfEiD(jSnX<6jmAROV_2*CP+ozvhCZ%@U>H?Vs4j!pQkomAOep~QX z_01O`x#{<+4fF#*4?Eb{T=_Q4mx?Y?D=fv=3-s9pu>XFe+1e_yzjyLT1XE!{x;dJT z2idjK2he`+)V}s|AyxTDbaC^Q$enh)0HX*`pez0(8s?%wsD!Mo+;DEbpLdy3a*7C< zmrtZ%3wI%%+xKo&`?5!S|JYdgBZ;CbL)H&{T#ar$S}16%wU|4fi$_i@?U*zwTRmK1VC3)7)`Eh+Xf00?9R3jB7Yk2FSo81E z!c*(!?%Q15#KS_YZ};4^kCXW)mR=ouGHNC~fc;8->bh7MaS#OpHo9KMRK0(}DR11I zs|Aq$Rhr5lA8L!8X1Oi9Ztkuu`ttwwK>zt?|1!n10WH(RhXRBb8Uk=1>)~{-Rz2SJ z&u`8s-HtK&HDaR*s492J1=5E|4uAwe;6Rw~K$?rqueSyHsFxp`mzi7qx&P(+x}>hd z3YRmzw{|mBI|-ioW05Ymw}UfOEV3nTe%VinKfbi#+i!(2i$2}W2w%GM$AI;~KU{qh zd)TLbXl>~LmqE~TLZlsCa|%L@U~grDpF{LWdnzk#|Kr#GkN(q3mxNf7ZuRx)L5Fyk zi+|ofa~^4OL_^Wrg{~yE7D;J(%~fo*!Fp8HcMmyZPxhVe*U76`&EuD|9dCA3!rV|(AkY`%Q3kYc#BH|;vT$to`auGvGP2?=DY z{|75PSI3paVs{5dA-eI!$3CIi7-^MaZb;TcPs?0Ox^{r}RLZ$(y_dAM=z zQqGw&+&(*ZUw~04Bagl$-VnKFGkhMp<)W}Eu!`$r)puWu^DqogEWoVF7M)n9`gdqc z0NDF(T);i|DZdR29F9gf2hh7}&NjhN%W!>Su0aFn3Qo%5q`!U!bRsUd7c5QGfecLi z4i5q2>Tsao;M$Eh7aun~D;0?R5j|YU-~jdf3=#|)IM@za8nid@p?f1C8H^#(d^3D* zdVkb0KTg&769Vdn3PG611~DNp|Aj7)18{}%#_PJjVNSjo+e85p_TQ8T89i5!D%@ua zoeO)_yg~oN`Og2R{)u0;rO1-$YwItqk0>@*n*S?mHx?K6Dc#6fw@^sQ1qYjIjDCqh zxEr*;JAp-#FXudXxRhR-9?v3W3lO+?^dfm3%i2nGiiaF9jt4|49>Q)y*78;SwnEyK<5sSPrHSue^ zp>6;@c`&C!hSa9`tp}B&CO`oAis3UdhQSRlw(e4VEQy{DKcSY2jW``AHV08i%3)K+ z<0IvgGh}e55mNM-%{GJ0;AbTzlu8JWtuI?a#|DgxP(mD^9U!evI{D%%n4i5hk#|Gu zsiiNeZ*-E)lxUpSXjnKGYBwVn`=2ubAMbR>hMfK#CYj%pCKt6Vwa#5|4W}sC&uO{0 zj9+=$r#HqyWU!}JANw0-MV33Hd@$n4eA+d4KaF=hLu&@~8^gv?vrXTjOURJ&RN`Lh zp_Bg!yt*BC0mW=mWEj*|8$2G+2ju+}oQ_({Od2cq|BM6Y!GRJKk;uz(-gaZKenFo{hHCXCWccf`KP$mC1BpXf}zSdFu}qelet-P_&kl z+Uq16zPL1Ac;vr+a^3cNL3T9B=098IG3(F&EZ&uYuG{Mn96etQ^ui7brR`UKu> z!||JFq_{ru_VM9GUjtl}%dq;6+jPV|SMGWc~wMnAzsC=Hj*Or!z4#v5};KbrO#T_|D*XmY&2VRv0I|AMQS{|S;o z7!(BrPAs7FlCrcT_EG`ngJg_WrsXLkNB%Mol7vj>q3^NVry%F+GZu8EE7o z=4^=GiZ+uvJRPyY8iZ|OrPHpWtHs&=&BXtCUXp4^l1m(TH_Rm#)KVmjEG_29b%-#E z77$aGb=2bDYS(i9N&i7`;Gg*fvkVE*CB(!7Z2q8sA|W#b0x|MPs1lO&>O%EIZJnOU zWr&1>taBNxbn&U-YrJX8dWTF2S{2evlRZY;|3!b0S&3wFdF7<~DyM2fZc7J1=r?{| z$E+lb>^|R}kA52gY$cXyz?hWO{9X*&TGVnfd~+xlXy`FEQ4I2SCoKLgOPG-?SnC7e zD1EaQLWro|+x_ly)FPf5@gwGeLzb3%=eczzaHEBX!?%*UVsT`EG zU`+r1Q$Z}IR&_O_7MvGqrM8qo=?|-WM62eNm+@Wf36;mt3c=U&+c|&V7_SaeV$%gW z-wo<)zKLCHu~Zb?GmrQA0RA1*j;8HLYjV@>{B-j+5%4}ydO-!3yH2-)9EdZ)0MM5W z_CsIcA88$P0_iB(VZ4n(af5~oCJqh`w5^Gjcz20Ifxq3@K$CKBOXwxl6r3)4GnjY1 zsrxc#j6E3&Z0AT59j8^u&xbn{HH)v)BgQlks}W~&S4TbRM?;eKCHm{VX-oZn8A&J! zJe_%U_#1>R(F@TL$etb44Dr&=)x~tBxs0SiGi*O7WZ+M53kyT#098}%5k0?4LzLmJ z|A!&?FiciMSUGMUJtzqy=?yZ=K*F%HuP}M>%VC}-U+*~?!E>+e4wul^(}>vxov{f2N-{i zffAH2Hb*O^V{3t^ZJ$c~0mBCRN!`Q3?UM(KRV&$uo9V3Xf~=lhuLb3`6KyZgJ+vpj zH8-gA1^7-Hop*r79wFB_>GVD&X@Am~gd1kWE)B_~;Y6cptNvjP|M{Q#($2FS^oMrb zLXz^whcc>2%<5bG?ns_-gk&Oge9#no6IN!Zx!4;}FDJnA7e_x+|HJBd?>o=je}=9q zUij+Enlft?N7F|lS^e|PjGluuFEE19C1vEjS^*}f&m zd{aJRAp-9B!+f(#4BXoG5Nm)DCkdoKyD~e2^r5AkpR>o^5PS%GXcJ({`6VP8D>YNg zQha`E%OsLaO6!5&)y?hLd>lC;V)jf;M#v_x1+swTVHCAU)40q*`XzcjgJ+rI%h?MOO}S=Agn*`ix#4CEGPEGUY9s9^&0e8a=iNe)S`< zPWZizD2?%6TkR12?iUf8IJW+21EY-uShmvW;h)?FkFT%p{H0ufqBZF}DfN0G%XaV! zmR&eo9q#TEiP%GD|A3m11yhQxeu#^^>e|} z@eHpif6EY(|Ehq&yp_V>4aKdKs}{~WKmx~#v1x3Eltji|@rFD~g5x2g>p% zzla;|r<)f4DW0Ea$1`MO;Q#Rq8)A>maUNFVkbJXx;9*&C_-#O1mvSG!QuPDs3#5(y z|8j5=F(i|pKM^AFXTZs@0Kll@7j3SKp=M$djnLEHg_%`HY~fQkY&IK*IRfYl{b+$b z9dqXQKmw4An0P8|IN|tr>gtTa_Q_7-9b^QhLoGY1HR>4^XJ{h3l#`aU4y1zpJX&JPF8iuW@xz z%KoT8V4Ig_Zoi`dd2Y2y@bJcOr&%u_T713!(|zHLTqr213pO2)b)BCQq5i%lc`%b= z@%b@70rA17s)C~bAGu430SW4#J`k8lM57=sy^2X_PeCz_DJt`H=!HOBQKW#U#?X6d z!kzy#4m1*Umt}(JQ|)!P@SObw+{dBKd#-z;O8z>4@iLA|853$ShzWVmo>vbjjd}K; zX7#coK?F?bZiliH(LELGzZQQKOHTz&HSX^mnibB+w*WneeBTM7!5=&{o}1?zigOw1 zB8T(qM3_5ChMpg}^PP0%JnfvB+5%mfS1pX)_KTcz$#>imgDLAG%0+)je44(>Ng$7K z#1xia!JgPGeBR*T!Gq3&TU+8#0D#}FN_&C%CFFP_73Je84OCPAaf)~g8uUU|o!7gQ zk>2-cGM>ECuw)218d;+lYk98IOS|B=ew4vRi@{D&($7{*(Z3`T&e?I-3QLwV2GbOp6aRGvC zp9S@G;)+n?;$LxD$qeOPa-kJFm;Qa8%yg8agDn$41zngRi+hKDr;oq?dg+h+Cdxn& zi2*OK7ntvv1?)jq?IZXPKZE~-i~Tir^8y|i^*4U$CFS7jrGko|5&rj}XSmz&$^!9`nh@h&=r2*h>0 z=`v<*Y%xXK{g*5yAtip(`Coo;(Wa6v2sw9Zn(oiv%cw}0=g_vWQQW z%lrra`5~OT@l22zZ6%82DNOgs#obj>R#RIW$|N``eixJ$5t$a#SGl-vRyt;ozJV=! z#*X?B51~({d-?0D#s^(pNWX-Wl0^ixEb?p-#6CJ`pl!#Mm`kf3kn_NtE-R&u@2@ZY z=NK5NQX%)2Yj&9BV*>xS-`|H)UV>!kY6~yj+>_Jac5byJOHpN$nLoKO1Jc~%90Bp^ z3V$7G)Cw1<)0?(t@{~#wtH+zu%{Ff5ZQucy`8gz!AKy+!90B-o*q#2+{Ad07L7L?q zYUH96(A)^ALb`AF*p7TYB`3WJ`p~19?yEM73MCXDBmOxz288ODP)FLJMOO+!QN^o? z%J8FkXlXO;b@0^9mit7Zmho?IT1B}XIyjWk882xl>jq@3e}DI|cG7L_+?l)hPW^cn z36>QlX6n*8h6xKFB6FzVr*CzmQS6^X`fW!p!Bjf|b%3jNi}$U0&Y&Hn9uK?61^DAN zItH1gyYs5udAik|myu`TlYafCgbU>W7~rUKjt5U6P00s?QwK~(Aoud3K^X$X5*us< zeEHCdFBc--ohG@&zw4smf&qk+7&=H2c*L~1_!&6)uT|A%yRg7P$(|2cwm(!RR-g5vFSrpZp@;?=?(XH(+E z(%+D{=EkrQ`^|$F9o?BV*cO@5#qI5mGP-6r-~4r{@NA-B6uV~~gwzY>#X#z=S`#C1 z_FX$BKJt4W-}0#tOiw;j?Oo)lp{omBY-G;g#v1f?V~Gd?E{FhzAa#P}5aPdQdy?6y zy6gNy)_XUddV>SiYz&M~Z;k;J0IgAuxEr5dngXTUGLm*9vD6Y3IjgoXGQzi2MKayA z`{}C#!95zx)EK>8Y{AE32M=+VcMO7<#wx?Cz(2DAVmv%)}t$ zhl|}InH)C)0EP)9RR6I%>B*wkpx_l18^mHeN(y?z1l2idlk3WMqRRyKrm3M_nK@n4 zSnb9|=k$-4kDJ>>Tk_@%f{7-UR zWk5cJ&d2KJ>72pzeJCq{wY)2oQON^fgnshfE#mp2y>{RE!ad}*jiZc#i)wt7-#18~ zeBp+l>x~_&xW1Mm#*6iNr;R06g_J^)em(d&RJ(Jjd4#xk=33+d>GIhx#hIH&?1LW%I|SEl zXM*ZL*|42yU&K}wSA*6ZL;U!ihms3RtP%frqDp@2BT`>qF27pZGxmrAODJp z|N5#4=Sjlg<(wn2w<2}UGH>i)&d})?3}9S%wd{6FzbJb+-i*KdSB`Lg`yT91V%J%- zeh>4flOD91-*_NKY~M`UdP$S)^5)9gD^nl0U<{4nyt=A&9SECK-4Rca_F~QA zB>c>#wW{U&?+NOmrwEqY{ZW;R#Pov?Te~it9bgC=rNdH3b#ydmS-ySm5AuW;-2N$j zGp~WPw1k?bW%Z<&wAk3xy>ywl+to*!KwHvHvVi@7eV&29P%D$eYDFAB-en8TC?Smn?2@b9Q#iXxad#lA4`NB3{DlEe+~3e65qYQ z4hMbeXn0=MjYMX0xTWf@=!mon_v_RZ20CHj;B+~v(I@Y}zIyIul6E2^abNcJA2txq zppk83Ed4WBa3`tCeM?T67VX#9rxX-j38kvX*AKg|m=$wCg9XydKY%gCIgJlC(j2OU zZ(GSl`5JlM>Em_!!Uln>8DBX4DAQBh@zZW#3ewti2}EIa!=^Q9Y`fCx9H#SeUjVdc zmF4T0JUHvFEqwpzLVhEmyCT)RZ4&&(*_ID8Ym<%DZS_Gne*;9xJdRqL6$VdBuSz=*qYWl&fw|nh&*(f z2#(k^WWs+S^-XCsBiG48JD5e;E>nf~Y*6+az(+M179R-dd0P$dkZHJ~)d)x8)4j(P z^GA8LE=B7+4&X3GgLM8uUHYhMW7RFN{celnsG@yAHTY-#2X5lNU2b<-ZihPSp1epe z*mo6SH%$egW_Af+!n%=IxXhbPeahlECU$)nbaRX2&B1fA641QNxc~9-0YXaF-A_2i zDyW1eZgJkQaE0cQqY?=u$SdFR&nNOx_mLpib=G7?k&&-lKin0umEObQ(ORiLU%O-< zoXUv#F+=;L2Q&Uh%c%I)^Pgz6Igs*@Oz*wXivAI$4YR9 z0(A}>Z{|&J#o!X!R!+z}o)j60ok3KA8!ta~bJN=MM~w2mjjTTOIO&O}NZ*y2?kneO zujJcq^oh3p@?LCPNd?lPL8L`b{(3xx?JkJJTRnyJF-rnXka6r3A~fS}7PYGOA*QZE z+1PBB(dN365#W#yXIi=bFz_-=Z)729MVsK5=75?yajY^f2uv*IIsn9P#lGn*1OB>w zT&=D}PJfLm&NYhm!O1y8n}3~)e>lH`dFh2A)3IMZg)nD@Xq>BGwa|fu_b;()h5bXN zW5cfVsVeb)Tng#@!7}hYN?a?&LXUlHq7Gxu%U0WiPI}Hh0N-o2#=<%ZrWGdUbF`KKXPAiTKP9mYYnp+~G`e5w+Y^Ogw$}7r!$`ssW6z zLdnV2zPSk%F?2MnJ8rBSfApXSnbMip+IVjqYdJDy&6dzYAs!xs>3XF6Bz1OKV3l> z9x5FjzLz)1bS+3%N>Zc-w4y{+v~q(pP;%_~cy1RF@l>vZ=!dajktCpqe`l5erW5~)U* z1R1KwcX#;A{@Atz4+W~nl{sfu{eDTr!FwD-_1M{bJa*n?{Ic2ss_WYFXfsN;e1R9! zP*b}v80WU^plcl3+T15nqwE^&af&y0>JYLVXjxl}-2>e~8+s*Ko42RWdfgpBH8a)l ze1<_wlfTPrzzMVT$S+E(JY%EFWqDFeP+leOm^bWD0RNhIML&c3DcFobb_dxmAuMR(oMIy?bTQd*F z41Iw7_3r%d`qdpOv?U1=uO1va%#t(Rf9KDcehEfzcnJ2y?Mjv5T4YXl&v{3&Zvz4s z+mq16=D2W9zjHU2gY+eDu6a|@6b8>ncay`+pVA50k5{`d!67=KFeMU#c-i8x#lKF0 z4o&9Dzf(X7N*AvYj#o_{$|$!J*&m!_3BNvsDTc8W3(Q<+cFrpCotZ)w?gT#^r(q#%_e%PK9+JNuGCEVfRYG6zet=pS{dl~%yit`0(J-wmic&b<$_2T{nLHl zC88QxQKY(dvJ4ymA~}0o#rdCh&8+Z}q~qKD;ox}S;Flig*c}xag^vzO-QVxmap?A* zdh|vD8sSnt1ZzaQ5@vU`0JTF%j~Bn3oxTRSfVL@3IC7oOxdB8Ji!O*I@u`NsQn;YwN*C(r)K@8t& z)v_}=a#CpHlCRm!G_%_lcXJIIFAy)(n|U5<_0=yA1<5RCzQ8{G@I2YMul6~Db#%~| z%#WVWf0SDgMJ4pg*zORcc^BGjf~_lriAV`fj17v)n^PZ;Ui-*NRFGcDQ{XP&j!m>@ zOummLr@5Vm)rAm@@pfoH@oS6D><+VyT8!hI`qD$6$kOgmHFUl-c|YY9L4 z?%>U*7v0aWMKdfq1=11ali<0o+_L(5#t#I5TR|Pj+nio+x}Y*vrDhc(d71IO)BE2- zSD0isGrc!_J-+clDRI~eEKm*m;&J{kOR3Zl!;2~^B6I`L`q(*v{bLY+ey#es=V^}MRH<1%{WEzHTUIPyV5g(6;~qd zb-Q@HuReYwuiVX#4}v_gw03)X27Q-T{7-~2C)6XL$OW?Q?2iOW%y3@oW@#(a6+188f(iW1C&anH_LL~GR0k`P8cw~IQ?*DG_rO@CQ$i_)R6GatdmW9 z0#I8YzD>{q&^>HNZaJ7*BY$8Y6Y?}JF0Rf2f+zTC|$ z7P*{D=i0L$@~837?*;3p(GbW~>?5|?*J^6d2`Rv}*HIQleR>7<`Z;$(~Pt0o)J(sEmh8b~muf(yrO@sVsG}^Lv z!6Sjf%SVPLVa@OE;gle68y6tMu$5ap!nOXo@)9 zW$WMHQE{))sI$kNrzsu{vrQ> zk@(LhtVJT^fJROAyKv3-;slB&wQGaznvIX||6zctmv1N+=^jgp9YHT1e+Hc%#1;WF zjRT~_avUOc;;9=R6F?XTt{Dmkwr?<1*`p*=nY&7ediT6(5DtTnM9VBqwN;|2)VmE3 zN@nQGGE>{oM(gT8ytQrhSZ1>~Nof`FfTS+NZwn=Ff;4jl<&XOqV?!=fvx#z3``d6& z{+8MAudV#DoO%Vl7vV+!dZ5&bI7l~z!uh{6k%lkXa8+>SqJ#7%L*qhryVqZ_z(e~a z3Q|S2Ol&U6 zWLljrYQO!GJL{7VG|&Oy?)|vAbfYV2*WLY-XQ_|1RN~Pd3Pv!Kpwv)p5{3H1cl3b? zIv_O76L+#5&O63W=BYd%)bK+|h=e}L5CIr+eJKaMFGy&RDDTuhC!B#ks(T2e#`))u z51gwV@7JBF2)>BrD27$t5aE#<*d@x7Wc9JweN31zL5{?V#cyZcv(EkC#tkoQ!{v>C z+KRe9W=;#>_l*$PhCars0~>#Z^WSIdLU?4!2--ze2F~-<&SxkP*vro0EPbD`-pWE# zd27O1fD(AIx7IUlj{}l`HXMZMm3`YW>--(@)r~g5>Mg3k5%gX;K7O`Z1vcJ~dk?3! zCGdVVtlHKtuYKYou{|LI*BhZv>Znv`D&2##N^AZ8IWEB2f;tLI=`@W({G`7UnJ6ZhVRp6GjlRo;iV7#3`mR8* zl<&mGxd6)(a5kk_#bs+;@CM9sdMR#9`Fm^5 zXM0T9Kij_e)W23+dx2z#xHZs_UX#O=^NG_>=do%h z?R=e2xZj-wP`x)Pqdy_X{MW5hjkyT*ak`HgE#^5zrA&3#k9)wP7#l94ACZojnkn<^ zexotGt$np|CYSF0b%if?r;OAVBek4_$=&G}%^mpgd=?7m{u&Q!He|R5kMsrqb<5_D z?2i#~u8=O!+0Ol9{zVE9E#h*`jT{!g^Mx83%8Ps)bn|80cX70KW-1T5|MjslO3d4q zx+f3O$`)+mc{--1WoC;YvFd<0C23adMd1y-ynA}FichC{kDBM+Wy=Q-GF&thWpq`rPr=6d1;(HKS_j7m6@j%*0j z4PeH+N&6kj(Q*<8Qi#;yl>nMj73d0WR@Yp%yN%6cNfY(_0sY$#lJw|1vik0sGg6lm z)LtzKYu~NXj3r_DVfW)Z!;KRmo-gL_zYhhPj--eGN)0J|Zx^7!@;EN7me!Kcyn)QW z%83B4W&Hp}z`ay$F1fwz;95TrHzUmQVb$Q-M6N1hJ_${2iY=iA@AjD8!#PHHYUcM! zZ&G$M%4j+hgr0pSI$CuBxyMpP9Oe?fUyl$LNCLqy>k}UZy_I!C{tBLd*$^yJL3Qbh%vesg`C>Wj2=J*`Me}Qm z3P9=u$Yv;{5B_D!VM1h>>s)Vmick)vRpaMj*{(Q>8err_9-^?nP#CoeM~e3{**lp}Im z{jYWiKy5T8T9Ivac;89#>*}&bO>mp9BC1a+1lR*uW5C(Ruq^J$lD;yL%M^foMjASE}D#$+kf?N}6j>u8Y1{KFWWB|0c2WeeH>%N6RJ zCg&p%wI`|BfUX~3SLKTUgBgQe(k*XL+7N2Mf^jlSF+{KdV2xj(1YnLthr%7YBzC()yG8Ma%ZVpQ%4u4$UG0;=>)l~ncQ~3OeU%xN-4!c}tpQ;|?{Pn{?seTH%q4Z@D z^A42g2ajn{6*wEp5{=a?2<#uANvW5gL`}(QA8Pu1nO;^POm3b!Ev|4qym~)i2Md2& zey!0Hd^ddzn2jVBk?qqfZ$n=ycE393|Fn3U4o03ud3){ZvOL+n4pU=3YJ3NupN7Y+ znQnOK zj7x$V6bP>Vb!TK2e3Xxf)2_7lTaWd@%vn-`X6=L1jD_@Dwy! zdh>8-FL^B8z-T)`FIANcGETJq&CdfU9*=Lj%e!3Z!>4gtO5X&d)GCFlP`~3T6RtLM z7x*o;876x!#)K`chCbe>ve3|!bmaDJamjDk4ppHI<8$5;u>6Y9L;^4d5k&ICXg217 zodlip=7^edJ5k8OB398VEoQjooigJxLu3cBAEb!Z*D-~AFsp0HvKGH-kD8hJFigxw zs)D@xZ@J(+wEu=~zy#UyU+t7hacUbf?|)Y&ibiV2OFsTgRL0XB>U9FHK+^Wfn4Eu&`x^3B?j;6n6lRMws9DH~h7cdJ z5;X3P^49?XECrel`y0S;?Kt2>NO~*tBrBje#JMYvj%e4o+;Ci-sQin9|2)rpEODMC zpNJ8eyL2Zyih}mEk{0nNKUD`!EGCm5Y{=nco5X zTF~)RrV{{@VA_LT{?;V~LlF7Q)%81f#tWh!v6}buy_D0^B=>>RyBy!=>9>Hx(57+^ zL{KD*He-9P7_OvgJmk8)L3(q6Up&>C$qoBWPZcf6LPp9QA|t|JO#%waxnv~I^(^3l)yjS2w)n3l@w)}a#ef=TCBvr6b3euq z1PYM0tgPnGl}1XgMG(&nS-P&w{m{3NN+Ea;OmAr&W!_~OHF3&cfjLET9caF^xV%t{ zhoMatZt5Bz1TYfImz(*FAc~c(M<}jtHEs3SQ5}8L1&;3?N8Zu?q#hCZcOVUUS;7Qh zje!Km>DWLI1x&_tz;R0uoN(Gjy&8Y%or>N75&f{RB!Ksv{o=Q*^3v0jjuRCoJoI5w zi}N>J7KEl3ASAJC!EZCD&oC=I>%w+ zjrc4dcNLxB50)Nr+sf<6Gkb(Xe{{F1`Dz&FKUPjk7RONY=gW2w{KG4s0vCRw)8+3A z=;G}YB)vZE`k)5uN$LwMvPStQe54yGZe&Ou*pq&|3=_%$Y4=qRdmJ1r+7|Boz{|`d zl8Jg=@D*fTUU|l$oETD$1|WX_379&YZMzfU9CEncy+0!ofU6^XVF;kE=ox*Yyn@2U zkl`vAr~q#T@kXH35uF+|2R!#LI*oVDqTmD!!Vs->vn?LFBkJkE=&-12!3Dq}ck&>h zCV1f-D`@gx7Fy>fF@%=f5YeXOeRYX9dD+XAZQN8#TbbrRi+{zii%eK+3#fXcYu!tp zHGpy%wd=*ad~)7>{#bE7Hw0lOdOt-KGj32syN{zwU;b#T*1=l5`S6G4}3 zA%U&k$aBVg#Bilz{q!wAzaAtW%F5x#_px7_mn;b0QzEm0zU^w+`&E524%wX=D9&p4h}zqy#1es?vCh&>@+CnvnP~*S(q^RRqPkp|4TH?dbpA`;Xv5XTj91d-P)Unvi!(IBy3;v#uCJ7ZtL~7FG zTzz+6>j19Mn?y?@IN#AAa%G8)Ac$@iBbmT5Qua_S-rnp@zLqHtfeR!^59$E!LZ-lf z#2S6|duXFfn(&^{rFNJ)1+%{4uJNiorM23Ky|;V>iwf*N1?U#;x&S2Cc%>P$#;+uK z-r>#gImw;u2}YN_3mUP$luGkm=xEtKWskpM@-&~Gh(xs}SY5$6>rtHM^^tw zZ86{`m5(WWVM^h2mjYa>Cs_N^u7oh|zH^mvDcmVG9p1ki^GAw5WdgG*J|SNzcGOv& z>h25S0<-X)j8~J(o#I7QT^Uq=o#wCnKCX!7j~Ct=$6h@0UOv!F(KQ7dHa0kY%C>GUR;Pm#77 z_yBS7$Y)~itKD<~cz$>T(Il0AUQih!h#pxo*WKg$RDI`~Apck%|9vYIa=2)chW+!O zJ1DO};5Bn&JcX%tm;26r-eE?DNh@i?@P!vI$V`F+`&zjnG|$q{^?8^92}AR#z+J{t z8%wZvivv4S1kSYfu`CO;;5`|<166cL$osz&5unc9pNH1|_jS%)1hHRPkuo0F&)PMQ zhU1h?n}M86xU0w1SyI z*u4pczJ#51x7^`rXv_TvRW;lAPnpB;Ees z7s@5ny_M{NeoEx?@0mjV8wnq#)nN(Gr{?0o3*!3C`Vy)Z9LItxPOwRjMNI}#z%lx9 zf=%?bbni<#3yH4BANV&hEwZkY6x03+W{0-+L$+#hSg-k#h*HyKNV+YVZTS)5q zb=#iD1V?)Ae>idV7qo#*?W9lM4U)UG%Joe=#*jWsZ#7`jfsz>hq{sQrcahdhQ!63b z1Y2#~K#J&p%<9t$*Xjsf63Z^FuMc?vMAR-fntR1R{+3hrS`b0@VtWsFJ`8mgZah(5 z@arlU28^HU#_1qc(WeCcwpYFq3yXnYzp15&8|b#_@>=kQC{!4*QNgh%+)7OpC(0aW z_7>M;EG9b4$4I{TEIS8Wla=??yJ#N=7Nauhq`eP&LC(O_5gZiLn>&-cv5=>sC>%^kkP5ruqejm^O zkH8KbnA%ivjnurVZCQp9iZGvef#Dx@9gQclRF@>#PxGkA^VbCz5pE=}Zdyonrx0xh zHsi@z;j?7o{?*;!y65j9aNS!}`0r<4OPOhRb*y|?zSN|sOTwbeNWuz~wE^Ie5k1N9 zQ`0+3N|SQwc*?!>vbKLL`vot4-Wnk`nc=b(8066ldQDQ*!yiG)NtjA}xK79=Y01|= zaqyJaAwl~R!Mou5PWP!k!(0S5KH)pjN7+O4Pi_@$ys8Ya4@_2CZ8Ti?b{i?(qN_&r zcC7BC)#CftK)-_pR6@6RZ2CbOQ;$#cbRmCu>hsq-LLkxFa9``PG33&ZI0#As6bG~e zE9Yg!^IyP4xU*S>7+=#{81Eg(o$FttFX^@4AL0sAfEiR*3>dmO9LGyqUtFzNRf)QV zWjs1uizfum70~yDSQYGCauB=(J=;2Me|2c+1Gpsw!?1ss+b?|`I{#13U(5fIb4Gb% z=~TU|n0M;Ve|Mfz*t1*f<&H0eXbhL%wdsmRp6Fiw1#H`cgk<6d7bP(~laMnM3u1DZ zVbR_YZq8#?AK$(rC|PN-hGgJ$+5=qDv*r7SUy!qqEb>1`Wq6-y;R>050rQ{yytE5< zx`B{!?g@7N{f$aFZr`&)_Dg^G@A0Ee%ib{;o@8Pgeh>Wr@y~yK?Y4yt)@5r!GEt_5Ybpjos!@1vbXLNdGp1mjF1~qeYBt%L7$`^)}+$B z1euFqL$Tao{B-Zis$-infhz#w0Doe5F`(Ej0!`v|Cjmnsox6z@V@94KdiCs<_@8*x z|6$$FJ^g=i;<35}PyD3JnR8w`@$tH_f*Oa7Qt) z@GXNGN|f1qL4m1St{^ihsPUqxbNniT?gp z7h?(n|02jHp9g9^@#5HDdTm;ln0{z>yo_MQuUFelyC-xQ=b}i5eAfY+euAh8TIi8i zCP)IaU|_*vQ?~W0;8P|Q(x7w8{;&uBYb^>c!J(~tDRSA|>sT1_Xs%!Cu6vQ}udpyd zOl9m3YMRm^x{U&Z2u4$Gho%^hg?#>OAL(9?LJY2Ygym9%y9dAJ`_pcah#pE~J~ocN zCNZooTy$n;6f7Dem0Lw@FG$Nk5Ss=q$D^F>%V79m;jTkrllcF9mj8U6z#cCB z3w!wa816NbX*KO0+m*6Vgscezqmt0xeYe9LBwCaKePAD7Z`__-gb69|G8jV! zXi@NqcsZNt>U0Ii2*S6jtnx*iHH^pm&RGKxU6+ zSH!q-F^n$O?Q{QsJ?4MDAg60&A89Tq;{-7ETnS)IX72D=7YNP~&uUvw| za4g$vD=1cwS>>RE8e182>=`VBdf;+TaP@MkkXRGTOYHh}uY+IWk_jRuaf<*q7-z@O zV^&la^y~89rD|0`C3bBi7u%UwkSC(BBdM+&-w_9qdh|LzP+uw+jXPE|1j}Y%y>U0D z1_E!!wwr|gr82Aq9vm&d104h*AqJC7r##ui4~$<;dD#a$tYmI+5xu>fM*#b~35gnz zW?$Z&p=D40Q4WCS4?d6pj4M4uAQE?kNJJrf=Y+5sha=VzM|Qt(H*nIr#^{IVDW z6S!*Y81KDso6n^SzT`Mw{z)gHjB*u(ZVg_DRS=vJbn56J80aJ-iV<mvehNpd16fdht~fzaJuqvuNlk%RS2c?fS;R5+m{@i}?WNQ+zvI3IXhmgua_ zv~xIO4!7*Lwa5)2|L> zy=paBU(ckH-|YHpsY_*%w0KBDV3BvWgHodxO|3+(!)m*G+X*(e7@e7D_XwAMvu@iHy!v$8#JVCwmidnd&5w6 z%=wQ+u|0opSQyzCEYGcLqGYwcBx3&F&!{y23m+A1(Mo|g3&CTjQc{Bb3DSNhB8%eB!sOqjm$F>$Rrxsm_6@^{~)Jb{- zS>4Q!RRgnUzF>(qu|B*z2rc15@~ix7GlaeSQ2ciW8a(nK=)tCtO58pXlB$%*(hk3$ zhbt!sOE^GoB&=G4jGyR%S_Ke@XbE7v`iMd)mDLWTL#dmZspHih=fR9oN1ZUGu3%+4 zWat@zTOE{JOE_ACcinpc_ne)^XKuM(C+d4yy>wG+~BAvHHf0|I6og|Y%o-VyA3jBi#@6CCo#zgi>X zzW?%}JMmh!;PZUKF9LsOeSYR7;hNq(wyXax2yCbzo9w)Xp*YZQkqm>PTqoehq763S6CaYSlm1 z4@?Xko^(d(>)%`r%7JR|96`d;&!xZg>t86a9K6QMR+q3l_`A#M+xyQ8~5_jH0 zDg=Z`=U{bgkoWsfK>H|OWq_WuY0%g)t5XRLts+;tO*fUtF+zNQ`z={cgP;_7I1$Va zJv^iirQ6Z6$fy+u3Pkcvo$r7~>o%OGSD}Nj3-ip?wds4spQ!JtM!G$fo;Mxe`at-; zAP^R6_PtSR*Auz z58?|xMCtK`Gvr!GB| z&)V3OoNVLw>l2J#R>_)5rpht*))xNSt$Rq&m@+zVF9d;7SstS`=tfr$z3ZbF2O>7} zZZF!5s0a2g3>ECGpaBNkPYG?py}gX=D_8S%KPG|>;zRJZ>S^X@`c0;yEoamqAhN8l~Z4d<0fS}*?_Pzgg z&58Y|0b4LuUGQB0kd1UVM7Eyh9yDtAnUdbk7nb8Grfwxnstac?qTgCoEV1HS-cH8Y zTqsRhr{%j~b$q)^vR!`zdgdV7^>3H&x%ho`F)Vhn(Q}O!PVRCcGBKh=^WtgDctNWwm+&wu9()r%5Tq~$4}{Fs)X{U(Nj0!%zns z@Cw_`j}r_a46OY&LKjWUJs;VRtUZT41yHIV!m|DPSI&4?c+i%dP+9u_n@XNY#^rz@P+JNKEO0nSCe}+hC+<1~)nkLdbx_tpUN_e02L` zP*S+{D_Ja`5A8~?=lm!ys<+-;qy|Ylf-39f2U)Md(Oqf(U&Q3OIf|Zfw+kfXl4~QH{;xG#P zEIJMb+Gzx#3^cOm*Ph$q*r^7ew!fO0?({Jn)WyBG22ICn)lYRb5>)0!hb3Kv(A!Q; z>ID5=0)4Jr8EcWoJeqzc1a*y(lHQm{EoxFXT}>m*E^Fz~A=2Rfizg0ClnaxuGAYl) z%SOpV`l#Pb2x6k=HR9(mD04bOGDdDgSOnpXHj~aM!Pw|e@gv9W74K< z7_0z4K3KZTj{gz5g(wVLMAC?kTw3m4Eo)1gSZ~424jpl?Om<$(nCj}*Sz>g&t?@=W zkN0Eki?)5HAB&ooA6tO4EZpf%TWMLAAF`&ty>2p#r=9C?w$AanDu5>Ol4H%V=s?SJSS_=^p23=GQ!<(;)S|GkH&nRDUK{GpjZoOQ5d*i?>S> z-!Jsvr;NnGVOyy6%X&0%?hA?s?3;1CF7@a{8RK#p@h9}&2-MCiL>VFR;PJ}l|fFlo}(X6n}z;U};Q zC=cKJG<%4tIIckJ_`S-J^vdd>_%EV|n08Y(u5jJ)7e7c%En$)b^)%)kA}rw%;-5Fw z4(sGId2fA}xE1$*+7lt@#XpGx!Md}YDUJE?IKnL9<`4=9?Jb+0(uJlRf^>zsXn<`A zk$L$YcfawpDe%{>x7gd%w_O>B;ocdW5>=S;W#w=^$^iE znELBrL=fR*b@D*_EmLVGjh2=jdjLn~f@aABj!sWrHr=B_Xptw89`;C!(fhsU-Xrkn z6QsRa$kYkJYJY!xhU{97d`g^uytp{Wk>pSp`sk>W(&Xz(mu|6>qN1cFhHJS6$3(Gm zL>yUuQgpfhOE^H~?-2Jmhw5sjM+p=oW;lyx;CtoyrM9G%wkW;tt`MJClag=m+1~2k^WrF;$oh2r6>}8x>PkFYVC<2nYtz!Rg)GqkJ^Cf>DS)j8sCDU zAR~EYzkQxnvWeSDR>v4mx_TE=qrf>8Awv_{v6iJ)U+q_yUdJC^cL$()QP)~d<8S8p zWj@y@A=prYK^)?Oa8lS{C!wuGc85Cb+H^9^CJ0A&l$2)gqoAk=eezk?oK$ zZ@iBmtCrI8qv`pfEm5dftX$tMcak7eyB|=jhiu$wA4%fuwE>5}S1K$D*_}GQD|MK* znMtqs;ton*HE3;HB+Uu}EPFl-^FSw!qi>UWU*tJoGun4PoP zYb9l_5p6v6rumRxC*mxN7)bPEJUUHG>GFLJNv*=F)xi{pw5VlF-1HP_Zz^puwjkVe zh<1C*I=g~|XEf&sY#pRy%8=UI`@J#(|Bj^u56hYx0>0i`{)bt86q^oQF+Gu&xV`t3 zHrw+(ML~}@Ra79F`b8E_{Lvx|3}6zPj;+l zHtV=ij(pDMIa=_G?I`8;^hBo4g}|ATrK&INscwA>Z&xR~B-MhaCHF~xZ(w@L-(&8Z zObxNmQsQ~{ykj6E~W*v&?dfPw@YJ$b{~+WoE9mXg0~s`JIK${(9{wdv}M7bdUw+{vK9sE9ba1}*gqS9dLFsXt)u$FVRBNnmn! z-Lo!0x+^=cK-G44S9*VQECi;rK-;2ao;P{E^WUjF*fcxcL<}%GEZHTTyUP)I?vCK| zGUeTM!2E15IW{@SeiEO@59hO(KzlwPu$H*D(-YpmvR|1);#;P@f(47ZG#+%3Bnq$hPg;H6)ply7-rEXhejLjaB|dLv zl1g^RcCGtJc(CHf#kM@Sw~a9TfP&ej&zUH=qRZR9_VDShbUgbiz1=OxqEFm@k>YUE zlC?SQEneG7ca`I}4RW~c zEUh{{2CbklMKg{(xra9g(+CSz@aSjYYN(JL+cfowhcFHVLkAnc6~v=bpwf5ufAuN8 z2uKY&h+_x+%p{Al8i2Myd7xD-B3`UkbuFJOJ1_Ce1fgez!lR&Cbf=r>ea6WV)V&+_ z2yhc)jfvy8sDNHoS$fr_9q?`f)0SPIlRX=zNsg0sO@L#b$QOlA$7)WqSprVl0?mfc z%_m98FXRrvN?@>Ej>c2Z@@S2(Nz(y?u}#NwcOB}!VJlX?oGX#DHE$)`#!vtEr&^4T z*dvJ}{PWZFpD~A=#uW&KOX5kLKRorHD?5mkkkI+K9H0u1i;Dw1&N$pg$PUp1_o?68 zR!$E|J`{8Bk?RzU!OVxyx_b=E$1i)e2h+}OrN|=~5w0>mOD{q`#5mbTn4?1f5^7nk zOaKPuV}w8!;p^y0dAUzjgNl#T2yJp3v?DQhG!C~A7OTMRV7#FyEjumz_d%dq!pyY= zkDd#jW@c={KV73hPNtffic3k|ZRTxvJVg4^N)7#$xroa@kzXza>cnpLw+eI_SC+H9& zz;*sgj!4nqjnNJcJe2}{^=9)?H@g9B?&EYUYNsGZH#(COxRtUfw(stdnQ0GRJ$@&L8`);ZsR54zuSLw?!?c-q46^eUHRxdt0m1Nmhgt#E%xVrS_ zCb0}|{ZEZl0~8Wop9-l)O6Hl&eFur6yal7}XVmn+tUM{OYL=bmkq=Ni19Y>hGUetp z*c2!3op|>8{hwec!AyjWN2GJh>G!dPl7+#^hix?b>zm_uP0=4BisIrV6Spyx%e|1` z^}ws@OdVA4yAnCiAk5k$+h^8kS{2|fBHV^n8iU?Q&)bV2I}xU7C{_-c-6L%L0BMV3 z@8O+;nniRCJZjVN>9**1w{4vq0XmTIq$@@=z(>&rWJW*jrd0#@8$H;ks{o`Hq(vL( zUvQL__=JA<%1ZpM$W3Teoari9Z_m!oG|es#$hwJ(c%9GOh?bOx2xkY0zlAmtJ``T}WFqP;=Bz5!*?NK&Qiml=b(%W@!tnjU{&>ZU1JQ>1+X0rEz1)Jdq)xOa7 zzPj`T#Bk5b6y^Xd0Q732P;e{QOK`t1Z!K765QX;fE;w$>JUFJ1lNx}O7RL@}y~m63 zDHRLuAf%pX64^laE$Nj``FE-M>lpZS!3M{+F3SLFKn1v}T6-1Sj`pVNr(~Y*l!=vj zOcceT0t1@LK<1xy#S$GqTlTXuvcQPZOOC_nq-SEXyca#Fnc}!X&r8>TFY%6KCewoV znptk*nEtq()%F)nOBOYS%#1g`9g->5UAl#CQ34a&Kju1oAAw=IoW-uLb|2ZOM)8lS z1wK#6sAnHCM%zxuty(w*VQ!iN28}RL_~Zstmu<8-^6MY}@Kt%nQvg*tB!u2nD(rH4 zqv}oY?TEQLF^2&1;vvKpQ}O`cnzd0yUnmmss&V2H7mCsmoy(8~+JT+WI3l2&D|~a) zzH^ww$7bLP1nMq2BOp-5Pbe9A!@HiZ5>89mml}0qq)8_4>^VEwQMSd@WQ?fwesu7^uZEX~91{n;&CHp0W%6m0sigeIU`op)B9ufaY?a+@3EL`E5Ub z;lDnPWEtE#9KkKF4uSKjo8MS{nvtr>?E#E2i90P)TWNR`tWomqdLSb{SNW)@H-Q zIxc73ZnekyXOf~_tYKtb5XPqX$S}1&*FH-=U#EC&|Eu7NzY50-TSMEw*4_|x+;r!7 ziF$w4Qa9$?TLt*WnoTu@|2@@g;j`(d(1PF9pJ$6-G9eRh8tI8XedfpVpEnoj*WAD# zUkQ;y@nbVHbusoCKxCgiXV>TT%V>QC(_y5$_H5x%Y;`57#>kJ5L1Yg+gAdLHcjZEz zy8yDR+lp?e1V>As1}6k#8(-hp<`zAaKgeVF{w=Wa_NYMR11`wqh^9LFqRCxnGcqwj zue zuy``uYIk;Vq^@{$ilU-Ltl8;e^(%YHd`Qr9%6z#vB%C85vi0t`Thw`FH z*?-SMoBJWbdQ$Nw?@e4we@*21%#`SpBGCsfbh9(4yF?QqvU=Nu>Eq5G(jK=XkT`hl?dYp0Jt zXRAGby{^!Sj`=g}m#^68`x5STKakoO;9r+FEufb(geOZipTaUKzT%*p5&En$IwP>ci--kj%1M{<%*EYq`sqXI$b=!dNI}d-ao(m;b&s z(sj7)jMcWk&7Kx678&PDO_xP;zOsTSSm2A(Fl9pJKzw8V;k$xH^eoIl321kL-b!;b zjAT`-x@&>@k>KJ&RXTCavXwkfJQXT_E{nqBO#-p$sK!w(;GuEgIHxF_Z2<& z{a;Uq;Q=`vzfEPJJ{Cb-;05DP#eQGFuj$SJTh28B$t2NfoPePkHeCE2;iftz_A z6*LsH)+hVmM~Ww)4Amj>=!W4$Hq8vvXB&&3r;jYDExj;6Xn`1vQrO>g@Qi8MrKY2P zK$#6;uHon8VhrUX=rJk;1h&YK5`@xCOiBL&wL!|ZBQMk_DH38tV#7A^@AL3 zng*<*JzkahuFaT6Rr(saDiFNJE!%^Rw!IEY2e3de%h1;yRy`}bWM!i(TZ56Apd2ASe;`@l2*>j^PwDS^=j>V6pC4S26Scod35!R7uf9f5sU7=) z+#p5EuvAx!v(@6H^&%5S(Bsl5YTh-?U_V@aBMr3Rcx7;I0 z0~4L*T4wo3v$|@NJ7DtlCiGC~XRTFq`}^O#P{f)){}!ShiOyFZBb+PG=fo=~7a9g$ zHh7GvM zz(+&dfQfhtxAveG`A8_4(e6+xSeylbz@Tld#!)Mm>&P+IA{kIagWgU zLc5OW`u1*!IcVNige((19H??Z7>G-;-R;9JxV6g2K{4iHv zkW!~Et}({02GosTqk6p3kGw#Wp|CJFBs;*?+UozsiNd z_FFK2PA$Gg!C>RIKPEnuOBa==f4p?J(hbMQbBvRNSFsvs5nwaAT4ul(jqq}okCBr; zXUWo8*ZXWZJYh%3h5N8$M%rjrBc?#u6KwnPxi=MqJW+LK7_`cIf1+{b%Lgh4Sb7@7 zP$W|CLGXF-+EmOv(!O3{V>KrN*+GY?3nmlUB&{$<-Y{S@e8rL7UR7kcv!Wv=_L1iW z3ErRi+izD~ftVmwX|skXtJ30IZX1y$y86Q6lM&=uGrjP4W`bGi1 zDXSxQRxe0T|NRbbEW_~4fK567K6iiQ8@|VI!bJE=Mw+dqB?f_^^mkeR0F{3)(0OEx z4)3NS2+!M8{VE%Dg2L5O9JbB?niD%v1bpoc#+0@*ygR>olt$Dd4!y=NJg*2`7U_g} zV)zEO%eiK%dhR80>RBV;8|dsp3APOuJdfE#>g7nrE-hLdSBNyYdh$h#*3XgAzgc6H zf=}H@T$Zm((^;!b`jv1uo1D!hN@p*+VjZCR@j5nd5<~nAs(tpxpdOZk+H(f?Y^lG2YARCSVQMx?|gb>F>HVt~Ap{-M`O;Q|_sELENuxFTxM@JPJZ|lq3p0%s!KoHiD`}vQCpt^esP`<(huot4Ftzc!3Ab7OpTM1|?^*qXfbRu|8SIO5nlpT8l_` zfRzT--F1EYdpGine8`C&1ZO;!gD1XNl*g|&K7o9VQREVUAz>1%7wcg4RT3x&f}Le& zSDu2sa1f*&C(o@LHls!B`~@bavlWcLH4{)HHrZ9Le`fOI@vdd7@`9;8{TaCUmZ=JA zk!;xZV!;U!- zGQ+IR($#R@0XhHl$m1Sex@>cZs?Qa+3lq>1@|Iv{t79}Z+!X8SC6)68D@=;ecJ|$I za(g{qNGj;#G14=8qEllB%jYpZvFlNV4G z3Ah_t#Wc2B(SNSx1uMP(Au&TDdrd{njmCqrCVOR*ZAXp!ws{Q?ovC0L5`Ov-b)n+* z4>_0-DZHfvN9tSuGYXR!|LwO^xm>+7y`9gZBE4l|BbXwVz0o0u95u?EH83(2_fkLn z?pDsC`i(~LB${ATYf^C)7(@>?300ufhnM41uAC3rjFK%nP8g7YQm}0LcpZ*pvZ>J( z)prcHQqHSkUcYKj&X?LQM?PS3#retP!;rF7vv-&4T>f`SGC$`O+($xcDi$uL`KKk| zbZ41QF8$tOrPa5>ME~Ptmqu8@!WJX0^tPkQZ zuv2~BuFjTk>S{fHpazGXW{yoN*9<^b0N9N{6k`Pn2z7O5M>Wy7bnl7R0pOCPzxDmK zGSvGZE`ORv;sWMMgJ3jFL?=O>ExUs*s_R+r+O$dHna*!HWlsWu26TOyuSW5 z=h~EpR0G8v*7}zXiD$)-@6G@ee!_m`7APH!;bN;}ok*5z!0aQ|SMpDH`~9sqQB=k$ z@5Nkt8_GCa*9l&kGPbm&n1+>cNg;LmRWvM8%`jC; z3t$XvxmTF%@Z8G5Uy- zIAmE6AZL1eP;#lRTbOthWoy%tWtO8S`9tYv4y-HvHMMx&B1auF)ZpV#{m|_BgfGj) zN6tBLZcKCKqi&bi%v;+XGBhMQItiD3S zpa!HcqU)IlE$XrdCRufg(K6bAd9Xh+vdUT6GcUkTt8s$TfEX@8sjBiC87r4%ms~98 zs-PRemy4Kh<9Q;O=Aa8XF?|u%>e*KadrD8K(dw5G%*O0q<^fnj!!zM@FKDOGkY(gu z0qbC(`U)QjUNx9EssD3l#|r}L9yUE~U}K{&(ERL5e6ch4Xm@RRN4AP>5SKw@#~T$t zMq`^$l34EvbA50v8NK_V!|C+MZY*rPVV_~A`vGyR6UnpnGIXP6z&^?urmFcIblyZ$ z?~7SB(ThN9-As6O&Z_r~wzQQyJ>Hl+T{adoIMi8DZ3n)WR@L^DOr6&o$&zeGyTaZz zVy?G)*rnBdcL%Z)$4tjRwGGyuX32JWJImcaGW?$>vC}!yLT~z5&GF6$!uvkHUH2n~ z$=nxop3Pum+U+AQlf{>T|fo{JkfsUoHi8RSM4*}B?HZf7b1C@2-4l>*AY)NrHR22s% zG(dkN__~}?4W9=0LH=O6{D%D37ar|P((XE=pp=%{1}V>%q=U92aq@i)YA9L09So@A z_ZfYt{Ga(%2gxFf<4A;hfI>0{w#6<%(%l69$JzJ+LW-p?+(eki;fRSF!Lg)|0~GN( z-li{db5tBv_AoS6)oX6$3_Gai2DHHBSPe}zv>%4NLN*K2+3==SHDuL-tB(XzWf(~` zF=>w`-nhz^2a{KcCaTSsE0qPnfCD_XSgmD|dKy@GnQS?=eN%J^2vS6i1+uG3Lu&L( zb6fUkA%?VH8#e3Y?ofK;m9ncwO%_+Y3nfEdd{N`h+TZ5IHVRyeUrj$`fCO-^$e zmXA3ZdGm?P4)1_Jz1e6Xgc`&)UK)Fq3%LyVJOc2-Whrv=> z@gO{Fx%PjD<3r>i7C!`wy)oc(7$Qs>zx_mtwZCk5eGeiATBK$AQW4@e&V|&)h`qv_ zt=T9W1jG;RqYvQMe`;v2{H!X+ubl}izDBnVxQaAl}ApoNWWVk7BJT<%TW zTN zVRtXT-<*g935@S>u1M-qbl6VI7h3Io!|rt|z|&RHG%d9YE`Bh)dJ%KpgrGaYz&a=E zNIRZ0ngV72Yuhp$CI{JqPdQ0z-~{1)0YHBWMhkIn$zV__Htmy-k`ij7Q_i8WHQC=D?>60cf2!`OWLG-p|Fv-9JW~B1brZQLEAsGLmssy-IglE^{t8@M z;Wa@->#aXvt&L{Xtz+GfmZirH^$1;A!n(D#VE|OkhD;0HZ*bf)zqPk}Ry7a}6tr#4 zafI%uY*amTaZqdY$(U_i@spHSL%(*NOv5N6XJ|T|eGRVBno~ zt$F39{?SF#@{||guKg@ zD}6tYG4xhAk#d$!kF~}k=X-F>k2;I|`Ej(L~IE_EWEmn}?QbLmn;5hjv% z%g1`&Z0Oi@wduA=u#^Db{n@&wTZgNdL+NvQ=_Qsds4o5m8aTO=m5bHi^!{^f5Z#I8 zP;j0#_AGI#EmIw@@rV%-Cuq~? zDBrj$#xJ!Wz!zI^eav}oLIHw5_Qbj~{#jXC1H(VtW(yX8(=C>_NWksc1K*bVW#kyv zaxw|cB4HCMPhUG0oBhdo$+B3DCaO8QPiM&yUhE}luS#zE+7gl2{Ew+XB?xN`fs7u+tqb$?}$zuRG zh$yYgaujQNUftZ$08Rza6O@VZSwbMG*9V!g@35ipJ;#irGxbQdiQllQSuCe((5s|! z^4rm4-FUJp%liO4BVCCm7@YM<(6Vhs%0y< z2sZhI6<1PkqXvTPI4lJ~%II!bd&XNfh&LF&yb#i4+Cf`30)@ck%^~#9sNiEY2+tvy3(Has zJy=e%hpN9Ncy9;~?Uvn5_9_Uy1{oz`vqsIm?f=){OHO)bBq46=es1-jFXH>lswaU$ zQ+_B-gDv9=>(2xW!?uTdxya{{SXEuaSV$ufgw zP!4uCWTo?VU48hNm-&N|<|pXr^dV!*G;cqal9(lgLiP|m>ap+t22Y@OqFEbe(Gyh4-| zI6x(__T5v}GUp;I29o3v8y6xjE21vbOE>Pwvf$yj$6k zF6cy3&GYf}ivnB4miRpe9vKWz&}C0h%Oo%>&*asd;7Jq5_U0UswYw9xE2mc0q$2ga zJzQ_5OIo{R16$D}mUsJ-hWob4-J~%Vy8ZOge&VnUsN|u&T7#Ut;$w1t82PBl6_!4y z#=7A2!n@(;C~_yd-Kiy4*_n!3?D<*P{$soT_+JJWiij-Jmdla7Gx52yH-&5RQ|217 zPWyI0ZL*A>BoR00;E9jtvDD0ItTJ=RVXpj+iTS9I)rU@^tz!a@avXJcUx4g)8c%v6 z@r=H@ZLzw)1GQCuv(R40;Ar5Bfv+i-BhnIX9e{Gb7|%l7h|>7Edia*;F2&;Bjp{r0 zWV4}}I*g*W^A&4n{XJr|o|yox25T#qXEQ%IA+-wqn0@cQpr$VB|V>2lwpoNO*lq) znpoR@=Wj;KU~=i+S%+=%A!le^7GQ^@G|i5ymuMa3^3&^?icB4j3|#K#GA7iF;*5}A z5;XR*7d1c#{mw*_pR&(?&?k?IGd97e-Xw8Evs%cejg;cB(%~ zDNna(FJ2p~2dE=aMTO<#T>*QQN=M|Vy;lhW3bQ(tCnJ1G=PbKVa>ft|yxud$BXn}bV1d>J-bHe|A*QvKo44)Iye zFvM9ZT~piDLX!7MZ1N7`lAkbjZTM@VhSekOWc*6I3mw-wyk5AKT>t7`uoN!KG=M;Vl-p;ak_&Kw5WaoAIM$p((GAw^Q>EM_r?b| zrKC09GF@3{?tQmONuQJUdk~nRHFfO$V(k%OGC6sEx~coV1WWZv8Dg>}dWph^$gLB2 zaQGL8!9XfIOU9{EzweRsog{~%u16k`AZONr^qML5xhA0rY8EW69W+nlNwuaGs`>LF z+IRr)UBTKQ89zh}a`c;!?gi&OHQKBd&F^Z~zrS7^%nmY>2!j>{DP44}+_O!L^zB01 zl=NXsy7!coOyI}KaaM5~o_`yaz60_k9NP*F}Sz&U{S%f`MKTPH`bush~K z$BwrCDEQ}5nVmed6RD0qi#rwDkuUpN_;6Q0LVwL3uJ05~igzwKY>DMj2RH1S)pffkBGL%)paL3*?ApjvIS^TC|+Fs ztnO3pzs7A`b=^}4JqaHYgu&eY=gZCZ^SZhFT|x$F;eWNN`Px5Hv}V;sGo$xRPD)BJ z->!aRqM~Xdv735{(06du=`rb0e9GmDs>4k*U-*(9Pn56!_xt~mu{$}FmVX#+&@;+e z7Hr-1Bgio9BG-~wepSnBE|@RaL`0l%pakJ=wJzi311#gx&uWEuyGq%7pu5RI9xGc; zjFpI}*Eg9&mXLp}Num4^U)_gJnMjEZCQa@J+2P8G&CkRXG}7+-5OsTnM0@k1dSY}W zunI}rwdhZGH(tAU_xwYK%deapAD~!K_}KjHwf2LoSV2QKZNwpJAnKuD@ zANu8@sx1W7V*Bxlv`^e;3dnP!MQVQh z|6}dF<9govH*h*4QD~r&NSX?1N~A$bDNRkQv`c$wASp6Z+EaVd9$F-d(oTC&8u}zn zA;0VG9OpRq;oSH4_xt^EKkjpsPM_EN^?r`)x}Mkb#XE$3(`gNt#t5=KFXK2J?<8ZE zb<<}FuJiHhb~GJSN}9&l0+1``&-3RW$Eq%%farqQe7jO=h#EswEy_$RBa?VaOdOyv zkUTI98%(VK6+c(EiT4~9YAzMy=F&Vri=vULKqC+lA3Z~6)}>x(h;<6BPt4+-yFu!TTilroieJ?-NX}(*S z!jFGts#)@F+vn6I!+(e@|8IxwNw;+uT9N_q#&K6y?)k22yh^02-IZrK|JI!T@S{CX zLW#Db=}7!1y0A|u=JPQ=(YHYCtE!v`EkTVaI2cR^2;#Kg9Z?%h70nxhCWb@ru*DQ; zfp9{Qb*2Wkv3mIy5$&pk`w*#iKIl@^MYf2S#9YMW9f5263e_10`LPGX2}xgD$xtPz z7r4^n5+j8<&Q-wMiO41>OE{IZWtjUVM31+7>Ve}?k(dHqWB1ab$abPf_ed9s^xN{) z!~|_PBe}Ud7yv*4qfl5u%SX&NgRv%NIB}0r{oHLb1VsgFl0>^=t2M&`v{Y@a)mUoh zo4-wvBJQ*&hz`-Y9%yE{=y%?U{~vVGzn72yl(0~2Su6cbK71ak>syBJ{%A%#Rk;v% zQud|qp#1flqEEr;n-31zw`t}Iov9nj|7^oToWbR+^z^%1Ei8H-wwr_;aCb{(Z%`n& z;#tuyAm;eK4~Vy+P&YZx*L;M2m?WJSzUnSI&n4UV(Xr{}9RUxqZ>NnC_rR$~m*x2i z+4>3{;aEs7%WS2wi6{}rH^qZgJH^Zglo;YX81$qz$y@su*+}j@9xxJL^}&LyejvQ3o_e5#`~*0n>nI6v^mT4 z#u|%XqdhrtVbxig{}R!EeXhh=vvEhiH&68AV(ai){>KlxWrC(qQ83Zp>sNo(ZG#9j zT%ed>3;$c$v4D?G%K|Qk4HInP%=D)+UxJ;)=LWWt&&YY>#K96$4PIsf<<_M&aKa33 zD@9MUWvZt`_R$c2$P2C7v=4UHWk6S@8I*te8hz$F(#G?m%I<6^ORg2j}-9#_FqwDRkBZS<&}MK=$El; znEYAajh=h`y>#wch~ECg?S9D?W!)lTv$?HnUWSZ+{M?No~P7>N%nQz0q^ z_G6Ln0W4tjQQG@8xAq2RM6I_FM_N?!cQkLW}_)w`t(SW zH9iXY&eDLCOd%pdg5img^fGBp`v|nCz=c=u;d~X7WpjjrJdnh8P;fln81R)rz%)$Q zvDWVv$FWEZAGV}xYw(UWC*QkvFbsR;u3s!vkar;5?@;lzIstPxwG99ws8u}!mPo^_ zs5qoXZkK~$#@A8JR=sm7POZ)_JeuC&tzP-+P#}Ki(xxE-bMAPEyr<|Vkf!Hb)LJR6 ziWx+F&quVqjb`8?CxHQnU8@+SsYzgMhTTC%%ycjIqdq^OzV{RE;*q4{#vX(q7qkpx zi}wz5ak}X023Q=xU`hQsngQ~)qz7!7^doF0TUm*{gMim;h3sl&k14-f$;&;xs+<8# ztp(@txT`hJt2jr+V@@R>{vo&hI`aPNM*aCWw#-197_m^t#q%e+^dBXWE3d@z{LEv= zZ13>s7ELodbj6&k2k*&=Pt|%7xh&fx2YtOvY9mvKxgdpU6Vuyq@zb2YadW+5_DXyH z>M}(b2@yrWfS!jFbw3D-_qyPBY zDX`(bLF|J!O^rv9A>B`>B2=~LVT{P2?T)O5L=v> zi{JxPK_&QLsLamiYs}A}SC6QcFE4o>uswk(v%|=0`;{=~)ab{eufs*oKWdMU7ZoI} zpc$T>hXcc^6OXy4yH>}N;Lh+o`{n-BUBf7G!xrjSA#ghaWSF^O6NWv!{g42tZO&gl zM?FK(+W-`>uTXiupzwXO8Du9=6oY5FaFwAeMrTR?X89wN3zf~j>KQu5wL0E+BK^B+ zJL?4~=E$t}a@+PeZ5s<9Xy)sOmi~DK{y$wLPt~17{y*?!$FHio_tzvafft^9t71Gz z#sBP-QA-M8)|l2Gcq4^EHTAe?o;uMcCB`*3lwT;p-Mu5rJ$fq9pq(7 ziwM2$EXEg#O!uN6>A7wrI<0a`nzj@30D%u6ZfS+sVc(Fqd{1DNdB|>uRr{l3ec=&s zI1xCa4(-G9gGk5;Hr#O1I6Iy@de6xc5lr=tsN?%+QE7<#!mUve1oEY;8kn!g$mi~MgcM8Y zU8mX;J8x&*N6*L6q+H!lqrcy7G(hDZA0t5`s6X8#YAq5-(AuoQz%TbgYI)8Q0y;Xw zZomuUz^|hY zfpTiE;C8uyUE>H?iyQPDD~=SGLc$jy%eNvu2XoSjVbJZ4#&(psmyOVzt|*MP&9D;{ zhxw$O;fByAjfqO&AH4ddUE%@U2xbr9b*RSe*%49=Jk`|MxF2vR)$U8345Bfswp={( z1uoPf;x2i6%<$ICytsm1m;0;^*QjE=e^Zpx5JRHbA`^-HEV2QG?d1P82>ow2 z@OTMI{NzQtY>6L#pzQ8HX90af1ZZWx|Ex#rl%_cty81oh-{s-8+KJeidj+7)3BjHv z#HheL{4mtBp9z&naZTl8=H|Aaq1P;^c6;O?!z4l+vNGJxgigp@(o!KzWP{{B@*@JN zn7=G%ECkswM16AH*Ln|w2IEVEL&j9HyE*aSdU$tJhx#L|)xxP=P02>k?1#3A!Q9qJzx) zT{XjeAE;aBTxl7cMqWz2mtZ0p+tO|O5#|V(AA4gqI+R>64n2n{xh95VR;|gtf|jSq_B`d%ucp{`Voor&?w8+@$hDD@PF$tdPDDNWiEuh^sk?~&EGs8~z5 zKVT9Dm0gI}a8b)jeih$$WL8CCODtrHiVvi}==(2}{eR@QU;nFYAPCRInx>lAAHQdd z3?(Ox>C-1c)m3u0pPy{}UiV8pBC8>>T@pJL*_`(li;fGCEz;Gv(ppoJajO=lzfPHZ zT|Du&Htd|>H8UHGf~X(1?8$H0^2`u<2+-5G#V+GUw(JqUR3yN=ptAT~?!>Ph;F66k z3^6;g#|`R#1<^dOvpUB6f*7=O`tGG8dm1YNQpZhRVU{LTRM(w}R;2{6!Dwt7uw?`nTY9lALJUyzy~!sw9FMA$`&i<4SU zv_tDg@g&rzdu)f55)C1oxuU^KZ;-KYona4Q#Ra->cZn?@Y6!!|^1wlJdoRuVd>#}x z;`49PQb(e0MfUD?4#FtP(~oDHUvs`7XPG4L+ffsK4RF0#M-EqIVB_llpYmh?-}?i% z*K=Li{etChKT)=UjI!5$$dZ!FS@h`U?_Z+elvwT{akp8E02ED)dkR`m*noe0VIpMx zMmClGVl5DyotpQ{M_&;NWcKM=ENloVS5FLT)Wrgx_^en*Ow{*nCeSrf?Hg`_-#cvq zGV}x%*OK z?us>=KSMYlUJK{CU}u#i)#OIJ=I_jaxwLW-NqCKptDt31SB?O=xNkXE)B*P%E-VgJ z&KFGV%073@@_GSl7=ACOJr|2lZk~?6Wnr+l*g=%5C1s?mFq>pe((S+N082&m8+%Iv z=p%RP@1LNEwK2>vb_L$sv;3gRG)E<1VUl@0Zt-)z;upznHv7XbGU(jyWq0Z5b^yj$ zcLY_Yo2F-T50Tf5Ki0Lo!;-eU&415hlvQC<7L*M-OBmIo@)R{6)*S4SwqX744e~R9 zxkt+&;aJhIAw>z=v zD6i4&8a)s8BGNiM#Vv89JS|oNIuPQtr;G$DaKwb>Yash`e%_Y2PSt|EJq403_e3Ef zd`Rqp>Ba<(;Vslqq799ZvF!@fO;V&pwYJHI(ro-SDsCM-jQn>5sKPu__o&4sFc9%^6L}*_-i5_-P$UZc0MOL zFaw1<`Xct-T{*kCzWORF4%4c{^q}q|CcqSSCPu$($IJ|7V05VA!B_&Lqj2XFwUOf5 z23`)@Yc}3LL}AbrQPKlA)kD|T<>5#|7-kjsjN9d}dG#tq^>nV&3EeQ-$ z$o>wq+$%mK22#G71e~JA`p{yehN?}R`y`WP{2bZUK21Bm(zFT?>B*+Xuv?&9k#BFj zClrmEg5^05?OwKbE;l8qegy{qgGc$ELw^1R$TXIaAk#SUD|Go5*|KMSic2}T)~oCw z=nyjUqyCv!RGX6>`p~1ENG`z0jl%>S3xXtF0&k3FtS;GSP(yde+SD1(pf+F|PXKf` zNE@rx@7UE%UvdF!FY1DKKW9r^_FC$Ieva^{ z?@6`1rO+foj3zL_837FxWo6W^Qx_SH$S_8BR+ZsGRnGFsLylpe4ZJ?^Xo$v)h|W~C zwqwllYNW({h~T`g5G~zOOMk`ZK=k&K8)%xwqblwN=HU2S0hrkMV?8LbQodlBufmf2 zi}&dl(&PqT1F3o>rllzeLf584AKDa54IWL(G#q}%KTd06+!A&}y+jCD?XYTSfQij< zTZUksiq3}Q2a0l%wc!g}Hb^Xcs)c=ck)knItrQ$VM7G*&>)PWpL}pdBdubP%;`29; z{_BY8|Be%Rs_y8!HtntY?s?;n1<3ab_uHS5QF5+SU002{S*uy@+B{Sm*uQbGyh=4e z#}n*mF^4 z(7zxEfg0Z2BA#?1lJ4YAXF#uZ?#T+ZJNg+ugd~slTcxH>x zm{>lXtb)4i=t5p=l7pXMGivzo&@Jt)CeiOrQ}1Peg!%ejFCg@x+IK&`Y7rAYnR%bP z_VxPdVXrOB3XOA6Szi*EB7LX{6RMIvGg{P0{ty#U*}@Hy!%Mr676#6s&XSx6BIYpB zXY0F8dVR=MSg?l$#Y3ALAIR!G5Sr|+2yXD0iZA9GA4o6MHPP4ffw&$w_;H;a)(O?e#aV0DyHnabyXL!PzXbAghDWN5xsRCMAT{w6$IJ^%^uNdw2h>WskbMS z&I934i;*OEQ220HY9D#Su@B%YPyyH;<_+8JO~rIqiSxcO?S-xTc@$<14y~cDp#V(d z5XvlS%@#1*BSdsB(3~P1#Oc;l3R4|jlHYil06kz2SNl#bDLTKCs8&l7TqOPQT54zL z6hc7_VesL?&LXB@Hqs$K#uHrQE2(JWpniQs`5})nSb7AL30M3l$KULj8*MR#w5v`D zy^CpmbOkASzNqnl+@!j}TJU zTf$h$8M4QqRpytu|8H;jFJJxdU-<9Tuk2E?vsQ^7cj&t50=-5zOH^dmOaU%WEIw{9 z7+(tII;FSZWVGUM@zy-?M8rNOV5c#@Q>WlP;MRRmCqBK&l3z7ZA0q{#Hm&9vp`&WV zb2=6(z!gJ+*h=bj)IkU>O3Ryi337q;Nd5ndABOLhbUU ztLVAkG_N>z9KcJms@x1btfw*DVr+vxC?TF3I3F!U1t-C!AoL}O6Yy-aGhaeK4Du36A57e zCM3h6m_lJSb%AV^Y-By4FPwAjCVgfmZ#-sFRAU_=XHr$-EDWG~oQ{Hn13T zw1qLvpkTFSQBQF!FC~)3sKO_Y+J{6{b(GVi)5lq3!=2ppI|IwCt_fesAG}OZ2ZATd zmvCGV12hBV^C8szX*29k$WQjvwRSh|6$tzHY)>(-9}VeL9j&?A`>$ppTmPpbf-O^G z;f?sd!@op@WnYuk^hNR;$N`Jny~Y5mZ4{`wq2~W*Bh< zRGNh?;FpaH%dP?+MCc8%KlTSssP+ z&yTW-o4&$py;qR^L7U0i8bd^#l1rQtAAL9?twuNSY6(F0*C)m0tldshWHOk>-nIRX zF5}~XP;59tkjK#@5%`E2_uPSi_ax~RYhINvv*K~ovh?#XhkUSga6sO8#^gTC1rtNa zB5-?5;w?>s#B#fgjmZi?WT*2f#?R;vDvkLWtA#3zjBJjt#SK#TY(SIjU~jCKpdbJN zDYr;B{bq6SEgn+i@RtK&SjX42|D?QS{Kb@#z?6pm)mJU%6`5>~mjVIsC{az0wtpKJ z{F!uFYkB6)KFuLA>>CV&o7AzCdh^!3M$;QSoW#O}3+yO_zKi?*8STHteOWFtXNlrS zvD6?+E`z!k|30e!J%;#W7YON%|J#CJ-ny?G=ry@Z>F_uW(+Te|b-(t90EaMl$ZNTL z4mA`6nMs$4C`|Rc84V$lLx2NJxySAEJQSf^gK%B7T*UugC?x=rkcrQ9er&FAiGmXI z4?9ugmEWjQt_8eRu3N3f(2B9xk z43UvtcoLUs-r6*)#Q^a|#cl8VeMVrO!Ux)=*3{k3S zlqedYcr2m1*oPEY~#EHAoz^x`athf#ro!Mobw7oF2}i(5NUJuMQR4|a!oTqeXP zxZdZjIZjJ@fH^kPo)a3nc7YCW5Ol+)jBed~xFmo&^DULg)5eewn*;uvN7(UO5{T!E zh4aU^k}HHI|GNvvA9adE76scP)6ChB^(+2FTEFL^@3&S~g~&FoP3byXrp6$gb4--)lm#g=*jdG^)Vp-6?oYuxH zciO_a*QbZkGSkR}j+$f()O`WKMVejV;ih}!({Gj}CV*Av4qp3}xZJu$&E1;vrPwP5 z-P^e8W>M?Pcg(=tRMf+O>5`X*KUywsTnW_?Ay#w!3Sm z*_L~&22y61qB0FHDbkug5|ec|nL}XM{tYSqs*SFrq5^0{gsV3_OctVDXAE8hH%Olx zySV#A=iZDSikd?#yH0w9NTu<4z@JOx4EM8Q$Se$9DSsI!{%>E1vjD*1;`A@hsqqw? zm$X#?a756qO!=CU#+bN2KJB8D7Fo~xG1SytjW9=js4kzKtg1fa45!8bc~kDkyqqiY zkiDRJd5+n}f(uf{nMYtQq~w!Ns-jd?>q{%HS~EzYcrc);6tmj;j{RN;{D(vp3%XxF zY<#0-Sg_-EuEwER)QA(6gFN{fJ|*j}-vPSVB!7w6ac!6lDFFCx^-b9WR|59~jLyV} z3bo7h<32x0&Ho}!E z#9U^20RbyEv46&N4xtQLL;*#1mB?yZ_#UNa{3JZQB4mDRis$Uq7nAN(>yn|n-&pk z!gmwZ9U&NsnY`3&8jeplGSDnf9w~u4o-$_a@y9fsA8^O3pl`R!BqmN543O z3HbzL&e;VFRhSUD7|chHtxo}nZQG?#gDZH$8|vlsJ|Acxr5+502KU&uo{Lf};agH- z&@2Mr1d00j{sDu=b>G9tU#-sn{!gBC+)8PhyT8=Q-qG0V#ph}K$6SfTPe+%&r$_`a z&yA~YRWP4XVp*NorDnPwv(?UE>goN=TZ)D0&wRnTaKE(0?psI^fFFZ_T0@@;t@HBtr&D`AU`Fr!vB(DhQ*^4<>d=c zH|QH?Qfgi8Al#F58RUp{tt=O&Z{L`C{3NLO8cj)joU4sPykrq7hkI|g505sQZP0No zWEzfj#_;*sv5f0!n@A6)MUw)YE^HaK;@Mf+^^_1LPS0ZG=Q?*=+KDO5i|k$6)p32E zY?p=%c5!q)jlKSJ)a-u?R}xxlH;UP(xoO1*rrcWJ`ke8P8myeRuXrQH+?!udCdCOZ z^?JZvqFNnU_b4#9JwsQF!&o3)neDZ6_k%)Z#d~P|2oqMpXGlNkj1z|7N|A-iVEYyy zpl4Gn*t5Kck^KJXg7UsG)KZTXdh_m@nLFQ%4@IIoG2?UPGcgZ?$qoBCxnYTwEybU% zXi|TnyX>Y^BDD&}9m&VHi{>LP0h53juoeSvGei)%Odqgm;UKN9%H z=N<71A9;pPY<+^_?8tQN@m)oN7oEJh*XzTKOD~&Y!203quyseb!i5HLI1&m~^>pFl zWQ`d7N|Y*ucSQGFTet*)NNLut?&gPN6S@O^un#?QHCe*NM+@BH6db7c1->A<&}A{~ zS^WA^tCdPgSiAcRqmbF~w49MKuCnlBKu%8rq;?v45?UPgweQzvIfFW)FU&YZGZKJZ_@NAL-K-dNFyUxOcojzB+q~i~pLfvjR>k!<^h`<(tr(@_ORH@<}$RCTfwcZ zB(Pam!w{@2jTZaN|8~oMaYN(Omwrhz{Pbi)S>}=S#9+JM<(X@xw-88aPUn|YyF_+b z7W=+JAW} z1nvxHoznbKknr21 z&=1wJ4%JF4v*dm8y9>Q1+lH(2Hc_idYzKrl5jl*4dlvu>xJo1+K^20hM4!VergGgQ z(h#!{s}<(ZZll(d@C^~B^^=UozQLLgtxF(fCP)>!Z4H8oWb3|u*_8P4{Kmh34$ruC zn3H1nOVvN5@a>mr+u6o2B~iYD3@S_P2WJWQ8CJJKDnfPJy<+M#CB^3`k5cwepid(F z&>N0byszKVC$*Fm|80)QGiO`*IfD+-wzPlFA<@vtn)W&*=FYI&_I#JNdFE_^v`9FIsxs#Cgd&bei z?NAa|4!0l-^SFpXZ()8na^uOf$#wPZ58=myzCQxqt>6#(l7Kzw6=yx2T?u#qxqBYUAx6YOeUP2{ZHTW z%iQH(AJC_$)|dr-n=s1Es1eA0Bar(l!0Gv^*541J^5~YDmlya8&e*eWq#!P&{z(LP z7#F23h=2V&1vNW``x57QNs0EQ0ET-3E@Qq6ZF-`p-Ee(BG)7ZT-ULh2tm=Z44|4`F zi`V~7^bcDDXK-U?;~{75*l*>r=x>u%qd_)us`{EB!@&d%y~ba4;8 zjB*DXc;)6jH~imF#yn+|R6TGv0_TP+Wq%nqyF6|yoy{Fmv{ZhmX#*FAcb4v-w67)b zSy{bOr_?t)B0NY&TSt|8)sH{UB-53nv>07?ldlOB@9>{~P zT)9$D@s(=4{@Igj{9f0H1%VPaWS`RKDGdr9mwgb2r?mE$o7Q2)rH)R^u%9>_-S#1y zbc}-$p03R;NvA#Gs@RJP;glz^KIIF=zLq)h>wdQPDY~1S*rZq)wO-02TyHI2ZwF7lO^cAvzQ+{g9V1LC+tYo-cIbzq#gluSKsUJ+V|7 zOUZ4C1+M<9U!HQTa-}}Ms6AvPO0KoQXU?Bb;NQ)mJ6q?p41428a*0lIvDVU!wzNX( z$#`W$kh-f(MgL=O?};x;7Z3R6nLIuasRY^xQ3l->kSCLd(sI#uk}+C65klvHaAc&GaFCyZ@v(T4Qk!0uT*e1JI#ygp~H@#Yl(+-z#ef4$9ST(O^Yon|)p&V-vo zK9@~Woo=jmXpT|0A7J=tYVRM#YW@ARLjKlM~9iGCwdRKQcJtO zm0M%Vf4r_R^k{TJMV5Ju%+bz6C!}8t@fl>ADIN;{#Ye-5M#qwuE_`C8FIipvzl=kWH=bZnLV4Z zL^Sx!4OGTQlOF9uECh=nB34)(7e^Me#9@Bu0t$m-yD{}fADv(PS|%s9xW>-nuWek} z+|nXAS8*%GVg%JF%l_FJgwLy08yX!412w4ky)TC*f!=WdkjsJjzDtrB;kmnk#6#|) z{vfLeLNHi^Xr-m9J+;g0FiFK6!XeX@YJJ4=+@#cl(ahwO?msG3TQgX)6I0Ua=xIz2vcqgGR|aqIUe_qPz_Il7M6 z=X-4LNZh>hBNo!c{m0akvKfT5a`h&WU9M(l7nD3P@a)94Tf>Hfa5}GLu5ojHba~|y zIcq4QpA@MI>b?auqh}DP7E9lK{wZ_+Z$9@j4#iWzch?+FQfs0(m>Dtj9Jy~5&a?0t zVP>*F*s0inu+nUAJOpANa4YB*J7eu+EQkSoW!VqUzF!{p_`>1e+VIuo!wyzClMxU!O%r ztIZKWJpnqlMre1R^IDT8KALpz(c88|&p$WZbfZhdqLsBW0$DqEIZqF}e}2uf^<}*y zjyOBYYH>AASI8j(Vp(s8*MJPdnCg8Ut9s+1wmmT~b_U@FdoTLw8TV5XEr0)~FR;am zz+|Q7bFqnscQ!krqyX;*Pm(4zJ zmd|}FV)~5t`I~-SoGUAX0;_QnL0b>KKQYM7otj2-fKkql1@Q*xrtQ99Q}4!V0HTnmHqH*1e5~o+bb-hXk!ko1+CS1ICiUQZHt-lg|b5Aa>uP9@i) zMnSe20F?=Kl;s)bkN0oEHg?zTS$Of$+}6o|5oUcyG-tcfJ+o_i{ck&XR^{{i|4Ynf zg+WEe)lRk}KhLQ1?quGZtYwXoE5<$l77Tv&w8lBt%56mV&K4=bS?B3tHl8F zh<%bU1;~ks*(x6GcY8;f{Np)GPOS*dKFm=$W0vq8jFx>Ihp#MjU?dDecE?w?gr(}d z2kO={Kpu#NiTgHM2A#@4)%5h7nan5rMEP)fq5Au8q<7gqFXKwIF>hU}}9&DJIX5oKoiJCtTl(fn1Q;N0a;fA=lxl_4%jn z?Z`N1qmiv4#Ia5BTe<$@VJj7q0b}kqB5Dj0_t`(bAxBYSDXFzk^xDtwe}@Wk>V*bax9X83d{zlJvTb4Oe0db=>wO&9=LvB^qeVHiYB z1oaSW%)%_N^MS+p&>cAnUmB+pdbK681==x-l9^V=xcG5Y*BpvRj@}m0y43w%C;dSc zIO6Xe%;|sx37AyxhyLkYa#m9m)%_XHB$WEq2MzEiSK~j_ir7kj~Q{_r#GY^ z8mXz>;iLQFQ2Y89k6z|#thX>=`^O@{6?7L4wQRO2$EF>h9uqw;~5(^srV{6bKI!YJgI-^BV z>e@;tzQ%U)s*oo?1>HO!uN1ovSJr2~5^T_+X}2gGybG6@)2y#_=EQnGDh|}^FMbFb zN(l+F$&gm}vc(uPi=F{~lHc-7gaM$=$jdf#KEgF+Tu;wg%6H=6O4OrFGtC4+DE=cM z@$;+K3p`rHT58Uf5fnmg-A4EPoaaapA`7#fmK?0g z!ny)5`p@^fVVJ$H=5{7x@?;k_0quvH#xd?avCJL(<$9Jf?7N&EZQk1pQGX-dRv|<+QPfOteGZAK(Lv_4g6S{QRdHp&$wShBD&eohhQbhghTO(1t z%GPXdHQUe5g*YHBUPMuEo5R;PHq_UzW$Z7DVP-6JOx-yq{fnUc*AI$5;t8QS|2h_I zie~j6+mE2-BB$B8#u)mt2tOI2?Q8uAE zN@$-Gwh@sgpn@P8Pvdzc-qwt_KSvskMGc1m0<`4sWu7(iT zPT7Bi(mxMH$%m-S26XT2`S~Mp-5$MsPGh~+50Ua8Zvt_hqbtd9oX1?REG#TcxXl$$ z$~L>9#EiAiXaMC67C>y>i{5eY1ERl}8T-4m(ZBp5hR zLEh(Uw3ahjqJN@Mhp}G<9^5W(j*W!d)SbvPJF&RiYm>smBUw{?R5A}}KThBmirH(! z8eGQYJK>%A`OKpR>$=>=voyc)p$rSNDB{}O^4o$RmY9K(ijVQ%ypIy9PB{%i@dMny^HDa zq(W2e!w(Tr1$yKHjO5GQmShB^q)44wISqHbN2Mz4u+r78hI2W@cE}=MxEqGxlJ#G#RgR&|OaNA-=G7 zhY>`GyU#=)4x*Z;U32A8JCUrCno3SSX~VpN%k*#qLN6+_lQ0D77w-koH$Okr0br(Z zQ|R?xjQqpaN%OPPg$rK*&2G3U(8f1~gvFSC0|`q((?qJ4+wr`Ycgq1rQzA}7h{ z9f_y3!+!yKdYFu$qdB@licMJ{4}KOXU8uM|1AeuzcIDe>lCx;>qzx?*qe*a{1_Mb+ zZJ#QKJM{Xos#pYLjhcWN!&Ay^?q`6~_$);;=E!>dU^mg*GV`C6m8T_^*P=GKE5h?? zaa5yBZkbIt{-cXA-kW_{LZJk}f|75=!CIq+;vBk_Zwd`@y^)&^v5vpz-6TWQyhN(P z<~=`m|AV#y0*{#7FfIRi7C6*Y)K}L#3PL_Ca`}iE&a*?Tr}RYz15{`Z-xL|RiqQ*> ziwgE=0)#`Pv@Z(PT-I|Es@auX!oM{WYSW)zP{8*8C3gw%)RzhtTnLuS7Owi$&-k7Q zldL>Jl};`tS?SY^b#FEO?gj9+wXKZ> z4RZYRyk?EX2H(u}wI85EfqhvYbb;5ST9E#MADz2IC3JPgJ^P}hVL~pr#No|7WmZrA zGI{MH-xsCwMDJIrb`%WIKU&F*t@SV%zNMY!mmbvqv}*pn(@p?{NEOi1UgL)jRNn9|JqbS{>&D0yBAW4c=!R_kXb zvroqr9*I7qo&Dby113H0=^~d>Zm5hQfX;Od+m&p;oqK@$-QIYgj;2$(F-ztE-Q}Y# zDZ{vf*G?Najld?MAI9*+S_{Ee&3o0fxaxOS#$7^A-Urr_7LM=-+z=>_E|YIIZ%ELI z-IVoE`O5Zlu9;@thZtH7GIumgz03OHaQ-vk4ALoi6o+>V{Xu2@$Aj_YUwJlWxx<+= zXF}9X8Na6mPm^_=?&3ivx3j6g|Iv@{Ld{mf!k#_hNZZ+?tBvgD0Gkxy1JEQoz|RKmnh)9jv-|~Z!{H2&+JI)=1(_G$krO*w1yB0MFecW*Wt1|b%HR~E*5hs$FICPED zu2Adv$Z8kG+@C?$(P8c7AIT)Qd_@uDB5a1Dgq^bZbiMSh9)$zta6eu1vJt@CdX@x* z=mN4q*PuLsDHIz7OkZjJC@Ss3r_Npr<(cteO0cO+m^zJGoK6JXcc>ysxvntw$dvG- zRpwwkCU^JmVFGrX;}~ZEmTu=z9$N%O{^P>w9Ru{FqfArh<`^X_9TRCL{HmqB3K5ftl7I*SwvhmEB- zG^gsU|x`RL*Sho}{`}vpudRH{J z-iK!Fa;27Odf?TZ2TUfBn_b^mY|yn;Uf7G$egt?4fr!=8`-vlFN{J7GBXUGTz1kpo zY;;tTxmqbuFyNKjy9ZAt#hchug8*4vIUd+wR;!>9OYAmWn44fJo5xrQQ05r42b&ML zxw492oZVJ;q%t^Vq{r$pRoOyICe8D9+-S-157f-+A6{}ip1S3>guosvTWqu0>(LeI z$SH3#Wf*#yxO$@T^U61(p@R;_MV$7hw_2c?|sNJwA@rtx$jXkK13PY&&$}8 z;ZspaLRAc6p>#UNx-KwMeBH{h228aCzw#HtLI7Gtk*&M4hP%}*Yh+%s6-E2;$8tVw zxQobsI&(*OhwRTH!SA=%^95zv8_Qc~g)Du3QJ#285-5gr^~PWSkl&xs{o|gtrv?Vr z_qjoy?tuCODUBnddhb}84Qjc!T-?OSOXZ1}`4517oS_m(&#K|}ky4%mtxwC7C3uw?oKwVx=;r!qE;Nwdd^o%H4I-$wD;$6ZM-Bo)bugqrtJ88zj1Qm`e+j`e2`>BnS|w9ZrM zw*}Zo9o-?Mdu#XZ=vyShJ>>l*mVGEe6sUX5vTHXxy}6CRF5o0UxgV9m){z_Y*bMbWH(u|}l&`A}UkQ+`oy0Ui<)wHFjFen~O4*sH>wO64R3(8~lsz|C zC#!%&z3#3jj*0emo&y|GQlP@fpWF-1u>&U19geq$rwKN2;5o`gU)I7yNe{$esw2JY znDCd?-dS}{RbtZ7eq`}3L3z6$bd8*F3j)NKTP=8Hpo3_<2)6P~gX6BxY7gGWkYf_W zcZJk9dS_>kBG#Eb-%{0e__FX zf4`d3B<`sBG?6oCzsUw83x3uV#D-DEf<342m&5~KjN@DKZSGt#iHjl4vWJ;{$j!Wv z{(cm`U}Ya`Eum6izx^5N>O_UGyA*e02vDGM2QB+iS!wHT_(|@Yu9upW>YtFgj-8#G zx#V2X)2_-h|H>`L-_IJOx^T*yc^2*u+xa%u1PE&+@7v8knh@2`&N5^4aJi=trNBpz z_^8K1b&YqM_I%=_%8X`jvqKuXa?`}3H z4wLnY%dR!@k`i*^~XRX!H)1>S80ChzvW@o=yD7~HJ)%7YvpC$vFif{rN+Un zy#*o%(xfrbmelnTXF(;SOx&*NhU3z1Ag~j6enO&)yA*$efE|=aJ^naAHrCOrXtXuw)xa&APL!x*{iqIn|Q{e zVTw57qqw8HIEN@;!XM|qf9W=xZTqlLma`=yqkB{77-Yr_2jaio;+)4#)~E*9E~2Z` zKp3N~$aBcf<9e%q+mFBOn21)TAHPm5h(N2K5P{s1e<_fBWi|bOj|&p(2wH$OLH_3% zRic#~C%VAw&+^a5^S3`ad;BCS+>wV^?G;Lm1%dD|#W*v%#*;W3%$%?X2eS3~f~E$~c(}+@c0>8~I!e+^i1&GwgE9oWz<} z8x2>lL-B8R9Q(bvh}I+<{KO>tq~?{~@0as$=RsUh32j_Qi))cK|NM!6`y0_hqnQi3WcE8J~dq<9RrmnXs zx-ko3tp8dUjDtc|%PhQ7jacE!cvDsX6M^rGJKLVtHBEa^g=v}49l>E6LrCnZk3G~? zCl&S8FGC~v#2G@2FZMTsNV*+w=H}OHn>93U$BG;!x3 z+Og!gXg*?Suc9{+YZg$uj{d^E)wJzAVNL&@&XPs@m9zURQO9E)jG@wRTs4A8l)b?R8evOI6unhDwDWclc=M#w>v8a#JUz9!oga$ib$CAk zo$t35E*Wd*lz*VS+{wjYVUqUW_>3LIF^#!Z-~In~Oewl2QEyIwzc?K@a`C#)QsudX zFpIs&nY8qZj~j}LiU5!-LhrOmz(`4;po9^;#gU`fA~`1=XVwh>8M*#xVi-IJmboF^ zOOJO2W4PHlF~Z*WW@gsx8q`>5eT5z*OO%gV3B=9MoUj{{7Hwmy&SHsW$Hu*`Lrd?)xf0 zqi8P*`y{CNf}iMxM7REn=qyQuI5X1SBR`0fJyYn1(UM!3E8jB-GCB1}(dhYtBB>%G zM0@MHpIyN}-%EyNd?IgFp{El=({VDQHM93mzI)}8W@JYY#NxlJ|Os!#vY&B zPDTA$#LL>Y_*EY=f44}L(0$FXUWvd?s4`~SpY}JowsBze@T{UOTO?GZsIv!I!3o`D zp&SR;xNlu@0*o;tnbO6zG&n`vFd%ifyH&7JMy7KzA>U$UU5be3^d9xT;+#01+Qs6W z>X#d3%kni+rXiQOyut0XCS)oDfE($fgiT(;&;!`KvTz$b#Kd7Xf0rUJR=ZK5kp5%N z0v(eKYDBVV33mwi9=2FRfdo_&Iz8~pPN*rl* zCo9)~JJN6oxJl58#;@XMUw)Ycg3)2Xha(|1f`qgQYUmaIq$x3nvk|K#zAjFDr4I6S z|3Z+o4Kk1Vl*S2(txxu&-qEAdHSNq;VRX6({u7sM9d|ab9F}+pIl(j!xw06Mrb%QB*uE;pVV%>ko&Go9=rvJCv7%(BsOF*_qH_G8p5rP&A?VE!pnKaW7EugRaaN`M}4mqwkMJtA(sZ$U6^ zE7A09v2~x#j*9p8D&uDGm9a+&C|(+W8*jL6$UEr^qmmf}1C;1J4wiQ0WIJn2>nPd_ z<|q>2C+h!)weOC{^8fxXrHs-drAR{=X`@0Zibx?NvxRI@cId8Dl&FkKMuUt{#(mpF zlTbFd6-me_oA7&HRvJF<_wV!l{PTWz$9>(`^}5dMyv})^bDqz@6OP@9RUnTQ;iy9E zG!Y$IKQbu897GKSk_RM~mno@e3Mf_)I14r9T}MX96f3Hd=JHfx{dyxyyB@N9TUUW5 zwE29qOoU)FqIva0M|{K){8U-Kbwu`J$nh?V$sYHS;i2(B=iO z>QD)vOy8o!zr@R9D~6sd#B|f5c>cc3oJ}+aW+w-j-n#v?*0GQN@-JoToSHSg5CwYU zUw||bVO7G5+j9Kd6^ENqR#Y~&APKp-wHui7u!njsQX!WLZp&v4Q;`Nr&&*m#uM-3a z*6+4Sx=W;l*)Zm6aR&M~6>=J#Xz7$)yGBG212a<7)jtfN6o4%ZCs67lvn}u*4X{)y zlK1}3CRVn(dz8bcV{6a=9nyYeO@C`1F$Ur_H^m9Q@hg(VZU7@h9d!W#fcam!i1s7t z+`;11L4kQ1m_GG+w|V1QM~aA#`QTC)yMn!{jjo%@*W2lwTBfG5ax$AiQ#dQOHPxx& z(Zm$`r@gvwL;v_@E16Xie@92gKczaq1V`+G1`(pEL+XJY0Z(q(^5VkB6+~OYyfD+t zS6i{Czwzw0gRatWy3~G#0F5rq_i~CP2a9q&Y(@V>PAVj3k4nW z7pjObqyEdp7?HOphcs=|rq1jD3UmJy0lR=rfas)YqtQ1q+P`$bZK%ghVii$QKi(y$ zbQY6D&ehk2TT1SWs}Jq7wh^q8_#B9~4w(e`OVpE*Ydbf8?HhmiKY!4ftJmaD_FBGY zk}Pft+RuWYYjT{7{(F`;Zlhvc3=odzzR^XnhM)(0)p_}DLcT=MSgl~TtDHy&@&r|+ z7PZgG6!GQ)?C=*BEgc-jk* zM6nNiL_6Vd8G;J@YJFVwd5NcB7pCpSph^U$Ji}807G$k#b94N$Qb@jlJ2&a&-N3-s zyyMx4c}*TzS0ozte4Q4k*$a3ZaNpPos<{^*FeAqfCrq%{t?+9hU__A2>#g9Z^shq0 z@Pg;>`Rg^DO9{y0jAt9fnpa(ljZr7d#s@mbo=S4vH1%*f61{S*J9GczG5?oSY2rSY zM*ECah_+G^ z0c6dG=&7TGW4z)NegyTR6+JtehXuKg~K*&I2 z;-`Z6(i(n(C`7$5H%ydrML}a8v)VJt}VybsDaIjjM%%1XCJO zc7N@C=n~m=)9!VBK&*`-uUQNHd93kj9)(!Y$3q+$yy^Zbx}Pps^Z6sb30CIVT7y_xJc-NB=_DR+NPPw z*AtqlUq&NdUYad;UZF>?QakCv1wcLJ0QB-@2)I1&In7GqY+$Zta(j+}on;0)MdU$PCM*Ff@ z^)e|_kBXN4*qfUx$BVR?1j(XJ@ID4md`o9K6Q$9dq@6=Srd`ngIfule_v_E z3wRNQW~|}=6wJBsJ_V3_$t4im>VuLGU)lg_!ICFjsE5%^cZ+qFQoMM0A>+EZfVU5q z_aJB^xN)-CvtNqSe+Dr!MmU+Wc0PG0iN44}?Wrx`ySr5LROdj@tbBgRTF#2TD7od^ zT4O9M(YUraNRXB=>pDs(*0tyMMWQtUL5I<;9g*QZ2H)kFXSL=6>19G9=CY-jyIv!H zX&!A{#$!oh+ROXc@^9ZwGo7@RUThT1Tygx>dY#B?hI)TiToe<;0P-H*QxM$2^45RE z4RdP*h<_A!oeUxn;39fW9Z#|h)|r_Ueyo}U1=HiG{0KN5eKv_l8h=-u^XclGt!KS?5`ux3xo+};XZmmi4(Eh2hb>ApUZtHs?^cjvbB=vmmso&_VthA9|DTn z+ar9mYM{l+XUSYQbUSZog@qFc%t6i=OwUU^3aqd!^-#a7t^SJ2O?}{9uVJUdvGnp^ zcI24%FzN`ZsWeR&T=iK_pAN^;(!fwNZR-otjV83>xQ)#+xm{sdj9bAAHLKvlGXh@(I=CU;}=&?Ah_ zZUgvFbTDGDfGAh?&SV|XL+2W5kcZyrQk=ke@j4u+2Er*-l0`$(3TJy-Co|d^pDj&HIa%<<-B9Y$9 zxd*nQewt2c#}r;%9;>xAtt*FUAKJW<(fMN6+2~mxCCkA})qtzO+2_2p4Cg(&P~8hP zwX*E$y^Fo%dJdK4b&_kf?r3==M+H)Ht^a;X5K%wM&jkXgw|}Z}T0R}z9wcAMIy!tkxeqi-(XAi~wm~%TaoN^76Um;-q9Ed! z6&t_6>ajtQ&tdO%W3N=qX8I2i)!)KjPD2_8(c7`Y3gu@Fng@%@Y_Imm#IcbW=F_o+ z5j&OpV_WhN2AYh}lO(@N*j3KF1Ng5E&iRFF4--v{L~|t0TvcTB#VD}K>6t@&m1+b^BN3^X0_H%q5SzMxAR`ni5~Yu<_-Cq716$olUX0H$>}5#!xV zOgGBI2;`*|`n8ZiyxO^V3fdO0NUYlv5J-cg!DaF9M}s0stnkO+X;OE(E#t*a*G8ON zFbz_yu;y-mY5n#u54ol$G-(;4(@L>DUSm=lw$X3%?sU> z1k>Qc;Vn%Pp(%`MWmUM4c(=%>p1gfL9g|xzb>~4Zx+0y4mhOIPYAVOOp4kQ`Y-24Q z4ULnH&x+hT5MWM=u9O9h%0hyIdu0e7f!wXN*DzU&C=MY{1==tcam9l`1GJ!y2m-FH zU{hTfeAiznNhbQo?YFK|rrHIb9QUuIKLqb0h4v2A)3o|_E~L|0H1dR)=VuQ+I(vMK zf7r4b=h78Y>ce|7JwHZV-=j)9x)=d>r!#H)%UCI+U)G%p7suF6(2D#S|Zi3?re4^#sF~Qc$uoke*Ubf7H(CPK&MDLmmI}l z@_=j1vHr#9N}wBBlKGd>U%itgxwFFFy9)H`FYX_I>F($w7vA&tX0S0shY7@Tn;|%V z=?xZ#uQ+ygaC}(pu1Y$S+=I|_U397RlLXo%nXvvrHFi=a%TpEQmgHO8CVc$2H4sz7 zNf6^qH_2bJ?%EW>f8SJ}U414~-Ct7m2pSQ$DLE$tV^R3nnfk&imUl zjqH=3xBUJ9&CcGp2WvJT!A>SR>Tx65a@FWItRVU(4t~A3;J_8DSb7gt+}ykBpp{}U zgsx1_`HTPhup>()r%GdiQOV`C$dS2QHC8nmjN5>s`#Jw6-Y>ebD+)*Vqf|k`O+$lc z;XMvk48tD}UQOby>;}(}$Osih`9Nr7r2p1aH6Y-7NUiUtu4I@!=2k)1AKFu2PeVIv zBJd(+%+S+$)S{|;?+#fCOP>?FL;KZJXNC~_Z^q!xL*nfpsP`;WYn67M zHM*{$dto@C@tzBJkJ>@c&~$@lA+kYpL~+?bcx-1;8O3aNWtEzpj_Ug13tpKXYFBlz zxcYkIshMzL4_}L27<*FzVx-2w-gvR;851{<+yyxB!xciwMJ=Wv{YdcF3R@|6CGF5b z(OAri?seQziSBP4i$v|s>7L;%(sxD;%Tk@k_spfYw8BjbqTqnG42gNJE^~uZ2*<-$ z^ZeE-65G6|Dn)Nx$@|Avc=UNOP}GR$THeBez`>_Yr3J@=hd`~mcBTldjG3SX6 zCt_J7c#9WnPEuO=`E=TnN4+&I(QPQev2zG-uP?ncve>>z0dZk+pPc&&&0S zRo5>lN+&{=I9~DL-aze24Zq63?6+4IaLVao|J->lD>-2s{`TJFIIzrFwMGknd(JUm zdw?V{yQNX%k5* z;z7h-T6zuGTob5ZTp6T=9YX=)+Arl23lPDU`o7i2Y3!Z;u&BN=?6LH>9=RTmV6_c%K)83yZbDq`!qdCMwA{T0+Bcq><6-cA9&T? z6;L#oM751>D|kDauW`GHUH-oR#3b*BQ|=`($NlDo^MYDOYJdx?q5eNOIx)_5ZMDmW ztn+1sTvwpa&>q!~2g7&%<465Yw@}l|XC1!Z@()h&b?RSnIqsa5zJ|CDutUZYzARId zy<;o&n;F5_0WY3eo4ZAK;>u0_9{+r^xI*KCFXi#=L~$4m3gvm}uT_ZNr$WkpzEC|9 z3i9aPbbH5t%u`8u8+s-AY`5Kvl%Cp}7n&5la1Q{9ubwPtq8~~Baq0IABnZ2JmuUUH zMQq_)D|kkhNNf+|aNtRMF}0AHeZ)BM0UlrC(Dgr4?y-9qer7S9v3uvMsIOldwq+b<*9rL}!-l+R7D^c+)@E=%4;YTM6gTlgh<5e|KVHpK0e8Qh?cP_K%wW zKl{=GA~F#;<-7y(tjYn~Ch?&LngMScsRZ>y zc;ew-sFWsJ>3_7yzpOUN2+6UpYd=~D56fUy98(_MCIAtl=8i1wbJ zCjOTT{^?#O{TI&pAkG;-iAU^CnDN>Yp>-aWOh@j2$O*YUIzxz&RU3S}59QRw|Jzso zymc|<>5b^Y6<>!mSblH3R6*f*NQq99oyAJ;vkmryj%=84>s7pc%-xg+rv9IXtxW9d z^iZR!Bl?}qyy~V`TVkJ&zkFlXwsvjmY|URVJ5TQF;tOaSX2^{naUN}Jp8too?%@S{ z6D1ZtU}F^?E|it)S3dHJNeYZ-xI3puj3*Vpz3ou(qiBc>!$`@lpAS0uf^kOwQd7Jm zt8je$IVSr-Z12dj@$Mk4TG=f==6BH#*%Ke(dT#N~k#J6^*6qlL{L_wC4>XSK5PTOB ze%8bGiOB6s*GP|j6RB|_7R;jRNZ2po?`injl6(6tUKkGN_F=U=u?)ioioo0lDg91N zU%Q_M4-7%QmMN`8{`>tRbyDkZZ&6b>)mE&Ieqp0(~{cl2*w{D1lza7_GXw2X-+)RZzUf#?6~ZkhKHC5k1WcNb@-V z{NOM4sVs#QKHFsegyPau%{9t2*-h+DFnNXGS}^mEAlRUQq=bQTLl& zKYF%>FKBDu+f;sU;(MLo$9icEn!EjhmE7;?LMbPG^Luzp)CWF0E{e^WGCyVv>*jM+@U;goR~URh9kSgaYUZ zS1&g2AF+~u``vQ#0BKYTnr}|Rf8udith0Ikv_z5r+jET>D#BrNVh=Itoc<-I8vni6 z9=hNZ&3Tl4qbkol*NA6TXWY1UuUi(mhxM2RxN#^f}osK>l z?={E%sb}Q>!{(kU0C7-})}G`eis9!PsY-T+{G(9zxYzk_e+VF>6+fc6-?a0u?=Scm z{Dfi8XZ7t97kK0x`LF&(%#(0TbwVZI|9+8xeS~Aup7+1oIqZaEdTk#w65IUx&hgB8 zB&;ZZ+9r=lR{R(DBBnbXGVY#PTn5^!1)P8R)n7k;X91$`(-eu_e_dQoIR!kdOlDKf z-+%qvy-Ke!Lz`42Dj{2>g|70AAH0*^M&WX~;*yd@$_k)B3t#j9pTTn)_B(`xnVvLG zF6>yVI(n9g1uP^gMT}OZY!i+R>5%aP3bK9o^PW0 zc(>>n7eMpyVgwXT-(FC5K74$ukGZ>%Pa7x)6u>YS7Nt+TiQg9N?-us!g?|2xwk(kb zSIq1E`+AD)o32>3jy~+_B$@fY-VJY_ia{szbm<<~S!?(NMuMe)H!xDx#RYXgZ)f6N za9%(>c(N@>>K{o;CVuKK4=NTy3&|u_Y17L%uR1lxk{oT>fQV<$Hhxlsq3C#Bl>m%7 zYLTe*p~y&E@kIHN+HjR|35PS-@@4tSELE)sMvl9}RqjsqsrQh$KCLvcb$BwCTgxF% z@_X`oob+ZGE!;`}d*D8)12d2$P`^YJIE4>Jd5*5WK8dNpeX^2EFdNBSxhjHf3*-2C zJn7Z{zTkf>om>OqMf%u1CIJPu@CEc)MsH#IhxPxfllbfA4>llblzm-lOaE$E21Gc; zmeT9>J4X87-hf;a4Z9(GTHoKdQve%nl$?EHL_`Fee)#{@2*(#ejF#?9@VTXd6WO>( zWpjr|0`AK{6f83K95cfjMs2XDeN(A(`Ri)^%h#JWq|=%+%{XFVs@u@gi`RJCHS)N{ zmn-V#NnBXHNr2}}lc2ubT}cIxbqw?kf?7_yb>1*nDBRK6E)`^!o!@Trq@LnuQOuE3 zNeC_NIGLKeOwG`~Sgk5nt17m8+nbZ4Qb=P`Bob>OuJA(fjKl;Lq^Z-R4j)CIx=Uq| zH-qX~zMyeAN%Ls2t{~H<*YV}ovMPTUd=vZS692_--z=xGLDNxC;ODouUau>ODdD9Z zSE3*-U6qz=vF*#Tmrn~6?NUO>Rg11mT<1t{&;H=%_HyK*=6Z^qPi;ME+DF!2EqnJ2 zdc+<*ek>U%adP}BQ>W9=_6!Np$m;F-U>*gm?pV(S(_KEYd5j(lNsnM2m4EiSGvD^%F!lX%dy zy2Uz$v^s`!SR~k8opye&{Z48=<#dy{_K}~m67&nCKIP`pQ<;T!|8l=`-^iV-nxiY_ z@lg0tS-WiPz~?U+Z)-+0i{9KPz?`NJ4=+1360-pqzcT-_?y%rw0-|D3^pDCQx`AhI zPI|^M`pi;~AW7m}@L6l@X2iT0+h(e(9=I1SBo$llW6+;nl;JsBsJ>Ts+IGr_^>F%3 zpWkKg-_dMjn_j+fT&983kA}X#cbnf;-F3e%C}}@qTmSR@3s!ed59vCKHQZ<4b9m{< zea0&WKy4UwNrj)GT@?jY6O9BJveTH^j;z~Hg=Kd=l45^U~7xw|1`&B#N@HfDI!UajrboyvP?#mKvOMC06>a>Y|Tnqm6SlqLugZj(ac-TZxq%dwCVyVm+G&2y6#alKO8n#?d%(Qh2{3PrR*bi_?9Ovcgfpcjl(RH>>u}h zT7~9Ze^@U=)q#Tti+P|EUIRtG(|xCO21dVmE}`!uf9k`yAiR_%-us1cZAXr8T1P@f zt6%_0xiV3?uiIt2*1YH&S%fS8Vny~<5D<1a=zQm=|GBw`v&q5XfERld({J19DDe=t zrCao6MmDJE8c*L*2XlIQkIl+@5k6&?NnX>ZRfHv;?DDyFV2$CP(f#v|{*jK!)`yep z(Y~26HIEWK)mMFF@BCXU`xk%betJ4xb^>W_)XC2a1Ib^lt9Y z&dh&NKI*pIHE8tT#x7MJi(-EI(XpS>92wnH2C6s;{@Zu`m$Pm3Qk*A9XBS)DpE$4Pm{<@b8#Wr;eYXl7qltBeLL?JMfeTWwlMEZ|?y>>bdZmqi6@V~x6 z1$DgA0*Aq`9ODM{t0hPshig1xy%l{k0X5+#76sFNi0d0Y(Ez3?9GzV#$NF zW{fuxJb^#ZhzfSR&zi9W6FJ$mieL^i1&MRY*$)HRFEQ+s3dL(-Pks?_NoRJG3vV;3 z^`doU+T|l>KI|bBCXJVYxD!;^#!5~E1B^*UnKAtlKRiB$)suEWs#r-ZzIahkA4cW& z-`(=oQc6a~4qWnlP!Us~?)#8gq&2+cykrnT*`Xb>Q2(i;=AAU=WtHgME_RJkZx^hn8X^CE7aYrdf&n zAG0t3&jC^J;*+3IM|<%%whYC{xEh8#iR>O6Ei;_f3|g-myk6#@YZ5x81$c*$aajze z?e@4ZpW2xG9ZcP*iA8I_ogZocK42Gg%)Ya&jO5sHHVz~KxYMh`61_drbvy9&<$E)S zw`05#=VyQa(|mOOzvc=G5=a$3x6Je%%RSa7j>N}sfCvh<`7?(7`hlNY#XMouDKxC{ z>KsdMKGEpkunHV=ZR5Lf%q2{rL3!0)cw^4}mIrQ)8u8{jkm80NK{Vl$T*Y}Z+HTu0 zxh0H7Vo!B;r9QGQb}y9}?t_gxRwmi(TH}^<3Ink>te6T@ed$7Ts0+(-g zV+fl;HZ245BG4xv-JQJqlaNu#Tm!{R%@8N;$fF2+Lg(*ldtveI+yC-VKOb-8r$<-B zKm?e5zp!5w@I1cvKUz)uHBfil?sXTpoAZLIzFBym%V%v!RqKwOPhExt+ zk;besA^fd{k+|B%!mtXj4Rw&>g*e;Vrx};{i1x*n#3J1y#CHm@JtW|I%;Iw$17=yG7-B@<6^OQZiD_ZC6b>@|9ooqRNIjJPLfm2+RJ2RTv{rNRg7pF8(o#n27 z=fExkOM=R!3winZK3nvoKr1LG8m1g>3(>U-OrL|y0XrxQ525+w@UsA4PpfiB*+GwF z%a=mBB~Y?md@NV4V(+y@^BQj!YoTWqyVK4UgD4$pyOq$v#ny3?CfgBYcmdutOHRJK z{ZW8yP?%}>*2UoB>y_6j2R^aSVc$*+uVBc}6UNP$K+E;g9}@(XfsnN6fSGr*tqkGK zu>*;LNp4I`3<$rP&c(ZW{2MEh(jmdS57_*WaZ{fUSkm$ZOR*45! z2=vqz#46NQ$Yee#zQL^)6HHx{@}xK&&C{PdiFuocdtHnUStm&$W@ni<6a3Vvsnt;zU{y z478Ly%Q)aEWLlYa)X+}gX+Y}AMG%k@pCihATcR8anrJte!6bk6dm?%YpI9GnHNXfD zi2Zt?aYan4RB}az1~;McZ~*?^*a-hXhOXS~`wP`(+;AjFaXeDDCd1fu`xJeD(2QlAd=)F-7 z2Z&AGBjayf<4oS;^kSLYi>i&EjT=1)%(|F1MVj5W~uMbYCoJlEE@q^4msT(UyR_YKjH%Vjt+}_;Wc)#TNgxG2G_}&Ij6@NY1bD^0Z{hyiFMWmP(-Q+6In4BD|w!#Gpr+ z7E;R=!fQ1X3S?hcu8*(7{5y|gA2}iW_Qu-x_r*#W0Nof+UIDJB*S1fg!3=ym0Tf) zqh85WDIau7x|PB%ea&)`Oy}RkZ=*}Kf*BSYJh(U@Me&LmUB&2T;4G$_o91iC!L?+v zG}=5AKK*Uir;eR-x#hN+DS=bO;^@P?1pl+&_eCZjes&z{FDYLhTutiQpj3Be4v4tH zD)+6=s48UuBn)kAE1&Rgw5fgNI?vKF5o}Gnx-HZcsr^LTiRrV2g^76Eu zYiMH{-&(5SPTP*)>n-r($z5rU;ID^pY-?;4xU4Y@81nB<#b2%J&&7SfqDLh+_thTZ zl@RtC9Q8T?pMa7y9CROx&VD&F38UYpey*eW(^&^FQ)(h0N{$WJOe`{#?<7V~&8p8) zBe)wehLEHju4Z!LVjUq6^X2{XrXNTWFjtmgI7=-YzXJD0bKpwJ>mUj!Q(n3L+M|x&lVdzD0JHdRZTiw$@bs9t>3ifw$zBMaU`EdkA(Ws3 zj6L}kT^OQT8-xO2wr%J{X#2EyevBG~xwr%py`jKer@WHKv@E6p1h(dOI8Y12FY(Tt zVU`P0bj6eTAZ&G5|EM2yipGVZp2EDBrnC^u@8=oUnXPfQ+jg^dUX{=4!Rwd+>+o~3 zd?eM~(a{m0e&}q{`RHUByiE0IUDx6-%2l8{f<|p3KW{3u7l`ELe`9e!&#VUoj?TMo ztiJK*D|jIbD~prY&6dFV-?ninL!7Y6QVe z#nWRKiWkK+I_W@^PMZk(?JgOdh4oa(vS2Lp(h?K8!cyZ%Xv*J=tndP9#VL?Fnp{;; z-c8vQXM6%4_GOFH4#NT164{=H5jz+`?n7!NEHNhL$$)Tx)b-1WkKTDNaFh)1#cU=q zwNn0)NIq~hnyDM9-Y7Lq=?x0Mn~q49kj;+#po}PRFm2kLl29H*xa-na*3W)48C*Kq zdGeo)F+!~9()u{72a{X!ITlWPs=_Mc`v_Vq%ZQAc%pGWp5o(jGnK;r3CduSidSL`t zFP61jK6B6k!Wk7_a&-XFxnGqfZ#VxWMY(&dv|=&;gX zJ085V>1A_Of`y?H5mX5pv?9Q=Qcp6kRx+It>8KI9n8Piu{H5=zZ&n2rpu2V`)$xwc zlCuF>rPkGOg7&IdTjxBaJ#12GGOv&`_pXxc@^g|%uQoo z&OCNxjJQS8T2`Qa58^NLYxnZUPaVr2++}GVnVwv5_6!A&drK$)%IzjuATuSKR5X&g zNeP&gF_AH%~h#Y#`K2>%mhBXf=M&c(jx3* zR`y+i_U-TP3S=3c3fna;F3WqpBS_W~D$rBcsGMwml;aGNi=-W=B6Y1r%%8JoFEmN% z)iqsj8w3!uoy}P3TPe=w7^OpT-IaF0AU+D@Kw}!T%38Ic8~(~wVaFc)Yb5iZjp!eL zD|Qhz97(!8Lcbi`oLRIWC@s3qgrl?X`cTn}(4=jK_0)3Ghdh3@ z7;cq_dqTWqgQM=02hWhvFuyedC7kjsN^?kcEzXQ`?IUVNxwjxi03HUaxEslKp|@iU2CYm6b^S z;M@n|go=d}4YE-w)C;nW<&hg?0~>ovwZ;|z8%Gx7cN4f%ATBMI5C6B8wvq9Nik8^B z=nZp!J&8HnX^g34O-CeL?{Z(w8ecYZB3Hkc%Vnk{eYWd+tc(ZuhqzNGD36PGdDGNB zt{9Z}%muv+67xjyu4XF5?Bi|q9?^4V{nqK~+4)r9)(vA*=R3hypB1KA0SCu{?*#*zzBFW z_E`VT9-a8vx#2igKe&8Y_Uj`43}-m^60qn+nVzS;?e)aes)=BX*ZXjF3W$+DXl8+m zv^+EuX40;<>5$TOvd|AG-n1d_2E5y-b$63aCX(?AuYfvO*ALKgn6I~KkMln7<>^=c zM0Im_UQ0zHG@(9`OQMu@vUp=)c*-oC$l<4|EA7&+Fe&t^*ncwrcHz|Wc^q>R)8DZI z^)$_9;ZyEV1LWF*43i+ZG2DiUsWUn|(Wxet1jt|e507(WWjck0KD-r9NA(JO$piE>|>Cl?-8CEujT>A>0 zuQ>joue4?q{rp#5@fz7vn)1MvB>0ktQf8)a3n=)$WK1LOPX>d&g^55tPgz11HJF&b(uWI z8oP%2SU*^nrKJNiD~dc~TGpxgP%GJ%WKW|Wv$DH(Du8)KAo6J@+hSf;XaY6sxS4x@ z$;PZ52@X1jjgItK)!pKozA7KPiT)31@(%4?UX36w`IIwesQ+9;)o z^IImXu#6sd=jO#B2L|>O7R7)KOrre<8ya=ubSvjJ?7TK-Gp+Z}uZ(mefEWI5O~!)#^_;6_2a z$Tp`HdtA6L*a3be`(%Ec7Kh4Ovcy+l&ZQr3u-+9iZL#nZFf2l*UMm15mUpWen-rYq zb2S!6wsz@PB*e0&HEYGzL7B89iUEYS%3GtT^MmeLyk1!#yTI|a9G`Qj=G1a6$7BO! z3ysj-4&m-Xl%JE_0ce7iPkH~wZj*;MpMZ9kopyhsD?J}lIzkYn-c zlv1C_jApe3;Iuo?(01zHwx%Ej<+ukF@OXv4{}JH7=7t4DSNE60_NTua`{_Kx?G9tj zD5ro5yI_fMg;P-6{MgwEMP_6R4dAu0>OT!ct#O_T~F3okR3 zM@b?6`(2iL+8(H-pQjO^ZWI|DBJskRC0DmJPCLye>mwzIZKC0oi~78ba;~Hm=Sg z5o)p0y#)y>@EsNJ8)vmfe06Xw%!FzepvX@Ft(y@oC^HR$UwDzOVBO#mAR)FPGm;bg zZx*b=0eKd!#u@K)*M+EGvi~x7FA#YK4MBDXWD#(A8+>c6_e~u*b&p^Fan!QCHQ{Yg zT9I3n%+^Vo?Ksq5^)R8);<{ZYK>!2o^-lXEhCbU)>z3D_-2D%)@{e~h$xDhlz`1Kk zYkQMm+Pg{pJz7~hno-VJFJfCCM!^zAQuf zL&jhLj5R1MXZm=;COHg|#d@uzIAM|R<`9f1&vALHtoV%jAc{4u0)k7C;^2ot7+fa^ ze_R+Ix^&US&=Ql#gGEXiOWoEc=-0IB2`VI<(KnTx=huY-!A^{1S00WGm9wC2wRNQr ztHhzu-_@MoOpv3b`3OST(>P59HWjKIZXkZAI9%PT)>WtlVe^t5BYgB#L8g2!e%z4c zAOabWxB+kpBqJ%kTRuzvZoHO>i%)kAIhkHbNqP`q$uw#Oln{|e<(m@-9$@1(*!h-FzfhT;#18)_fW|KxgbJn5_8>?k@wP8DH z0i6IYnLXE&U_P|!L8$Nni6O*`Zoh&YlN@+9o}_Xnk9lvjNQ6XgeC?4Nsjk|s=d&vS^y)8s61yhD z_V9S;!(XDEf6fBs=?QZ72E4E<2Asa5WfGv|fDaitR;d4cv%mkuIY@)r0QicADS89+ z$pkuLm4#~SB^OGU?cT#mZz7A^Jq{iCrk1Y4k`{jM1Xc1>n!kP5o$v%&+Np_!h@cTj zVAD zlfxv}+7k#wqIvhRJFni{I-Q@FN1%uhdU~God0`7AF+>F@TsP_fhuxu805AMd*$t%) zP^umD=rx(q*bujw`}pC-13`h%f|f2~;(Z?|!&+yDqw-w`#M(_SwJxueDNGLGIa#vY zjEmkQS z@@r>pisKngyR|VPRbHB&BRfg;iloRaXK99L^|jkFe;1$J6j8pI?HQ4XGcKxCzh358 z4ssWeH`=l@i`@gM4dokR7b3Ay-=s>E=93rG97;`Q(&|L!1lQ+GU9{z%6|jxUXNnxp z%x_hY#_hE%_1`Gs2rEaKgH^^UE%a@*|W;37BYYsEV9wPvz;^&Gi z2Bxd;Y&e#V5bJ!GeCowvw zyt6Hr-@b>y?NNah09wI+X#L4sR+!Ehl>+nyI zecL(M^YHVmvkgx1FA*crb|PJlK!^>_p<}kIdlYV8@L=I{{%Cer2j|VQ?f#j?UXJgb z8YH*o??BNZfc@VFD3e&pxHsmcr#(K$O)5S6>8i<2RMIL|&I`iN=WwH5r@o>`!6YOv zf*{286SbSMx6T3WDQIvwP@PG@s{%9j>e{hC2#wH6E^At5JcV+}3V^Dwgglv~ngGWW z!g`5{-C?CTs}9}5@egsd1l1o^UoopXLNO!{1!^71kPSek)qPRxKCg^686*<%g|o6$ zZAH#k5~h%FO>c>(RMTu8`3Dz^=cn|6?3^GP*766>@I`u|?(MIW3HAU^bWvu2Xrj z)E!a*XM4XVcz=IAtsk|mswYXvo=7UPSLff7U1q#SaapXPQ==tl?#p(lom(7iWJnD@ zzk^Z@3E5E1L^6}x!XR$TW+_uum|?8>s|r7PPaO+1AQ&DP2;Jrs#CpB*6>`v}1{_@H znr;J0Dz#N&2*nY>_TWrEQA-l_x_>E5(=^A@he$`0{akhk(ezm$_N-J=@_cH#9a*Ag z;jB3ds4Ev9vD)&X8SX$Z19dUY&Ak|5FjNCT=3BoMmJvUtd9d9MdAS>)2QzTyH0?rl8fncu#vCy6s??6~T@GxH<}~d9xxnZrDU^nyVzRAxF6F`r-rDP~c2h{Cc|s#2!+F z48VYBB7x!%45jVy3icJx zPOW`yCbG$<8>QBF=Mh9owi?8&kvOP&EVFVI2%GK}-+a>u9O+$i`qI={#U~zmRaAgz z-b%L`Nl}DR&8#)@2f7hg=_MGWpx#@&>vG8kd!MLg3s|VT!b{nQ&0jm|4-J49_uXR_ z?)Px3Inv(B-=nBQh=K?lhRa*yf%pAQSDU#4Eg-MGZ@taSWz@Zg#6m((3+*)|dcrI>e&9G@zhn~ydK$^QDV>X#03eQvZYbxytzIS95oWBo zoS#2CNmI`W0GOwp^QJKb`@af~euk_2_|PMhw}WlrZ;hejm;EG20{VTI|HgCQcWzxc z&TQZ%l2~|q6~6^()$U={{1kgpI;S&XkAjlO7vPJ@eu$L1)HXfgweN@W`sqZTtio_! z#!keEr__&MX|cHMV?JC51E77^3(W$`--5af0CtsMF{7F=;NZ1X2h(xl$y|>72Zs-L z-~8_AdA*UWy=U9^+If+QYX+}ZW)dmoH8sjJx%<1(kU^&2tj%<3ik%q+%;8x$(GQk+ zMDPUKc#bpJ*72Sz@xY<_neHT^GR~4=ynQ`-nZ9s4H30Ne^!5-BpYkPbhsyzFwU{!5 zx*R<%%q2S&ukN^9acRu7e9F&|;_c@U%O84oegE@WeOdt>&4|9UJ3=(# zqd1PBLyh^OvDf`~Ux=C~@Ivw}iNokpi?cQG0oqa!gmw?klbe;-X8@r;>{jV3?aI(E zcv+63ESTVTW#I`)o*NpMH{6_MlAL?{4iN%X?$+t{RVEd*BvK-X$Ra4U#Q`{3+zD~^ zRTQ^Ov^Z=|M=ytvx3t$mooHUWlFBs_Jp?yZ?#8vf}5+}9FcpCx>f7S z4_bbOVPA_OvSd?nJZ#1RC0HKb$?FY1tij3F-)ab_X|vaj zBYeYgbtQ6?xrMR7=krrgg4}NvcT-)qkkPtx1-W85FJGmQnq(8LrIG1F_TNtsiU6SIF1Nvn*%;tWuDL0ojV2uzSF+Ij z>y=vjJ9C6v3k;RNl@nyQ5V}Rg@|cLLH59gxZ(f%rnuIfJ1zV+Tw*X{Ok2eo2dN=@D ze8tjomlNc6>TXY7rLWX0DY<2q>hBd~RTmc_i%T$`vsQ0?+1-AokeQ(i?PK*mq<5$k zMXw+$DJxi_)fbUdpam29!j{bmU_qc&bjA)zJbdsp(|IX-RO`XW_~GO>XaVj&xxaK6 zkJ`sk91^XkdlL#auSsVXE%H>bIWMFmaJRJhQ=3sUev?d-d1KWDVM&onBKv#QP)0k1n5oj+ zE5XSoyRvh;q&m?>k-VH_Q>ArTu25!O{3EqkgBB&BU%RiWA*hm>o~(K?QP$z&afJ7_AssmkGW~q zwwN{?>32Q-0qjfPWs1FenZs5plCC=pt_vDKMmGE2^CfxV>O%Psx@X=RFc#)sZCCTcIkZtW1VI8>lzjd{28;L)1jgJ` zt3D0#ouQV0LwASLe5>c@X`9~>%Ig>D7wo^9=h9iW#rvXB&3#}?eGoyg&B@#<*y`W+ zo+gmrXE_nv+i#H;C!Bt>rlKC2CBCO5TWRJ$AKaKORCV?toxYVvrl3R$!rIC}y)YNe zLDUnngHZubX-j9^KE-l=GNZoJ=grfAhId2Gs#N9%^A@>nWwY6~mK7i5D;_FS(uQXmcqh-e+FP^EJ z;!bDQnv^`fPbt88WyjAY?IFh&Sq!Omu}H2CC0fA%vZf$nL;^$0&pM5gVC$F)5b2oF*Gd6YpIITlc%cDvvZgdXwfD*San2N zOzY{<=Q+N@vW~u5v`R<7{%RSQ{KyNUnWf~DhoADvdsqhNNPmpfN=}FfbyKdf%zrF= z`C<;Gp1>m z&-vJdIxlr2-WXxB`-ld|i9W*~U}+U-2WUJ%l;EI7EmbCR+@mn6Gs;ba-@u#R7+tzpd*B<<|Im4j(DQ zSANj_L6gB1OAcx(e8p~Uj9Skhd)g@oaHp3=DlSpuMSlsim?Y6&iP*5q9$ zEtdcb*>U?A_&Pr40eg_$Ra#f?Yvq>-Rq-lk*BAXyza?oec;juvcwBVi!T3w~7_TMv z+_wy!`a}`?!FdNIRoZ;NCW`+`!NX9$Q3#YS_0S5pK_EurGtCdmCbUY9zhvmn10ooy zp?~XaBU$pWvh5Sur?KL;K$){PGNZF3XzN#^ns{AFqZOGgk?oZ&WbydM#@kWUyzrRn zImFT$F?u(eBQ;cXUY3P2XvLui!^=}t6Pmmzr1&g!dOO&3WQYhYn*+|LRTwCHuN2`p zrLniBHMpD0cjil9yF|IYX77{KBGqrI7@J3A=gm&22Jb$%L`hIl3Oy%ikNnMYd7s&T^9mIuEF$y$lsxUURj0Dtw{RGPv&(8p*a6 zIziaoxza5D5d9z$|1iOG!e2rdMWjeV*=Mg>TxmA*vn&z-8^MgNr<>Z&kEK|-gN6t9g>1{Q6+x?zZ7sQA*Ih`NyMJ9zFo>6@m%dl;hzOBU8 zfTBlImsDtGFd>hZ><6f_eUEn>|rL?@^E z3i@j0)x%8<@)ew-N2K!weVb!; zz2;p}davuk%sJPW2<3Fh`=#(`An!near`~$hLG(V*=eZ6vG%rM;;f}K`gMoOLh{YK zO@(1=Hr9RSJ3?ML{`d`Zx)ike}`eoXT-Pr+>M|bKUg+IEVKuc~4-|_TA%;CAR!Fr~um{#LatWWEb zqKOk|glL}NiR>k@g8k5&3*Ga9+NHvhf0k;Vck11fTA;wENFU^tzKZ3%r=$HDexo8{_dZ^X5%l72j!?LlLgGxt01TVzU~L1~oEH0o)MES|^rju$1tP)im@u6(TG?z~cE`w$`!0t626s1Lhl6xbH_ zM5P5XS@YihXw*-1np3STL|b>zM^Kiw&ZKEi_}5nSz~B9N>h1eTx%=leukk2GXp}Nz zii7>_<*BzlnvtxM(b%JFKtSL)JQe4X83=%8ctS$Rq+GAnbEl(2pqgoE)E@qpB~Hyp z87y~4YAWgbe9|Lv2OU}FepLJu3-_{;on;I6gz?c)*K&T5+;p41Q^M$gRd8He07ZGf zWpJ^#ebd4lU0i(GMw{Yr^hK6*2P`Oi#kfA{y;lZvhjDt+i6yU4SG(QQfSw)$MNEuz zge>o?yx(eda7XW>9WS5n51ez4Zu`mVT?}RXw=njQ^RB#L$5ouvJe%7${3Idy|2x1Y zS`^A0q!&b~anZ?^NjWd(N}QY3!pXfa|IxieR{WVSm6ap&R0urNsjnrm6+?^pm(1@n zN!KY4eg$Z{`C7h`qtm?fp#bp*285Jh(x7yA(P% zkU;@41FbmIe(w27sS3Z1-klC6g6M3FlDnnnwEDaT`wE*OO8XPF|Hs>P$5Yw<|A>-A z0~Hx1A(ZS9PD?UMW(dhD37KV|v{QDGUD-3TI%Y~Gt0LPWLU#7{``pLKF{$BH8d9UIsz}K5LepMB7S(I{1j(xe3%G-`Q_476tjp{R0Z%YN42d^?V zSFrcGPHOW|xEZp)J|fm}hlZ0B$U~Li@pf z{l2ql38`#1U)gYmf$v`qWr*x|YcON5Nvv^-Y%is_2lrJ)nW<6fM6tF*B^z;=Nf2mV ze%}i6yXmE%z~pqGp2q@0f45)JnA;*HT3=&n4X-v6)NWShHi@{#O(sar$Hmj-&fr>D z`<9NjpKdVj>HCIYEvagymL4eL)p8isdpn{WrT7C%q{yCRX+Q%Eq?+DooO-wq@VTmE zu=+pp6X=dnb+DTTLhq#F>9=8%V5R|%mE6dO!S0_>y7xp3+{cX1PxFSwf4zJLM|gO6M-EZI@$|wvS4XzIItw3h$1Jvi&MF?RXR1L4!A-5QdRi@G zZG-{pJyDU>lWR1Kpc3!F)2{+w5p-;zw00|iW2<4I65Jd02$EzzQy{D^MzCDUc4kMv zg2ET~l6ap!=0x??i=X^=1+pR+Djrn(mv=AW61Qm!Ch z&TlCV&*)&%84Nva#KT3pNUkjZUwOd#=q|hVwUcuBzi*V32S3ZRKbQ6USM^GNA@dAs zbtNcsGs&j&$<2hONC+ZIZ3->mvcB&s8P_GcPDx8Q}_KD6M|Q(G8;#!e0letez(mQ;tb#Ry~y zC@mx(@k>}9{FdlZSo>)rsU}AY{1SCA5Jm4m$?nzHH`X0`mYO^QSp+~5Plf#Bl`2VY zne#gCDsg9heFxhHFWoY!NhvB;0OK3XAfKq$Mcz}8m^8L6XU`b;Ed5&G!C*&4cK54} z4Dv(K{4&`fr+_F!$5@4t4AdbJ$N@m%#;0mtS23;zNMut8H$wE&iy?NuXQ};2ul6Nd zD77Fa-wqYe`aE5vKK#TOd)cQ%T{)*oJ-%WtoWopBM*{Zay|CN}N|P^f8x3oAR$tff z+aBR>qX5liZz0XGUC{4*@SvOwhCXxB;z3RzniD8*3StR_HIUHV@{%w7hbc5j_+FRb z)XWexErZxJ5|R{kM{EVIEb)Zwi%=$XN#@XjZ_wG~SBgfibjZHse$>|U+`pfLNkklWDS&;s2i zNLymTNyK>e)_w)EDXcfEiy=+@SsGBTR^u^x-R$C#V*}L(v4z;Teuq9ZY2J_2gi^19 zVsaa{3p$VygS@vr25O}a?bo}g^YR^2|NRpX4SE9VDVp*JI461z>p$=~Ka`!C7)=fY z_FVhm;6u|$$h3)q`O!u`3b`6(h=hCr7oM`N_>DZq9uTt4q0x;ks%8x-yGu>i#;}-m z-u~TIrRzDRo1C=lejYQ&AoDmtDUMwr815b$A@I9j2aRVM1&{X!X}I31jJd2K&p!-o z4^bBb1EegJP3y{ADu`5uu(3SA4yXwUt60Z8z+@!ROx=c9n|%OfNQz@dl=_PXY$ z)MZJo#AlFqHu=D}u<9&(+wl(sQYXP;uc$jQHQD2sdg0S~aMlgWcDswq@q7TQkOmre zPt*zxUfgg?@xeXrp3)HK^eEXMn7ai9&R@d?+m5wAtWMOsFUzx4(wAGWHd?#jUZvQN z=bmMU7}jeCszD0wvLoF=QB|enyIzpPxi)^^($Sl3T?DiZcXaf(ib|%Z(fWF)>2Z=eQ7Y> zCvQrCZmd9<`I`+?c5IhA^7AWAs9YV`BsbbUwK3-tk*qN|6;CM3$i|PCA7ZydMr$<0 zZ;$prdoy2x?^JVuH%oLw8It)q;qG(GWd0I9b8HeUC~)ylF< zugtWT{O-+e45^;__6cyW*}2p{mDqeK4THiyr2kMf9+7#FWu2ayY?07*C^y*2I#6p> z>KFLIC53Z4^?;zoI~Z#a-HITTLLmnr8_HqCTK&z-o>H7FH7^^!a14zkGo{w4a9jmz zU?2vnNiX?P)I-I;=m1&IcS|`B%uw`b5vP7hAg6l20@_9lYC*@=Xy0aSP!AY%2n-C2 zTl-wEEK=b(y6LcTTl(hua)qE%y-=uDy%V1A5(kaMAoEIw$_i7?K9_Wda@I>qVaL+z zA-$0Z^k}-JW-G^;VAma`%}_rh@a0xIO_<8@=eyEdU+oAlaQWK-D z8peximcwvDHhx4RTC!w7`1bSX&q#xV?70rm zuRTRjq;M&o*)AEhh}829{>N1_8`tW8DzAKaT%X!AL1gcxGc3JOgpZWQ*1g0Qa)_;a zxA#>Yktut_2vTHr`6ekN|7!28F>TGDP-?CXsC~Jj6fpn=T;&m7x|!VrdLosFp{5!} z2R(ySLd_#d(gJVggv9Gn<*M-yeNs1m$b>+qaK{&7=-PYM4rlR>ZrbcN`xW)eDaU#q zfxP%q6Q(w0Kk-ph9;6oeXIki7Eg)q@z-?t$rM~uph`a@$Oa1slZgH{k=YH$pA_8mL zIx|o@yb#jylhpxNqb_>WBg=zgqs?0^m0gk_1;2&}9F&;vN{3j6i2dPPcehg1szEGf z@B+PU=L?b^Jq+6m4Hm?tk}|1yd|Bm?$#W>~de~P~Cy~iqAjQ%M#-gHRs&eT5RA zUlM12E^-6qw4g^CUkmYBk$FJTP)3 zPN`00^MdwQsCm=WxmBL??ljEH1gpzHu+-{~VU?9)>0ua40?nL;Oxcn3hPqclJhYdw zrKCYcDRe~Ez0kSsphRf)D9q$iAM{`yh`4;7hRVu_e*{b_j5UX1gq!*Xt(4IosL8hj z6_#0ikDCDs!69cG|6aexBXV!TI0U-`6A&5TR)`S1j4CgwrqW`!C_Sx6@+CdC|pT%pzsv5*f{v{>=$6~z<#B_>u|o`Z7scB?P8CQx

kGmEk5T!laQzFM%4CQ@D{BoRq`(@Cgi4i>Tg+{#*@xr7xjU15%G2u`;x$S6TaH`~Z&yX$!H9 zSB&)V7*4jwG=Ron|8!>im$8`Z!98e*dU@BJU6c{6EIQ!mXejN7_BV(>};z{ z_W^?quI;80$;mL#%%{l$T0%O)6C?6J3#kzdSN2bv9F0Eih^IstTS;Mht^auEF4b!@ z^Y(TdIEk%bTQAJv!VuD#nIp1OTi%n-RkL>>^Dc$e_2=iOB_47TuPj(IKvd6N9%vHP zrE;7tG{s-uPqty4D0OCH7vpx~^HW);)>w0ko&0)Z6=AyMIhdIU^j3ZgP&Fn2^tkzH zKx^XsZFy zFCxMf`I^04mCaE2$#s9;+i={tVg0MC+WtbWx-rd7M;7|FF;Q}M``+(DzvOuGcmbv2 z9E)Wp4;#F+|s(3!wXpfy#v- z2trUC>_x@ZanVYr<>27Lkd}kpA{RNRNe+fXm;znx{jin}9(R?`{ zy!}H{4NaRjD zswKO}l|eiU=otnej(LTgT(KlGVZPUBbfS=(2yL?);&ovYJPLB%p*?!vc z>l~0zjMs=pCeQU9ydQJs#0(QE`)_agiw--<3>a-^ZynVo@RQ}9$ZY>Ts*mJ3bBX+! zb$A&jw{L`CBPk7}WGPBsAx&|eJBc(xNH-y(Q}&rhn)ka{MdR5t2D*|+)3z%anh!u+ zj)O_=dU=fmvmXixUZ3&2tQ5o2N_r6HCP-oTX=P17l-A4KI=5gE&n;kwztIqL#4NAC z=sFb}V^z%J_PnLZpkkH|+{TGU()SIEDp((%nL5>-bn+&5i2zj~x9!Ys<&eDT7|+_A z>WzsVxXcsVm*!m%Yh>(?TNO7O7nGF)`9c{)7Yu(aYE~pGp+-ZTvHe*Fze&9vv=~D3 za1Z1^EVD=TKYFBHG~Vn3EDDkBQ!qgeyd4pNE_UDHZ&^2rra!qCM(fRLuz7RrCo*&O zr-w}OHTjwO>-}Xf=1TzQUT*20Kx}q){`#lDwPSN53SmaI6aI|q-rT+E6J*b;8P39N zck|Jo8!G8b1e|WHnGpzPv8Wx{J)XG%mrkvt{WW23L<=oAH8GK`&^JH!c4j5$9gN7V zshlGPZ#K{H3eOeZZF93i4v@AT(X}0D3kedwMYjDjOrY3ipuG)`r0`_tH0P{7nCuCc zotsZ9FO38NZR$Jcg41T1s~i)&F$`B`$h=!D6OCyaUGt=LCMSV1rn=KJY!CGp9YWd* zCdf-LW{HbGn_Jo(H7FolbMl(}i&!3@P;D>ALqXCBOY;%t(~x#ZgreFU-RJ~8*1rF1WIpE&z?avsup(! z>aL^ zDO|NOg}ZAu@7{Feia<e%f zk&D%z$NjZR;!8RvP6ze-m-LTjVB?3whYz-%wOav`<}aW`>`5==)UgDATpneE@e+Y( zS`u|C{j!iBe{uUcvRF;jcjdL7W`*txYQU`%r9zlziw*MkH{zv2Zp_=|FZ6g&15jnE^wpGfU&aCk}YP_0cJ2#7%!$7bhZTyot=j_jeO~KrL zp_E2QCKQZ&izD@7H~lp2*Q;zMz~S1WL)MW&Co)BMNO{ql*^MF~93>$eoDvH1iowT4 zW+Sqrmq9Cj+lgDWbOzte8Jra4T0XZWhSCgt@Dmp%R#41OBx^N?|w(8h9<`u4=-|WBtK*|F>F4pC5DajJN z)>@e5**q=$*AH));dy5VAI+W19C^&FI0|{(py6JoVf=)57Z5< zw>RRJfC?n6%I;~camMt=M>XFy{)JO7MRFlW<1V+<^@WrYNt8HkFBO$QM&pJu8hpTs zCAhrh%j~l?NYb!vgdgRWKP$-@zLw48Bb$Y#liJTEKQ{NDh5IpcB;=d7F)+Z64%&zc zRTw7IJdkKBurGDnNnc!8NOr|a;m^=*3#e=1w&8OVJlO3dkfX&(i4~x`uMzciZA@=>(ms%gE+<{vSYo<^+@rrL=VeWo5p^Qz z+;32NM25*~dS>n`0eHzt#EUD(ze`{?TkeWqCAe2p|LPrz2W@rDD&p!d0@dw1pDADiCxiP zNsRo~lF*huim>cZ?{FRSoKPUX_RExb5nItwq|Y#S6$D(__Glq=_WbSt)dxmeFkO;|YXwgPQwWsu(jHnh; zF{NxyYwlAe-GrZS5cNpcX?%co`3;ztwav^MYkDv`9|hte z9(3s?5sO-K`&3V=BP`vet|AuSi#b-U{{w~MAO8FTmixeE1gCeK)5u}r%l*2L)!&J} z_9pvXr=<$%Uw%WT2-*d0_GAUHS*cw>E+oDj2(+h}Q}mAiH{Z@j@gCnnt2wdK;O=7vJ-;18tp z>p*_D%#HV**3`aGR0~|NFiNd+=g;qYSx@e2DmQWA*c>ckgbSRjH(Th@<#**@EXab$ zu**v1U?d1|g%7^P3Tx*V!Mxd2OXcbL!HtGE2}_@HG;;rGto-9sy|_z)+0ls^J*Q5d zE5?|q0q~BIlr9NK#t3YB^qlptbjv-E;G| zAl9OF0_LsJ{Ii$;;Px10l-=`?6Pq#QZ8>vu^ez$?%AF(!R@iB@9r5A#uF@+a19c}~ zlLVw45$`Vb7Qsf$$)Oc~Hg~K!xrC6xKyvhtIaD{3lZ*BE{Q1aD(@?Q>#$akRJzsQX()(nC9=p%)Nfk+2P+@-6-uW-!1M3r~66a&l@6R>eMZ~5^W!-uH8CGO` zkc0GfKv49YT29sGIpiL}eyvKDVZ66u?v@$SmL0L#_eW-T1WGr;+zTU88ao`-TF z%&LsCD%XiNF&b3{^p(JXF?!=~WVk(xh(i6SA0F?_)p%tW{px4)u~~{_jdU9S;6Z(| za^afW6hIb>5v+H(h9j`+CgP1V?zt3c8a6^#m3i*hc+7*YB|bksC6r=kjy*>>`xi6H zZ6B^&mR`Y@Mn7HW;_3=<3Y*M8qOY?yxW-Gtw=>a)g+#f~sGB2#Kc6_tDd#j1Z-W@= z!^g|t490Pars>4I@}K93<*)k_|3D8n$;dGfVt*;iX#aZtR>YeHN}&Z|55L zSY>{>|BQiAGz7!67rj;>GPLpR0Uqh^^7ZviUktlwd}#0ZM@SpZZO)C@PXsR z%rQ0Z=JNRR>Jjq_G;?h0yyLg-Ww{|A&4W^JOxu#XLO2 zV>!|5+j1w{`88K!^@XfBPcpyzAa@otRV0L&Q z<{S44Liapnsk2Ca)JNaH*{#30Cb$qb^l`e)`MIzIY;3R^p@M?KdWwUu4<&PZZkQu1 zQpEZQxo7sf?fNI%a7QBet8wa)#ALNtPcyTy{xX6&B1GLKs;%&jI3s$422qm&Mt4i? zZ1wf`>~MMTK=#zB;e-=X7AI~Da-O!lF%!33!!Gw?fqfi0$JFF%Z~MBMzt4*pa6N`S zs|3|=F5H=8TY9f0T%g)+bPyNqT#HdJl$iO&^G&AN?h+riEpOkoDKn<@1bwgRB0m5V z|B-80$zW*A_ib)MEb4ee{-H1=)9%Q2$n3C{W=pA{t6;>52 zM+lPiPB=~}m~NaRdw27m9M=jL&%MIm6Z?5;T z>+H#+_zBCi9ErnYx1X|Zp)_^7M?i- zW7pIsZQj1p5ojtkxY5_f3m32dyE7c%On{~jPekNlJ$?VY;D{M}m-14w8q23CTE^ zQyF3!=fyqa1Jv%}l8dZ(h6G9x^tUpm7Dpq|nYMZ>#Jy%;7JZfI{J4FpT~k#J_pGXx z*ob$q3%Sakbk0(&Z#}qgfgbqtHvCon2Ayxn^wHryE#yHJEKAlq_k64A8m!B~?3m{J0BPmmEH(E%}? z3(0IH^7`F1%X_h8%80Vda{*IM-5c{LR7TH-7%5+~Q|lOFQew_z?Gu~6!5&eQGEWiWPT)|+aR0uE9+yJp^3KDw`AN~muG zqy2()KBWRPusU?c+3Koe7g_MPW%&IK(`$+(`{l4N z<@0CaBYo?yV)r|4(3@vJw*aT{)ZOw~B=_ zbN*ha;H}_oJ3^FAbLhrw^c5XN;-bjB=^FkzBT*Xm(sW;F~T-vYd zmpf;3Ce52^QDX~Mdz8JQ|6H;(11>FIjZv|}{SA_5-2(Six1L_spAPOs?9CnOSs|lC zg@rPep)(15J#5^!S-a%bW(bq8?{l6z#$#I%59?`#PU%k^b(v&rU0r;j6h>HLx;N^8oh3=dTg~{+0p;u)8@DH&MBNG z`-*5X+uv!jzlnS_*gGz?HX>3$EE-nKvfJ#@UgZPw){+gQX`P8+>pU))sSWXxhWKB4 zJG0ud%FgQ;F2bSyD1hzc%mu-Y5S_j{?|9eao3k8Ku$g99xs9 z=brTIh5zT@fFFAyN7P8Wf8H+twXh|-_wWAH%*e&h|0t$f=>1L->DiE%&^nivmvMRv z)&Gnk7{%5L2w~l|>E_Ub3Ug+KUqtq$53lSDlPkQzW&s7a(3I+m4IdwB_hPH+jeptE-*W$sI6u zRA~1Ubaq1t&xa-e%yIaLeg}i5w8pC|=(#_G!?KqRNXkRcn{w`0bKF2KQ>^>?5|5

r9E>XI@yOr^_x-#C+^ouwvji1c&uw4bHM@rU2X zute6mw_kzG$<8AD@$OMij)2R$8H#}bAJn})J-^O-_BUJ@r~N7V0(<-K%+zU`NFYUD zk4D$JRyyiIYa_!{?``R|R{%^$6Xsg1Cd1SjPuFBmswY)VggcIf0~F{6(-z&Rip*|H z1~^J+4ex{YB$EbD1~F}YJ-Y4NBP%-APG3WCYGA%3G$%_>k*(c1%mb~daIj*~@(akm z&-0#H-a-)*W%S?`h`g5p!(6|N8c)}TAZ28VLTl({a9$zWWZRZM#P#6-p^Quf^3PR@ z)Uy#q(p_9?Zh|)sEhc}~upDSbyT85i^i!BnF@9RJt34DM#|u;5YXBK?V8B9Us}-9tBqDW3nvvHv3!3r8rbkN`vFp`Dd-_d#tu5e9{J z$!eTURGhyL<^F*_H%55mNP2s?&npImCZ#wLq4x$W#A+!FS?}O7LV$o8hGwyB=#k^V zm{`A|2SNhS_*m7X7Wlfy-=*suZ20s<$+R6n&BVcM0hk5-B6PpjAJoS`+wx_dh}Bzs ze0)e^Q}dX1kQvEu0k<$%8V%zH9r`?j>{>;zqdn78JsO(A?;E?U#(So^dx`=36y|tu zFst8OZ26AP+TXT29mYeLtkc=bjkjACoEx*~JI83Y;_v%SB0H`axdWjy=IOk^`27dB zY%q#OD^NrW2~Lve5x@%BH*6yJfk!ZIMFT5qn0;VQtu>S07tN5>rf^DhFoeSX0swE^ z&ZaE)ZRo{hk7HmGguSAwG+rg{71G_)Qd<7$AMvfOiRiAO6(kehOZ~bAO50t?t%R4U z3-wZDoY=Cekzv)<&KK`UsT?tNQ)S^|R8wb@4V6L&KMMNTj}O^*n+MtU=B~~D`M{*C zW6(t6i?w$ZN&fX-MeSpk@AV{>Y;}8f%l<6 zMn04W`A-2}x)s?$w+A_(SSw;w6{5?HU;PLJ@Bu-;W3hie5--Jb&!1me@AvD4XOH}x z6QL_KK0@EP+{^Bo6W2q=hr-1te?Z&*HK)->7Eu7{!uhgs-^Jefc+>0#3X$%puj6}J zL+)BEZcZh9<@Qjm_L+x=)Lt1740id(QErVgVJj#zcWbZV30S|xW;n1OCdV1VJWY7k zsk}pl3m^kb1jx6wOi69)6p z)s=-Lq{pf)i|(N(eQjfKzr3QN4tTO-;RWZse@N?Jcka{fu4Rm)gJFKh>W;8KK4^vw=Ef&m!=7`#o27NU0qxc3z`}T2WjyUL6H{~tt)>8y|Ai)wy0rUS6;3pN4?S<^ldrb#5!&;={O2vLh37iTeyi_mNVm0Y&I~fx2QPEPbhz1=K-BoH;zJ zzke_AW*J@)bWJG zZ7^^y{#APv)K96%k4W_9z*Az#UZajPzRa1bd?)rOYuu{s*pz3` z#O?w6?(A`^JKDOsx^{MUh~@um80Pf}pDwc5Xk!H<+jYKb6YnquqYe<`PBxylw-~|A^+LDeAnCZlom`wcw)HAO}aIh=GuqZP9;gE zQVsPWULKmIaSl0BG`M2o(A$3T@P=uduC3W4Z(&A~=u5YgJYthRaOP8kTGN9F`V_Q` zhi9}`83auxiH|3FIZGete0qQ1*w@r7}1AgwaK@={A$Wl95bU^KkE zW^bzFc&gUO>#c`ryS$vpfe zpuQ82^>jbk_#;=NF!pH{E;1vJJ#>QFoPLl~U*qVqrM^q42mhNphu{phMR6QoV44@~ zoGX#_Vmf~s++lsRcQ$m3P82aIAYj6Hec9!kr@ z&282Zn_BEAuwS+r1|&-J6fUZFle>mKWN9rM426!>w@zbmjU*90=S1)G`;Ae@?)vtx zf_F!mp-)!v`=f=@?k4pafOIHC9~We`2)@pQ3Z5V=5gYG3LLtg~iGI+=9#m!$Ic=vC zOah;R`JBF%#bC15!5EJua`qoih_Ri=FlG9rAqd7^@#f(b&;X&7Q*Sc@T9TsZ{?@sD zkn<$xtxb2w$d4oonn#&jG2A)dLW95Ezvpa}KLj@3samTpP{MLY(WQ1G>!Qu&-mtzs z>V#q@fZ+R+-(#E-X{1R&a6?t0vCq^^i z1SagN&x>u=^OTZRZPPGM(s7?K%>RlhH%!_$`JTzOP&h1Ob^TzRb%0p`TT(vOI5i07 zbEw{4T!t03mn)+??ye7g?P&Lo!J-Ps%IN5xgq!^VTK4^eD>f|tVXJoq6Ql+#d7V2a z8TD3oL+#Jm3-u%U0)QNE^feTjE3P&1Z7Ys0Y%(3X(_6#VIRJ5AytRFiW{G0q>YQ2X zwJunyw3we|xd3fzhVp4_Msw`H>vl1{1BT*lr>poq^&m4NvQPzUYQb(()1-!dl}Q=^ z1Q=iaGbi5p!-ihmH61iP8nl#hskXci!(6%^w$^&c@3?)EK#AG0!6JE)@#HcATXXh5 zgl<+4kr_{5jq!V$=E}|IsUtX%kc}2M7Vp|74uHTb`iv6_G0$~0r^hP7?@svWJUp>f zMlho}Kx-P?m>Q%zmI+9Abymn@JByOep0-aaOZj)f>H_{0mM zN;i4)ZXIZGfneBBrH(;>>gsNk#p`t}g`|3megbk?NPBTS&zopHFa>&j&@NI8h;vRZaZKI=;^{jz$Sf9yg^L^ai z-378oi;{{bV7g^7!cEW!{G@@e;pDSL4xyq1V|;hqx?uX+yn4xY5u--CRu!z>O4kX^0g5EYJ}o$uSdqbokCh~^Rz5iCpJUA;o!5}4KOkX14S`QJS~WajMm^ zn5GK?s3P3l+#Ota0|~%es3N}i1uVZZXWIl3Df_h@sxPa`QgQX|?ILGREfX2S--Crj zLPBk?nGd5_za^j#kbJ{S>T=gGVU3^^;Vgra63O5QyBzxB&Z!|K_;|uSJ8` zTB^Sj%6cgt&z4heWK9OqH$UBFp_s@dxuLZ_G{Xoygo?A~vIV)fHpn#~F2fOQoGMEO z03_?v=`8#K94>1z>HtW-=P>LdJGm^?F>hB%aMrQ7lhZFEO{Q<@|5k9!3*Ffd?K z;YH(y|wyqL$bQ%bx+d6J`h$ z*Vo=#{Ho{);=`-Ep>Iy?A>bCaL5^jrUwpcsPQ>bYcENjkBCDQy1RJ8rrZIl9I)3s) z(8PzBJhDX(VWwi0wywCLLeXuU>N{q|t{nHXT|Lgmk~S9pqrXJ!E~bPLHRw zyJIpJeVC_2lrbR`N&yz~I7V#>$&esO0EK&L-{mzfW&&rs>FFneCxn1*97w)@pbxiFQdx+qCAB#nioa(gVgdf9a@ zxdvLtAWr_M7fB5V!5!{to{XgSiRyI=i1VbmRu;Z;6@@ zZ0?h7ZueV8@-A8Na_50Qj3oXd%t#jKDRcz!o;`aGcXYw5snbU!16QGAi0bc#d7@zQ9(@|U&vSI@iz67_O9IuLn`H|om2;HCfaQ+7~=n(9Z0Eg?xm0R zT^MHli-|Uoa=_?t8yn%*Z$f39fa*ZDJa!z(W2kqWo)mqTU{Dg+1Eqx9PagdF#ySJU z3*>3`r!`zhvX;gELZYW=OKyUJRt7FT%r=h)+}ga0iE$MjAxgtHw`%(6CoHxb&JyZ@ zpL_wL*^R-!I&c~pg@Rn}_MaMzwW=#uvA@5+q1F87rQL^rEfoLEmpik$x5~)@W~Ud7 zoXz3ReGP9Nx=FCbN5>e`l~T$v4%WdB@wNaFYlrb1CYiw}6&ZVEXoasJT>={%A3k_6 zDDKFoUkS6+PeFR>9Som_NyZ4i7C;XM!X(*n8O{iYen0F)!&-44vkYzg^}&>f^0s0iS2y~;Vr_FnoL1C8nebrwkO)8B+kd9k;) zMA#CM?!#4@yy*?1u^^QFyY|@A#MuzO@hW;K-ixMa-o+y*^bSGqoh}{B^B5O?FCXh4nAYFMOQj#QLATF^{~$QJ^(8`2KMRoJQ#?G;NCIfZ~Q!AKu5|< z8hdTx37OeslNU1MVL_KRnrZ#W`HuJdl!S1#38{O>c`wtq`zaTsWf03-85Ro^(i*2} z*S)f|&+kow_%%Q>+XBG+xIatLcZ4F4(a>3|U3p3l@)R*U(<$6br1vmuTQh}3hfkY^5pOjcw*#3)nK-8k7W~0qsH91@}Todd;$mCrpCBJloG}duwW{iOKJo5gy z*ynPk%nc4uV$q$}^pHJ1!wj&)4E(R;Gfe+vaO|Y}s!mhzG-nI^do$N-!kDrb+6d4U( z-)~WP;9ZOchW};#)aS=pye9P-*N?N$*5=HSPpLC188f_x@xbs^NT*E6d$nzb7F1~T zQnI&2ng4~KICmpB8SVLc?AL49X3yrFBxuRS1vrStM9Y)LsHg7ZeVYY%FApJ8!m$OB zL6AY69ym2Ukm}Hp3W>j0(7*;px50K4K?NzfiG7DUOnxHJ*|HgbZ`-sOZ$ZFA-d{AW zJasyo=~&<)`}=1DrgRjrQyYr@(sr7;C5${Qk5(z!0p8nT;ytrbL&nt*RN_IU5aB-- z()u!lAk8pC)t8RYcEfyE{n}e1+GDQMBd#TOEj$owg%S{Uhk<7d-XDyEUx*Eq9tj$G z!&2XSOW+27ZEC$u2NaX65zhR8FYaIn+D{8>SudTA+}NxK5=@NEqt-?~m!jjx$ju$~ zM6Hd)gOY}l|H(wj;BAB^y?54(QSj z<2Beo`-%OKYkBkL4PvjjyV^Aa&Q+n9)Abkx5DgMirVz*kaFS7|97zFPdYxzw8NN;{ zO+p#f*=~urYLgLV5^*)UJj&2je^3b<=s2=<==D_xv8^dYg#W6)hzyC~Ha(mpO{ zg9aoQ2N=%^KszPC&e^>;_usIpL~2xGjI$?)%)!@yVEu!P;Q`0#VaIq4%n+C?i0I?l zhyIR#C^@T$zjfC8t;#QLQnCjsl<$@rYEaf1q-&@-6cTo?vDG{zhj>vS4*w1d&5?!d z|6T^xUl_r;q^FPdMGj1Chn&!UF)%j(If^z2`m(d)K5>7AADU15w{u`Pd=PIJt@+YVGgx8 ztF=1Z)&bb(Sp9I8HI$PuOpm;yxW*P0j#P^=Onzbz1-uS{`l~vMckv5Lhzj-vi!B9lLH5zb<{-C5{85`P5r=#WM#bYmwfk;4deVpz=;1l~=0HS50o5e^+V@R1_)#$wH<6-2+*R_MicRIC!q|I+*Q%4i2ZgYOvX+y`^Og>QQzZf| zDeHgO>4b4C%Kzb+GkE*GkXhw&2uV%1_BKi_$OobiZefkjqT>%RY*r;qkq*8?e0W`_ z{ZLi-9fHpaJ+0tRB{37d#7JV z{A{-iFi|4BS=ZB1F-o1{^ep21l2haIm0XfeMlqrZp*F6oA|4AhvVG8! zcY|WvRj51#th+dX;{n*!J$ZGSPou>{s zmN4s{Qc5Zr0Je;4NRz|!dJ*VbOWMGk)1jfESV;c26#Dk(tft_-^p&H9JY3e#3gVJ) z$fy^U4z())9}8BGs^f@Nknkp5(|1Z?bS#xWY!E8cp?>d3H9&!->Wwr2=0pE=H zDA$bvAUX|;{Gvj*2nK?3-%B0-T6~%T@%^!}D88SKO>Hz2N<~B8YWoIhkFz=I^mW+v zS5y@*A&P}sW+fFRv{}wctnSjEW6HS~v}$E`WYb|9vIWIkBY;x(r4i$v=4BRqB9$ zl*_ONx|xJEC%```VGBMpf+O)_JrH!~aq+QF0HB7k_^}y#zO(WLu;hJ)pyTc={-&=% zX>K>jL(t*?VW{coy+v_gA&^o+Tk4xDdM&ex1-8JdJ62lt-OXuGXlq^flk~yS%Z2)X zUN#XaEIa4OizV~CQK#1rbk~goHCLKsPoCe4#GAgoxqHC5e;{$?PLyV^8lfa;bmp#wsRe&}DkSp6YTG_>^3B59I_*-h$8bNlx1?zi*2sHgHbguD0}^bLe4 z+?*3OEGCLoXva-$r6GAxML4)$LrE)RqVJ;;ws2geHNaZae@B>%?`9U6MQr!}rc--i zm6EL51C`beyChcAX7}Tdj%h*--8pKO_&h}bWk-f$8y+_ZuvDhobC>+*AZGeh zQejVgVU*t+B+y+>RMr}c0NDVv7eE`wU-<+8BKA=G3h1KI2e=tlKNN0{0Q=<{L~6=S zrl0~TS}bRFlIffu?#2Y7#+-oGFyq$6+Y}7QxWH19>|0hByoOuPzm00K$Wr>C`?<%C z=acl*nO46Eo&w$5AB3D!A4LU{0v%$Ur4QrI)|^GIl4pF(GV3NM;~!=m;L$V6VI3L$ zK?LP;G}!<%D?SsM<(|aeEnMo$xtXHttZHKO>Gr86KF{|VBNJ?JT1_t0>?X*5i?H^} z>ptEvXzsXTl?4!Bg4*ZtWeG$N6b*_W=_>W#ph3`oE|*1|zJ?m{N^H!#y>=+-TT)Gr zT~deY75-NBPtVZ(*2*LQX zeW1!53kP9Z5-579){5lJD~pT%2?rnCRduojg1h!4c+r-N!IqyD5C1ARqs17|uQlIR z#P@R=5mYUaE%@)gjQ9tF^4Je{L|@5!@+CU2YSSGBCtFAx0>phuv5o4JV+7r+s$x`= z1pR6GjqWUwpSt^kh0R-T{WOS}rU8LJ^+T8bhaHcAOdnaXz=v?p(famjJ05J(_P<%x zl537Jm!>UO!W!&D{Ef1q9_&hRHb6^x?1%tcoTXS_IaZB|h2xZta=a#;K`W*+QQDtt zQFegw2yiJ6QA}R1Toa!QjhNjCc*lpCCTOZdPSe^qIyPX&H%3+@ z>V1@wk{W_QM&?j;G-P4GW4=`V@**GQpw-9Gue6rV9L;vLH!|y~V@vvDQ=fDE6Zthy zKdjpbh||`$ure=DEU5bRH_TF@ww?Uq?x^m|^+^yUK;J=u$+JsEiT~XL+F>Yu4lbw} zbYRk_dJZLE<>O!5O>zN&GGEmQ0v7j|p4Tq9bMXEl5v?x<6`J_8imid*z@$Y21B2mQ zHUn)Cer@fjh7~Zy|j5^ zP9WkN-}rKkF${IFP!K<*;dTjqKqvpk=bA<;nsm*N(2PnMj$++i2Ka0Zd=#6SzzM1ruJtvF`K|~qWstaOYOGKPT;S+nAGb#&Q#kKd=sO~De3i(ze0AMUo z!NPe1K51Tv?j@de;#fdgZh$}(9oIP&^g-n5!~sbCWo%YvRPD!tg2VP*P`-H(lB~Q_ zfYO%S0b?0mY-#jxc=Q9% zM?B0H7SEtGNkBdd?&K5eyZ&*{x{VQjsEEF75O3}8P6GNPBtpi!Qnd!P2dxBJhVu#I zMCphi;G@NK6^O&oWz(YPa&MBnYS|(q|MA(2ko-%Pmm@HAQ6{$~u5IWpW$h{1?Y=vx z2O>lcz0M3W{(=XdGyusT9s{!YRNOZNUTp~!2Ka=22tO6%P^o=eHb6L8J=K^hJgPPD z+d-_2gmKPyf0NbUD)K(3i(qXkGnkifGXCMuzc}89n|nbhg#^ZAEcvxJ$qlt>fI~dV zEHIVa0d_M6Vr)~%@wsv5sT;Z>PT+j`z`OMjy83uU8L@3s=HgqpD|3b9(O7~ zh2XS9^Fs8PkAFR|fK=*| zRB&i59VH6~gHE91)Tm&M7*x17-MG8RO1Io7X=d3X{9Iwxkwo<-z7MUoKuovWYm$W% z0XN|w1k00YHeZKe^5mDGDT{%&PswyX_eahPVgU)5Z>t4gvCz33r(2vkbXI4`TGg8l zQJSKxN>ZIdo-cBL!8|+K09{5}fL-mgllL`Gqf8k0pRFC%tLV?yOXRLEr5ZN0nElv5 zXBCg7s!|iekqy_^N4-)HnhY7T@OPyD0x*lk0U{Nx`R$iu!Lfy`vBH%{A^<@CZOI-a zQz3g$-6AjfGbFzQIvhaEDF&8-bht&qKu;c>A_QcD7>gAtV^)&W5RI=-)RTU4WF#WJ zRQ%%S=e^n{U@0=dkHEe7a{h2t_Xb-2=a&3sdI$VXj#TZ@)@b#+q42(rKG8BOy(YwW ziK-plC#PvIqU&zhY%B2>9yE9=1l_gE#M<4CqUFY!ic=2%2<^SM#rKO3SQsM36G$z8 z(~a*sg#b{AG^@~9*8}b`lk366d@cg~oefSQY#c?gcI>z(@|c3`d*G2ztv;?>4Ka^K zs!4S<5&FPDtEcq7^yhm$PX-7FfU=DoYl=)b1$|15w;4`qJQ4uK@e@93LLmr5vj$YM z`n+?U*CI&X;E`GR?50UKu6rfdCsO?-q3g96_Exg&>-J)Sb>VIpQC$bvAHSvhgTF>R zQ8E9gW6U5kPSd68F4^5_YEs8Hicm(d9;zj&c?7p=hwS?d*p5ww2QAu41+}kj#RbG6 zXc;q2cG}Cs?EFKyzI%xG>yV)en^l7r>2vDNfn)P?P^E z#;GJ#`tiOE#WfAItPTB_O>1@=F?#mPzMkqr<7+WIqj~Y4&PV@ne9>T-hBfkJ_HN3(VD+j>1&tJD?k(K^!{q785^NFLRV%%j{Hwck4 zh-FM1I6T7l^2n)cj!HjRChP=^YtQ`D`CtXY5bP^Dt4eJpW~#9uD8G4$>tfAznEWjK zaX+Gwk<>TTS&CpMiYoq5bm#wA`|@xs*Y@k3C_|-zNR*05A*o~* zMX6AxoiS6PLdq1;vkRpRl|p5TG9@JunIej0D3Z*{kTOSP`qmY81AFg&@9`bS`$z9i zc<%eU&S9Nvt@A81D_}AVfU^5!jnJW-t&Z>iVN&CsC`?)yqDl!p}Mgs zs0LeR0L%o7f_*>N*)k#WlvFg7MhkNm&5g)Cn0~zjSL%lQn=l1$AKiqAm$o@nRp4jH z-@H&#NCdLS2QYHpB6#VQKzPqCRr1S?cKc=c`uc|VvXq%j3%1-HXr!K(D_R?!+xp*h zqDkc)4#l%ANNzhnpPvMyzK_X7L30cQ$(-6@G<*VZKtKtQ3PER=LfJ-Z0K^7ok@a)g z-=GyB<;i}ltn74@f+Rjb|09OFab%##CAYQ>d(f*<<@R?i|M{`YY@iz06PSFJ$zw>P zcY|I}ixakpH?-^~3R~=b_vll5tK?{1hI52Gp~1GO4UQrQKCionJGGLb$tkR^v8};N zy#1j5pjOVvjn-BEJ-YV{w?-axdCs3y;4ieo>D|8F9EKb6G+fflW*s~CYY?BJ1e~1g zI2TZI17>ZUTsv{P(A-IGx+)DP2_PVz!C8nsvL!bRvfYR*OBynU9Xsy#eXzL1qr3#` zi-{>iX*B2a>5LLqb(ER8wJtZ7BiO&bqbrUVFw!YM7Z5D@-PUm~k)U2onmbFq6+okZP?oX{~z*(f`Q<4_sZA(f-zr&`Y-RCe6}pia z_U`Fur@Qp5TAzlePrYX~UVr_`zDz^v@LAAu8jz%ouCLo;HeL7UFhlX6ini2TpH~~? zu|Y4}=(>**?;+hFwTm&9?i|Ey13k^|(M>jv=mgm~=D#H-Jui>*rL9@Dif+S0KSBMV zlt-9r4S%VXwh2g(PY}O!&~(#J@9J?s4Q7qbVFbR=H6y{csacPETS`{=*3Zd# zD7U>GH(=q=ZjGz^a(ehj&!6rdQFL$lv1k4Lll^QArHM0mm7s*&I3>8yoib8D75(gHY)6LiH4j5-QQjq~F3=Z_d za$8Em1cCXmWnWy>iCN`!!YQYmey*%3dXyz0w57xigzlx%AE4oEp!BDtzYyE&xp-fv%W(1f)k&?K*ZWB(lagQw$9j_FsE4@IfRg1l-m;F zmI63!o32I~E5H@Jilu3TueB|qxe+-@JIK6DG-JF2#qincY5INAJ8iQ$FKT=0z^u>{~Z}8gOIW z+K=hjagc`J^NPP3KTX@`@+OYzYaAL_SueSt@mppNc|$#vy8951z|>AHrQS04Bwemp z4yVT59!IITfIGKyUH?mR;{C<1R5`?$7(c_0X908N0Em_KhyPSt#iAbX&@Gv}aW%`C zy-}iZ3|8(1a#u-&7O||+NtWez)J`xh!J|`2;7tXx)&p*;FH5x^Od0qOM6XLlWFu_^ z4s`VS$UgZ3qgY!nw~R%t+ewVG>_72l8if_F#GAtPeTFSFo#C`>5_*x{(=BcoNME+X zWVimvZb%+^TPWhS zr&p+6^$VGecl(Dk*V(3*1?zsc@T7Sd_PE}md$$4yv&Rv4&3-;FDFqL=#+2&1=0{Mc zI$@`LveK(@A2ylk^jlmCSFK)sFf*{U=w%L`e5BSykx$?6up&Bi*Q6NsEuB=%=S^%b z>VENB{HerP%_Y-K!^Y!s&h|$}yAebvJwBQ3^EURs8(bcvdmN zqE7K|!R+Y4=~l?Twd;X%mhT?pn1b`Jq{ z@oOil=_`t>DFq83P&%d-8LT(#qh`gM6lm@z^0M_vM0IRye4?4g2IZRG143PMIK;R% z4zIZt@P>VL^`CbZFfv}^X}5PRBP^9}#j1Lg;*T^^g4qFlykI|E`I9l(@280k^uDiI zmrZ`^A$2swH><1RDb9dceZZVW>$sRmF4fHX67Z-E*@{Rh)J}-oW$mBvC?9)!w&C8m+;!9c&B5pOBl_iCB5lN~|xh~5} zodna}3Hss@RF6K{-@HQ0^8hM`Qj?pWou;c}y@oJZ)HO5CDNLZM@?0!pfy9urRz9?Tr)2yEE#A<{Qy$~vG+c5Su>YC(SL{5!tvc%o~&$wd9NP2^BF)h zr_;g)lwj|Qd?GF^t3XXItt?_$`FBbGfA|CCG{btO{*gnI7x{!ZQ_q;gz6tn>N|kZn zr;6VDhKDs#>N;D4Csi74xZ|+jHhZT?w!Q-4BH&Uh>IV}fe}c)LiBrIWNCTUrH>2(1 z#fyjd#ZcI&MB88>TterEBZVebap}rKHK_y05Dw^n!@ATT{0wcX=aeQ&$7&rr?B2Z9 zX=U>xv;g2NRz|I_J^nGa^|_EVTI8s@Zh3pnh+Z|D0cd*UEm4DrUkdb7ZgdI@@2Vs= zETe-iqj3^az9rt$cY{_0TK3k}pITB>)kSZB+u<;bc{I?Hay`ex;F17OjdecMYSAy_ z+L?kM2QZ2$efm;ZzC2y(;xsxkd~LniMUTAQVXTEB3dg3P8~nxz=28h+PjQT&G(P`> zGGks#Wl{$!8@SBqwiq@cC3v4!Rt3dA4z@OG{nK;{oQE2gx(gN|%H-+@IeE$23mk9o6j^fObBRGB)X}06 zk4K=8srAqPrFZ;Y*q$jFq?N5BGkm0e{TFrm)NC8fj}aq596mx38flcDu6x>WyQa>O z9JJf+vlqXX(Kf9!k$cgFey#)6;6r%8A>F;oe01*@4cE3HnaGdFQ6{?1FX&22gnSs{ zF<{rpmk>l_(xemDSr+^6bEr zE_sBlwCD897jWV=b&8(jfwDo+x$7LU4{Lk-zy(a>JGkmbUZN`{sJ)V(z(*w4d+8`F z5IRFn&3OwW6ovDwUFa{})_oOnXPAU{&*f#M0DARnzG%+0(|rCffb&o1WUS$d7XWnk zdi$%$Q=s!4j zq%wkJ5;N7uZo|VX(bo`O1SH8~ubzoeesjSnVXG zb95$;CzC_P5(*;KVkR7GM-AJ^1N9fVLhr<>-0zVI&GfJ@3vXvgOyR9~wQF zSHm2L(h}SG;TV|P<_QYd{-Eg4p1x5T`niu}J{31{riMA($(ArDQwb7h`rwsZ)!q1f zDR{A+^{%=p$39d)A~uxWh-!!6;m~CDOUF*~jRU{cH|kvjGn+%;nHN6|<)^H?dpTA1 zXlN|FvTD_;?fe=wmr_dq4ie8+Op`8eEHNlEh{>@{M`2;VgGoJq>*Yfn={2*rdu0Mz zKLMko@`u4CVG8=_gEzP}n#n{Oe1>hqc@FVDq=%SbCj56l-~%6dqzASm>sjA3EHHYJ z^YTd3q1yCepJj4NqK&>P7PyNWkGP##=3_N2ql0JYf_7=6J&i(Z#r%jjjY%*>37cwFy zj$0kyB?%XZjL6cREm&JSZ-xBn)|3*RIpUx0o?XC$u)nd4fY4U?!ut!h^`4f;Ibh+} z^0mEMa_znZ`j@%0cjJzJ%)QR$h)y>`vWk{*xiji;&D-Tw z_YV}ooO78()ESMF1UUPsb5i`lOwUbJntBcvdaii59#hZH;Y}dXA#^O4vfFTD1(w4kRC5W!+!q5Y#!b7bOCJ4u# z$ecD4xoq@|E%_1XK5Y_s-a7YH98kukGA#m(nRWEMsd9ns%7I*+<+}|6nn}Ip#@6yc zF*+gP7?}@$y05GrwjV=0MC}^r=COxW>D(glb3tzPeZ6ROU5`^k+~w2T&;T-7C%IDx zbzofM$CsOCoOe<2s7q78fvlNp)sDw}Eu?PJ9(Jo92j{w#Lf$yn@FqI-w9hun{+B09 z{z@f^9O`z%=XT)7>ZIBq+4L3N*BY&a$d_MEPPo9U(6F(X8+QNbDm!*PK<>g^Q;z16 z+Pq7hqgQ14+ZPG%UxRpwjD;GCF5`-b?6lh|W+Fr0Nw}IFsSRa_Hl4MdF5$8zZNRwJ z4uPrssLAlsB>yyey*k_t#h7#sa{=Y6>&;*p7rF9FC+`Xj`y(reB3oV97;La*`!NWP z@+U#ag65&Bu;~+~hbRTT0a&A-d3v7FfKb?OLj2VKRN77PW4++cIGyD9mlanx9%hTS z);zugD8cr*w6!(jXxu@X?!2BHO;xr1O~l_V^KTqdYFaLpeFRHX@S3%l!IRpe=*rl? zqFg7WNL9T{lhOgb4yRM`LHj^-3d}uKP&*-;VwQ34<;#~Ic9X6-^}2cf>r52icH(i} z`Py2!%o&J+S(p-dtF6Jhryr4Coy53^F>pLlUWq2FIcd02&_#6M{iUQs74r{-6814* zzRU6gIuEU0-`;z7onQPuC-SuRF**Q1!{(4o6dt#EWOE`2R zEe9;L!h!2UNgw;l#E>Sd+%E9RvUOurX%l)m#G!ecot|8EPXOi!wRJn=a zyfqIhj`l;7H^}jtQ&f^72`ssb^QYxU_->pBs30agQ^>*B>b9<;BuKH6nZYU;JH9T< z%ZrnBW2V#EkvX`IYoZB5tv*Ejz6kOs-v>`&1Kel$%*ACp6Vg9YQmH}6GST_|fIYk+ zPD{uG7Qr^Gxbu;Amo*v`$Z}P+TsmsfnCp~;x4$*nySD;UkI^eE)y};3K7=3@RV`CnR04;2viuiFp%9;N#AyO_#lyE8IwO}Mt zq3iMNKccw*fU~=7tT@FM@miTCRw|(G$L%Vwv)`+ofDF)9_ zqN2So2il#IXq1CmoS6dcVmgY`Mw_JUq!z6g$$(efJt`aHPzRNn-yWAKPsSVm^}kTw z&5InUox9N6b+Xi9ir0Tp@UCHw2g=)X`Wzn~&Q)4B zhdEf)4i!@Z+M5W04Xp|xc)<~E_GJdiDmT)=X9mTp3epci1RGJGgGovR9X9^?bEyzr z-k^0v(#k()F$yOzkg&9eDr75$$!lh+p0hOg+caV+@BiosVM@aUJGn5wA6Gq|UC{FK z=5sQ|O_W`6_-cP7+3^$j!3on$ZV$fy?psakmRG(mOkK$m~XR6zv!GtOT2SsbJ|qw22m~_ zLL?lz_shuX-;eJPuZTCZP4dTsO9`h%o4y}ak%36)1z*XEnG@B@Pv7z)Pcb^CmRnj0 zrt{KnM@yRI`?kaav;6Ym6%PTJkw3v&Iafmfx-h@D)U?K$oK6n=?PTw7I3p8zd=!Kz zx0FiK6v8p@zE;~ZC#}Pg4>|P4$Jbgh_x}2gtM$X@n25`?;UG6DeTVx=P!FNd%wSxS zikZZWn$wL_eqSH^ZPUYAjyy05_{I;% z^d)F$-KO)oTso~!$OUqCsU#Qy5t~sFz4asKS`;^8=RM|B;Krs8w^=GUCVW(=BkDdf zjW`;MGJ$vwhrY9od^G$Z?nEpuyU~q)o@P8=pEVSfoda!2wMuOtKwpne|KkfPT0eRL%O`?)T?Bx8 z+SgvK&C$%eZ8%9EG4@e=%0ZBDNKbjJ7o1VGPnI|qktri^PO$5&dmP$ine&GvHEroN z834o2&sn%z<^XApOR|})2If>@rD_g#8G+(OP9XFiaz)lQ#VJS)znpg&FGA#-ciU{r zBmK*#w&pHI=tVIBQ|ctbx-q|pfQ+Hxj*>@+xhOYR+>lg%Ah)U+({A|=I0=O!Abn+G zm3AH?I1ZVS&N+7n7W^ML;#6WJed|Z+8C~8W7>gAc(N3eXS;z|11azmRik2OyMQnne z$V~Bu8q1PS$wfc@K1TV+bFOk(#!Ox0^Q5|Ss=Ki57P=Ysxz%qN1XJ(go|`HsoB*DS zg*Q@f;in@4?iHfapk~I`G6bnGyTRU%ENP{8mQt+f$#Vz$KqslSvSgQAQa-vz$7jpj zQ&DM@I3K2>w&w4|U$3d@QXEXb`qAda|0G4@R7n6~pQWv2$A7IOAxANe3U&{6xPsT; z4)?WH0-N{*7M4WWCG&zHNixRU1wtGd1|$S23c@3)3yA0zOU(IN;JxnIXIg$rX44q} zZB3!>OY+}o^)werLl;IQRq^rhYm^ScfGT>PKZsBKNmc7ii$efixE=pWpkQF``nyuK ze>rFW_=?7kSp4!%V)UL2+-Pq=@wo4o%n~|Z-_Zr}hzF&&hQs2STxX15JhmtUP?1k`>7*cQkN&K8B!?MncI_t9ihPkCjKXGZe=bs5tDksiFR0LBwx3w(8xL?S zGaJ}1`8a`2IL{8f%c+@+O4sgQecs9V%~%MvcsFRKc8dT(e!R%uIp-@v@4|qizoId)+ zi=#A~3j=Yk+4F_m%L$FMuhNoKYc42R38f}_Wir}B;`|-mp8KgtBVEYvVCP+~_m5CL zLO?)Bj{edvBN%!;-=(-?2U!!M^2gWP_Y3KJzuZ?pFHSe`x;gZ~MN70bz^3)8x{hNA zB69dG)6wSoPg%{pr!l2tPQTH?qOC zn@QVib~ZTmG({fr{dTR4*Wj$5r`z>CT8HeTSvT5~1_P}wgCW8ee})%xijUll7nV$y_Ty_)XA-n=hl+(v9lJU*aEu1BFoDlEs(ExWrc za)MNL3@ZcO2XwZ$FzH=&=NHDmeL+@hU-q~ z3_Ay*_RcaE^P^7-ZXn@+cz39O>*B&UmzQSvhA!Zw06k-KP zl3pb1CKT;X&x6*I)K-)ms?aQpn0)*i2J+eXxNl@ z@cF(^$86zkl4bB~{f0(Fc7o4ClTU`BI~e9zhO4aH6nkI8FQ8dFrjboz)1v`5su!eX z-}Dz|iZ^fe^~SkKR*8Y(q&i%`L#ouox#xw5s$|)EF}rs5^pxxYjRgyuHEer4?;B?4 zzF%IeqB-2?r!OFw%aLA@tGw39Se7@NLobx;3s4s(mwiLeblxv^u^DfHWrS#%DvK5a zsuxOiZyXz%TwGb#>=_<-X88MKnP_WBd9{|>qix{66GOv^)eK{)p3@7J=n>z)m8ISC zA#au(YFy3NGBDu<@ZzztS=iW2;7ZL(2Vu`1B;@$9Ra-vyPS$=AUb+7JW?9N#%+KQ*aB)xjrta~nS7HtN`-3cp9$cIo5bGqT z{&}%wY8RkA2G8hj`h#Rg24UXP(wbDrfigzuVs#$FnC^UA_tRa^+>!e!Z#-P-G!McA z#82~G(0a2yLyWsk0nuQ-DmT!pa{dv-UG?He6GN;6Eff39#@F3}VmFo_L|keEY{!;+ zc57x9Ego>R9sj?-WC;ov15;(sfq|6+)uxtX(MiS@Eo$MNh>D3XoxO$-W!U^5)%XcQ zP?hNPad>uFCWBb*45>e!f2*n}$C)|@oh1#mzw20Kg6NDt7BPU#H&TdwW~hABf?asu zh{5<95l53v{pSLYP-!LgA%kFOA*hwW zD>igDdk(UwRzieETR~53nf2@?*DpJ1LP?iy&$H8iE>9r#A`D}b1}?+Xj~eu&FGOl3 zV2abQM$K%eMtAJ7CS%*z+UXcz2a4I4lW0Qo5ZA$<6VDDRQ9hF$7%9sRw1PC<==m7 z_a4r3E_#2WRKc8n6b+Q?@pNW>fVyH%{hjD?;=n*=?zr+rP5tB}U>^o`n0H09v1Ul0 zL!?ibwnDhT4vjz9erMZ9;c-2HWit!g^1>xSMmRM`tOffb&M3{#e@KRwB|-gdvwA!; zRAiUmzu1AaJpv=jrv<#XH=%6@R{t3dkK*E&m_=G${npu8eZhQg7Xz)|qI8$|i*k5XS-rlcZzMbM<<9f#HIs|Z0N*r6$a^mx6?fycTp1FqJN)}RvoPV3X$)N8#? zMt3Sz3>Gz!8I}IAlC4oM+$&&6b3x;xxJ{CUCs0}^@JCASF~Uz0AP7s_+1kRjwfzj- zTo{WWt5m?R1m*b7b@cj88L*us5;)U}Mp!))VHKi}{M!P;=?vL?>ZO>G9)eSW>-_nz z>jnURuT^_DN1+c_29tnwE1v@Z>>;UPzt#HNk9#~Vult&!m=#ckv$66hgoYO5c5W{F_9cLP)of5uWJSe008L)2d6)UKDz?Ii2IL?Yy%p z+V>n^MU~TzwPS0gpDo5Dw)w^GitqahDaTp1l?Joup>R-fNQN2&4wksm)oyb*jzf95 z(Y%!R9N*b|k#i2}8`Rwfh%wm+xB)&_B}#YAxoNNJx7W-G!zt8}wP5g?Cqi0H7?n}a zwKl9PNYXgSy=+sDlQODT6r(pA=Zg(~>8Qo7DS)5AIeX#BI@;gh{mO8UAEEk_Jw{}B zgN_Ppk46vVU=Su$B|}T%^fTbywwlal9n!nX6=jXByQ?wVKshOk$V2K$A_FvcLg_u@ zDgXExHK4iJ)1yAT33W?vm^t%!F62Yct^;aAnE5K+8yjY<5wY% z1=5d!1IF&-L4u-%jC?9qtC(raSoOHLCVcB<>)qVib89JFW8QA90w^C-Di@9WT$8L^ zOw-+7#9(4#5<2velzxaM<@HwG0<~iASvf8mq?4_0=#k8`V9~-~s;Z>BKjsLQ5s?92 zR0S%DR7~eeO=8&=HEG8n9bPveJjJ0_%?!3(#@GdLe0<;97I84bU2rRsiTFR^erh!) z=eRePDD3XouP$2<-AYQ;sOQD@;GPYB{;;6!Yz1zBe+(DTnt*S`A+#kanA{L9v%2}s zKkRO<5gQ8&6fRn>p5~aUJUr0kOY4Ql9-+!*6oSzgOa7q)55UtxO5ERVAvDfneQs1 z%kHkj1fmm}fuZemN1{(+5=wkiF_>%tA@b@ojyZwu@7AsdU`WC>>6T4OK}sh0MPWz5 zfJ}I|*LUXWR~Zn<5(W)Mlo4*k(&g&GJKMouC5SixZ6_!b!l{TGjhgm~JSu=>PGCvt z)ppS@b-atm%+>L_W};5{o)UlOzsz5ad~E2Q`&-jGHu-%usSbqVMP!Lc4{jBkkHlTo zIOzx?fJN0N9+wwUue{1Zuh~-rQNW&);x{imlJB2?PKk`e6a-(r#i0FR%Z+ouzx2bu zqKPU&EGRYE;0f)a0)rL7@XM$lmEENx$T06iNr&$DogWE^qM`Bn?%+MKsqg;3zE3nG z(`etn*T2Pl^4w$_rYRnl$1WnObAoD%RAS&kY;7x_Au$X}rqB-l^26Dvl{^>L=|NOj z-6RyPK?OL-JC_ViOP+hQ0k&ACb@CCExXea;Z65$bCyZib;-P0Qayt_p3Lzt_Scs>} zR=1^`sM`$scd*n6;^~Q7upd@pv#(t!o1Drqx&ijmsC-E_%vmFW{y(1r^bhn_%^ejL zzhkTlkum}0TP;ZZM~E*hJik9rW*<7Vk`m%F@Oj;%wvC71Zs8dMDuvmD==?vS4mNLs zz03ok*HOHFv_kJG7`SrmMCQkx>Wp+-?wtk)})A?0eh0- z9sYrBeJGP%Pcc^9e)<_T4GlqV)<)ytAv!OuSk%B^^B?O3ii-~Qe*`)~n!0HDD8c{O+ z>#9<04mRYL4ev?>cMel@Yp_8Yg~J22Zoax==N2HB)2t>lM2T9)7Qk?taU;T%$hWzY zBOGAB=D1UNo#5>l%(Pm#YerV;C2c=HnMA?+2SwE`m7a6ns{c6HC<{RutCrS|c5mb# z%U?e~iLtuzdu)>l8KEKU6b!o1iBuu+7nA`^5;uHu23Cbn&a=p$lu@U`9VG@Q1Jx>= zj^ON|B#z>Hn3@5qRTNbfrcg0bn{2*-4EYA~>ehImQBj0luuwpUHUF^tjmr97B33QD z+4jihbRqENn9cpj$D=wy1 zOMDr4Q*`z02zB~-PVU66kDq>#NhfL|wE~czusM=m@3C<6yKf&dWU4vuKRmIJP8wN` zH!74bK-snK?%RC*5Rgr$m)m(SJW1zrqoxi!f z`zT0H=)EIPF7O?9ZdLKbp)9L=_&Yn?eEg_TnV$e#h9neF8!ajm`S;I3W@%K{)GY&9 z!M_XGeB?D!5EUaKBC6hW%jnEv08{BTy7yGj?rw<*n-yFa?LlpQrmz@=5TuRr7y;^s z;Hx1&pk+?NC=^P|({fRxl7IeB8%||H>;Zub1l_-~>OWJA3|<$p+C?ZKq6O$D58s!B zZ-ZLx9saBKR5o)>U)_2Eo@XIsRxNJ`$(HC?B^? zxOH<}`@`h2tbt2-VCv#60~$2?j-`AS?kSbXM=_Q6UKeRhEJpdgbNM$8tg??xjNVWb z-T(0nfB)L^RA4ga5FbF5_GHqKj;o4wwQy}UYae3N+U}wu@wLa^5~fvV9G-^e|^+(xC>DdV^BVM(!w!| z_Zn-4+`fGX`_K&vRZPT7-_H5=LRC(Pm(~@w%kG~%$DJJfruZ*&6(IN8r1*=$*3?g6 zKHpk!^i=E|;UEZvB=*R;(C`i*bs_*zNX!AD1_!YnHGyga@3h&gJ2BUQY9`#^%f4P6 z+A35wp@t(Q>jz3OHPk>ma5IU|RuB6|$sXLC5w#+S1~3J6za_B2nrkhf5P1@9$f zJ3LuxMBGUg7y`zdjVV6N-|uItKgh6CUT)g_^9K!CLJg|+o^-|N-Hhk7#?8Ec`Nj@> zchO`Jag17N+p7q$9;;N1Ad4R$0zCNMFIc$~bFwXw>46bvD>uTDfwnAwSRf!_z84F_ zs(V5%Pu9yB+Kl(NW{8Ma0NUL~stBF&1*VL7|HpGW@!3pyF>GI~Sd;Q&xZK9VD!hLu zZyHeN3(iFwroN?HsNt3#tMZnRH)ClIm01?~Zm3W4kJ#T^14@T+lePNAJ^ z%1Oae;S87xfE8zq(fZK@S5=&JbRCBIVy1CG0zIaKsfy_U`*-`N{Z!RoO`u>hlq_;+VXLNC{Yx z#RxaUZW4f?+ar>!4Ub{NTYQ%adpt#CFAu?bhkHoyBI@fE4+37kJU?<=8>Ue%5X}q& z;5iJtb8{unuPpxP$nkmEr8d-K%oZTwU_ES^IcYJ_)KB}@*SmGKPf3OfpVo%6zcXov z#0Tvg5ucEGD*jjv;RXx@l*5kwt|Jtm z8w9|OOg+f387(CNxKLZOxzj$olBws|W&?_`hIu-uAY=lLI!6svki7SjG^G4P{O03$ z`9u!K(W4kHum^Ptd#DN5+YR%(e~^~`__1L}!wr1IVCQH3RNpV9w#&QzKC|2Bb{^H8 zue8k0k@p+8c=Su*_NIbDk$`5yG1p5@7U)2WT%*UGAjvaka+6uYA< zfynh7OE9TWB-!KsGxG>`kYUuK1J}FKI!*}M)!M{pr5L)n4QO0fnV@!Z?i#09zw)Z& z9y=#0j8tIOX`@y8lUHKaEXJ@_gRMJ$d}`mH`nOThXoow1|( z>*q1h($iDTm`lAo^F`fB=^8CAP|=@+W{f7HIqyrXYT@0HswshV~t7&ueWBSZP% z%__8fNaR!VMmg;08v!L7ZzTzqZpk@M93{%`Sf)x&Fhq=5wmk?I*%MpyNwW(481m!i zT27O;d@|)eMEv!(T@*FPk?ZEYx0-Zg&Bs8*eHk)~=6?Up|0P-}x)VmN6QS{f(t)T+ zJV?>>?NHE-MhcO6N#~1+nO#Q>IzU(mRS?^7pqlJE0G)SX0t||Jw>$bu$Z>ZmD;EhB zaQ_sF_2|C5mXzdWWX{)%bm zKJYnq^&K{d*eASc+&*KQ9wp)P=g&STw6wJ3XAdf@lY0BNvSQnEh?}@ea`f#0T>pOwj?fiop5;j`B?(UW2uI zuJ16S<}>yo(iy;eCZBZxjx{I*E_U5BwWHr_S+Y`f)_rcHG*spMaE`mFc$vQL()r$n zAqD4E->F&lM;t^QGyv*VwoA4vabSYI;wVxEpHcP2w`Wz;xYd<{KIT5CfvDGvXj&D# z2ua2wfE))gDlgJ8l?AharMQqSc?8uDef6atu1%N- z3Si4M9&!>`)XV;y-Y$_t&#t0Yo|H-V{>u}Hl*k$ZQad2EbURv{QBFC|pkmad>XBO0 z={iKzbQp(y#l%2Zkm;%*VVl?A(1FGq$@jSvZ$2T8e?>EAyv419nJ4hrp4_J`;#>7B zKi}NJ70=o_`^9TuM(co35aA<|ck<;$&e`=IC$#$m-Kz}grS)d@E|l6XJbpX2^ZS@f zTZU_;Z9j2Tam)yXD+)08#m`yWr#8N%6Yw!F3NE`=Clda7>@ZW7Gx4gPJ{s_Siu>~= z`{^Re2r(S{^rj2gFR>Xj4Xgql{3fQzUntCFbOgG%O>mj01rEfjt8Y})V46pdjmbP@ zTMV>F9$cQlCg78MI8?9)ps9zk?4|cR_I+uuh8lSX%oAkoKCP)v%9g-|ob5LVPLTxk z-el{o(+l>$pf0$({uO>);!q){loD0F-A2M&xMSAe&T%!I$n8W0n9})XGA^k?Tmt(a z(A>r^lF`37GU%V~L$BM#eN>0`Casu0$+`#?cV5 z@3SIu#eB&>jn6U+VQL(K&Ci*X>2RD=y=#oZ>_q8qg%j|ppb@X$>&irc*3MudBl*t` zxR$Szj~*EI3p>ZX+Zdo7TIq^nvtiJhXhr0`0^Gx3A7%!O1Z^n_zXv#2jja29{^6JV zj}MUPj3rLhbl-#R6j6TsTio0gvgf8EY2i>WJp@%0Q?OVlE_U+~e-(84UHPlyP!&ij zruxrkA$zNkRvZbcbLHcJ;(owasO4$^(j)@Xath>qNK0dp*83X0W}{t}RV>E&Y-~c! zU$%5@U#5ask8u7Kqj-NDDqtt-aA5yr77-DVf$!OT{iqUhv1M}OmCh4Vj_2o%W&H1D z)^9$G%`($1lD;!$khSM;ok(aryR9$&1A$*B1RntJU;sT9jDGE4Ylk;4Aio*4bik$~ zhAq!^(D@hl+W-m{`in!6ow7>x7DjwcaB@(7;*0m&hkUj1n3gxI;F={=^?Z=+ZX7It z>jr&n=gc|m_AfS+A8Rd*!a1)nLL2@FU8}1=YX#$?RU4=f1URsRqa{HKi4b^7gNb)9S>^AzSNG6e8HNZCwKPaUEy~;M)ZRE<@h{;*O|p@O6IflBURws z0C=!FEzl}-ktkd+Ybe7cpFPeDy3LPeF)R(o+&vUIB_)SJ8Y^5^Nto|Qx$YT!4uIU- z@py0qcLhl}KsOP3uN!Oa{JHIMIfxKHe%9ANzfwnQ#2!bZ<6gdulz7C{0lvydZwpoN zvFKQBZmCH}x5Ykv)^AM#Cq2RNTZj`;4%O;ExV^|Mr$6mAfACfQo9$25G)~REGhT>N z^D&A?0;Z=t&;tzuXUI$U1;QLD*f3TMME(HK3XS8APxcDuzG-^kV_Fce(egn85`hJ9 z$j425W^Fq96tNBqAn1rD0WaYG%poY`2SH#2liB~1`+25hnSLsQL9J7+gfavC7+q}R z4w(6+BN+CAwN5>|`Yo@>#ie%VV;*+hr1GYLxibOqxJ?L-WY@d|&QUF`L#@;O;2up0ieD^uTyU)QS1PqR;o37}TQGR;7v? zxythh8vbnqB?mC45X8`%w3wHrK^XLX%H6j$%&S zQT-_C?j^A)eA8s~sAjTT$$%u6(f{ro-m7aMlJOHMBjBm^n>9YMl5>M7K{^^hJ?bcc z*Q|yT;TDQl(6%e#_K_Wmk)5kongN6O-sRa(i!Tonunwe-=jbhlBQI>CJKdfaa3CFa zt+L8P1uI0`-E9Y;^UZTIMc1pTYop#YZ*bLeD=`0OA(P|Q5{5Hydv?7TpXIKrCmHQe zz+RK?(vPVMZGhQ_4r~O;uvu!TvA)dLOQDqw|d95nL)$&%UAG)5- z!}TE{G`0^ud-%N9Tn7`eC4ql${ClWiDr#r)9Ni5llCIjz9P}>?tvhQGo)RjP;9Ih; zXZX?p(;#_gKK@hz8J6fK{mZv$e1D0Gik#C%Q|}`D60I%Ts;kiCTC5@7SEz=q#o3G` zrhW9haJZh4Sv#%PdGN4lEqhAjfudwoF{D~SWy}^Nw_ln~%%b+5>5{&1jqXOOP?c8%e~s5Rp=N3JsncXZav!Y5{sylnWI zyXx!B^MrSdp$JuHSE*YoGGCLZ-T%)20}iqDnXw zKW(u8r~ql0nNFP??O>T6|AR$2SCq{1QHN!zVgd>E&ahB$MjTj^%GSSsT3(yc5xrWF zLg4!y2ukbdg5ej+3QHV}AEp!R%VMC}4qjI;EG@du2pXp$Z0cYoxx8V0@)7$LM!8Sl zhy%67yKIB;)VeH?{z5uyo+@7EGdXYk$uu)?^M$xTS-o1PSoQuot6n+5VF-J^j_BC$ zoM=9d%-?n=IF8=i$Gmr;Ucs!f$0y@V9;d$0cTDW3$2xZ_XIYSRj7YxjvI!4X4k{Bx zg+&Bp_$i~#SJ{WFg=Wdr)R-(8ZUTFJ_6tG!3XBL?o33v<@($Ft6kKZ*6~*e!SRQCe z^;!VtK^_{MpR?&_e=$0QsNr3+FUIt!@XtG(m@;Jgh3lZaY)G-doCA!mk;dnK?(SNs zxaeJ0dVaKCDHtx}O3zFNSO6b0pc8daV!qRa3SJ6a)#abk1DkJ9#nT$Mr|aV2w~(f# zKH%uXzXEu4;*GcW|Mfz`P&$+vGTN_SKgJPPtoq@rNVp7wZ%Io75}A6g`=FHN49{;eR*G;+6%GcZQx(2=X8^;8{v?s;K{~ZGXwQ)2TA=j zf8NXNUAQlH%ch%KT4xJ(GT3dkUl(vK)4-i$q26a3tg(H+P^GSGepFIs#ln#%vacN> zaI_EDLdGUmqZ2)XmX#;zmIEEZ0d-CLA{m> zSo9z}6wdc)4EuPE=83|1TscDR(b^pIB#PH|Wt4{Hq1g&!8J}WLn~K`7ez%ps!;>@f z$QlocD{H@7HU991scFMQWTJ;pBpp}nT&cP4+uv;4OIf!{J2~r@@&Vr!YPEDU;e-sJ zhr-4XXqY1HusGbRPmyE>P@E{iM*KW;!qy-C{!S+D9oL!65e1ogZmat3Y6w$g(?nX4V85*o-5tsI>$Q=CfKJga+Pk(sNiwewZ&5U2q(hyw%xg?@r;qUSgMfgzQ-qI2x z=+X5d=GQQcVCk)DnmnT2rb8)C?1k*%;G4Vku!~xU8uZC7wf$Z5-Xxf*+Dq3G$rnxt z>*~|F>BK`2Tf1=g7OyA>wP!%Hx2k78I?4c;DK=f;9VBK2_T0uz&(;K8= zmUijytRK*lbn4QBaHHP0bL&_1L`@%ce!xn9=W!U)uD7VNA1yf_$ohEBxKH=Zogq4_ zViZJwc*Ep*0`tN`>UN0DmO?>@*5aJQhpH=;h;wq_2BP05eoLYJ_7(=Ys)}`SF2e^O zY8v^ni;bLa(h;I!|CPrxU!kr%W5foq7q}bM0EyS^#X^@81?g?Ya*S!PS|H6UC@crg zcHR3-xAW@y4=`~+iLm#{ODV{yP}Eo9(YOpe*GDD3plgl6R^DRZ48>C7Mp*z$-2_{a zG$S!vTXf2yUG0v}K)`_@(fZR1)&(hbRNUt&kboHDl9Je}qE|Fe)H&Ce%<)^1u>;bB z1|Uu@s4u!54S`iU_O{x9Slt9D;+MzqQ$I+k0;2Lq%o_y5h?H$K&~wC_oYf^wTABJy3<0fo)+#y-6*eWFr~ViUs2C#ZDRE zXx}qp=btwX>1dwq4@}o>^c2|<2Lq50P|6+$J)96St6F1KLS;cyl;vrM70~;Is)rg} zZzsL!UK8!=5mAG{PY_I^Uh^7#ABDn}gf_S<5X29ep4-uE**>7;1d?~=%~+A6YUzC6 zL*HrH$Jyl{A!QlFF%mw&y5t>MVGKUBR(i^fTC42Y*c`d7$wAhr9sKbaBG|ARFL5`0 z#lLXh_+ru0unwm9nidA`ZN|$!5-p2;qrnxetn2mMXGoxg(bh}wWIA)7kRSo?AX1xO zdB!g7_6lAB%v#Ovc55Nl3kOmF8FO4#E!FAQl?EArRRWF{9&uwm6;Sk|>)Q77M>!@A z?3Q`s6DZyD-_;?rX#AabE#O^{5jDxr#@B)ZJnQrvHoD3a z;&qmwJmbVH#i0K~`rPPAQ6>DA3@li2E`xBSalY?h1|^z)#SFMA$x-mQD@8Zoc{~Ec z;)q-7X0cz9?Fe;T?s?Ov*+=DOHX}B#Sl>5WGElClwsY-$q@fB9fTknBpKRaUHK;i7 zY{{W;TAHkkau<-(@9*r#MR0E~2wnOWDm`x`z7CW=J7m0;5?wlRv+oHlz9M<7A|383 zM0Q%x(06VZXDY|C=USn)Uy;z+zE-=t_YrY0rc<&@+wcpGW;05Es?Bh@QnzNQxb3G+ zwx8ah9$)k4T~4PRjI{-FJBB;0UGXkBFx)1!J1Wt2qcgT$d3EA<;OEKFf13#)P1S+c zn82T}E0Yd!k`M*)kJtnMrF`K-K`~nxG!7Uy!(Z+aq@wIvHK$)M#?vff+LKug_Mbkg ziBR1g(~9gS@9~x~WH_B(89Hd&@|Z*Hh`V!D=xRH!0k{1myqmrZ&x1XqJEE0lQX~82 zi>6j+>WOIwG>l8xy7wzTf+bTuAKAjP=x_zutAQeAHv6Wv>zfMaFV$-x&bT%ZfmYdM;>H#vtF3^Yv94xuAXWL~ybrgo z69&XYUXTK()AJXpDj56`@hKtU_tcFHcXAIv*Wun#RKe(a0oCjX@QwgnEz?R}E*BG@ z2EJc&?hh0LnpijdsAXrIBba-K17Q1`m? zae!n^iCZ2nB!p_TVI5Ue>v92Fet}u=>2k6C=ijW_OIb7fA!*-PS;WG{P3z0MX2)<3B_iIfM__{k$Y^ zVkyHb^t{E)H)UPvMGG~^3?c2fYvLw@lH&7&P>Iu?I0`nj^(-$*)~|K|BE^wEzH7fG z8r5yKl+N~~V*Nq=(XT`VKqR6l2b=!fZ9lAS|4|zw7-IfjT!V;}hB?$u0ZOrrq=Yj& znb6$v*KQ&MchjO1(8U`w(iTY&?%$HF`=yP!ln9`e3Aq6YivPVc{>Qk%=_821(UZgN|6|-Z!UPp+ z*GPr1?YoEmQFKgdb)ad^l01vH>Pj3PkR%5PHVCjxT3zxq{;GbDyGVcdh%Ccpz_LWZ z5*nbCZcY0b*6|h4wE5KDe=>Oh%oQeM`j~ym_7kAAeA^sznNQ=kf0~D+W8eA3A_A$? z1X7nc+V1#R5d#mFSsf_7h%rQPGxDfxFigg#1M z1lYltSRY!ZX;yM0hg>(<23$b^@lh2`TT6vvOtLF3@sjqa=b*LxgJcrwMQ+4M7<#GT$#{`*^;G~!tBY7oUat6xc>nm_tZuA9T2&xX$bUW)b%+1J=S~rQfcS+H7aN?> zBjOQ)=J@~p5n@xwpXrti;$2$Vsnqn#?gGh0dv1Zb+gEmEuBBLyL?V%F-_E=vImPE! z6bxaSTpFt_^7JJ8OdjPRCyeEq?4NP|5;#!nuUZJBz1PsxF4b$@2sEbCKL(Ik#ktv* z7K@?vMlD?dzzHRV5wcQ+(Rr?`GNzvv*O(8W4*&siMMg3Q>__2dFpTm-gCN*JtJ9ys zFOV?ZSdKTwp_4%G#&DuXPJ5`+q!J30p*uEYYatk5V5fw>IF2Dsk7G)|EPZ%H#DSn? z?}UenB_y9~8Uia4E;5<|0RQo-{?k#FnMS?7PT-HLsy|okGJNy^tWkeSd+H6KmRb)J zNXQwNe_cg$2H4MmXRRU`1G_#SB}`cOdTsG$nJhC?xk`5>ZfZ@aSIUBD^h(B&sB;r-E5bcI8tw|-1k?}1U)&sb@f~} zp{1}f!(i$$+35_jgbp|WG}kha`i*3%TNX}u0py;?lIC7a`}M$H#&8&}x9xD{e!Tyv z289Lz{Kj={@Fmw=FyP8R`!iGa8$z~61w0uk+78Qu=y%?1f82d}=hVpA56|@dj?9k8 z_vd!3{rTi<+f4z!7xk)Q&4Dj4KCu}I?wV8Q1T6)eNI+Mj0+s&o%Dj@vr&!vp%^>n8Ff zX`xeT*4KF@-5vNDYLI!!aC!zWXwo0RG+Uuz4J&sP!8sKXP1v67k0~-T^YZ=u$bHyE zEI##fTT_fI1UYXUY6@IHoU-TlUJ|Mj&;`uP#Zc;!0v6_f?^*ZXjie?Ud61>uZsidY zPs{o14GE#1*7h&-Af!1ep>^_c@ugv+_XU&Z6+QZRRG~adVLL0yrl8=(-p`10 z*&zRlFoGK{iSZZqkiozwY|;it@>K4k`5dNQB);?KYd7VMO{N3te(n4>*4hu1W!)(4 ztVQeI6Gi^B5)eI#J$VhS=DA%K)E^;R`+z|{5#7O+cP5!`QtvdEcRvM?mL9S)n9=>X zAH+i)yp$&YOth?mSaDVyNgN?XXRxlBPXQLOR@vTF50f-7pjic801Mm0CEP5!-9Aqr z@ND<21uCo*#8=o*q^%WZYD)&|pJ7+uIa{UsKd(Cb`F8y9VJ2NLA5Ki#d7ioYO!zyE zf5kQxN^w&YZjz^e6^b1x>-WzR5)#gIZC$JZrV#vwHynHCwXO|V0Ag%B?}e%Ew?Z9a zhZ9f?jXOG`5Z4p6;?pejAdhg?*%=qDv8JFPkbuY;!*?Bwi(r9I_LnW!&pQ2|NBY-S zsFFgna;JZ>aqU7|MZ235eQZ1f-f8udaRTSxH==Wt+5!r8w4%cqMadmDt)(A`)e5SU z_GL$;U*b0;>1+2DLIyzcX6N4X%L03Hr=saFk^O+R80hr#aW)Ay_f3{gJ^yYE=mUD_ zpU`BczQ4Ew(df#_MT%o?5>sE%Uq8>-?>b*KL_foMHOP%TLc+exWAAmYG-||#0|)p2 z9L9V;Q^9)D35Et?zL=PJetStPJ?68Q`xm=L;F0gXLiQHoce$~}<8LQx7oMi;jlkEbWBC4nf{Qp8oHT_4gmPnUGTIdYYv+Mnv{em00#kJlgU(yY8fc zRyfV!XB_I&YGOT(E|)A6K*w?v6C>LXFS)-pYqX=z!w8YQkJaE`rukQl_SOIMryqMT zl!v5+()`t*nZmV@7@}ex6UIc+wLWAmyO*EiX@ZtL1GRwlr{|ZrDX6@+O>bYbQfhJ$ z`hKNV@#dMX%}NH(`7`b3(G!g~#Qt=aBczHtGjBzf3rJv>Ohz!hOi#5uh>DzeJEDkt z9iu6@1%<nt+9%RAJX+RbJ~DmI`)vpho^u2#Zn zlIVcQfaqA&oD)ge3*YWJpf7Z+RtofCIpOxZ!(YoYq_Eu>wciyT&uH{feV8Ev%rC%qQk}(;ytv+t6G>*|N@G75Y-e-pgQ>)I*qifGDpFgcEZj(ojP3x$gh*cHQw< zukZgP$|%{ANLFTM*?La4%1#N95tSlD%IG-}71^YakxJRgEJT@+hLv4b8Hw!myKX%8 zsq;PG@9TH|I4`d}p3mpL@9Vzi`+8qj@e6=((1ek*-}zfZ6al+6^9mEA_8AY{m@J6Z zQIG=lLrW;G0p_ay*_k6jarwpF6KN3m=mS$9;2=;P{^_gHm6!ZKP>iuG5ZZmyI}^BM z1AV&ipnIyTCefgH08AE4gHVWi$esIsH`yf)=+~)n(AG)N%bXdzH&Y@MH@bemO(&uT z1DIBFa1#jrn-C%3iQOR)_lE+YKxOQ|Cr_k}4R$JooPhMfM7_=TEsSE;CLmG(5=1i- zegbXl5Rymdj%=r0=_jXpG_3@#uY1K8 zRA&Ojz{taWQ?Wpeml;N33}czvq2hGT^NJNmZ6WDJ$gcx{nTt2wx?eE?$IdIIFq zqU#T87DLEPx26;l61pSN0H>?6Tr!=sBU#nkS~&CEI}YlV_>8dU|mRfro6-%RkX!c;+udQx3esX@=gi+ zrK|z&UOW(!n;J%4dT78NhwtkhHn1%nbyp-zsKps*&#v#Q#9jglel*?&0&NnVA@D>C zd+SSsc*D3TgZps={aEL39aoS zkY_~%DMIo$9Cy)KzGGJ4-?`#ufV&=9)CXLh1E}l-dA!yO=83t}2+`eC$cTwO$6;ISOreqkH~|rHyPtQ9B!yFRXtys6@j(X ztMviE5|G3p5E=OvN#_-@duxxzTM0K#N93CGi5k(O@J+!7TVoyK^!FpI2ggs1l@9XA?x?*V zGI4113v&p6krMRMxx6A*XaH$J$VGvO+6~Q+&#B~;XyB5|`3yKQ=oJ}&{0P<9o9mLT zph_BGR{&thUmAS;C9%>o-Bd&63S3bLrz8Ee5Cpwd?mPteD8PjZ<`b=0zZX8>v0f`W z0~M*0^APm@9{zuiP6i?2I0O+w@Pj9@G|R8MnlN6Z(xHIs6<{tQMI(2e#}`IKlnUQW zg3u_(=WD!vb{d=n^jN%y0zQe@;eZd2b{~QwD`4FQP?s}>L7M>J>yWX4&{*be7xO*G z8CMk3c=^oE$hv5vSVrPB?Tnn zNt87)AjORkT*A4rCp=-zZv)i~q4b#y-_HRD|7O*Ccn}Jr{!9eBgsMF%$b;)s7VctR zG>HH_kW~aXD+h*%0md*us!;JOS#7hm)bo%1z*!Ci!rEUsl&P1gfnVy3xhdM;s4y>p0}12I5ALzB88$Gduc~6nI~qA(@~ZcT}C(^cv}($kFS1H8qku^P-j_ z10(>sGoN*KQ(c^^ImN*Be*M(wN8$i524Cx||B>yc(GMMaH_hdiOCg5Im*7)hJ>t7Y z`7CfcP<3S3qJT0jassiYKq)*C#1JM(ykR`a`V}9X8X@3I2Zkq@!Zt%Xp%m;gz}^7< z-hthj_eTd&8lx-u_+pjg42a@TD`I5=>!Htmpp4!-D*3KOsu2!!$cNQvYOq)ZY38Vx(eH)t4Jx23!f zvT-jU0VR4k;IDM^P}V~SR%klbY>au?<*}TzFzY9B^9aHqL2TV4cc>}73dT80qBOkR z@E7Vzl7jXLaQG3=P)eKLodHS6exttg_gcQkR>yD@ha#YkJ#lZ^EljrTko0e5 z2opsVpp@#`CbQxl1LE?a78K2ja!4E}V)n47x=KUQi@4b-8&ry$WvCS79Uxr`Yi&GV zMS3;|*?Oo(w4Dj8jDdXJH)<}e;u@Wht4O0l%xlWXxo2YiMp96!Cqqk>Ug`>~_^ld- zsO*pFBAG;#jcGi9ZAaJ)Yo4=k+vb4fFY#%?suTgSB2{<;BsZ+V#mKVUH9F+C2Eh*& zk3+jn!~dUI5!UN(qhz`n-84+kg;pC}HgJl7R4ls_J)e zYdIu`Gb0;^1&X#0!sKaQ+wyRTC7@ui^)Z^dXzn>cos zxZ|g6VxK62l93f;2F^cbCa`=bsCol6ft z*1FE1&EfL5ziPd=0nycH{y(Np+&Z4weT1Zb4N=&nr{z`LxBTl)v=^JXiH7w|pL? zBT;vg)q%FUO38F3II$y8?y-`9@|9+tv2r`_wo*qJ;J#tgR-YG5Z&=`?qy~f`3O&0# z0N}hl--pGgQhc_L?~%wl%X}Y=i|!5JIU`7jySwwmOrzFC-6pVUVa*o$&678%rKKew zKuj2{aBKS*#Heziy#UH3^%h{+U`A@=By=VqXG$wOC+0ixBTbbZtT` zMp-4+$iMq8YD9*)r~zgbsJ{Zu8j>tfHqApI63F6kUOEN!$x#G4fz~ES97&Js>>Pl8 zjV$;el%%###X&XVA}~;a4ZZ1HI$fME+yaR}yDkwJ!+NAMJrBg%mF7Ky)dyH0=nLo@ zx$AFuA3$ae6-0Z@x8?$M0?fN-WMTRo$+0;DkW)MInN@1xlmYZHcHdtGuIu4SQe8-D z2)WRf3#!IGmy_|@W@VOHSu!Lr$k0vTQ*xo#WKokdHQ~h$cM=R0PTb;Zn>-N2Llhsn zOC?kkx)(XVhm@s+0^pT3VTak9+vK~e0SHGi#pVLe(hG11Kp^SE>u8unYSRBOSF%-x zJHVPHtT9(ckCA7pa&A(5cIiO5*JGH!gWKG-ez>23FtGN}66}1|1tPRoc1r+5^i-_p zoOX+Foc&uhE`rj4(#KRQ>4~5<8|p9WDx1QTqg(@XZdXzv_?7qZ8c3X@<~{mz89Q^! zV+$z2;RU+o_bAO+X(jgg1}b(Y0)4B;OF4>akX?j+l_ODWK{pbl?m|zkfHFG@q97d^ zEz9nF(A<6+9Lx3)Gam=wpxA$P02xy#77~rT2c1WGDb9Tu6Ed?~s7&(QJ07Oh39~4Y z?NBy^)M+!6vxqC5B*wXzNm$6j4UsNJnisaSK;|h2AkFWN<;yfeFUv`wv1<)<2S~2| z?a;8rGh1QeaO!CJ7GtiHNCKEJa>%YWU*-BPmibU4;x>2>yH8q`El=lTU1@-6_-w!= zB)2Hp;sRdxG-L`1{|TO@!mF(PM}pB13_!JdZoOw*3!Sd0_ozQzRE)7)S`w^hxHSsOd#fX)dPE=eQ6_d-P#(4xDQ z>)?{zq|h!kLd8ia4$5(I@$&XUl2f7U8wH!_+uN1fPC{DOeY>?{xbMVz=sUkv>CJ9r zg)KmNeDK2`fD=X#eq!c&rlulho}{8%ASJdMOaZt?3{-|!)-K_ z#tppN))(zub)T>%R7aDIUIS-?4Itd?yQ_I66*nbD+|-wYst$NDLr}b)1RxZ&_V)l$ zPJo$ra;NRwfPuBeXSplB{4>}#YRIoRB!<0Nu`%yR;`Fokmfi;+(-UnBWTBEhsG&m9 zbB+F-$JXs^aiGZ{XgmWcv49rPcF&>nOP!fy`v;)mg?Kb6@1dF44{+J1P#2IX|3s0- zhbt17PfaM?f_@>CH3g^+x77irbn|m*D^3Nyu-|O2Il4JeO)TK=9Lr8t7tB|ks6_>Aad2GH^o-ki&Dz9YQ8W>cqxI~jgNH9u?P_tS<(t9e( zaRXG=RF*^VO~m@j;X8Ii3=*hN;LrrekHZXMMAh?&X(&G>dNWF8!wfl+-1>U{w1lr#nO0VF!XdiP4QkZk9N zAiEhlfgQr(KWS)^mnTpnoB&$)8MP2&qTS|q|kjRFLbue`FYu#>Z zjB05L?wkTC89-dv*Zli6Tzfi{9d!XKcpcHf((K$^_DDyJX|aIGjX`3u8p z@Y*leyPyG?UZZI82R&wNiDhQ>LGzaxj#;|%_OPlQ(@+vXLjvVvQL6x?>JGhurrczR zDkaOr<|_bZM^E5^b2I`bQZ8g?D&7nfJELSfxKU46`4PvTEzt3__if8Xt$@y`AEcwr zFaaHWV_IwKbn~6-!dBF8;6T*g;2|$p+Hv%|^GJ5|E%9%_UV@0dd+_(SL85K|YNiK6 zMFmp7O;;s4L!b5Be2D+-(C0P*A8WmwMwjVNe6VTBkTAzrlHbP3O$Izbkd)WFVLmzf zL;c%}ABFVMuGE6ac}B7frjhY-IJ8VaK?5aQlM4neq(a>TWqET{t~2UERzmVB44Kh_ z=vcDAj{|Y6-mNz+t0-&VI&`d+j5Lm~%ifu>+69THHX4rY%tNd}?bvVl!B z|7Mx9vW4ZrGfiwZ9f2{I&}`f^JU6zOBUQLTRLJ(#_Xs`-M{t#e-al zccT+3YXFbRJyduD&kMClWLeU7Rwb_{%>4sOR&dj|90Pp`8a&B=w61i8Dq41J=Jt_8 z2MX8+s++$pk!tuDQ!+kOGsxK@QE`xnn?V3T69{G({;UBI`VNIuhx1Sn1gYsxv3dZ| z1ehJn!2<^+AaT@@00K0rx1-QT+)n2&yAF{IL7kZRUXpHV|Fx5=Z<``M7VdW_v~D6= zQ+W0K>~7RWBwMUZy8Nx?b%ai=gGfRG-c~=yMWm5LuC|>bVpiKg| zIGX34#^#LZ)MQep6G%aF*ve68LV*fOQ5|AcAh$VyA(SkL+6XE3otLa6nIU^h?S1ON z=?avoQVas|B#KtT8JWd*R@QDojCl}uLJH#}B%S&RQ%(HWCbPcIGYgRUYTCnUoo2ln zzp`@Qlo9oh-%$DrW^6?sETqlklu$KV8DTjfOnADfaL5>-A_Ji=C^j~$cq7DPln0n( z#7p7vGJjwe(+X&{+h#`tu0XV;*-GPO#5UiRy5zx3CkPP30#w_!?2vYZo>Zc{_g}4E z_CNa<#ocfu{@s^KmT>{%6TUhUfwU)$V%UZT$c!NF=|SPIkOvAEkfSFX=L`mJjkjVC zRqutH9ei~KRWXe2Cvo5*@`eyWxqkBs-feQaE0dw%jA{@%LO~ae=LTs6cXJS$r2t!@ z47E$)Xgkutb#X!gc9xVfd}t1EDQWId^9J%Dis% z8(e2s12osx@3fno(q%?^E3Zn}ekPsDkU7#PTW0Y41mN=Ju?Ay62()`3X=ZJ@TX)Wz zi0FsR80{*J8}XCyW1NnVvH;Re6xzf_&QJ)Ke8K_E>tNjnHgOMJ;n2^miPO>4>Xx^t zIIdL|*yjM|{}?z0tb4NLZo0mkpJ})B9bR(+{jht#Wz7x%jf1=L`lvlf78*zV5=x_6 zVF)_3CmZ8StVx{l3*QXefS=X@N)&~M_4R0|gaxX0I7G$Kv(3D*`Lt`53FR=w0~_cFZAgG6qZ2>VIrjb~ zRO5brZ_b4t7UaOg#3x9S-qLswxqX#)`ilcw`m2@1X7g^C;yJ9xp&&Fjq%A?ndKipu znb2ONngPkq5=%_Lh3K{&=NrNAllP*K7*TU zOovsJUxT%(R`oGpfW^RzeOe*j4YQRF6&khw9vHiZl?5FNq~DM}v-n7}qI{^tR0%OZ zhYqwNo(CMYI`e_O>{3!rmt7G?HM2bv9Ha(PQ%{Ajf}!Mkt`h3iXn|0$zG0=VNqs#J z$d`_@4!SBeM9D31%`Nz>!0IVGOUImaq5uwS3{)wCvOvY--BV(3ndpr-|6NNJ_y^R0 zp;mEw5+}dpg#Ba|*pkEqgG^6RJp%AI6h))8_QIGRjuUM3gOI*Fd@BhJqc7ooi>RLL zIE*EgS$aHMm>aHVoC~11!OyKJNA7X4H|ijD`#4RjwYo;B$LwzeJ5pE2p+3a3$!=iG zM%f|21EY>ah@(9#9hp$cbW1ak(bX#5 zxzBcN8v#@ZX=CER#S>IMT2jb!{JE$y9>Cz<@bdh0DCt!zyr{ItCKh^Yqv& z1tRXc+a8-UAiOAU81Q`mB1_(DTV@zz%?}T++-PC*TW7-A-|i+$cDOW5`P4f@?&;mF zt5*kl1QPZz&vFFfacc4Nvt1QX2kXIu>>gAevY_n(wkHe9C;2-6Mc@V*E4X;a661jK zcI|F~+>k3IA=7QXP1^{ip)g#sn1$@qdPE<`FJvNJ!+S@kKzjMMAziIZ1WjiM%ZkRUgEvZThoYhENeEsO!3nVQ z{P%~&jt=WXl@`hJrvh;fXnMI2b0AO!#JLBB4zmDg&&|DB1A5|i>-#u1$3+Y0R|t9G z;mhiXDBE}7@$?4d69#Z<2B@|*M7d?sRIJWya4_Jt#`vKoXmC^zjKdCqLAF#e#7mU+ z@=LMQFav9jF(7ZKf)=*CCgf1ifV`{ zE08*Dj-%x}9tvrnvAEB52EdydP9pcUBD9kgk3uJp_fGK$PP`I=J=ZstzHht;UMdhdUGP-;Y>S|-4i($k zW+Zo!`xN7;?@rbg_DzgvSg}j+0yp#!?KdRHX5g;DcA>5(Fz7U66zpr+;s|^KG#qOE z2vF+ce@GHuYYEFX4FEXMMDW_wk9GM+Ikd5mWD7rENT(hY3iTcEsiS3SY~G;yHII&8 zXB70f%)pdkS{5GR(@F;p+$2w$Q42U;>!9M7cm;q=&OhHNIE2GsWA`>X7N%H&hA1Vc zG1k=s#K`txfjKzLdHieZAuj|4aGKe1d4!Suh|Uhu=TGT(?+rXaq4xd|Q82hNJOKw- zt-0^3aej1vy<06pQufiYHtjf%V}IUYYRB%aZU6J9Zxt8yX>y8}R`FE$0hj*dkR&=G zLP!<<2gOy_^2w%?&bKCdOgrB60P}anM999MZ$d_%e;=6`V@xsYeB<2dcOVT)gh|za z9RHLh2@FOYuQfiGRzxyiUYz@w+i%35kgOOXsmJ2OikB;V3_StD^_UD-Za{z= z6+yvv0pMv8@sAtDZgGrw@}#<+1=9KT7i#poRW3jlr;6djr*)A9qi71GeFb1!YZ#0% z2?U{0nY-_`EdOrwP8wv0_p*7avDxjrpCcRvn#4t=ow@=Sx9ln`KmQfKq9cD)b%Kj! zC-K!4OI9>!fgDme^jZ5|KyAt>tEeRZU~dPMo~9nUj6Y;88yX(2W(d0PJ_O_d2KM3A zq>3p4wmJ&J7m6y-UIK=Vn8ajdpA|z zP1difVfV9tfL289&{^DRR(Xd+8nx`xpe5H7?(zk9Iv@LW56zpnIh_Bku3U2W&F^7c zTwKfr$Qe&WJ3YA_GPmc&h%2%#?&hNdW* zxJ(wg989T1Q*_5YYZyZAXP>{_1|_RFiNjAeOm->kLjyOvD~6j!a?<;@t|w+{q2k4m z$NX|{S4hB$-CWQvXVLVp)|P7uwdNlva7Z3O)Yp6R0LzLtzRD8_powPh5M3Re$eo#h z!kj>BOByLjW4Zy<@L3Q193Ez;+Y1b-{k#t@D4@|)(0&{z5y!TkV()}XZEz;r&XD_8 z@l@WCe*V`T9c$izzk6c`EJP1%o0xw-C!LBzyv?p(>V=_UiZL5pC;x*v5LomA{7Fgv zn!rW|TeU{tf`S5+OlmygzoQ>`Hl4oEH$Re4J#wT5`j5Vm3Po&%mpA&TPP4Fq|AQYY z_k;JK>v4)}xlpPJV=G@eKqIfp?J88+gglqK^hctibjMndcpzx01@DMGWXy7A*wTN~ z6VI_qcj*9=e$Yng0E1v<*(KBgnF$?8(=^iE0-qi-QdJBmF#`CZQLi6G^DLLoe<&`0 zv)TwZxU}hHAGDVLKH>@(M8vJ3aR@QG`bAH7SWdH-@EhOQ2{%BV!4yYO_;=F1 z{B;&R-8EOs3(Te2ZnqyS4dwIh<6pXTiL2;{2>YI}t3;`ahOqCWuXaiMI;QZ!TcVbN zfgkbP#$r{SPD7wiWS0H;26t9=HYI7orUSKa(d;o&e-UAuftTRZeg?wK(EGV#@Q==5 zb6M}z72^?#0`5YVb6F6x=Ij0QwO~k~sC91VgV?1<%+HCPo}R9}Bq5n!c%R*xAFEB` z2rPH^L@bXF=pa&9H1}RnpJrHbU$+3=Co<@u_GSLDtnL>!ESd9cnYFg5*AR_1lUDCgMEWQ-xFi|KInJ$N>kn;p|o?vBCYP^v%zCI zj$^y4TW(%0GN5xqX^@N_hwJS>Xys_s8-Pt~9~3K(e9 z*Wctz*B$-+Ulsd;KPAQ$q_*6D#<&wS4J9A~C4DGjnhW0o1JMgEljGaVO;2H9mmUnU z;MR^ax4p(c4VO0qv@&!m*u#Y&-Mo2^Z~@pPG=#SYW}9wi*wfeYBq8fG6xOzn#GJQB zjFQlD)C_bHKmG4bnl!94w%?F|XbOetP%8lPBhY@@0BjL8<59lYHa5+hRvVR&*V57g ztP+4roN?oGY=v4B+`ep70s+?Aws+*-YGGM}wsu!EQ=d+2uTH}Md;N&@Bs^H6xP7J5 zjBmY@?2;9t3_*QB1JY&yxc3wspmMmQcQ<>3Yijb*75r*I?N2qM zt{bq*6BYJ3g8)_a-sUs;6?qmgXQ}PW8P7==;raq90s=qHox9`HPj8|h)5z4D{&r2F ztHG(~T2VI4r>dlMY`dK_g)|db#>nr3BRL~45P44Y@%V|K>vxQzxsQ7GM^o2Bb~l|h z)7{9)rNB7qCF<`1B4zP+PjqU(Q1{y^gmz*cVpsR^rEPtVA*1*r2bnHNG7rR$zk&v))3 z(7TG;$}oyO$n3qjJF5$!Q2T1ndyhx&8;?fgQqYvdjKu0%x=SAJ>kC*sLtp?zvHg?y zv}2IYf}^3$vJ`n|o1Ik+tt*p!GXs285BC-l_rQaV?e`{k!j!$!pC1KmIe+89rgLJy zRUyA|a$se}ahR?g{Zqc=dpB&{C`A7-Z+;i-014bkdUyqOD)uiD7>GCma!ewX0Sr6u z9%~si^_y2&R5?h4x^d|cx)&>bwyLm5dN>)F+`)i`fDc_>PB$)^{y1?+qP7V8wp07&L_kdvoA5b?m1V_d zF%e)v|Ly+Sf&yXUGkely&3&Z!`K1wGG)4S-g@cylNnNaWIWBqUrpv8>j}@9-8kg zsstuqY{RF1Jr&`ix-Ujv0=Kj(Bn zHHyng9LHPtuVfsj#Fi_lE0}R`7am%kTh_AW$(LkO;-^E;c*1y+e3+s*31fcN5rB}A zz<#)6tXKhplMmju(4_@&?hw>2RG|R%^9ZtOXjUc~WdukC^E2as)d9Az1@4DZRe~KmaG$<-Gc?Oc*g=Z2nJD>`? zJGkrzlc?O6*k=cq_#efIm{IGTZxgO#ri*c+;N`&p`x1oK-A9U=_;aN^tD z5BY4HB+|y&O$0nqJf}g~1+AFhzZ;-o>)gkd-EtjDk1=PR>eD;d7~psvzoGe_V&Rg6 zRUH+_;Y*vmCZl~HWs#ab<%+@utirr4SqZ1I^tNx+buum!33XME*?u@BbCmW0!=I?x z9ob%l=?7orKEt5<$!0jl7I*2NkrvavKOm)md>J&>mM|>C0ItCU0%VsF92uZsKS7ZT z4eSejuxtBB9@z==e*NqdmqssNLmmDN1-dCV;7dpD8HQ15XWjtInm4Mc6kd@xxYX)K zyB~{YKJo&f1Yk>%r*p^4jW1rj*ibhN-2gM=i8I?qVoEFeNBUum!()Mn?Ck7k<#lJ` z4u6Sp42#<73(g!*YFeVN`bEyX4NaenpMN69`p!5y%w)2#C2`qxAaCpPKf+^*l&jKb2BDP+okBebelR$O5l)dl?18zZ%sXv0HJ!{=nuF zX5<>&*0^<$HErZxyF|)(+MF0*jez$?zh}I3-huT{VM-H8Gvcy0RbiR4iBrR$6^^7QSWe_?Rx-{?Y?rSD!{AGvEt_G<60N(xA49@u(FLpSg3)F-4a2sf!Q!d;_nqG+CdPFJ=Et5N^PY6tcWt~d$nrH|V)Jy&rV*F=v$vm(Ddg;44_(sA zDW^P{D|f^0WSg*r+qdm&6mV=|`mAwafi5He*S?<@;Hzq}O3jP2 zRaRB)0fVR#e@=hdmHUO|{inaeG85TSbJAu0ds8x-MWZ@w2yH}xA0N}pm1`YeSm@vV zkkaOqKx5TFxuvnPvkv~6Q)$XO>2rO&ow~y6Ⓢ^x>2!LbmdB)k zm(Xpk7015c3!Nan%JoMYx47!qr!U*jQ6+KsfqIa8{6KF(c0TcfdT7k=Sek0U>_zIK zJS&y!@vK0_i>tm_*jqJnE2*ysSKra%lq(~NDSBZgMKs8_upcnHNP~^FV-b>*g-oRhQyFRRzC&S!^YfIh?DzV3g%enkZUwcCcqFEy9galEOi zDQM5U=;}2d?e(|k@ZY=+mIzBGt3;MTiRLMR{t`bRe zyerCP?c_1uk>&W;+|FzUo!U$myf|~imn6ga)+D~qQdrSD;!&rh+8D5w$?dv5PZ!)r z>`wwc)dwrWve`#t+whulmCpqh`OZFe@S@6%`LeNjAm+#ucEQ!4tp7~UV26p8rrmpW zU%&b7i9$sXZIj7odc_;+Dmu75(yO7K*zPgEHhT-ZZxYq7=He4It#$iGZXVNdSODUr z%H$2%NN6pi69A8L)QNv$&z*y=vy0tjb53+V-(#*~2=ONxI#`5QB2iJs#$S}{DPF=Z zA>D1*g|EfE3L*Zw?*`Np!nn7=?KI{rn1(YG7X#-v$wz3NrA2$3;pS`fNK2)^{IGMR zph{$fZNAl0k*B58*@$cXqTe%$NwZmIj(mwT?rHSo7a@0ycesvw&IR&01diXV@ziM6 zR=TtLrbaNp!Jc+MZP($6cI_~jjfWUr|100AWg+^)y8g#M^dcO*Q`*UeDSH3& zYt?l-&yVfE$+K{4ZxNh0F{d0OWbk6FGq(rr4pgrCuu+^W)RhXNT^s#B)#AW5Z{3Ci|d zjfEEX(3O9<~G2Vm2_ck)@n;{|{^0oDsJEx2o_zQ?_fZ(v1SH zpjvyt(pIHRnxiQuC2^a*lYF~n@mHhk{(JL|tso94ZMXJ_(BeA$BVvrgn|(F5~fi~+WmODqOMr-hFVoz;4?x+0DhCV1`= z_`q)b%xi6fu?H@bkp{7 z=Cdcsv>EKs2eq^fxP!o`xdPE{~zQDpBAtlrJZ91^nrDrYD>^yeuPLrZ9M{>cr^E~Jn;ff^24R-Z1t~PzLUq3vx;W@v89VGz6Dz9spd^PS&CVQ zkfGC44Cnl@5H#@7$DX*m`rI*Q1W{Q##=R^ZqZ1P?FJ2VA{T*Nekgk+9`=NPM>hbsn z)v*Uw8ajW?{b?~8mrKX#I$up*hZj$dGN09t+RcLIQZ@%YQOc(L?LHyqxkTMra##~@ z96K&;C(FV=a7pkQV*|_J5W%;1p&b;s-=M3A(c7`@dQwyJRL&0F9P;q@8uR|02F+Fn zr*>zZ($SzbU@82{hHVoUUQa(CDekMMb~WnMEfEMGFAVt(1U%QSUEALW(A%q5uTtaB z%v0;gGFMV7g}-0pTvy1rH7~!UWJ662t#t9-Zk}HnviH&B$({Sug~Vg zM)r};r#a|o`)&4pA)vsq$uWI_Oz$r4$OU0JDLK%QPak60PRUSS{)J zQ9gP$$x`1nW+*xT?h)!(-W~;{@QBP2 z=#&t&ub&f2j0K*4G8>PZ`93Vbvtb+rPMoPpshg-8EdrsJPnhq-q!%8b*whAD7C|rxx zA0Dw2+(7!zf(sM931s#AmY-3W4>S^AEz5 z5wK_FSm(yIe_X3;N9~AV)JXR?#@prVE;OIyIhu3f2~%^H>;~Mbt+^@#KV-PA;Jsf% zxMaC2>+2=>`70Y6^~tv~GWKjg%Fd zCa;x%$GYNO$hO=STC@bdUq_3w%4DV%%^7}E`5rh1k;+ier5z0e)>^Z5%jco)@i#P` zba6e$K2ET+PYqbeak?diM{VL#%EcYfD`OeN&9)bJM6(+5wkHY+qJyW5XC3X6Gn=U9 zsJc1A^8geFQ}*ZvK0!(O9zcXXvx#y=f2ujs7wq+UVEF;f?ifaw;B>A*O?8Z}ghPHh z4T-hQ7#WSb&Zi+}mp{&XlR0NLL7nc=X%Qd#F+J#3&D{4T z<4-p5X-k>nGTn;05q(1@`CFzRFxWrst}0KjyUwuOrwgI!pD=t-CiJz%2*nL5%)gl zaCGB7wru-W>h-zzJ{*yrZVxIDs^TeT7cXWcCh|ElWr*i3#5^l>Hu@IeOwBORZuzXd zayBFU5`^5(zWLnP`z0b?$qAb&!DjjU8Xgo)FA=By@s8?mC3PKMCbd;APkIdH8V)E{ zi@>&7C9vLzj&dO)POfyFKa*5_qyY+;K?7uI{kIwbGtgaPC4!4^f?-m4G+MII`<4POv zh}r#l&I|lXXdCm?^YE-Ft|4ekoVv5rUA?^wTeo)g^(Dj!&bx;k4b^goRI*hq-Ry-{;KPaS$g(u!B6x|@u!LlwVm37Rzq8?4^5nHe(Pt;vNkOemk&A!4xb*oK zxWBu_S?60D%^DuBUd=|cL9FBfvr_nElE4Gr>2O(yD-H-GVD6Ro_d z6i*uYqiejp1}(5-Ua%&?>0Ck+bqJMtwB-)2@!{nsgZTj0Q}|FP=I<2?77zR+?JLa6 z*wyEm#|qaooHe3g!QK<2DIh15ImwrNq4fg3jAf)`jXQD$qd@SJs*5A{^}^RIe@paW zaUR%ucM?N~C0~sD6a#goQ+D(u4ekbz6$fEr^>({^D932*Nhl5frb)lB6Jiur`oYmg zd&p1E0dJIDJL+X*WKKlGWI-#dr0f2y3huH;o3|fUcr^Pr5zp|P`_ijFSj>L|wich= zK`JDB%Vt%<=3134V+*?urx(EwnIoQqoJEINk>l|_LoR*Z-kLu_7Qp{a%~VQSxEK4v zn=Xi$$00+%?=>-nRgXnB4Ek9J5rDzT`b)OC8QL!dsF237&UXCtRWH|~+2m|MKU z(~?e}d_Nc1$ljcd8sFqHXK&`m}NCj7rXMpvFIbyvs^F%KxoMnCP+!8^j~j zV8PBvJ>9E2yE$=Tmh-wpfbf;w3xP352;^IHNyKn3fI9$u!uu@zVDkf1}*G3lg};C4PQld7hn*JA73HrU7lCM@xsoh zF9t=cUIViizk#nzo^ zyz1e8C~{~hU5hPqi~`h>!4Sp5YzRy#Jo({2fuu(Wfm*UHY<#@9kQMI$wURfXVowEi zE<|6jp><&&DZ61{jGMB)KZnF~UF#QKD^afxM26QEU0aW-a z5BlfRKlKyRWA2baP)#H}hp@ptY;KE-;~;Vg;q{t_&BHHKSk$80Kz5B8Y5b2$SI|or>ilO#M2Q^+5B?2)&a| zS^B9*t{Y25c511(zt(OJ4N|I|-QtKtc=~7W)TA!i?^Oaae>E+LAs{B*w8_@q{zyLk zdkF@fbQT&l~wi)0oznu!FD^SQwhk#gbY%Ch}A7ydjZipk-9B|JY;5yPa3 zryp`do_~$)U#Sd)2`*i}ym7+@K&o@!B;B%QWN6533)@4wtIt+^a@obSRR+DOQlcA- z+w4V2!9?SovTHsky!XqZD48Ip#Wz9nhQECw%r;P8_aiM95)#WEJ1gkeIATzoRiz$l zu$San!og0*yYVhwOE1)E;}Mkn`$v<5E5%`SxP3M6!otq`AYbynM&TMJr3)ol!qn0i zx;`*}0=k}c%c-?)CtSD=0&uZ9jH#G#E5tme%@5T#}Z9_{@EPO<6Zu*affx5>`?h|Y1u{j}%0TmgKbLJ;r8BmFH^$WWoBTvgDjx2o zm!5wvwJ30`&dX9ZmsCc9d!UO%{u(^13xAFMOzg_o&=*Xd{#?1Lz89MNug3X z_a+!;d`PCFzOuGP zuwINY4>8e3g}9ssZUdLB`92f)&BVn^+@3`_*n_RGYgXw!TN0Oia~`3hXu$NdMa4y( zyAV0@lFx!T7nZpWPlpyq{ZM=8+-QH}*|Rkh`14!f1WN52J>6Qa zk`-PUh5rj()o;3u?OZ(%@H~!ovjSy zUEhiy)8IVs#j{&!1PD!Z7Be;!ZS&49nN5%}4gJ!0TXD8nZK4c=YA&V0HNM$g3p3Iy z|JrlW0;$P>?%F1Le96KVmEBVD$Nx+XHmT`T*)QC~7JKKSDM7RO+}46P!4H6AgLF-E z!;J+2e2tjj*FvqVdVJ+`@HWfq#a0`Ng^x1LfJJW4y(YM756O!CL2)@e4m!G^Ev!-a ztwPLpaGBOw{g)86Q6g`1f8(8nw_g2&4msqgxC6;Ht~wITJ6QAXOK$B8$-qiFlpXtW z?(lD)%)|#zZi~^FPoAuPEc}bPI|yK}+MZpjp8N;a7vc)|rhsB6jlQ_Qfm_QwX>{C83`GK*VT3#QMg|{+{E3EF0FG zd~e;rq6Fi_%-kCey7&AZ?uG5Z&hSO7OV?Z@J+Vx%;r{q2LM$bFaKjpg4<{E?$Tt2( zqrI#sJoifmO2{%8|D;)nUp?gEQkg6B{+>$R@I9RK!8?`sYo74j*NZ__j;H7~XN_y}MrBv(&JD?F9%Pn`ddnmL43 z#QMiee(~-f;kLz*`UJ0j3Br$5a0=|+w2Qw=)tJo!J3IFy$|Hi z?!;{dh$G;joOPDspl~wFl+*r&Yi;Nf|yi-61UTC z6#X}4BTL2DLuMW7$d=g<(0KYx+FWAe6&v8K^oD|Pfi;sfw%Yk;-H?r=nUCkV5>P2QmV*bN7Yq&^5`$4wfW_m z7%Z`8-*Cwr+hG>km2+4Z_6L3d`il&My$&)$OWt<=_pcy_VYk#3!PwzHFxf?gLu0OW zscdCipve<)Qt~+uj>5=;XV8dx_G#-6peL|FTp;GrUY}@dfp;wh$o-N=f$3n!v^{XZ z&yo%SXFW^>fe|(3FvPLVo;ID;6G&>^9HEGsT8+~F>H*;JW`rz7E?eAVqbOzwsq^O(Ix z@(>s7)hO7zpxzdKz+MM65UyA_ZAWr{hSssSqIi;**2*t6^rI{KQXf>45jsq|OiUN` zJ-U70Nc5lsZr{{b)hA!Fva-gy#HYxCjMJZ5MA6%C4l`IR|C@s^FiMF8Y*+Fn)A{+tKBv1ULdL+PcIF@t91 zLH{W9(9Y};Zafau;u#8OQ%cw)DM!?StpS>gdw?tA%dPoxq$fBXhH=-WmTbH4r zm-Ifg?UMook3(y{$=#&Q&7yz+ufLWUzS$cnH>S^8Ue?W5x^q0aaPr<%k6D3K#CrqPAdZ=U7E4Q@c(Lht<4`2gBw%;YOX}W40~)Nd$1V?T3N#;jHa2&K_iwywF!8CT zkdb;?e&Fhk$(GtFhK3KZ{;ZB;KVj$?pb??fy#XdTq}LkVWG9PyY)m7aRVnMW9l9N` zJ%j;VNax0?Ve!eXgs>A`#k&eb|*h#Hx4D3WocAJ~0dK2zQs1-`gX zjg3-$S6n4zSbE@4MI6oJBCEClcY&(YH%;ihSIj6aK7j~C(da0C{(j&oxz%8Bc_OKR zR2RTzFs9+?<=aPQbr0sS;lPgTc2jz-lh`qbz;KbrJKiI8?P@8gO2rF4q`gE2UEt67-Y>%PsnWi_U(hbNOhdfAn1i5 z)TR%Vs5wBp>g(>lw*e?{8lkhcK)V=eGx7xGHYnKrVnE$>p|Nh|n zEC!F084fc@OuTd3cDSDcG@r1O)1I-IaC=cU3^B&^PkpyHn)4 z5tr#s7j^?FN52P97jC;;uvtX-qmu;BdEk7wrfM-cxNpX0pnaC60$YrdDg`4_TDh@C z0BM`tO1vZRR;b+g!tGi3fq3W>g=^83=S`IkV|9w2%XvsfID8$Uu39mB`>J zgZSO=Fis3ez}tbUfoxji0#!bmFfww~eV;TMjI>3x<;sJZzn%%6WxcT7v}-o%{fJ_h zH19dFUUYF56!JQ}*W`rFWQ;klr-0eaVw{roP-ts9w+!#tyYhntB`y=Nlb_g}bnZEq70Dr|8XEoil&-^^gjj~?@i zC*mRIO;xbOl4s8DI@4ZkMbqWe*N0V|2mIYgXs_|TKl3x&SU2U8mlCm|E{g;o@~$w+ z0JP$^b242BO%^OJfOz`q0mQS94*PTFuSEG&G%O5gt<;S%`N8=+h|u(2AYPg2|Mn!Y zTFL|rBdxyeJFpl7z;Rf`AZeEeBaME*zTIkUt_%kvFdz_l5-Ib3dnm}i_!*>pf`LR6 z?$;C6kZYuk-<`f+mXR1@y;{UTDZ@~7s6hpZ_@kZtF@36Q;1C0glji^yUUNcYe{C!Z3c}&CMt8p55Q+cHZFGoLcgvAe17=5AVMU zWCfxYt~2qIWU)Ov_SE9wLQ6$Rj2c~;>L|DGHjU8A4~)nSpHAHkk5^1P#3DKiwz1{~ zCATI;9F_*mR^$GInpM<_Gkl|`U8$z|`6mfJXSgsP{qiW8&u!nh1k63kpSk4}TNvIy zf~e>|gACSHRUJ02&ERu_w)rL)V_f9K*;;`HKDHMBbdPcIn|wPnsX8k37H&yQq)AP@ zu6;*{8Jfa}Mg+=i)ZR#&eXB}%wj~Z@4bFzYF5TZxgDrl787(gUb0dRr-^N4xi+cM{ z`Q=WQgX9;`EC^5$^@Fdg8EBfFt<*;eCH3kXb$-tNzoV5i$EJ(G$m1be&fUELR0>2pi3oSs9q6d-AZYv zBQAhlY$cu6RFxX~vSa3=MfNbLbSXoaTJntO5nfGl)Vn_K-NGkkt5GI;vj{wo)*Y3n zBmS<$FjLeNR%EbeXl5q&l*W5KtvkE6DG779Z78K858UwHa8s+Go}Q_4lwL`|BjGM( z8zq)^da@yG?;nZ9P{lM4R!=)upPj0f?tK1M?E9efhlwB4(Pw~g=lJFLi6;Gvxx<&@ zdxjmK);kU^t(dr~6*VL;e;Bvks`pyK@evAe&&wID`0 z@cjtgFrpAIITV}MtL)+c%Jfd$zU~nq&k?fiQggX{dhUG**~wmS{4hCT!+-D_`Roej z|A+la(~`UK!^D`N1ir?8rl@{RBV*N1{D+_X<@I>K%CwV7xsJ=9$q!o#+_{I_@cllf zz{CNdvg{~;77&3)QUe=mGH9^h)WU#r6;;y+ySzj?{N7^?e^NfpxH@EznACe zU6_V}X2iX$IC;To(!h_DLHyut{+A&~fLMLwrma@M)=@un?B!#NufV*B)sM9XI<GAa2R{(799)MTC3Kzne&h$;7JH>5*zI4%1v=dRm|O4cqF4Q|g{X_0(q*_8 zdJ~-oN_M^7H{*D%F1<<#1_FRbA7iODd4HVQ1jb}dU($pD)57pkAfEkdL+7-MTzn!; zT)p8Z4A4gG^=`z}2k#yKbH^+EiHrLU-iRtu3YT+gJ}|lMq$>qOV8?(&@;zZ#{nzXe zkM|;?!8RV~y?izkoXh&k-m4Xfk7;TM6n_XD|KmR5^cwu8_^S^FYfGVM(ZlKQcSGuw z(o=1n31d~_M=(*A(|^irU?3o^ysaT~I|w|6iI>15IHuj$RAbeG;pFLveVim@n76&s zX4=U;`i3f1+o-c5>`ZmsS0K^{p?pRqU^smCgY=tx;6s{ze}GTS%Y74_pwqbRu8Fiy zsb^~XVCd>&jhGva)4&A?lxVl~DNj1U7}yhOws#n$F4@AkKNVBI?S(UJXbc0)SPuPa z0ECN|QdEY|K6`g2S}qJ`d0hC!eRmAL|EtzDhucAK1>R(S*o59aj}L=)E|+M}T5pc~ zH_SL8hf^LfeCbLzc+PM za<{#`XQ1mVa{6O&?3VLK9~=yUzYO3yTzYB{d>ck-$J*GBkrY-Ef$0z!L3q=uwLv0I zE7tE)CC!N4)y8alKD~^}ghal%}~`#;LwIx5Sx`5qSp1ymFPl~6Ef0i{DiT1pye zP`U*K0Z9b}K@5=Yc<6`jQWT}T8$>!pI)8KHdEaw<51;Q^zqQUE99-zl{an|~p1t?X zd?)($=H-e1JkdW7St`|=-d@Fw3?gSzHsd!{MZh0yF-)kuzf$;kVqEO-CsMBomfuv4 zCYlgI0@RGFYTmC!KMS`i(zWIGZb`@a z{~sUEF3f`LuANzb2GjqOEQVnWm}VJE;|j9NejGpviy{>X zX=Ke?V?7kZ#`Ivu4=n8*XM@kM1!}MDhIB*dFh$^Ej2qDX z483&YsJ}rP>s#ezLNOj+2}*f}O}1~xPvX;#B8!3Uth2U!y70OEnrg9PQfi-q#V(;W%co$Ga6Va2mev5jv@Z8m<~mp}A4!Mo zafH)oN53FUWxF&~R-~1%0_zzf!*zX34#=O&L^>gd#KRg(qWbdd^A`VmVE%c2Jc5XN z^G)8{%Q$#vwGc6td_Rw3X%t>y+K0KNM2za4CIaTYw@_j}+pXVWT$00VK}s<(SnC zKCmVUw(w?kz-&4JdsDk&*g}EIg}u14GTuJ0xyY{nTSw~Hxx?cMPx6iK-2d?;?{p}0 za!{c=Y;eoj&%G&tlFyz6Wh#S%#poJwT%ZRcD`4hizx)4bv>@hg@K}$ zDBd2+zDy0yom=vgA{94aZJ=H9VC>!Fs9LuNDA~&>WVyVJspsY74cpTkX1|l{C!%kg zI@dqVzcf7RvHt#E9d+z(PqPm-m1GdCe9|$jO`RWrQN8&cHLx%kR+i2x(Qg#J&r#p0 zMkaB|=Doy+E$NH-I=TA3iU~Ck__%{%bEktT%biu4(S7&~Sj+wX3L{uVlqf;L3-o^^ z{lDUag4E$#)lg1XX`1;3w^mkW5P7SXmV-ElZ`BJ6-fC;j!<4@t_3y9bap0}uu`$(^ z3u3A+8@MiCbqa;J?XnKTe^Yg;DzykRp20B7-JWgvD+^E%pD;7;;jPQ9f*G`cl={!G z+(ZzMc0(8p{oZ?crzU;8l~SJBw_T4nk9RI3FOu{?54LDW*29`UUiPc_9h=IRBJWp2mQ#)hm)8F6NN@QuD zX}$8-yZ`5Xqb$R{ac7;#c6s{4PVKWxv$yul^Mri)4?nVYLHDO>q<2LA`fLCEh0n>k z&ktazrT9NbJ^~Yab;2Cj{Gz)(dIliJz5&?icK*}y-KC$9(QYEo zby4B$W-4()Ua);N{6vCSfGNaD?#Arcb^8cd?Tl13S@)E<;RA8IJO?D#dp-O`7<*Xf z(av%oMg3WiRN(8mydQ_SPml}q#wfnHFUKFBfZ!dhpck@LYTZ#RVF6569!*~P=O4p1 zFc|JTP~lv}*G|rD10&@a?PcsHVfgRt#HGp6LS~pu7#HQf^Vyg1)kWq)vXsFRTO$;8 zd(AabKia%?SfKid37PU9H9x?UbdYUx-g;wUzyU%d6lt6ny%jGBxIW`*GJgI$vxY)u z#Ub=N@)q8sMKY_3yZK1v&4 z?~|uS^QM>hS-2`J-a3g-e#zVu5`vi>Zt+D%^~BV^A@3OXflB)L6*^R8JHVIyy`6~k zRaNJ2Z>~ZjXJ@~Phg4aRe-};wFwHwls4P1wrBGn1Y}5@Tre#-Ju;L6kOrxt!{kbv0 zmVLHkjl17A@enqgixPZ2%``#(XU6vkJ$0IbUz22fH9@G67bX19KmF#7DfQvzW5XfX z%LBXDxr5s-ZxcGsk-e=t*dJI;UJ`|M_eS{2v@mJ&J+;hy_;fvFRj0di;<&|ody&qI zC9;#SM?njo7@nPbjr`i-yEtcrF-dfZ(=yUNZ+X#qck{7@S=T|XGE5Gi{7u|3 z++x-n@fd$Zo^v!Fu(N5-p=?|Js%+8sKW@UCZp?kJZD6d_G7L2VXs|YO)hsSRRe-FW zm1%-$xiWnW5a++{AN#^hHc$hrZ+CcMQ{x1POj(%|kk{t~q|W^Q=N=P6G#^YUA^788 z|Lc{W#y(Hx>^CM-o&^IPM;lXM-8)?F*INOfW?D&nnS6~jnIj3>PaFmyk6t_|)iP-+ zuKxbvKi=^TNeC;x@1`oF1s_6WA5!csu|*AS!R+U7UI$CG*h~bR@m|ih3NA0DjT%L7X5 zJD{sK))v9KgbL3p_WDmLc z3hWq%%_s&v4cP$ML6OK~D8N~b^SP31_UR_?zR5CqO(a*-Va5`|`HuJawc=5s@bB-X zGK@Ymj`!VEG&C}_mrSX6_yw=*q_f*56g&ecrPoH7mP3~9iT8RmZ38L;ti8uBTS7kF ztfN@Cfo5hUWjF1FU82bFasU#zz5G9dfg+h}jjI9Tw;N^z(8N4j+59;{?c&&#ru>SS z;llju1Gq`BJSww%Y~>lS;CoF$v3kHY!D_;O*stA|APxafElnvEnDb3ovhfYZ!B+9H z*D+^-y}5J(GnFO)u@6#ml;u(wq6XXL;?T&45K5yh5`a7)h5xFIF9v@>di9ovz+jk9f1{+@~EJXj!-lK_U-ZGMkO7{g%w*B zk9k9QI)E{}O)&yhRd=UJwVdIFGi1!OcL)W-Wubj#H=Qo_n%so5Rp<+{6C>-ME_V)d z9Z;d{u!#x8rSGF3pP#t<^Cu*dDcBFLL~WVWJ6vQn0;I7K=d$_*It7t?T~a}`dQ7LQ z-nh2332bC++I@7ph!9yoR#0L%@bJm}@cbiS{SOd?jsyd3juFR8LA}(Y>l=nkWa#_K zjV={Jkrz!E`trMH8Qea?1c~qdpZPl-PUyt!I>HoYCG>rspcHih5Zq`ri?fGV3MW54 zKgl3kyxkdcZCjDqhTwvCI2D6FxU^9Vi1^yFW z1BRzS@>95O>Scy)aK=)HVMEOxTh&GycmC@fv5a?IG*CD7Z*$E1Sg5K}G>R-)PW#>l zepp5xFYzv#%)g)gL>n<-dm3}X1{;A zsH0T42)x7h$C*^DBv{GycexMKGy$ zY3P^>tk7G4ddCh@H!;1^x9psuQFxiEi-r~t%;=Tzque!4RsLv+vFcB^hEJ;B$Cr_2 zmrDMn8pAb+3HAnkg>*!;2kYMhwM^df-ROpjT3X}_!+glJv3 zwNuzr#o^%wI%9vK#SW+j;&$SsG@61Y;}y3x7HR? zXSgID4&}KhcN;u^GEUhTO>qZq)uR$PZME(4gk$fS8G-MQ^7 zlI!9i4xRQjJ}O1OX+*%>57hhhN56bXC#pP|8Qw%;4FVgr(W2zGRu8rU5L>$P@O#-jk;~gN;|K3F?b<}~*u-zd`ecz0 z5@?OH$>vQ>H@-fE!5*{-$LK9|CDyN?%he-o&u+@)Y74E^k@Je zd9)%G&q9sbQCCv`oW#?4x>x6r?YWLC`U_LxqI*6anR{eU(Jo;u2A@i9?Q%fiwX;URem-JdEt2(Q%ou1y4{1=!}(U*wuzlU zW*082GNvV8-^;9AGE|>!K1%F2y~KlY-dcRBR{3v?^Y5<&6;1)~&wM$LBxzlib25|& zpPXxS)fSfggp)FV%;#3p11P_)cc($J#s!vU>@C-v1te1kT6Y}rj;#I4x#w+Eh^`G1 z+tgF<;v1`UN#~dO2Tg{{OID11EaxM;rnrW0&ENNgvG$xM?KjX#=PektHeqL!HDn)? zUcma5W8P%iut5vf<+OdL+nhngAS=VuXSl9^zqNxiy%e;;9%?ga6r;wfh?Ohr9*L=D zB-Qfo-{ctPZ35(oQ0H0mv}Gm1l=zbU?YXFJE(+LM)KiimaC(aE{O@B56et+_m5oAIeuj=^yW+eD+#J1cV?_5+!UxDA^%@t z3{}-^G{2RB;eVNzW3_^djL>6u>ledXVd*v~Imxn7r0@A>umHc{C;|-#1h*}5 z1tZsrrX}xN;q`lIfM1;O05jus2Y+am0f`p$ybd95!k-bWV z2(!79#VBYYrfdRr2es>*Qthu>;;+eN?(ZWUNB_8XSOKW`1}%qX8%u zc!iJ0F3-BT6876^=QT(1`g9EE%WFR;xGpv=rxrL+WVO^~1y>VZxpoO0dO#&(+*6T{ zm~Lb9k-C@x?NT&Nc3nA3r%GFE4FnFhSa)Eqwxwml21h_n2eRJjqbD}CnONf9k<``U z$3aPjb^eNTJsuUdZ!`an`CjP`tP5f-iLNPmt03yraZ@(}mXh?P20mYaCyt8vKr8<# z71ur`G?v4yOO2`xsRgnPG3F3?4dfrqzNrUgr_7$j zjR)_W6`-@V)Ij#p*LW0M9U@ZFi7uT@%hV|97tvO`KMM;lQ{@x9RYXirO)*#PDnzik z!JcPXsfyFcwiDpG^65LdiJSF!_`bUT3Rpm$!mzqag=9=wAk<`0s5;HRANk*3c^uHh zk~e`1HMhv9=OhIgr=`+)j|U`s0IXzH?d^{(&@4g7;FXoZJbQ|WHWtmfns8g<-as5P zc&K5KLBGt<{-@e2V`WQ~8sJyJIDVox`0a<_Azj!GUMgAl3fax^lIZ~ShdZ_|r|tSp zP>wzHJ?<-M=^3lzhyV+(E1Um`_#{^f`0Ogh{Q2T z-n$I$fMBp%QH&4)8_7?Wkzqc+%_H7Y0nxrx((SQl!D%h@{nQ{2A&L_-l`Cx<3XUt^ zab0|yYiAebR|zkN@LjL)gO7}KQb(dNux9?Tf*73CeK;vIOG?>pCz3uB9j(ncff0S3 z$dfbD{R_~)(6SZi9wi-pM=c_h{-guf)zfQlU}Y0y7Jjd?UGU@VHJVYCWva$DWT^?D z+Cb7dhfqE3hvCRhE_#Md8$hg1!Tr?T>78-C!al=9F0D>an3I!fgT+>=kDm=~u3@HK zPCWO{DMdN`!!^xjFL^9A`^CZ3<@j6=GsmiN9a*2-0{+G?+C($vZv!6E4(l#>{}WiF z;KY5f3ud<{h`}XX-PK$x=RE)@TYf?i1p6z8Kz+I~S%kOVXdR7Bra6RMLAtV-L3=RyXO1Mt+* z7YzsdD(R&faG%|acHK5%JZoo&s)$!cGe#0SI>`81+=ZuZm!_QfvS7lYm;9Tk{TqY-@s&QIN4)4O9@aHfqdlpJU5aLn ztTQi0(ZS-qVE2J5CEdFWj0Kf7a^7YxF+YJs1#W-PQnwyq*g(^0(j&rl!H(a>FO@5M z#en-%gfCPd3uy6Grd`;4+V~X7kk`p;Wx%7?Ee7q(Ho&)ni z1QO~PxwtnL67+PB0s@8Z0^5Wwz3=(Xmu0Xgm3`Wj$9oiDUmrxby|4#l_QQ?XTvyvH zoVSW3Ej1a1dk9B0L)$vhiFHS5)&l!^xuSaNm28=8No<$=<5|u0xw^SZ0&3j2r%5Xb~ zu2gLOi0Fzae5m|g3WFM3GAPCg>M}{^g&`$3C&JEveBNADHQ@Vfb;@GxzH2{skDwLj z`E={L3Ty{ylOYyoz20V5u4jyXh|UGMM|%viE@u-hQUIvYgN82UICJQD_#dc2IfqqF zJ}7%)IHT+`-}O#?CP%qbWQ3%GXMd1hPhVPfEYa495ujS@NCP*>TZlym|h7K z`1TPXOIQsQHf6~>&UU5((O#wnHU`z5TO{?aEt};x)K!gDl1NT6ZY#dYS4C^@&kvX; zFrFdmS0V4t-@pTykhB|Bf9q_=4{mR6o$$kw@5MQwLU71skF5XxgQMwgj_RNm?uSK% z&1@;s_d2kpPd70>Tr;SJk`o=-{6jo_&~5jpxNV5^g&<*M#iB;G?#Gt|*H@-mmX;Q( z4))MhEW6=g0(A;Nyz0wng$5w;=3bE#GeIRoRkfbgMf%i$Z@Hd2uh8MrdrV|AByG6V~l?ZNgOb4LW;tVmsl71&!Q?>kgJ*al}* zsl!s%&0(ko3Yq2AM#kBUTXjdPJtX&ZPb;J2=$D?ah`)$=u0dgSudBIdbV%yCBTytk ze1kF1c~u+&<71XfR`tR*$RTjww-S?-AL|Nj`4ZGVDzGn14CDGz z@^(k0a(SJONpo7P($Ggz5uQ)W*HwUqYm-?+k@#Z19lo``G_s^zXx#RR!MHn_1RE*F z=0Nl{h+^bBsu=rx*PVRuvEYvjAF&kduywL$p00ri#0M70NRIxyg@PWKvIf;Pc*OK< z5QF)XL>1Hx40~QEcGDL^E+5M899hkI^fg=j$eSq+#Z|Op{GJlJxe8TJe(o< z>qinzN=42oVtS&7$flj( zPiinDzZn2$fiu$Ku=-KPF6I+$Tb|=JurE~(S$IzZUD+dbX9x^I9lg)C6J~%`Y3cN# zHMV^^$pndXs;gG>N_>T^7F1&(r2XulW{m|(n-=QhQhQi8AgK|%eO1_-&AeA`h-Z&B zutZfcQG!4#1swv5xlc_}E>o|7gJ`igM|h^BN!dv_X#LZiVsQOVtg~{KrdsOo6ExJj zX*Lk{>gPZ*G_ws}G#mB-o1t=cy-TeBfaJ;SCvz(78lWM9qS7gbOIn_;gx=_wlcP&q z<4gj}W&KUq%y-@@nUGq#wWd9Sn#nf79os;3!8%^ zh0yPsSJKPGd+u*)g0mz8+*;n5FHUJ2{)(q@Q&P;*5eUSTkJI3Kg9)kJArB$T zfw4CtyGeHJ#bQ|AQ7H!JGe{jds7tcqIcKRzRc?J-v;}>pzYtM0>_5#qnD`2H=xh#>MlD#=PgLp;zYK=g_W%QK~ z_Q#c_yi$ms)Gwb$d#Kp)nvD`SwWZQ}-t2#=L{ig~m4&BE=bv=zy?wf}gv2A~Urn4f z09u=_UDgvJU@(YR{e-#4)PJLOLr91;^qw>tmtHAxD#=2Pcq$DzG_IOtM3*}k1q9dh zU@_j`2k*aMQIcRaPK{{eTb{Xh>g_u{UH_{)IEmZfe7rCDm@gAQuSG0B9BtZ_)-0B% z&Ski9K~}A=2w~abZx5>lHM4uM>W3WJhAS9rf5>@R4wd%#+*6S4l*+Z4loS`dmoH=7 z&TT+J|ERbZki{cav5H$97SRcrcmJX-JjmO${>56{zPJhDqo7knfc|s2EzdvgVjkwS zv0mHF8KC9u0`EnenA35~*q$xLa(kfbmUK-3& zy=$DA%uObLcVv>5FaMnkuPQeQUS!@?{NM(SKJrdMm+mYrUJ}!FfK0`y0Sjtj!~gLsCumrJ%rpGD(x% zriu?tTBf;H$a45nk)qZjF49?`Q-Zhp&A1=co;Hx>R7E;AwAU>ru?~QO4(1*QEa(Vf z$nG9c-W7&mDC>OMNc9n~{`6v$0-jZp>Lu9t3?{mS;TH*Sol!xVk7~TnB>OxV;`4L8 zHr_5CgW1*sEm`Ad_Or?sS4{m&W@SpxP>FG>(KPDQQMwBnW4Li(FekW7r*pmf1N8pI zM+(Lt>Pib4YXeIF`gvXY+pwmTR45EnGdbE;b5$eMXypvPu1Qx^WgE9$L$`}y?OI@V z(}s^SK2%*ar%GGz5AFScUvt(9M1uQL8GC$KvY^)P5y@xIC|py1F7f%%srTVTegD|oO z6vce{>VhcHw=w~hNum5M+YjexSWC_a>23pw$R6eF1+bQzUGEBF+b~OIcFpe;XiV>v zZWt|a((wXwBK^stlGYn^DGzeuQya5&&s#I7xp%kwSX#Le>#wLehXg^XSC?FoYxCgb zym%i2)Ei+8s!X;j!djKjO6+4+Orh}t*S6jc+VKLp?vV%4m4OXOTgoL}pJ@w9+=bxa z7kdeT{JA>ZF8OTw-`?DDTzPs%+RxfxP({p2*;EN!!4`wXIWvq_!{w69!+=K7wNg%& z%_nYNiUAdv7<_$}zo7b8L2D1C-&TCpHIy(%gU947hS7j%EUE}C4J9MDE*W^sC}Rby z%`xk?3Jj`$D*7IAj=_|S$fJzK*Kj0HFRX3>DUo?_z>-3kV>g~b4!{dhtQMIB!QU9?|Ks?2(r z5G(~=0w+fBR$ci)rOo;tw^Tgv%DNX)nK*M>*)-4SG1xoXclWPqbtXhH;tkW9ZtQUm(HPhq0pSVcbsR zt%UrKkz9}C$>&{_qS;K^??ne@0V}zr1XZr6K-*(())k6blkl5UB$cxJ4XJl22k_p= zP!8}rQNRB8IZVtK{PgI4PFKf|a9JNHQW6vii)tDN=rWdDzBVI39??6L3QfD} zful4Y=c1-QpOK_hrB`!;*)m?&00fdoI>G@38BhnMUA(bvHzb}DJU?+p0jqvdz>o4( z$>(8~?!`RF)WGpaX}u52Ez#Qicyof#@H2hnSQJbf*3Oe?s+oyIJVNdOwSSWSsXYBY z6^TB_xQja`xRY0Jp3n7UPXCgx+gc*({i=L^eSh-BKK{b`$9i@09;Akxe}PK~tW8=t zmDGn_$XV;DzhQ#%>jNp9&E+vDar($ZSum&pBZTaKLb@yP!r%=U)X<{JwJp9Ht?WwMG)eSeFIP{ZTgV~`b*_K^Szfnjd5N(BW>W4C<=^v?tuxA{|5TR+H_T`r#Y|UOtpCwn1e0(<_Fx>!4%& z@-+5Sfv$># zf^=(d{b(+XSnFcMMEMqRiz)f9A9Y6RZpM-il4^rR<~4;tmgl~b8aA3gOuV_bT^Q*F z$qkn+qdQB%KUmp-SUf1anRdudYD`=xrHEPpKy$FaS22ykFYrW`>w?#Wg55O8B~vH{ zNXKlmcWLAYAtEz{1>-F*B9g|an9jz0iLudoMP5@iXcBg%r7eue=kSr<;z3ANxZQtL z&HCT#MRy(P_nDh}vo_Z=a2;vlxX>jYCF%sKAvjx8hvMp?p(I2xK{)AZmP%%LJHr4f z8U#A%8KT|D(IPQK6kgD+Y)E$m#1-2o*v+~_4S_xJVG-W|is^+kAYzTO2jy3C1fpn+Ls~1o%5-| zxa-{aK=s+K_E(8m)BA&S+5%47`}h^4Pn@B@-l8v8)JO6(@Uw&+3aR8l?5=h1s1V{7 zZVFnY_eYT8Es~_JE)!JLkH|Cj#x@tqpRUk6U_}q#$<=Lw7n}!~WAfw%DXm_St5WyV zAb*WI{8IY`)2fA>QfHz)k*~z&!mkwlgm4m z+GG1NMa10da&}RQ1^lX+L$($CVDPqwHVxWu@qCX9j50mVnhh&V*MUzz*>G*)bR&My z3yR_POXXcZK!mHDO-rBWCmvw&@q6F}cG(w2h?dkL?2THGT-AM)H}Ks1Mthgq+=ZgLyiKoY!V2 zJIx{-hW5a$BkSVrvpp;{b1c#jVlW!mV?~5F<6(!X8G@S>eZ4*OEuI`nMP^E`!N_vE zC78ie%O-e9ol{w83P=F%>$mAnfF$Sxbq$x%^UL(<1rBLodl>TVh8pv3YxLq5S-QUYh)@-_MD!3>XezH(59VBwjQ)9N!J8 zrpW14yJHNeLij9ojUUZ5B8rb>*5O~82TPVP8`5ql;ckvue&VQjl*)t{x*ON(KQNkY zlpw~(Tg{Ux7QrqWX5SXtOzRxrdDl+e`|@qxDL7pzHNL_os$SQJx-jX)7*=q(D|9n$ zL6d#zGtg~-Ug=oWbl_}gmib(7c`mygZ2lk}JVi;{-PDyrwL4bwkO2S*86rwA=KM!N@|$8tOj7uZ&=wOm`tlQ} z`pHC{mr3=TBu2AHua?dSKa(8|BkU2_l%oPOVwz>ZEoG7CS8oqI_+4Cei*ZE^f+ z&cJglI0bBln`O0$b2K}U*^{}cjg%s+Fk%e8Z-TOOtG6n<`_ks;_?L=2a30{aHS$BN zSsIL2Nfmp)!aT!CcLGp(GWul}z}208cLNf7y?lpy%`4eST59nkxD|~3`mXTPPL8X% z4PXtnU!9gm2e23vnRZE*%hoQm_N6yVXULh$LcwxN3+mdvbEf> ziL?V1!qHD&TXimK;d|YCblD=0#YeNVPk}VU)9Gc`F43uSrCxe|kfHeKp+=f2*N=?6 zW*bthJF6TaUFR)jzM90|X;Y_JI-`7Bgl2Vujl;*(q<+k&$j;ZT8pIVl-)jcoGF9-A z9c=ZN#D1SRkky!-F&)uC?MD!P<&*z|u=Ti8SnfhQ)(~am2`RNNM3EioK}+;=@7^~~ zsV`fwh@PDsRS8gyq0iHeOr?GEhWMEpUXpQwr!P!>DK2U7C8Pl*t`Z1}xuDe5i$raT z5}m1MjhdoteO-EiHOjorHpaTw5aafM8kSDNgCP*+ zgT+6gA`4dU%R2i&yU;wWGOX1&Do-YytF@xa zt2GQ2h`9i?mVFKugvq-1UsF&2YWnYBG{&r=Po?ZpXi% zU>XWl7sJ|?C-y1zeB&{zM?KSVr!Vt#rpsq1fQMnduXWP>ZpF0cO>N9YK!zvF5(I)s zpyWFM)HdLw&Fx3fAN7JTCwJf^Lj=v@E<0{_G}1arDGcqMB4!~k!9mS)L{4*2hZ)bzCA&RDa=^M&X#j6=+}+8rdyHwrq*o;R80 zC3aJ#>?;ooge;&(NL^uSP}J-OgL2w;C9%hs020&XQ8-Osbxv_&Q>WsACTa^Q^PT~N z%rA;r)^3yq&_-dOM_d2X@wM9axr9*m-&V(7Oz!#P^+#pQzrQy_6Z>TWQv5Fz4V=Iy zK`45HQ9i=hg~Lz0#)dHf=XBpdLoG8i_x6@3J7V~wBs1+kN0MWskt{~G4Pd3L~7xQmTPp2@5+>oU+@=&!l z)YsYkOn86VmSJyGpgSkRVyLvMrVXsrCsos4fnTI@Z+$>}2V_zZIRoh-*#GvTjOZHt z;*a3G^FV5r;9DV7f8PoNqkWGkSZ3%E{Bl~kpe?my08r(4=jPeqH|kYeE#CuIBzK%u zWb6t>UtLhnNCbxk#?C7JTn`$3#zB~EIi?=|c_cA95#ld%=9%TQql=iWTB7+DoD^Mw z+?6I;N<~GpU|jF0rlXxjxqu=I(-W8g1j{~`ILk_?-?pBw&0}*Qb3ChvlcYNMBIT$T z;JT8kbP4fGqMz&C6x{+G$FbaZxjKR7C4v@~c)^MD|Gvk^Jcx+@0yJ13{RoVGl=l2? zilS<=6-5s#LZT^P%sa=~r!D|-VhSgq8rw|WsT&%KAQkY082=2xb>cyN5|{^rb#|@# z_t34uR_!VE`I7DpTj(3oEqa~L-^_e3m|pqHv*o)+Z@|XRX~@o_!%xVsv32nT$zeTF%3u%!Z`O*5QD0$4%x2#$`rUJItrH! z`AUYW926(Z3mLA{gG*@GY^jCjN;U;Jx=l@@W}yY9`b1hM|1vV&CQGYKsdBM&?sL4r zagJ`3sMF^kCWvYrE?@n@KIvT^;QqO^HbRr`j4&5T1DC5xV0VFzdNG4vCb1^hl_&2$ z1({4HKvj+!o9{9@qhSq4sk`Avmr|NiUCe?IVP|Lgi63FJ4CH+He4JfK6acH@qmsM${!H*PB5TCq>kKFw#0P6j*JIvoVIQQS8&?wlA$e3me~;;=a< zm4p0Ase0uz$Co%kCYk^S6<=q?@+pE1ZQs2|Oy3!7!wmlM$KG(n?t!+1X+N!uPr~vY znt{%=QI67i71_`C#wm`yC<=1Yz%A~2Ko@}wC~?0`uUc%+0fV5#pV-6g^BV=PSP4ks z7-VP3xmwLq^Y9jitZU9-M2ISpK((*|<1F$Qb0HCnW`OZ-d{ADaG`vE0K@m@l{tC?n zulEo@G%{}#wb1*N;fD3Cy?rg`^j0imejt_n)`@(ea?vChls>P(%>Ggc>RlpZ&7+!O z-n3)Zf6V;JuvAzyD&&@yL5k*2Le-AGSEJmA>Q`O3VFZ}z4X|mFrDT>d#(YaYv(&oFYLV#GrE{Qk6t&9KGX5tcg7~s z(IKwpC;3{T1ztUIyA4y>E0LI6tH?6So>}GFz`#5MkThcR{lCiNb2wD3Ft-8Z=wX;JNUf1&lF8mh5wm-no#X<5{{r2Q zms4jm1 zv40SHs7+(-X4MbSk7sYGW*z8|ThFv7@k~ypsYtmzBKn~jWH+$WC_&Z$#Fu9Dx zBQ%yWZHk@Q0qQN|eNtLqhqOFn4Rts$D@u#UhnjhjBnc0wZ1VL9?HPlo8?)?>&nR0( z?q5TQ1SeJyP#-P@?JN1=y)TP{v=KLAZ7^B9{OMGMl{;dcVJ@AwQdu281Au5w&2a(X zT!zhG%(_WqX={XNbt5VGQGPbhx~Sm0pc|pzM;GfGwJz=9hxa#f`2AI4j36fP>z|NW zJ{?^!04F~oQ<3DLrnlc|LRHM1$`|SM6X_@cVwSkLjf%RMaC`;)D}Wo+&Vb#^aQxK% zI_Lv!mZ|*{iYOuz1uU7J38%M29h<-wLpy$KVH`WVWUWPg7@Y`#zI}Xss?t z9}qTY@Qoq=F*t;!;07QHVPj8@Jr`U^v2K{A^#qznENx=V2sOVHO7|ixDA9F)wueb& zy=NtEQ)ZeMeT~ia#psJ;L!ChwMQCaN-0(?0{;#&%KYmTYZQM7{dH14CR$3%5VmHyF z4GI?+hn#>N4`$l}*uNe?WDxOqK=asTBqm!^ zrM8fX)H#nWO(Pu|duIk*Igh3DYw}$qB@gs~Hz77|-IhE^r8RB;!7X;%f$1k3$SjY3y=?Pz$?U6CfX&u8e(>YS#r z2BGlRs&Fb$r>C$po`qIE@x;J8E8oD}6SP_autZYO8f;cj9LTN#siR$NJr<(lYT}Jr z#S4GUeOk*HgvTOunF6ka4REF)up5BW^fftm{FsTVBUPu$S;-5rc3l`{?Nm@rDG8_- zpharUPL023aGw8@p&Lzx`>O&6}<4>4rKMkXVocAAKpCOgxaxmQlmspWo$vNT0D zsP%4ziP!-QfoqfjFBaL5R5hdCkPuKS9dk7|*Y3av21&8DOwRZ(wLgA>UZXIB^XV9l zPKg9`uf~UHJJqGL)m;qg8ftut`TwN+ojtIC@_(3K9o7-}kfL9rz_=}5)@AqXqlac^ zHKf9WY1GNk^eOs1K1>XHMMCFIAHf!`e&>h=`p{l5iJWT!w+99M8spG zeVx2Etp0otLcDRsE)XzdPZUz*u6`kTbjkPSwQtC*MY;>g5|N%N>Ou$g3~1Wk#NL=( z8wUQQYPIYw`m8j;;AS8_L5pqa$|#7)5Hj?0L;Rh1aoZcRDieo@P%@3Q%09nqfhdm` z3>f*Qdrh1OO_gj)q~A%Y+obwPZ~lP_wxaBk=W z+tr*70T8C#84na@3Ou)+-nqb_B7L<4iZKDZyyX^p_W`JeGsW|m+tSh@g$=OR>Ae0W zb?w@u7eYBDJQz*&;d=NP=Ro^Y*j?F(sZbKEEK$IBxoG$OyNkPdgXv@U|C?t#l!&qq zDmaM0wuB0w(l45d82XWpipfll%%mpUMspbbb}&ddBeUMt14D7CMPi}QU)Ny@MrJ(j zOXOo}>NtxOEjd8?3=-hkb>7l2{kRK#`-}bpk;0kKskH!3=!q_YCMt(rTn!e<@VB!y#>c0MvG`JHsx($7-3 zn>zNKy?ct~p}c~5hoDZ&)1KL2xf2$OOUy8Ft65+YLT}{bT9W-*?SSD6jK>SVUWk7N zlHQ5AujxI~!O4S*SKG@N`d;*OCCfyxbOdGvc+No!b!)_<7Wu9Erd!gMKcV0_Y)YiW zn9Kk}c1lLLwf=mSx#}2;;MPQebHddPL>SI6Zf+lwodh1X06ix=t71GlCjPLWETlJ>bdD10PTzu=1=F;i(#;lqjpCHp?mnDL!BRPx? z#&~jm>^I8gk7oAGwQ8Vu+ut?Rjyt6;ij0??O*=gmYTj~NisB)rfY!I=yRFAOr15$W zV&2qc6_b0MgSuZe;HWWHf2R(?l~a)*O^1;rRth|YbGPR88Adf<{K2#c^w&0w!vx_y z5e)U^2uWSy41{Zbtd@XQnf;j@v7yQ@pf`2HE@0qbngG~w|JN2Lq!l)n$m^i2IR;n zk^26)3K)-yTmq^%mH6*aaA7w(W)2b}ZE`@s9>54<(ePKAfzoF4fZ<(}t~)Gdp|yFQ z%q9|z)!$x-Y>zvC4dRiQ&P{|&i)@0^3gI7F`3qR0+7ZJW|8g4Eq?2}X4j#A`l*ZSP zE*+g1W3;oab%S_e0pe%zF%I06_`hGDZ1y87A9)3FLa zx#IEg0yHPs&==68C=%B2hDX=Tv*KxZSq1f~f4safhgjRZ)Ei|gJmYENI5`Uj%#HzB zUtkLMj^z2qA3YO@gLirnpJ{QJHD(RKjR_yjO&;3x5n26UbenHVgxl%TT1?{ht%LxEGb1fV=xlc=HkrdmDlg)_t)cw8+I;#8wt*f z9jN=&UjhxaO;dd~ha5&sSReT`=jWVyjj}Z^Vw>C)`1*kFv$dg7GKt|;hV9e8x(~Y( z$C<7D-r3G&t&88$mf7|Bbop-^91C-GRgb~&tuW_rmMxrRO{z=Uh2b`Z;pQv{6sI2j zZf&H*$K16Q!2dRg33qt92AisIAMy$Gs1|`ZKGyWtf_DAWotp+&xtW60dAPj?sIMDj zed~-5$Nw4O!M3)!^wE5?HH-z*^P#-pPq7l34I68PCwO?rcb~jrhAu!!JwV|CccRO8PHF7R!$RJKC|+- ze>(gI!|O$AJnmd}`yhY}LBqpvK>l||hVCakc`tuSfzROzkYved20Z`)oo)B;?Z6xdq;Iq=UI+roQo%MUt_y7GKnQI3E*%3vkd8@9OBhDB9}*6cG1m^muR8z zbUI}>-KqyYnBfVYdHrsj6*Li9c@tNY=iVD=tKB%aR;6Rm_H>#<3)?1f?sMqHBk=U! zS^XI1J=)mdSs19(T3s7Rfqr)K9+!~!3n*^kUaLCc7nB1JP*-{R^(Bkk=f`41jC@dy zd-UCx$(RjkP468wDsn)p4BbTj%2;%Z+pMQdis*!aoZ($u$L&9 zLN<}wRPm3`2)ZrgFd^dol#LKd>5lxLPI(D63`UiW|Btlyj;H#4AID3Sq*7=|D2Y;L z$Udcr%$97GkzLu_c{P-bLc^9#$S8ZJNZEVK$liOO@Absts8gT!@A2!eXU;j#`@XNe z?(3>~50cyhY?l-KySMt=5+OBa&`O{<8&cIkw)dwVt9KDE1VD;zN#;Kc;x}zrVEMo3 zPRf){_shDqv4_9TcxJ1%bd9 zXsnII37`5P=NBz@*|0q{DdCgdw6Rr<(1q<`m@g9K$NBuyeKg#-=`8V^hYmzY^H?XD z$8FkTn;F#3!UaqS-xVgjJWMNWJOyFo9I^96c=idb72<<}qy@xngA`7XPeZG`N&~B+ z?vNZX1fgyy^fmwj@^p_#;MZhX!1g0Cck$IjJ3x7-7pKN?1wo*Qx(xNx?4dmLxg^sDERfXd-l7mi@YnB=$I4Wm!&5r2-vP|*eTr; zf`w^yQuf{rLcIMru(V;-O25>N^oF7nC%k>2wbV)N1H-eudBC1bgjUl-F&T{zDr|+B z2?}u6lF90)`=cErWaLZ42T)EVUq)I#T)F0Ot3b?wuxa#u7Yi2YC||;v-OtXRW9;Fs zADq=no;}|4NuticGHZKq_iE+t7Zp&81%AGVRvI!QDElHp_gu)lt2LlwbR=cl1`2v% zgB)i}kX&@RAsrUM2cbaz$EK&1*mZHqpqGiPamH@nqWkH?ZC>OAy{vJ-nSgx|(L9Uc z-dUWTN5X~^HMJC&$r|mmzc<8JSfAVhb(yaMXzr{k_|1f{tm7lMV=*UJ^t;siH(btz zBQ|-cpzSOB(>a{oIqvj`O$mPk(<3c@1*>O1~w$;yNVpJpHp3Bpt-&HmL3dMW9TD(~_9q zSHqP~YgF@M7bLnbIfj3u{x@`jD4~!jhPoE7CMT1COQ-Kl&f+u@MTH@{U*|j@K27?^ z-_R!eL9C?--|E77#o8XRqz?jH0`h50hfDD8;sr10XYCTV_v_LEvQm({lI8nd*Z#4A zRh)l2p2NSs8os9&en=;z?(otkoK@Cd2V-$}4b7Z2-oJ)LxUUC0Sn*H(7lb@wMscI> zh2H%)9A3CW_M>7nl7n$=p>?W7Yf#W_Gv^SZ%wHEAFXoVjC5zhMNdJxI)lcd4AcORR zD|P~GqJQlA1r==LN(V2y1io#U?162h35a*CE58>PkZlAx=F0wW+pt8oAyE3?OT4tZ zhMzCc-cDhmIF%mnW;=g&9bUr_DD))QgXZK94(1_+S^w3N%t>|Dmj8#Mv|{kI zv4(2}C!wpP78n?E8OKhTh;!RyotQ8=aB>9(@sx3xRN6-W-u2#+bkJamM++=}BQP|Y z!h9q238u1z#}`GLXn1&*8{)4)X)lX9W?KF8w!BTac%s`5=VE9RxpfKOx$0$eT!#zV ztcNey))@K^Kq#RO?q0L*ei9k7hA54fDC(uflg~w^lM7+u!%(NasPjs5c>v>66kSPn zgp0by8JEYX6_db9ETP&nv0CHKsQspi6*5AR?5M*u$+YRPnXC~V`ofMc#KCR>_Qd#17RJYoKpu>9U*r z3zM}1(r;Y^Q^M1UyhN32nwRWb2+Bq%P<&w9cR);mc9xe7lk#tOq{zrO&u4GDQ*p!( zmnBe&BwrZqE@YfKtwwVk-|Q3k8GjaSKx?f|-S&l7euAxUH&v{vbC9Z%bpD6-ZacJP z5+W${F5UT6xL98&)8C)aBSd>=bRNt+3_*G#tsxoDlfp63tBvt?miu6)OvMNb#?R}s+=%rZVG(p=iJQWk?uKs*GWWAtxde!@F1&!Zptdp z{6^d*>aaTFtLzmjxV%RfV`p|lDv(yNV8bBXoIt|pa8ikcv)$tMS#pjGHK$wJm36dW z{39}>lU_D?`cSWhqn~EKX5KzCJ3ONRGq+#ZIdqn-&rob~@a`GCdt$-$t&P0tg5Ryo zlW%`*6<(Z8T-Yb9rgdp$I}`MAfdLN>U&d|@_1A|82M1jO%1c3H>vQ?m=1*cDtoFsJ z)^Pf5!5uWa`o>N=vQJ6jVPX0C`QCT9^zIcDSn-k?5U-y=bHA)Z`oO_6_UnJz0Lk^8 z1+s3gCY)$}ysj{hYRYm2hGomRgqx^6Z2aKI#(Wx$~@4 zU(Ml$voT5;zcM+BSI4_EJ1YLw*{|khK}wxUcImJp&{m_qT=P5dCQCDTq30SNwYou+&ZZLfQr4n-?R+HKvx2i zd$sKSxBq!iWChcGvQmL1_51%A@rZ>P%E4ihqZSLB^}lXHC&2P5Q>5PZB?QnP(WRns zl-$MFk(+vji~!d8MMaX5LFIRkUDcU7=0mlHUxvLSS+N%i`));<{xE88cexyN<4Env zI+`VG^OcEo5$+DH^Vh$J-Na&Xb_Z$4qQ=Yj+eztL7812CYyd{jjhxnp%}%fMFloP% z4M$)1>z+|s`9h#GL7s&@u%@&8f4qMJnc|%Kfq>e{gSE24L(J+KwhulDD`yPaW=O1_ zlIcUve`C_kMgJ!vHxsCAC!L&XkJY-ZywjAN?6-%Bqk-NiR$bR4>mu#9Z;(YeIZP%* zX#K<1{wZHuBA&LQ({xo)i>hF+14-rPcG40kg z!t<41dt@J`3#4+aO|3-lA!f=EBrp-#pv3SHXV|~w+=cVIo-(_B7Q5H7R8+w5 z2cRNp0~tI=94DEWwQSDlrmfoba85n$)ab77QW+23O|U{8%&UDA2Isp(u!mT~?;j66 zYU;8)JEw)owbzN@k1rkY%z^qU+Ub-6=yN4qexQo?y%kNr=Jn#}ls{>Z_#=)Q8R8Zc z6y)VSNP8ej`1|{Hf`~d<_SVbmSzX_h3-fiU@U_>p)Lx&bicqra-)HpcLrYChXL9w0 zmC2zIQHa#US#g$lV!zdr2Tya7YYZ|r0(8}BxqmEjT{z=(W)@U>Am z!D61rWa}zgQ0zn$KUr|dtSlJSEcC7aW#mW4>eJb#&yzpy*K#9&(@N7uy~24COkiDX z_t5%|B~*g#6H|5xm_v`nE|w`}1bfHa$KeG!l)5az5)HMd27Bq%WdgjdYN~Z{8L?5P z5ojnrQh*7^rkZG&R6IGv|VrzHpW}3x($UdV0hh2=T7^b+Pe(sjG z*6nq?;6dlIbU+&t&1GCnX>1#i`3Fq}$`aK4AbGXR1CL;4JCA<$>{(=FWPE&l7j;;8 zI3put2mO~l(uHN~%a4Hx%WrQ?|0f!7WYqHJMfS)_sWU}OW3?F`>XqHQxnGk(+*1e7 z+rEi|3h)iwdDQ|9ey2g=6eHE+favY3-`ukAZc$OumlKCvL?5*O|B(iOk%Q>i7MUBM zG~`FMqJNVBmP8-{TAEBb#+N04*@K;Rjw?t!5RGbXF*2e2Fqx36s=qF?*IAQ}zO1_2 z)a#B|dVQm#<1d$F9)r=Tt{bm|gJ!0n%IHo~M@I+T)j#>;WAVxWWwHZLLCI~oWwIAb zx^jelQ|DCWn#R4wmM!7&G*>4M*M)ry7@}D7woDL36N!Gv`#;ga@WkS zKbHTxU1oWUs&czUTHt-ba?TLWKYFacJN;eJX=T*A*}J%}m~??tofK zgD&;}8lm&2Ofv*SP#J=OuXJ|ioO(X&t zg=abc@ny6xQS_T%q=si#A%gq9Q1W$SxWs1FWKle&LWg6Y1S)|BO{Yv0{>K_CAIZ{z z$3@~vdKC|6U}5l01uXNS&aKC=f53v3j80Z!Ggr!GFK&-Di?>G!^k&v}zqJ$p_2Ixv z@U!Uu<2m*BO`?u~#-=>F^Z1(9Ti-(9F#_FtZ;r`@txcn5y9B4+7TK%3&bNON8z@6W zO^X`P;eqd(^h4CN`W4A%xWHfe5S2?*^^?WoKS?+2XX-z}M%?XNwH;SNmIdcPYyKpK zd2$yTnKm{&YkdM?5y&06kLm9q$TIV5+=9aWyDjB#?j_`5F-uB*abXi>7nD3)J zynG_2FoeB|5NUB+HDFactZfM%WDU@%LLZoQs>bb#ZpK@5OLwXQX_NtJ06fJ5Jw7%^!3R#E7SHHjY zcYQ`k>?wlcLO4}IOWvwL33nNQox@ZK@91~HX*Se_|9R(`K;Ju}>VWEt9l5(IX`@+W+5 zW5s4C>kB(!UhpC_{CL9l41zh)Wso&ns%t?X)?tdtAMy3`T3Z+%E|NoRrksPLZng?p zz&uX=IhN1-h^qz{Mfp_wHT{&2Okv-@wly+3HUacRw`p~HYx*SfCXYii4?kLsCaE%3 zmwd1Q)~<2xU-^yczMHb$KfnG1cB_!~yhxX7nH*J}{KK7i9FL4&{hG6^`umt9U044z zqG!E)9g|dFbEdRm84QO6t0dHK-@dJ@d(yDhNYu}UPJx*)cg@hbb_KM9MA&s%oFCvE z4F~t$1W`uvoF9VzRLNLUF*y!xQr*QqEIt#}7Q1G^3U>dG2n#$rq7bFK`Y@esoMX>A z$=Pma%4yyo@bE7*jZ*vx9o#LN8Uo;IO8ESzwXbaj4?hQW!0!<*Ha8qbRuwG*gXO0o zI4IY`WaXbanc&|`y&9C=a8?u}BEEw(R-9r$E7R4oXnC^7JD%`3ags;)pzdgC9ls5M zLh;UGe~qgTu}cPCAZV$5#iSr8`Dr<_cVE2fWTzrgW1ju+t`*#0-y2aqylB5zYwzdl z>+A3DN-DH8>V~QQV0S(AniJL|VcUzIm@nkJMt-TFf63*g@`J4m>j-dwfZBrK*}YRu zTVs~p9>e|a=oR3i8%RQ0)h^EeP+NN7nH?FoOstgBAItuLJp*M#X}5f@BZE(2R9?5~ zWT6pfc>C#I;R|6>4ya#5W-#KlKQ^7^b97#w$*a<^P0t}_efBCl`8u9{2W`<#hGNZ_ zu_t%BRzE@iNBm{l`;2z`lXw4Vd^z*S7B;*9=Xh(tY#L(jd)nOwnU{aX0gYXe5HF9jc(hyxgk z>WL*b{^i7Y4+b?1#^vpTp>rKDz+->%$UdY0v@ZNzwxQ@4(NOqbTGy>%Z~zZTKJ9;c zXr_aEDaA|Ju-EO-yx#EUz=`#sV8u52O$3Cx^nqK=4X&Ind$;4v?Nq_7IuLi3{r^r* zdBcmTQAYC_nG*5|>+wP2sa7&V#jJUBD_}%3@G+i`QYE zaeO>T$S6BY13uMhHB;+PYu{MMka`_~P&QT4$lX%4W%sgNRYeq32&$W)*FL~U?7FpW zobf^Hy**;;=Y+TMzg`3V#)m3p_1L~fF6})+e>bskf00M_*5BXX*Vno6^^^M#y8kW? z0q=-cxorn{l_w&B7#O5{b+E|ZNQF5)2r+d0xFaUa$cdF+_~{0_l|Uzg!a;HW_z$zK9LMSfTj%fe*wZJLamrAL5Q}x7as7$p7S3scG!gezT?X> z5Nuu(;gg@(mjs?rw}sRj#3R54m#DDBJ$c!OoS7MmaSHL^R!%;D>@U>Mf_B+mP_2L; zTEFk=F5}OG^JaXi|1#ZVPjBvjSp7ZxuITZ=P1E0ccH%~h(avjuL;7)dDJ%le?ZgpT zD!$5ZxBoB1iB8*Yk)LIFa6jwczm4)Eir!trJAa$jb6I}k_E$rbpRFv!NY&X3<{jlG$n@~dGreh8pj++iVHpZ$&# zmvkZ4zeIEDP7Pmx@vyY(GsmD&skGFiQYP?4;tQ%_~Cd7`9ILLs}qoy=v zm)TrbEi;H71@@Kk;=FS8WbVmd(x)zhDbrc#wUT%GlYu}MUyN+;{49x!_;o?D5u1G{ z;-GM~Kyc_j|KP`~XZlW*Ia@8;E4upn(W$&ELZ0Vrb^V0_JWR2+Lexoh+qft%N_imy z7cVcb13IxJ)3cJFnbv;u5V9?XvhH66WL82Bmeo6rZjA8@C~2>F5EscKiiQ_=y*H9S z-V&s8X)Pog@yub`gG>A)8!lILvH&l2MuHDex0OVMyD(Z8hY_V;~I{zwAG*? zh4GNK`(Ue@sm0Z+!sOp(>!wD#1P@4#rsDKXEPLR*9%rkoB+sxHC-!2w;#L`2o|GdF!{hj@(;dnY zWF-HighS|$AR~2l8vJFk5qS_x7(|-?xip@?kQ@iG^dG|)lXZ}8V9k33P%G4^H7Ik2 zgol?L3~AIG*he~HB0t&@2^0MH4w8fi{%H#cD(?*yx?p$NPsn4%eN)-)IX7*$yE^&u zVIz63PisLe$XsLuP~&DUU@L($h;XoTo%6c2jN|!KZt7L9dg3?|BK9k2%qy183{{U1 zGo=}p4R7njb4)GDk=g3#Q?GiXm$AGOwor~;2_r@onKF|R5JoYU&1RQY-=vLJ-Foqw zB*cN9d+kre`VJFJWwW%>g1dgky(C%jw?g*U5^sIex+n0E`?5}Oz7&{|J#DRY@1#)% zeHw~%t!e^L(jv30U~zU;_4R`Mr#)f3x(x~fR(30CK&3M_E8 zw(+|_%v+h(BLM>46B84iof=IfTscR4u{j;Qk`m17o3y7c9hD580j;`USPWZM^7{g* zBoSGD%*naje(s}BcWIA{-SBkVo%uPsZ^fovrd=lDthHjRqIv9|>HnUX>jH97b> zR;zr z-&PSbaH{3A0lc7IJzO9MFekHz1e#PFr0$VizSFp;PTO}A#;tNA6(^IqyVkZ0(bmbq zzl1+#Z!8GaeQv zU}GJG#H12A^M&Wc`8LGszcWC%{=u*JjrGgnm*mF&+?5!b0}4Z6YMouKo$)a3bQI=` zsl^kaj=_5r_)2ejq;k6DGgDvvib(qOfC|(3wo(9L_2eJ_cN{CxHAba=NCMzU-%0rzu`s$$Wl4f=Iu`0{$GI;0Gxe=G=H3#i{=LQ&J=? zONzdYk^+*3 z9w#T4jfiB4+Njk~2-I|t_AXY(83p$pG`=)CB|y6kkM_Q_1I*PJxlBk~Ft_xnzdzrwYJId!%0)w z!coz}eJAn$OqUsSu)$TAoIHwWszlt6$2FK z=p3izEn8H%Sao(m=ZxOmP1b(rwM%yg=3|3lMnkq4gB z#RU?3ux z+y!Q3?U5h1@kZECQbgBUV|Cge|10veE+bI|AUB8Kes}$sc#&WQbWWV004Ip#p80ky zbNt6-dgjpA_?O}gJO^XDy}7i`?d;|!|Kfue!9dT{@jJP!(59loM1PF|KHSH2esWMW zn7t>?cP+KNe#{kqk4SNte5}iu@;jAOoul)QcVmO+>zf#X-R zF<_DhPxA2Les4)e9qtFqS@GKijoN1_t`UF=iF4ANS%zQ#gDkUup$3Pja|8%do8NYV zq0Z5!i3ezF@6OvFCv?{44t>=nupg-ylp@wXAta_y{t115R6jx84pBfBLUe@A{uQ&`Maa{vI=oyEibbY)093QE$@c7#Y$^S(`R z`K6MIe^mf9<}t|iiF77c^>SJ+pB6%>{@K%Fs?p=U$-{zVw5rKLPg5Pe9oOacZyS`WMpKdrSlCGiF~6@V3D!mAXxS)3kMz* z+I(1512JiQ4;$kT_M%8IT#j-?TAXpmv z&#;H#tAN3NX?<2l$%;KAfz@6cf z{~`%lT1Ze#7MlK|zwVGec48kL78vWR!e63}gHct@aS~gxyWzt>5%e8#l{hpCsqtG? zE5IQY&6)eDPVJ{z1)A55Z%aOYfs8gpSWEOr*8h0s10hH(>HjGAasqEDu5Ok+-4!tX zcfOS++zd9_3nJrxL2#Wo0!t7_&3Nix)fKWl0B_SD7L)A&Z!2EPJvi{zq{K#Z`|oQZN1cp5 zsAlp}tpr&6+K!$mKf+aH^eo{{)bhsE;|LzkWqz2OQXg;EU)$i-M2-xZ>;?Z zd0=}3byeN&}&ZBR(1z#7>;ImPy3x>UqJ zxN?Jp&G`w2_gRap+Bmi-9VF!CFm(C=w>$&oUA;b z_;sJs0Msi$g~rf}CuaY23-ZV#8qe1i2u;Pe!fZIHQiG)Wu1_Cq2S@a=bx#p7D1ke) z@nX$`5m|>_v~~`Gb*lH{D1PT{f>m~es}*Jgd`XPpX}Xs;Y&V?_ZN~%$ZtZR~Ods6G zqI>{zXmI~oDK@I{R{yY=x5(sU0`7yG2mVdV_7MBcxn}W1 z#^i$so?<1Mx&u_v>?BKc2w%w_DL+`Ip?xE*M-DQF8WN^#_<`o7%cKWZoFPUmw~KognyDQGu8`Iyz_NMb2}>ud=Vv45|Aq9ybuWKl{w zRe!{@W$bk_)S)m68d+8P1T|PB)LYV@bT>*LOp@~!uuFp8YcL2TJMYeOf%dw(x_p@M zUU=N+LVicLxQx{m3e6t2*g6A<%F-_U+{QUC+`h+cCTE)v*_hW-EVuna2W^1uRDG{V z`y(UUekBH1v&ft;m8L*K_Z;DD#Q*w z&?+{E);~o8;k^A5NY4$J6Tv%vMWE#1jxSy%{yfmpw@b!;u2OCGyL?d3)Wh9EXZtN{ zpuKj)mqp)hf$mUN+g>14zJ8&{efq(EsR!wFpJ#U*9zIaj$;oJB+#p0dt8d(85$VqB zlAr2#kI~kYL>0&(;r9E0XFPp?e;= z^w}e?2eq4z^a}Kbc(jcBPapFse>&Iv@)trnqXo1WuTq=iiKVdp**6)T3|=MNKKDO} zetFx{c3Ew%;RsNDUZD~wC*dl?0-^!T%!r#!Dp zi_ZnjH+9>M`?N}O2g?<4rB9Hqmf4{nY@e{N1O@T^)&0ajgjW?3*Ejt!^R1&ede$Er zh89p87iGZItMO&X)l4~z5f_qjPHCaf)e)0_SonR^}|* zLw(29-!YUr7pUx_1%`yC`N=z2QUc%iHL@2XrD4!Hb@6JzTouz)vt0@F6tvfJgjshS zm6dkm?w46~n%gQavljK}y9VaQI%%X5+zDV+@2qjR2Z6H>MZ`d&QBKVWr)@ z3pq`q&L4u^SVQfr->Y&72`zQ;Wb<-2N^)cc3S}jveJf7Vf=F>o3w-hR4H|F8h z*BEc#wB_BLnKjP`ER}E6Vw=!ZB%O(5-1q9vonC|{uE(=VxV>6L4;f7K$!GJ`>vMDb z(Dss7;<@}$tCr7Fa7C@5b|HS5oGHUew=)IaP?Bh5>E@IB;6MY}?`m&Z2t~X_^?MuH zY~?p{9;N=JpzT+krbMBz1T0(I&}vzlIo)!Ib~ZmT0vQt~U!8pC=}o;QpX^zmN@@O> z@wNvoi9Cb8jP-oV@@_UsG^&HXq8X{7TtgFge;pQebKWgd%{xy4@q5O=JY#aW*~6o| z1;2BM5(DSM{L7g@Kf}~tufv5GdxtFw_-}huN2rqdO&DyU*R3qbUJ9yXcdvYhs|)mB6KLkFGpl+g1f7CA z{M`+ieWnFmnnXzp-E2DP`3K@eY!qyL__s{K@KZz|BNfnkEL5{Jw{R2DU~ig&}v?JUgFynXLwHQn*Ex8}ze zezDqH4(h2L+I=jk)!^EPsW8E)`XtxJHu`|xEzALx#7P4>Qr*mzuSZFr7MGJiG9f0r zoS))mc;4c`+BHxdiv~Hz12S1#{%xHaPhpy3!QtHiY}*PC9cUqW&&CFs2bV;RQybcHSs^L|hApUA^@jG!e@JO}g1Q-&W=?s2 zWAy~3Dk(&Az^u{QkZ`FE7z9z8k!CU4E?LUP4Hyy=8hd&9^{IpTh1!DJhV=Q#_SwBs zMsp?RS>vF^ZzUFU`KjT1poK?Im%|i?l(r{_INUZL=P%guOvx}+b6lh&B$3#q z<>Pa<@d;`^%g)49`@;{YUf!UO>7~$a;PBrZ&wCyWF#0b%CXPtBl~|Jpe_-K28iZR# z7^QcTwcg4Ci{`5>X=E0r*4e31?67IoWz{ivXvfAo-Uq|W?7=b;{q*ZOH1J1nqxqJB zY->%I>}5+#R92cHC1ltJf@)E6#_X zzU?j42h-6*n(stqecC~p?N7Qt)GjrFFpuH4nMw?Bd7P&$*sl=7s%b^Sb^BCiD^%<5 zDK|)Np6Z~*SkyH1vttl-jWGXi`FcA~;+1Y1MAfzPTXJ)Boku zw2P4qJ?9ra)p2hSS^Skce~sNlB%+pf+%neb&YtinI!2=-{h?S;b5JHjF`p~_7}IJ+ z5LABq$Fs^MNWR*jQC0{DO+ZrgsjJa0D!J9px}V;n@Q576a*ei)rEx{&EqWR%m``u1 z)oog%(EUPWm*wmaHKaSBn~RI4Y9@^3kQSQlgdXx|D`|Zg{Vi@&?*);oMs;1rs6oWr zz=wb5*0FEe#tpAZbsi~1d`wDJ)X3QB`sOJ9(Xw< z-XOxD9f@*$lW9s z>|Z$*tA{(eFIffc;W20eqe)z*X{uaNTfp+ur#-=^RMIAjjqHr|V)}r4jB8jeSbsci z8(AE-$G|;-n+Y0)(P~23AS&R}=BE~>%4=4?nAHw)9E=Ca-3HqHT9|QcF-RfClQAH> zdJiWxn>D6TfYs#~&c9;ypdyL*>@muHY*K4gs>^bm11TYJs5|yz57W~5n;dNmZ|vOP zak_xa@q@+ZJxWS#hm7M@$$dg^CSDGUS%o`X8+TOt3`X}8{plnvw z%Nk^yEd<4H`oUVo$JT#oB3un-g&LUMwLRfK(D>lTX-+punCd<^is}bmYfQ6G>t+<5 z6&H9q2j%}9%?AfTBP~@1ESZEEKk^yZownpe&6ip6TME0`)Eo)F)p9%rrme#;oMGRR zA26(l{CY>FpvouAVC&K#4BV8VeE@v-wKApGKjd`B_Q#?OL{?D574k5Ugefl2!I*;q zzu18LW}%+GoJ-|yb{{{@P3=?CzhAHU#h;^!By!D$DNB@Ba)MY z3cClQIEMnAz7s(&^g(EA&$(^uj0;c8+ui|t&g4BE{XGGr&f2~YzMnu;KYIanCExgE zmegZW$;neZ)}SrizBGl@E?#)RtEj@ z)T5nFRaBK(tTMrtP)Ue($K0k5c#A(E z7x<09pvJ~o-IxX23!qVE9!9=N4&N&~x6Kb)==SK{(kD zlMyqZh`mV0^7~a5=uTYB-FS-g`e*dl z!p+k`@ceeY*Bh8*mz#2pFIBD!oQbbUjH9RxQBH{givyD3Qh&i83Sxx8io}PaEispc z_p6wc!>9(&qP~l)R&rw(QzsP?FMqCG4K|7*)nVmxhy3sfbquxz}nz;@i%LYE;^ovggYfzgHH1T&ynSQOXP1A>3 zMxGQ1bg}m~vt&+GOmsyL*342{{6r@X@xCb8pEdH>dLUk`>l)0sDw-g@$JxCHgv!iS z89^>i3{%7+xXWiNz4U1wd^-}u)4u>whIw#5Uk-4}cfggVNtn`E@xc({1Af$Rg)U&D zslSkTn~j#)Hv{lZn!;+^P%46B3bVdj6}c)Jj?Z(nY%REakt|N==A%<@MUdtrq)-1s zTi;|5ghXS^Lm=cc3i@ZJH3l<{fpD81;=)U6JNmeYb{Ka}B!$ z+7a_b^5{`tB#H&2%>9{TnQCbsCvtx9H>nVhN!AOQ=7k|cpl%a@EWt;TF-oe}@{;z! z>)9beX+I*-bnqW%VK^NU>H(9E$*Yt+VCD-bS562Z#ncQ`p!HURwDc5ExIsL+6JIR= zTsG=b8TaGzMOH;ZLlvxxN_{+5^fT@5;@KD5@FQ zQn0_CQ8NFL9nLej&|P2n)K7R!VbJ%<^;dw4$&I*B1YCT4vJxBnBR$Z`2>BAbn#h+z z^Yx{0%`}{kW()~9oTLl4PBVZ`ZPQ?|cm;$0%eWa-Vlo6eRBo29c@~MYfMX{$tGfZP9`3pSwZ*!B5vZgWokuL- z2~smo%pM45jFmYGojLp!Y~+AcDaJ)z&mtk{hf*O}o&`fhVc1xTq;>)jsd?6@i;*O^ zkIPKj{7tjsfBKAU4Ugl{9fEV4sD|2it<JI>_I}PG_&8trg$CYv&LRE>L*Ig9h1|ai%u+&n7+WTt2zvG zu6s)$Z(VLlr(FG&GoK`g@%ee~~ zS7Al_;0>9LRfQsJgO;wb(RMjH-qQnaooUYIZD*#NU~&n}0T`Z;0|(jMZoaWK48oB- z0GXoqtze}fI5C91B215AnIsQ5P-qJn7$VkVehfB-35)m?Q}!$tR48p;QI~s zW_I+>)rbvM@|)Dr96IFV>svIC0k?G|W%P%@sqrdV4upBM_EmUlC*D&te7Tgm0@HOmxyhZk5T;Q3^d210TB6Tia68-nRFdLl85jzZ+E$$3wT(dP z^!ndLW(LHxdM_b%{6wWp>3}8lVI@uXwA8xrci}ZkwcYJ%eHd|FD2X`C zU>BaPm!v{8`}vwxIYDw1G&=&4HAvt*Kyw5~y)3UnHE<+HPpPJH`p-WP7xVBh>IfL! zq(1lF&!bt(;NxqQWgcix#3HNlYc#Qg7)#pO$#L)6jIiR8*^DY5Ga=)COHXM~?f0bf zV%&jI1Xmd40x-(Cy|<_^)A>&~cExhOffUV*9@Jd8aOWc@JGP)kJpzJVyI&TXl^*)Y$WNG8WSVeLGs}ZjMYt#M zq5IO^FHRO#oRuXMKViDyEt~hoS^;PyRg1RAUdFr9H<>Tdi#n8AmKay?v|o^^D$nZj z*5il|=Si-+{anVTogA>z3Yy2r@`qA|AHp?AM&J|1_cnjTrS#2SzuVC{OJj>Xaiuwmfrw9ey!eYKb4&A*UQ?hWz;~lJJCZ- zh}pODn>0gZLsyi?GDo-qN3?eam2k>Ar2p3K@9W;P5ciXhf!pixT3Xzzyn@b!B5A?1 zKU7Pq6wL!C%jZ-}(x={n17!+h#$;&iUr@QEU3!g54#a~>p_hgv?id7u&T={;p~K3`(k@WV0lW)h!gF$Aj8Tlk zAgX#xfKKL@-6%#KwFiX^;`~(G4x7j(%z`gy3}MR4+`)UQ(<*($`Bf@Y>v;zVnh*{f z2bZUT4TD{{C8izgn8E5v zX=db;|Dd`UYo5uxsQa-Mazz7>)BT49wh2wf^!a>U;NJ4_ic-Y>2E})*^6XUI9S^fG zIY+K^$#2{%e1;A}%835bx{pwlrEJ#Q$SSIJp^FCwy-t~w%84C*)uNvSWr&=9+P?Qi z!?bIPXWF|(cJXS|yt(~Z#;U>tj6)v_Hny1XQwh+>M-rEyDX_#p_#&QSyPD8}-;lz1J~*)^|pp*>J03>Vsdvzk5Xr_CExI8Zzh_f%3wo zJmCeACTAW&|?8&g3oNqABUee|@cFIP0t}(1B#G^Ju2xY8EFa z=;CgFb%JAIT(=+z-%Dz{wGUJrx&K(rxR~qy7-S@D;xH8EXWLSQf*mdoWPs?)w^ut% zQ;ji{)4)J99McSLwlHQ~SjphibsLpj7!X(|V6Y%xX44CSP?4_CrGl8wgGfqmVIo}E z#om)9nPh^XBeAywZuyb3tR1n zty_Htg4Y6w>wX;EwSVA5}?$i8dxav!3R~LtqkHj6PSR4#2R2 z=&N}Z-TbjI;f*K@*&DsF$xRS^(~jmX;R=OB%{0sncaiT1o+&a{EH%A^S)^Bs@8f<{ zA(e7a-Rg7~U~`U9X!Kdi-u6PwO|hXHIZMa|!wkz)sgb7)PwT~Cu4G1B#Ct6ttw5Bf zGA- zu$t@()w93d!zj?U9f>YOjI1?3m<71rI6FeA=@$y!m4);H)jxD(ukptucBR!T zG&(1O zHSL;FoM-yF3UHE4hx3o?h~?JHXZBr}qnke= zV=2K|Arrg!tlS(rYNSXsN_MnAXLa>?aoe$`FJI1N31T77+EZg3ks=2-eywH@$@Ly@B4x|mM_yfhNAA??cda8&crLIH84?Z11iBN%l-9{}NS?!+C{XdBWn#MV}oG;NR4snWo}djF=9F3L=?QF+U! zVv9rMtdt6YrnYgjhck8W?dR32e%tt;?xQ0lIGzWNzF>Ne@+|Z7_D&#lx6e}4yCwFr zbxNk$oWBsVLt-cTigXPrei|NTHf|L2-1MzVO*O;f{#*p46C*#}G^>)5-cR*(u|w5m zLT<3}eqI5KfjyGBhrq5EX2uOucj^(J-sIqJo1a@ob?4FU^5eAZ2h+MmkNe#BM^e6i zzNe!u7x3J5x-U~>6 zeNfKWPk>jZ;;EpAS>hfpx^_X4k4o8WcVa91@YHL*2uI!D_nAYkAY9j=*4ymh&)b#I z8n-f7`!!<)D_0|fV&Y|)rR40zL2*Vu3c=_5PCE@Bm&`t6)Ywp*ae==6*0JaG^v^yP z2aaZj$U*%5DZ^(_KN-dT4{al5nQ!<#;;wzf&ujK*KIDxGcfE#3*PCs=<}H>m>Xf!E znp6rj!F_s#Ch6CytP!%DXAb_3@ElA*i(i2X*W@S$!+nw=#QnRh#L2!e&!armF?*9q zk7!CTa9l{X%xZo@dgM`%Jlb3)WAa;~?a!tb$+?_+jv8u7*iItL2!VEdQ7&Tyj^8G_^A^ zr|a5{$AU4N_luO5SU7C=d7zv_PFq#H>3i-X7|}chj>RKmDlqD2R_LKKz5%qzw1#ht zn-h%Q{M6r`tzVxovlb!SOtMsIVs=2L*-@ZtFx0$p$KG+8m6t+`^EEUGC(Fa#rK zt*EFW#0vR!b7shS9-a>UPHQuQ4$t>UYE0zHWK~Mip9L$x(UQO>&DCd-Ubl0J@@E@s z%-m#uD=*y1wpl<_3yGg=@QX*;zB+k*LVeR<&~9eyi4dzm{3DzW zQhtj>-J~i#W78w1#+p6$oq4KEA{NT2#2Vj>lFS}ROoxe$Jo~z=ruP43-4*F}sU|&erY9!~MPS&f91;K9UQe!F&lbU0;GeL5s1Y8hg z05Xl;%&pU;q<4GkL*72UvHOHmOu&faxQToIoAQs9XB{NXDeVfibBiJ`?XXka!s65J zJGzOC``2R!Mxnb8?ztV}VPV}`N;iHDh|QAkKIt;W|2_)@AvR%z*am!Kl#)w27e3{c zbwnEQo_;KhSperqnt!k}lAYBw_({0xcEM(sYX}OvO9NWdO!X?Y+CD!H_kG~h?WboQ{Gyg1O+}K&x0lf;U@rsn6bPud zo6qRg3_$IjaDQ|n3nV)-ry4H5GV}0{9syykQwaqJL5G$k%yM|GO`0V*j9LUZGmvCe z)ce@J`b6z8@_YUF7U!pVXou4bjrTb&PZ0clfC@znN}A|%1=kMo$95F28&d8E3!1=) zSxSv~)(*-s7A+p@DSdLXYo4;DC>L{8_WozhUo_rv>?icRYF8B@M8jofhV}1h8)diiHix(qYJ>u#=T7@peXg)MD9}+P zwt4Tp?v7}7t+mlUh;-dRYwr6HeJC)0N-fK#GEB<<`!&@iP-*_n@2|h_0t)+ds^;pj zc#fcPmn4th^yY2UaliwXX62V7Lth9B*{bc*(1xNyM>(|Mi zc(wRWFIj==N4;>+!3_SZVaFp0n_#rI9ZXwC6plxG&~uiG=&wzly%H*=-(6#Z@4mB6 zuxxyl)YxQk%n2&aib=2Ya0)Jr|ny)AFc zGiejp7cWY<8R`LL7meD@g+IR*f1O8c3J$y*W0e;b>RzY)BBmNtP_vx|bXo@p? zR`xxva3qA-=74JHMXspo;!Q?^ZN;(;Q4FPw>1P` zj5@NF^oOx36}%Ap+GFVjXytehT6oCSAa>~+XrawVoI&!kQUzE=(P4L>{s?NJE6)9X z`dSmUvjeOUw$m<4J;KP@P7aKUU6U)z8P#~zF25^2h84rGn{}~&5E5YZC~T_*EK7}t zdaz~k@s9rufoKn9Fwvbh2$aP}EsrFK5eY7;2}e6YmWe4}DQiWB6@~z6?9CQY}>`JH@XjlVT8e^tIg;UV9eCtvKkLd|Ks`Pe%FVgs9nB>xkvmHf_ zVVfhGNr8EXkasEocs{|{#B#CUy=rz(xFD~#;ieK^Pb5{Zu*oIB^t!NhzHVun>W6y& z`Cd>iR6|k%_w7X@+VKw`%i}*`R+nQu<{@>;XN;o$^TsR zzkl?i4?w!IbuxPFcL+3S^!So-ZWSg7u6(>3{W|{nr9+O`08w`SD9<;}0DQO+cqa^s zhMkLyDrdBQ!-J)+D7rTz+*^fjjrl2&i*qqENlesOK~5>gAPaCtLg>d$CIm<`$6 ztIr>*imi>|U{*R?vG_LyW z>J5${Je4EOfsM}u<_y|1@^&o*nyWx|%wXl}1_pn@$de%3r6%j_g#aPjy3X%Z;PXp} zAPklrBeWv;EDbA69b;@~$ex+6K%uZEDyGn?KRnN8XLTUv_+8JbJyq666N-W8+fWQ# z;-3Bt#Xw5+8*nt0rt*u4n~8Bjng8(TM zwA7P=b-e91GaXTjRHzyktR%G|n=!j$V`|RWi^EALpbyIx8!We6oZARtW|q;J%bf0pcbofJu4WJyMxIY=tKpwHU#I`Rh`Bp-y2DKl}JehELav0bcVHr z;!Ei_OP6l+mYC0WV6Cb*^rCI6VI{B?X{PbO;j7}$ssJq~ZD2|oisnrj>PH6w58}x= zb$#{HgWDqz2ZGwoS32b@jYmsz4c1N$R~Hk7T-zlQCkG@1FN)|H3Q=DcSQF_J0N6LF zmTN#IJ|v93`<8(4Vt;17iujW&CvN*dfwVQFgByC1ZF!wf9C~UhM1xS{6$RhtEXhL2 z4@au!p+U0ZAD{y@w8G-qi7NjGHq)71dR3@ab7lG<>znp1Ab$Bw_T>xZTo&zdl*}94 z^n?oh7I!u%jylzLreT#KpXI{TNopS#Mny6PG+^{2jO2zdAVOY^hc*03I?+g6b!_o_ z16@%a>qr6Hyx|dK7cI_Fd3uud>Uh%m-Lw5w)0Q6+$0WXSi_Z6@hh+BZ#fvF^^-=6x z%h@LGX4aTh@Z8#wH2r7V{6{J+y;pNeIJ2?DR-|&JIbI}mmVfgePQ-&p(Fv7-^auiB zqf)YbA6_Rx@-7FZ=y#1|DECOeF^|(0zRKFqM%k794kQln4!=&(SEm^n3nbU1|0Qa5 zrzrM{FE1isN{S zj=`QSy#PF}Q(+UgMh%aIhLEQ`U$3=V9v+HC+ez2F>xz9u@M-YG`M2LPq`mg~ckYM2NtFA#E|ayP znFgx@_a%h1J_+#5%059@DHZDXnkyRqeBFk;teEN&pZpB~Y_{>LHX8x51s`!}c<*36 zd)4kF7PWLr?PMjKEn&z&LHe(nkj4`0L^Y&LlVw->uXq#DI-o}1*m$J-7%lX7!O)Dr z#GCs>*x&25J-_pJ6`3gWUr4&b`be+fDpO^4vh#Gpr-8ndSMdUVXx5PjC!k^sn(amP zy^*E+;5Xnu`+WflnIIF}{ppaAm$K4nJ)u5YCiWyU{>m7M)5-Rrc+CP;!~NOcSI5@V zD*)6k?_g?@=wBN8u_^OWNATDIQhzkJqCKxs|2@td_j`9K9%x=WPv^*OkUeHQAoJGV z3hQISm=>d!X{FtEn_3HM73HmHwaLiblqDFMEM0E33<{{hiEq&T4na8~rFAG{>+#_- z<-(8=^eEz;CL?5^w#)cn+7g zkLGdU#=Nb=PomevMp^2Uez(sPHVTX&R2`}j{MN-~`su6i+p;qSmcs=GNzcz>)`K6u zmZH&UeRyeIo<%iW4I+$j9MTh#bgwURL=KMtBuOb zyvT;loAb+IY8 z`J(yUsP9k%Md@+J#OASfMVTvxw;Vq0F14<&VHGBJMjnbqEEW;Io; zCTgjv-;p7b#k_y~2eP5qlXn6k%*G|$7mG85kX}fhQ zXGWO(wi`Of-z(QRujgyjV&{k47DZ{b;1MUg=vh`CMl-#<(n0>O`|$5Zi6#Vh?}RBt z@2*tSb>5kgl6P8gMRPOGU(~xSEhS<3d)O+)yH|T9mfikSM$|$38TKYErk-)zvS5dE zzP#2mvhKcYnMygj*-wzoi#VeV+`q9D=p#0sVJ_tM(<(`oD*w$>o$R%vOOyYP)t~bu z(rZtQRQi&gB9{D;W1Q^l2p^f&ViTNUHc1(2r1!IAjz_~NN@s%)sr`=JIXNjmM-0zA zlE;v`_e<}0n6 zmIaJ-?ALJ;%Kdmk^`dPt+jNJAi%r!>bjK@flN>M#8PoC6{`16JVX3mEz`utK500H3 zE|0J{^;QdJl3R#%zbr$gIriu)MHj5$lS@pJEZs|%idnh*WFWcQ({!}thecke(BYh8 zG00RH&zEJXP0x2>lx{Cl6AN#TQN0+5o=0*4WYW)~#FrKKGVHNM1$k%-0Pxmpnfr1o2;Ell(Antn*d}{%f+lC;)8E-gkb>Q0izVb z7ciEHOn%8nx+xK*_JH#-PNX`g(OMM1d)wa2<#8UVq=>=^;y{EuzuIhCd~woN z!`(Tsa$Zy+BT3G8K{4j%!IOT-0?=?H@-x@7m~o=Wmj>3n)CJk4Pz z&G+x^y8nSA(D-7&mAZ=$)grW?2p_ohI?jBp%9VAYQJXzEaQHYhpRRFpPLkUJ`71ZV zB|Aex;Nh?8I|5vPMFZMSnFBa>&M@h-oa4K3|0Yixrq^dDV&zB2Bs)`i`?M{yNm8?=6){AnhW53NG$X4E_ zC9n@W_lzW$z`1sBdz8FkBZye}9fjNir!?8Nl9h9QKRDX{x z*T)v4SO$Npr1a3FXfBjpPrfcXOqJ*6pem>_IaIIBBytoj5{Wf+Kk74v{w$5>=bglq zobvj6bB3?3wA4S?b{WCO%WD!qFkQu?T?2Sof#*wDL`(1ZxW;u|^>=-Yqer{pSa#ZRv78;GnXilSeZGK1?rE`+)sJnq?MD9k zH_FYR3wd8*Fkk(zte*}*^^{TjdnZ|jB_BmS1&>YTHS-qy+kbuND^fMb<(=PN{ANr{ zR7)3AH9;TyQ-FAGK+q(JK|ai_hr{J6?QvM0zgm9tR&iN z2!%-NihFWiNSd4Z21{w@@3s;xamnNu{@(D@*NL+8603IQVk$~mrp0M*;pvQIt`op4L*dx7V)N2=0sJklJJyTB zC+mdy@zLis779ZrtaJ#JvQB|sqOxeamOo8xaSJsb2*h z!$Wpf1RbkNFFnAW3F4|oRki>akU5m#fg6ngVaWTT0tgpkeW*SXj<=H{`C`?Ut)4?c z(&IamtaE0CluQ$@sLDK(AoHJ~8Yoh>{AASwdEabu8gA- z8>WiBdEiz)F^MVTX*DsG`UzyfyG0X4{Pp%KLZQ9b%mU}<&PVH_%4Dn8`krt|61K#} z^yZj!5?>=2+?TthsYU)jZ#uE>nN#7{Y{>5)wO`aYwWB8P@!rI1b((8xf1YVNylvc~ zt#|9ZmemO|RM5UC%+WD1b@qMPB{OcTz%}MY<8z{49bF@r@Or3(&F&5(cj~XB&+h}m z;|S4xTVJwJ(rRkBLEySVNLbaG0VMC(w|Up_vfLgOSG$+VV+m`nj%OEI8~_1YrYG4t zqu_aSDLI$`b+MzM7eSm<3v!S*yZ58LGmh@yw{A$DX*jc!~N4)iLx#g*r(6 z@ru%9dTRCIJC}ipmoPkPNno>HQCT(vW$(hTg2Rpop3WfXy^WZOu0tuKH_VVxqEYMS zv21d7Y?Yggl<5`ZJa;pW=3QR^qa{`-f7~*+$`xhHTydwOEZ=DwO+}c`}a_mgRA268PQZDH3}=+WGu`(ZIVt_i>}7 z80dgHtx_zvF@&KS(}hi-eYF?I+^$J34nN%PMMgX@c*FnhrU&C)3F0KF9{SV^^bW1AR{ysTgvAk~kG1zjVQsYi?n)6eA}h=A@GUOg za887Ubx_vx%HAl@L+<^AIUb&JG2Rx8G%>$}ueBG0W|SejQ0+Z#g0%p{sg~Z|*t&Ov z`wyU+$RmO&C7OFAQ)_Y5iTFj2E>XV1*WMjA%GM@~B4y{d55IJiTQ+TaZ8nrP_vWR+ zQ?zB?#F5_Zhu7|f{dKtssG`TpFoVy)6nIlGM!oYR=aP+CYA*EgGh|fHFBedb*b0)q z$(2KRTdvZLbf<-a*DS#?Eroktl<=)wP&-j9PsEZb(WLZEo+=fAtLYZ1Y<3Zg-|L^t z-FhswB=bW`r=@6y{lb9;84pSY(CcOSXO<@Sx-VTcXxzS`%Y{5g;29`DEov8?9`-J? zjgV-(P?J=(W~Ynz3Ih|?7k7WC=tP<5^JmgGK{IT3`Ey(dbP{B55=NHru28pq;uQUO zR|I>-hNGAEzY2_@>(UD*<}Z|+ckmuk{qwv6Y_ZJV>Wx2~!vj%~@@z+x;C(8aNh~RZ zM~L}M+mBzGo#5lVl)C;mqfOqBtk)5_5AA%}z8HoXQXF4edDEg)wj>{pGb%o^AaD4i zuw7@VUoT}87(c1b6){`x#A%%!{}jz?7}p0NyHxKedb=$;bALkyKcrpS5|^G!Hv+dr zDn@AK6C{xjwy7Q!D95)$f@P;+3s{E>-{hG$k1rkUu9~inbmhtQ)QqDNr|Oi5Rwg7a zbR2Fk>May%k4=$VH6`KHJD=<)m;c7U8yQR7cR(iWRH00rT>(;n?}2wCGTqM;?(iVh zjQQVdetVx<3l}z7imFFO%6;HiGrF>%*(alP3&*hm_W%nlZvZO|K-;W4{VTAtDl3Lf6KU#y8LkYV1)A9|{p7 zlUDXnQIfr${=7T!lUPyBl=}Xr6=6c&7nc#=qn-4dV^hiecnAp{&A+HO`}suO36+=w zPQA|NiF@jXTc-FCPlZxD6CHRTHGcd9K^0JqU3wi);uVMX+$;e1ZwY&$!`jtpHdCu# z+7Pt*4Om(o&nX$fr~2}jw|&_v*W+!@ZVoJx6`ZGb$jS6)Gh0rlvRmEbJ=yzhqEHlj zS2<#%$YjJg@pyQmy~_j&mgZX@>h0~)iwqx=Adrt9IY{}YzPK$vU#20sCH;lqE<{UQv5Hqj&QEzz|p zji)$s;5A^oBfgN>?`1oD3P5dicw6OGq(%Xr{mlE2;AkS2{d+mQBuWJaat=A-XeO#n zS$V8OqPNi4j`TRwt6l%;tLOGvD4$lufsMa|f=(pXxQ3hi)_+}_|NLkHR#-awvN0`2 zrIw5GBwHg>B@ea@gefTPa9FDLegTI*mMPoaovYP!F5}ShW)rhI_#i}2$3x%(BHpoL zC+P&3h;o^saP6w3=LV93WU^?Lkxd3m=NRy-S%UdfjYR;!Br128P`^!a( zx$P@T{3gNE5JFdf^7n2|nP_E>6i<8LW*&SRN&=i)TswmTXV}p6d@ioz<-?xW5l$VT zDgeCw#WaLw@Nv-wA^EE+7s!?o{E=I}TOeW0hogw%v*ZJZ3yVAwX8A4cMK4||<$Vl& zzX6zR7Rl1eZL5*z?mRl1D@DZ#45V)>s%gZ7-U8h23@AiVpa<@lNs`5PLy)T!Y%dPn z3}8eig;D|wkaVN^nlr=!Rnm`QPXr9Q@8olhpHB^*xGR9FJ9pLV+K$1^JC?PBm3h*8 zaMJCsf(8$O4feIIhj)v)w(=xsj@4`S(S^^`(3+ENN_9ey_NFn%poborV@h4Q1W&N- zDwEFplb4WSUn-C7u-}}0mMC-sk#sX+m7eu|JI$ZsxA!BOWNb}Fw-5No`rBIoxh=b5 zh&jhsrt0rmr=GQSu#|Q54EmiiFXBP+Vt9CMRpujG-BzRjuS;#n3BVSgrH;1X(GILY z=U~RquJddWUKAgoH^&{bhjgu;+wClou~z3t4<7>cIifo1*6$kex^(t?l_B!ZUnvVt zmNRFp+}hgHMA3h-|HA@6F>j0na6O3yx$Nc%FQ&@WN*~*hFKSknE$Fs|#_2WLapP7O zQ0v#LxWx@B1z=}nu{Ik_C;ShN_m3ZG6kVIy)ukFWDR)8aEVeVJq{IED9?zP!fuDF= zABc_2UK!T=^D+^YCZ?&|}JP_xA54@S@)` z^^}2-+K@euA7Mwf>DrEp2-V5t>Ny)K7$M-VxnqoIZ3X75pyY?xN61z8u3BAQxJrXn zeBLAEKd;$;-9Iu?n)B9;AAeKFYBha=1o=8g!*xV^vc|Q=oO1uA=d1YVwt1@QnxqvX8_h#zNRzm6!o5m5Ug7ogUQWPkjpFgfc%%x0P1O z5To7{O>?3MEk>_cz*@xSz4L5>qOS*D#mYz{fD|nO9jQ794bTb^mP3xli8O5`| z+c*1Ow?E;Gv_0Kq|K0cFhb{CPB|$rH_C8TBr#IR)F|vy6)$ek5ZU8`ZB+)PUn_aY^ zIAQK(kd5uP!gz)+;x(C_L}PsUHtTQ3w!c=4MDbh8-=)VYzARhqNVxlxjN846M6Hh33%y2>o zMieq+61_8bi8FFcRt*BwSxvB4;DS#LG?U%`XSMJj2P0B@VM>UY~v`Znv%QBx=!EX&&Aw;$KF3OG;m(4s$+W1 z|7k-1@uP@0_K!DJ>W5 z3n1M`o%xBNQRFwt!06t^nbZLm*nSBbyl0_~w8e}aWd3appXiuH9CEaedCN!`OeBc^ zd}lE|Vsp5Q*;{6Fqc9GgwyPwwi$CH&)ZJCQDe{Brg^@o@hKqO38}X)WG-5Od(Fbg- zg|P(?S)+rYS)GM;X*tz*|F6!g(#{^teMZCC@JrC*HG#9cKy{l-iCz*oZ$|hzE>F*I z1%f}P1i!H8e)io``SH|03=9b;`@ zj?NUxAE*X44)@T^NMtVXY>S_d?0vCCcs}Wi z_DCugS$+$a$z6pudgYV&y0FDu*JVmR3g|3wC34}_eL)uU) z$#9ALP~;26ZX^W0RHJS;%ub?`sNrT6b%cGx(id+BPXZD*f1`;8aY0k1a}|!gBD=KV zx;x86qt2g9GeOuv_7h5PGl!ImgnwWOVh3@|?q3Q1>Ri|5k1rV-&p?s1hM1zYdu+ga zBR~IVM8a))-fQI__tBOfrYD@F6d!G;pWcW&5Yswhm`OQ8M_YT7KfPJ{RhMVXBCx7k zc(aX@WFef_JgL1ZGyUaf>CQMy#0d;VC3QC<1x&WgvMYBs6w-9-Jn$iV=Mxa*4Bxyv z8vN{9uK2OrkEeq+e(ie8;^BGD=S*(icO-PObG>viOf^X3vH!dv7*GQ0MJ`CLB6iz& z$0QM1JocXPiaCD#(}+4E4NJ$L?TmUJe<(d64t&ORHKM*Ze)4|3ts;gi)4t-JV;lwer=)uy%ld&yr@r})j?7#7)6~L1b8C$TR-m1`iU_Gw`9oA?G?T&Jggl#l^$% z$G`EC|NWEe8g@%+JEA?R$J04^+|M%sX0Nf6&w@Y0e5U4bLOHt^5BK7U7BaPsg}iA%FA1;N4Bu^;(K z2Vg-35h`sgJjzYpuH4^>r!|?=Zf=R<9jG79p1atU_)9nhXf2+{d0NiGbZW>cDS*MIcO`uC=27pA)=t$GhApS>Q80TVzui5Aa=`B z3R9NeOoMob+A@T=FnQ+p%U1&Eoj|k4Ema&rFA_EPrprVQ0~827PeMfOK&DH}<@G=% z%3MD77dl{|sm?br=ph

8U`5Wt1cpirh|}evtK~!XSpDo zpK=0jPyH))d6i#i=1hZyo?(n4#RBQWgtl+Eher7@+cY?}RUV zbgY(|yabQ$`9?nZW2C+!gQ>)BHBn?MzMT^#^p6B0b*vba8z&Fyt&Hj(uQV+g?>*0r z@JQAopL)4OKcmop6?R0cynXuSgf)QHx2rjs*@@Wf>wO;+YLlt6=Pwt0HWG}GzZD0# z52L`l$xqat%b<5QB8+pxCgVc}9`Mqr=mc7nAEp`iyE4lrOWp6J-^{bQEzhXN7LGSP z#{HSR^S6@fAgG+mSZPB@SyVtcBg&r%B}$jp$D3S+36Fm_`fc;7B)_DLU>5%Q%B%Fw zov)96;^&lAt<3~+KK|b~_OD3d+Kfp@O2vs3L(%TgDV0Wt2e5YXEoNYZZYCKIn@eEG zfq(gda%!O&2Jv-Fb?Xm=0q@h^FS%uwi)GujI+=}SP+|&x=DJVEKNaxA&04axUw_zu z*Wx;#nALYZ&9+nxWTbrN^VoCtD9yZrBQqQcoJnO7 zpyyXd77VE1si<*L9)!xlZrFdQ1^$W0!r#6zyPV~v!1_}uor(~Q96(IxX1=S;Zezx-0uxqob$7r1 z_w@UEnX#62E4jq)75L6LtLYXayr(6p-vPjf(SS|Xx^Ji0o=WordY7#(?CdDizXYx$ zJ9!shrY%NCIli_7iSno&vcEwE3RiR51|WCp?o3i~8;7oVu$4DStSku!r0zr8@{4W~ z!!03hMs&P3watI(06gV7U+)KZGqs4!6MwIb>DJOu1ZVVal!Z*2kS9^T&`=BB)1QQ&dvODEldm&*3g{@*5^bM3V2j5HYsd$ts`eLB|I zIvV?4x5ta~$3w%%HDkIG{I;O>l7M=xts4TIQa#G;+txfrXi6dv+4&E1qz*}R*2oNu zR_al2oOCFEMx3_ciq=n`V)NGFjfsf~I!*0dm)_ZYexvlZIQL=E*K3e#3(WY+&vW@3 zSsKaq`-b9-Ubud5%{Y%$t1*q(m4nbtF4P{N;C#Qa$GOikWJ)z2Tm@?RB2lpnE9nB;fjOQ1tum@Zgrm57--Sv9mO^vO*pj;i9ZqR9LVKSk1eUx!M%fGK(Li z)k;YV{Y}h^wlzSYG{R*Tyu?y6iS1k=WpsliXS1O94AerisTWTz?ersK$`hrv<$Z)|NExQ35Jcuh+($DWf`%~a#`xbj!sou z2_>87ZBiPc*Hfe-m!qBLDJY1Z{5dEGVx&S*3izwwSaRz?7u&MZl( z{t$H9hw;@)=(PGgC5|+b>h*I;cPhjmrW@C_q%3w;RRRD&NXBtzyz0a*Q@Q^>`H3Bp zNC>OAqnYL5?ymf8DE&-^IKKegTZ;@F5Bc#ag(qsI^y7X!PQ7^RVeNV9IV-G8PRw`1 z1pm;X;$5g9p$p}xOh&p@qFGeSfZEnQW7TfHW@GWY4x~o0+?Z)>cn-&Y=*x%R%vo&P zJ;_o$%nQfu#(pxU%mbyisfPj3`Wozq0*#MY#AH2qOhWvgkBh>}hK1F*PRe{VzpujNffI*abj z>57%Y-=aLPQe7Qe7th_Zmx-oCGd(8FN#^(|p?U`R2Cnw4cX}_S^)i)xBy}J?$qp76 z1SV~O72+#J5c#b=Q0Cm?$9}c<83Q+r4ByEnymI;qD8cD$UwN(0Ve_!k$}unjn5kRS zJNGU^C&hR|$j;o4jFW!m75IE(!bv!y$gwRrRbi!|=VcPnDYbszBJUL}GLbs!F(ix}Ta$0EE*FCIz$np5ru9nr#1u@K>G?-CZ zY7|yFq_nYeqrF-s^64+k;Yk0M(QV%&s3*9im@j{=3A}q)#NBY@HugS!%gLAQ!H-W; zg4;p!L&urtbtCj9c9us5GOb%1uH=dj;A-7{%o9`J=6nnQeVATVS>2D89ho084$eC! z4R46G2`BQ8Me?cN{oGBY!?wL!vv5!m!Ex@7y7@EMWF%e`o7=3vkl~ZE@9n_y!PaWP zFcq-6QnZo}3~H5eF*$>O7HDSWQtV)CAR4g(m=`&~@E~wI9x5h5_bfap&Sf-0FH%hS z*B{+~(*Lyo@|>`SoNilU_(_Y+)#sECVmB@B@3-ypqGgnlwqXP$O{FwX@9XxB8JLj8 zjGyd*bW$KnL+e#6vN1L)W;asuF6i!izQ>`w-~%0cD=wxxm%)#`yl}4(oUB zCM8g_k2~)8SwVas3qr=2^BqHZ+4t%U>n>V<0S+M9 zJJnha-$K9(6JOS$3X6S!DJxT$3b!%PrXFeKs>NY5AfcIdhhDhFezSq1WStnT4CraN zVn1UlZT1j1huSZ-7fSw13=pC7K?pSelr zWlfk+UQ1;+cdM8ly#XF4$wo4Q`W|I5Vx;Kg;{DCpQ!tNsmB_-0DAqH&uD0+U=iuT4 z((lkLPh=|dI6tO1mXi~Ay!0Fe7=T0DE88S~-3T_cc%D}HywDS8`%2`N!nk^jtl#*? z47KsFL~=}T)r05%kNZ50xz8Ye-++k;6#qa=XPypHl{)A*JO8GGbd*_iBWg)|=y9<7 zzprwJT%IQ$tpdd;MIxN@N%jHBBvQZg!Q1L?VIcfsoDK~77WeZE-+~a*{(V|ar0`NA zjnB2W>4|16%r9q$8XOhZuBH>S-Y`o1$kw^oN1IC`yK(2^>tfUx^gO7W$uffgBOl|* z@Zmcv5Cjg*CD^C#DTk9sl(~gUz=ZgP=PrHQxSZ%ny#FJ`N5XQtz-A1>wl z+a20A`O7<1Vy6A#5{jOX6tq0QRRoQ78JdhqwCCl+P+|ogrsuZMd^Pfmdq>?>*$7)T zXDTj`OpmlQSxmZc`c$7chh?chQ<*yFx2P0u|H8BTEnsFp4p*=6tr-Z!Pby{20eQxd z;_N)QvK+s$9vZiZV*PRlIei1$%vApZsXN}R*PmNlARrvE=w8a@jM5u$@s^ONd_Q^N zz2N0|xZ}8xntZR^ex;1)4T$Mltn7eeW-843Ro~*4)>hZTZ4`)IzAPsMhCQI9`)WKE zec-`5QfNe)j~FR3L4V_!NeV@S-L&wVe&)j+DCddIkl(v5Y{>x}V`*6oX8kI0NL@5CI+(XAuB^`qXwCs41 zcX@fF7;vphrdBj_GES9lI-(4d41fw~8+$el!%_?I>g*KsN^EN|Eq7aN%2cEEMuX7p zDxK%n67c0$%p#&|q8q@-SJ&!l$hp+s&LSR1FUG(wmVNZl-cqEp^=*xU;MktT#Ji(=hml{8t#%Fpe&!K~_8i_&3~OL6G;w)*^sH_MeNi z%xXZrxy%(&`x!LsclqlIn*86Cd8UFq1+qw4-&v#W=GVJdyq_>4b}$eX)7V3==^U$koXiO=V4LZD5g#FcxKM- zADsimbR7z5Oyfap?^SHyOc!G-n9R3pfk^*V1pK0?q-5n-!64G~1Iz@-rwKlmoKhNI+$_h6wmtg;X4@$3F=Zd7;6+3lM*u7XZV zL$FEhyOq{u`Uz&sp-{;lD41)=+QT@TGKTt9iECHgz})t!(Gog9fiw+OedFQV*iU9K ze!Eab(8v6J8{>EcQ)?qy2JF>(aaChMIk74=c2#97ue<$)Ucow)Czra%_Y->iRs{K4 zXpF-RZnP+8x*PiK!bX>*o|p#)Uzpl|0!#TuFl{VoyF-rN4RVoM>i{dXIEgn_x)Xh5 zazR)7>U?(}ibJ3IxRQf*5@* z+$+252x-(LDn%{m7CDs5EK+qJDa^p$4;lE6A*nNG*8&7m5YLhi>K$G+!mzA?lr0t~ z7@e_<4dc`!c)Cl;)KvMx@||I%?wK-URQ0iyH5kOsRuK*$M}?6`0<)BD6Hz=bY%=iI})r_WKZ{S};JyzoAf&IptL`O7)P#>{gSO)xl%A+>4tP%8sv6^>EHb8lW0cM`!za z+7vH*dU}Y>J9IS(8f?)Eih)bbCI`9=4-2xZG~kHVL4|z;d@IAz&&IrM$8sq8!1Ieh z%YaSkW9IA7s$hki_uHK2;yw@$bWNw3&gcOvSQF-4qf1%x*wXGyk#o8-5{d(TQeO$} zR&R#+b7$M#e&v*XPjEgxA?Tm$kum5p;XsW%M7yz&2!4?l)E}y#(#{&42&Zpw-o?`gN3RvK{kL^3wz30ETRjWO(@s_?M+W`h4|1x9{kaJpSvQzIU0Q9A1Nf@!D;V zf-lzvb$fkO^o`-3-@lG3z#n_1`gG&nkuUG-*uk0N!48Fdb=hPU*}FH7`gNKAu6%G= z1C}Nn>3U>;y(|xDNn^B0EQpMqMV%vKx}@J6UAVFf%0s^$X`TDZeKOqv=e-9cFBmN+ zjMRZ6<<)G*ii6N&eO#xCofA8$9c@+D6Yg6^1vf^beeN^-%+uSwCj6CBx!El%5Lz*R zDnX^!OaOdbw5Ri2nvM;wNS;Ns`)PF~V=f z!A!Z4St^Q8?qgaz%evFza5(@0rs984>2z$&ja7v#~c2XerL} zJ-hM=cxB|dWt;L8H3grQDl_XJk!j4(StE-s1#!Lh*L+)S*P_&w)l;x6*R_Nrko@Rd zPnmTOrb1p_3C+pi+T58F=UOqy9$%6lo+SI2%1@aMz6A zx;0gW%`RA^hRXpG^$iM;tcn)si$9p`PtQ0EY-4G8IysaO0>FYUh$QZnB*z{Ruh7Ae z%S3hNk7Kta^C-7Wiwnt7R^bL1Yp$~GveE^Z7vH$p=9n50hM6O_CPm7Z5fz8Eh)*q> z&ZNHUamY|9?atqZCU9%ZK%EKKpEB8=K>VWSFoUMY^8InTG}*(X_2$pCCRzmZBss{W z$9DEfJpUTD{2PQ-xjuP%-rUyehB6D@)GL~M7vf@IJfQoy%VxcSw1-QSUCV^-TE36% za{~QvqJjLEW9N8pmg8@tExG?H;e*ZdXeI5Bnxl-0jkSE>dq*mpwtoMlB9&=1p@_nm z2uj}%_N)SIPOOKh<2 z3BoJ~IX56F*UnbG{rMP)OqEFtMQYHVpxPwP_G|erqbC_H7W><&UPM4st!4L#%qLfh z24h*Kb=gH6hd_j8!$e~xBK-`-L)`!V!he5jY@*4-bnJ+(YrIh~3M=)=Sk>EG22>i1 z+sLllv~&$t*(PW-4Zm(DW=IRJUGlU*^bRmP9bea00FI^3*Hcbynm(}iDP?O~xhCzE zw7lA7>Up@x31a(*Y{Fh7Kf@@YYbmLw=9KCLtz(?v^$%O0=g6d`Q8f4^36OXmvxH zWsi*Tp*1&2k;v4ZhGza-t5RBNzTsp6`~QbB2On$t6(yHp5TY|pJ6pUltv)>Lp}gyM zSPSxVq3j-5HOtuSfg>7bLD;uuh7Z~Fi-{p>&}+!ICGKhR<(d?f4F)3~F>9f-dXZsk z)0oP}01132nCzHFx449)ve}iH^rn1v7ElUZHVAGN?d5;vqU4vsC^jDxnrzBG}I{83IjoIN*dc1f&5hhYFNtpX% zPPv@*hqf?IQK`%UY}4W@fH(M9r>|qgb`l{cF@s-a0*n;*U>to#T{}x>;b5y@4f3>^ zeb3=Kpm=iKCarU*zDy_jn@N@=at(mRgVTbRQM)!FP2Fp;E?-t5374wtquk#%+CP%*E z9y$mW9L%i6<=0SNEAUsyb{B%zk(7lzPt<_VukMi2qgbm z;#;}O{$f#y9V5%@Q(ZIU`m%H*Y`p7i={^)a_9TDFa4=HuLc{EYoPW{l88 zqq>62bM)hw$9@YRQSE1FO3Q_cD3&iwX^V#L?0u$m&hyk36@roBMBN>S+N>8tm?dLS z(J>2PmZA-^m%QS{MQ>el0BzdX?8gPAJ|Z=0P-2#s$i_SymT+Zjpzf0b5t)hUUxDFS ziN>#YjM9l8%$arE$FXO6L|)y*RRd=@ZbU$TSp+4C)dSqa%Y`wwqN7bJ@29w)!w9O{ zeMVmQWPaEXI+%<1{sFpb(Gb6(1+}{28^lAH#N4f+k-iydciC(!>rL9943AxCa{ zPI{J)k_Xp(uLJ6wB$`SIp-rQgi6M;!mUornL^9Qm0B0*$>$!Bb%;ZRC9wWI2%XPq# zr1#Pb9_o!|iy~ZsF}|0Bf4{khF;QibkKO!2IPViAGC;3Y>|enIm$jyPk-*;D$Hd8J z*}-!AdLJWc-}mb~qC|Qh{-(AbyXrgd;^*G+XxBe0_}BqMwZm*VrVazAx%pkNXd*c$ zKZ88h55s65gJgLe@Ob0n6U}ir?!+q7N!nr4g+)Dl_ogDAfpQs0t3qvA{0ubxL$&x0IPYHf5)jH7yQZc4yueD#VW;$WV;G*lU?nRGj{!QL!C zRO6TTc0J;Ileh~DqWD}vLn_pY7=Mc3lDo##t6lpt)UFIaQz7Tf9VpyB8^%0R9z78d z9I@kez7%H0bVmF8vLco(G(#5!Y(nmt_9eS!DXUi6@h>_iFHtE{x$s81o!G59L%nTB zOJOyr$sGdV4;inSHdF(>-^28x4Wb3XMsSG=S##Vwec~7TRQB&_qJPSYa2a7{{Q6XZ znM^5Tz^ZEwz&6he^1yr5#0LFs7xcLuhy_VsarPGQ*OU z8)!$Xe|RS_;Ka_>*+sh>iGHOd$&#s%^jGoQzc-F?)>6nm=v`ulw?WIxIDRngv~!KMb)wbf^B=GWPo|n(1y8Z+BiNtBcthI4^2c|4UVM8goXy_xg_I-!tRN#-=}SM^4n8 zxcplBTgHTU#p}pAMnuAe2`p%6__0C9RdT(?NNxv!aYIO~QSQ%$#M^L2Kig}GLUmHZ zikbAH^OZivn3Leon~ETmaBiO-^4=FsCi?H{#r4ZIDAy#!qkrFa5plw=fKg35v$RGB zFqyvyyjS(Pn8F|D?oM_Lvpu|mtw{8`t#t&?JxF5)3LiW3KcIS##z}vxmsSdab0dx6swK3qd;yuiXFD zlKk@~{IiD=`FJ7H>0mzoxgQfU$(QUq9FhIjT-+JSkA%KhG%h0Rcq;E2Nce`Jw7{U{ z4d(rkjL^4SLBeDUZs1+1{v^<>`!0>Je@yu!-*m=R@&W_W1cVBfK|kU}p$(?*`4I06 z5&eQIMC7sJ-?Qqc2Ln(-&XR3Ht%4DIBU1?jV7X~iPwZ2*%K#2i0DvZ;eTIVAwGFfl zLgqz(wo`)dNQ|xl+q6c1YSWjcFns*Xa>dgwugxH??6n6c2pCjz%?4G+=)=urD z@z4H*r^DZQzi^=#efD4|k_nrau?t3gA%7U^!zbK@j?v7__aXr%A$_TVR;Aixq(~-F zoYC`H@a6BCf4_$RF|PXeFK|62=~4&+M9O~w*t_r6=u@L_%sAc(v5NV+KvKnYs7qOy zj+Jdg%Ww+Owo(NcLm2WIE;8YVlo}tOV>;hWR~^0q>WS{N^IQoS#Tp=2y2eM(W7#m; z!yB8;ihoqJz+uy|7|Z_||121LM9F*jGcAt&Ja zrCR6Ccyr2s58iqsVqqX*Cdedi5a*%#Ki|n3R*}o7YJ4sLu(`14-reBP_Hu$4YzL+l ziu^3+F(k7YViH!s+Ri72JV>i@JY;(HEbEg0`ky~0yk!iEnco$_l424wy=e0?lJnUa z*E6n|@gbP_HjMT6g#Y_8rdCap8-eX!zzEI3;|rt2EMEnJ7{}sEAp#>;p|VTEg55K} z75N#?KL&fpjI2&+q?~085G` zS-uVP+Su-FZjFL}Kr&HN&VMp^v1f4ModtWggI959r2LWpV%NVC1i163Q5@cK5h2F` z_SzC?lyq91UniiJ>h7Xd#XJXFhFHlfBgLlKa_QHlXq&$cX*K`zR{qy@B@V9v&pmZO zv_L|OS##%Ug^DD^#(R2ATI~c9RXV)sx~8~X@N%Wz8Gw=Dcg%g;pe~@@j+%+WD6{}$ zPwj!xxI%b_1}jl3R~UE!N(qS+6#XNm1cyg}&;Thq2w*CC(?R^c$UMgZy!f!#^`U*X zS}KTmXg4G%5U}R@&8rp{Ph*~nYv2NXF-HHwKzw{^G}8+Knx=>*aaef zu*@=@09a0fB%wgjB#aZ$=oc7jG z;x67)i3so|v(VmvI2p+P`=HanU?GOR`dzWELhM^z(=gpL-MqIuVk%73C)d43L3Zt% z2>6%C4_}&LvXlL5|IXS0K_cX*D@49x z;?a%&{sj9B0gcYWv%lv#|J#KR%mDXJYrjU>y`=cpFM&sSy}AC9>e1;dHT7#3ss8;h z{`n^c8?35orai_P90`?Jh#LPNWA6cv_5ZdHM`X(kp;Ru}X`@0VWhQ$iq@hF+BJ*2> zRESa`q9ik!nME0iLL!Qa>_`+cp5tSd`rZHMe%-Hr`l?*-b&lga&f_f0-eywZ4*i4s zNB~JP%NF@R#-bjt*v99-h5Uy1;x85TYXhGy;UjY}tz0u5)h;04wYq>K&2wApvrYNW z?DITb21hC{4-ei_pYlg?k+ji2du3MK*paIyxn{<;EFfFu|G`Z*%J+{)z~{e)e00Jj zubl1kAAUx}KhiL3XkwL!%G?%CnO$Nfd{jh_E7fYx73?7epjaXBFaV2+d`ps<4DXlJ zkR!Kk*GPEKKck{k@u@D=CsYi(Ya}FQJ_?^NA`XRpFK)Z|PDbwE+muu)_uOb2kgTGc zy41sb{y*}6Q5^0!eaE$G=AOID$$O+CkoY87$1t;>Qx=FXn^=T>uGG@mKZ{jH3;So? z${d=A(rWV>``x_EEM%P!BC{JccV{HKO7?q{Kclk!8Oo(^N)sd=U;WYYfA}6eWus=u zGc4bDOpBm$J6gSeoCdNdZ5`W?g{{-v?fHLxCC5k&-%BOnvEtu0eD<{bNvMA6|Mx}W z1{-dJfL+J`(jArKTS(s0T(LlS|DQqn|NT*D;PGxR@P7G!|0!xV{{`Qkkl!r7Bg4*e zl4Eedw*PjK1n;{eUA{>!&vE+Eh=eEeXu-2d9mGq9!?GVV+((ol-Z@ua)2zOK3Rw+}Y^i3`~I zWZI9Zi!bodfa`o~k=bRhqDmIZ9Wxu)vPCYKNEGQ-T$4U5mi}F=JMOKUeR)#T`K41^ zPpi#GhgACmTj#Sv$62qnOVHobO_n24I0lTPU4juc4Io7kmEq4}zc6`_Af%l!tk%m% zXD5VUD{srXeYm`wod6cf8@}k9g)i(#DgYpC1Uzq{{pbc%rzW<68msl$qEvbM$OX5O zjk_h!Bj!f<+88x$)c7 zyS|opta6vz-~r`E!KBlDdAOOhCCWrKJIZeoK1krUECWz`wUdJoAE~NQdAPhhzSFfZcEMrSj$C zLa;iqTu|M^mO^%_&R>1Q?9=#<@Nab&5~dgG-%DP9W$~A6&d-$`9x21?)Sv&^*UOeu zfSH4@(Z(BgPhAla_a@6Thl}^3 zj2h(i3ypyLl&l;$J+&(CM|)Q7Lpz4qfd|RQ-U$D^8e5+klHwrVibp6rA0xNK#v;>&C-0nC$;dtTVtz9v9xItqvg2pn9~z^t zbfCDa-0_auDK*(6Gi5u^)dW~)t@eJs@T3KKV+2iu4}?fmBr+ik5I=P!zpreUWWMKS zpHSwhjafmNGU||DbWZw9tnim6yA?i1y=MKPyPOJ{?e5*>s*_k0X(a}ce1{F8T5iyh z04SEbQJ~t8ik_3GK7oXUEGpc&zx0gZs+u)MnaQY_Ld?)N+1fX|CQi2(qipsqZD;#c z`s97_C2j|37qvDQG_D9nc8=afZ~|O#E#EtpOR1p0+amD-kp%ag#n26QL(a ze084fm6+n=wL<2fYi&fFWt;XyiJRWo3ydDtN(1g}5 zmv2xUhDz`N5XxGO@AqAzkL08L`w*?EkX3taTT)fMDwkhK0yIrxP!n)k#jm?<=l|oA zn;OVk^6rwNd6Sxz+0m&RFUL&HbV2Mt#fmIVe#Tq2nor&5@4g&g7XpY!Y87O3`e@Pc z+?pNwmqD0buX-ps>DIYy$(K^c=l^({?IuHgAIS>aQC}>mg*s@w-fOs06sF-$Wn08= zSp6VGnZY!`p^YMDUGE|~az&^QhL_j2d@a-!E@uTR&Hq;?KWqOU_wEMA4f^!#Q$7G) zTo+!Vi_3=6yYTVSpua6qil6 zUWRYWhKoSA5YL9AOm6BaLe`hl4!v0N5#X~ea_~cCL8aphBv($p%oQ|D2Lz+*H?(~k z{~pgt@Ch>d67?#mr&is_A(-xFo!NG)qu$ic<(_B9)N~1``|>d=^Q2QG8GcG8c~?eG zZwIv0))u)HO0sEi|NK>F7IL5>!#~lO;Ahb)7K}Mp3^+0`FHe;iYr8Dh(a1%+>i^iH zOXOu~+YkWW>MLn!)?pI7bRk0IuY)S#^&mL?CK55>PMzQkEqTTF@gB6;2nzd)m)#AA z`;dxf<3Bk4{F<=iX?5gw0SY+es11!b+HXwDg3iNnN>X9|*Ndr4Ox-@@*{AfdM%NCO z3u51dOcwn02-W>@k?CvUmYYFe^)So{8Sx_Xn|YIf5t{CErguE`Eu!w|EU5g=Rxg%E?Z6@ zuzKE}%OOA=9r{M7NaP5BQX!4X>E{!wdPFZRwCT@)U{7QC+%iJ6fmiypB&L)s?Hz&_ zS1UjKfQXJaZ*e7xgK$-2c& znv-cJ|L#A2iD05Ad9t7SQHj*7Q=9(Rm;@!9hx*)m0K+rZEzJRY&UQ&=#Hd?mUHk=W5z_6iBTUiAgX^Q!-Vg$fe(?@*_rw4DUG-4pOF>*avTjE}V#WxO8?8hw+#q7vX z+bNn^w_Gw5q_8Lwt`TN+2`RMdj@c%{5HTF~6-YQm!Rw}CPR?VKHQ++FP_7vWQ zUJe3`+#iGwD}PE}t3OvH31kNs#{5}-oXf+PYuaudc)J<}36D?ACGBmtjL)eq9yu&k z9mp=YKjlJO`(GD$_AJbI|Ay{_th!=1qXkg@G2QC@J0yLc-YK@HFVBW-v)A|rE9Y7> z`JCH8W|yI^k=VON)Rm`2@y!m_Da<2gCyuxK3E{Swl@kN%GGCFWk zh(77hCobtH9V&#V*=-3^LS2aK(RSfrRE9AK)DWVvAJFv~J@WcpFO+QrWA`8JW|qWN zDh7qdZG03pKIo<77@;u&`5*bxf7==l7B*NhnoqVzr~GFB4tGh*z75P57=F+^3YN6w zB>f@gDYumJv~G-sb=QT4E!FpxbWw-d4U_i$XbR^s0TfQdz)qgc>V9875$2Ta!xpr) z7fFcD_tAG~b3p3>ZT4b@16q#Kj&1%>duEVO-NbgWoM9Lp+|6^U2(JZRx~D1V z`As0IF3>teDbiHKMfmE_f0T5A?>wKodZ1=8fy9X$G-Ef-oTYbV-lnlxiww@+_sI(x z6a+^;RbM57a$+&2tZGY6)t#3&UCMNUAxfV6_s_cLq8x<8u6GaZTOr0ot zKfzA*6g+}8`fismPbMXDd5FnXB{i`NES{El3jAy@=N}`U!cm-gRMtaX4;p{>>;i$H z>RBT@{}1mGm1F!`q>%cTGha>-)O_Ysk+k%!#q{ZUe=&>SQs2qNOs!}M zf!k7=GfvNwAj1L~qsdV?gdH+WH_RWtxu@BD9Y>rQULSEx$7{wC4xQuV^xJaS);Q z+y|fgO0I?mNO9*qKgQW)cKS~?i%3B8IyH4elZ|dEhF)F`3AwM`l`)EmFDNKM%IjK_ zLDLSYg^k$`)=m8qL0e%S;P|fcfA+0hDq&K9EFqCoCFHU@zv1moCkzk@*qJqIgN|o^ zz;1HL{F@Bc{Ko>ur*<)u}M&R^dxbpIHPAQjg+wXm3CTJDNpd-Vc~w zV&szM(=PWtEv84~6;n^HELx^KzED9ayzTFmts+*|lDbZ?8X@f_)#6Kk9H09$auUSB z(%SQqhsZWev8{-o)VvB6?By)9GxuO7_VZrqL8vC0Z$_;-yVdBl+_`#Ka7V~XW7z`jvj~q7i`GU4?i&3;zBV3gQx^Q=c4IgO5u0tIZ z)zCPK3)1(X=Y!x@$GHq?n+?FeXC_ZiQ!L$NsTaM6Ic$OM8|Xt_=8-bvRG3@a?_Wi- z;vm~CEx4w(b~kM@W7kccTGLhi-wyL`TG_poZD!ABjS`PQ!AL}*K+s3Mm2%`(%A6Kc zG5yS+OO}fO=Cgafv5o0=+YNIMBLc?F>X0z`{M0P@Vu26q>Lubv_ut$a8X7|B%i_(5 zn59m@ zesMqgJ{Ag_7X8yOFwv5!y}hs_c+=F+KbgFsD>?k?3+eb6g)@oz7Z|Rr-}|>+rlL!h zX>Tq)bo2?ezxx)>ZD0SVzdGr?Kq`}WT>$;UnSGerFTTSR=>4rYPIFBLi*sA4g(%sOJ3+#46q(jR93 zy*3&wGe_!>ybg_d`hykk{@#e0%gXnFlAkJLKo+(|OiWe0WpCCsI$cMt#g{7nvbGW) zTO7XnEtfSuqF!2BDxH?6-QT<5fb%y`>nv+UVA?R8Uk#Ui3nY3TAdJ}aF3oEj$RaQC zdlB74OHM`iJUKJ7JFf0=n^vsYPV(c-Cr1*n&Ead~@WfB0y?d{3paO(ncH>*%MWk=f zo;@4Ry}o1SbIt-qCm+ZG9AI27BN}z};?4_-UJU z>o`j%ORecnG0~n!jNFUNm(AVXDNiFeM0lZmsl9$H@xXV#Hh-=C*Uy`NB_6McdSz-} z>Xhl9dvWf&$dSu@$gsYxa}dl6OGy9fBsBGV(s2OJudxo+{pe1%5Cen7lXl$78AEkv znajYwQgzF^+r-d??z)FwrWEaTg3CrLuCKZ6x~0a6HWa-x82|7NJx}R=*P~wr$}bKl zGky#3dW~*kV=h+`D(ag-lmL)xyf)|W3w(hlGsXHvL?Z_ycLb^Mso)^9>JIfG%fr}$shtC=m3R{?K} zIjZf+AI1^i6{PcnAmi$E2oa+OUPaTFuoObR){)jeEkiD?!Me^bPH2F|6^!Q92j;cm z5SxR&M~Tn%*1%S!Y-V_}DqB{XO!UCKNjaoE_8eFp=g~WkV~cW+fh+4UEbPecl*@~3 z3L3w;v@dgmR}ck4==I~a<+%JxcUncrfd+iDY0?1s;0sq0CMKCzl#Ap3d3}29=Uc(U$EdcIo(tAO?7o2XE$kw$b>CkpE7pEQCDOLB4TPIFr%TN zGs*_{nCE>={FYCcaG~tg@~fvzZgM0{uJqNX((#O!Teag0Qy7mAU+`V@n?E>71GQ0p z5@}Z=(z1qB3r#&@OAuVhw1>o+^Q~YnVXLaO_}^7jkE3kG-!9ccl70PR@n_3Ur~)Luwi?4kHbx|^q6pRtX!c-huEP??Cq+L*f5 z(a{mEZ8YCB!kVbDH=oWWF2dA1VOm61Yij4Of8ouOhdI7>b$p62)hzxEb9=)h%2%Ip z)T?&D&bolwMNpRq5&^FN?2TbR6bqfw$fo#&c4bFql*QgQsCcu z2SgFIGEasULO5PChVjVZr;94i{r<2gJrmz3%*SGQlBx8+KoQa9(h7mDWH0$^6v>Ro zZwA=uRiAF0FGwI4M4K$bd6#u}#wrq|z4w%UH~Rb8O}Rb~K_=*>vZ=7_pNBAblRQui z@1W1X=LC*c3p;C&VHE~Ds|ckkqyoK$R)v9RfzLS6pmX;if3wcMD&Ju=sZ>{0r5=0V z3O=~a0oxVitM`Tzv2~2f4Hnl+00Fg=jK{!4r<;g!oGgRPp53JHWI9GfUj`vRrlYSM zm;x`&a_RUL0VJ~c!kY)pd22(t9p-xtrd;y)#R|QRufOj>^1MZ(f9tQwe7r$XFr$@lSv!aFEgpG(KnY^ya@jW{(8!Oq>(ePVdfT6>p=T?vw_38nUB zW2*eyThE*~oT&4nnV&f0M3T=HAT2;~`oWv4n57DygF>86oY7huI}MFM9lA}T#CSXq zHR-pcW2hfM5-u<1W|8ERAD^PwF>>u}a0!ibDP6BN=L_otXM55hmAqT088I~^OKi-4 z6;%JdRx&!IxYjEKRHyCOBV1%*wQXI=zUuc1^)77OjmT4K^Vj(yC!Z1`DZeV8s0L;Z z(9eou+!_CTo)h^5MO^7{P768P%#s(7Wh1q3uv)*vZQjDo)J*_wN=K=wsnx~~8rq?X zj2j7WC|VBkJFN3IWlPsE2uy?H8+%$~?L?-M=0nz1%WnY;;<~&UAth3%P4pMT+=1dd zAyM3Zc{2p=p?pn~5`bg|BpQ(tg-qU=eV+5!$>(6ndL-xurg@E2NQC1geTcKZL3fb2 z(_P758Z+tU{Y?)+b`uu~rPY_M8n(lD+lNq&Oof;;uV(asM^I4EL9R7fa&y^Lffr(e z^pDZ6NON04eC1KcOtkiapmAs8hoYh)fPEHkjs;uSC9gn`9#^~H7-3UprsU6t`UJ;dS*i;d|ts!xLHp)Vr_TA9-+7w{@F1fy)Aq3ID zx6j4wzYc&V4C*$NjP9712Igh#+2mYmDZZxEZ%rDDBM}Q!$GkYe42Rlx>8GI$5+Ecy zcD*0oTZ?(ltaaw};j+hwcQqk(=fc$YJdzD=FGXw3`AO4GI-eC~%3?ya7YROX@5GP0cqsptQbbvn(c zh7#JyYCi+7UbQ=x_F$7$<9+Q`ebi*MD>L?;N;uPZ79JnyxvwwtuJo&TQ2{jzQ2;&g z(9F|x@46!uq7%+RaGQlCbu@$`7aLUr6qbg~lnhSf*Jq4v(nvOW0!3EDr!IsjmWb{T z?$3sj5SeJ&p)~KY6BqtI6Hp5+71pq+6Gx<}cY)#bP5h#zA{%a}=d!%epw^VZhkEX% zPJLCt1&1Pia2eMwbILshytCNc+|JK_#pHt5b~#Tfs(9XhAZh~sf)2xD40m8a(D9X| zlc45!?AQafglwg6Oley_FmeP2W}fb-^4b>A-GJHyY)h*#8@=(a`{+vixORr46L_%Kr5)N_(K7*Et}CI6g)vMu~LW z2AFl(^)*S=-Y4Stt%p7qUSP;xO%0ObM#AJ0bGQ*+5YZE?Frgd?jlF?^)6YI0d3nHy zLdf?HbvIZ&#~{3JOa}n=`IRM~1KHjWL$ww27evixV(2k-db&S9eg53KyTr&a#InIu zdZrj)zr=*Mwy_&NtR2>6Wl)C%LY3-MbDa6<*RhWup$ePolKp8}U{als*?#(80@#z= zQ;+ zD$`)m7U7=rvI-g())?nVj#du-l7D@zq9$Iy+WLea#{-^GE6k(tRO)wlig1Mx-EF$Z z-%-0bV#z$mOGeQxq#m#Lu%`u(MwT!ianE<*OO5 zPVpr>Jzky%^Pk*FzXe_AF5ggE#B$0*g}L|txEgYNPJqmQg1L(wk8+?M_$z(gLT3c& ze&nOl+f;}E=1r`I%GR(o2V}w&x{ZPXa0Gu9lD@xz{nlDsfbORJ37~_DKTaepb}xI< z3mK^0UG}!bqz)(nJ|55Q|Je3DX|McWTKzxRIJY^aj#`H2v^)*pMsJs79v5h-7kENB z(v_)aIzx3oM~RqiTNVQHdvxszu%KD^J^#wtdp`33W>a!zqI-bio8yMR@7k1~ac4zX z-g92xoPOrmr>~GbdkFABpj2s2x_J9IX5wXlEn)z0KJJXO!QEJiV!E?=OG09tGuszF1Ib8T~KR4l-R?z6(1;fIKeF7yFrVI`cbulo6Ew<+gO4ojc6`Ewe&dHHUA zvY&q7603pa5Rq+xG6FbbzJCB~Gp2yggiM$5Yi4GqdvD8pG>3xe6+0(7kknk1lzi0^ zT!YO%Sv>n(JyPkr=LEzsw8G=sBdWCGpJ~B_!YrmlO4Tk})_z9Y8@z#~M<028174hL zS`I>hDd)~v*EQ$jO_r0@hi^FeoWA~n`EBvnGaa+u8t@OQdwIH&?{%%bdx)%4z&v8i-u2Sp@L^0oH%`=f57^-1Awv zhA-(L<|F(iqgzwxw<4m+5R6`8E^PG2 zaM(^L(}k@Sf3Z8VpEQCH45flYJyFXc?^w9Vwx#6XJ21Bw&8W|qp1YxrUtViwUANO? zC;6BXOP}c^k`M#Nay2lps;bIJti)}1h?OrlbFz<|4-3g?+o=O}(Facx=zbqdCfd4< zNajsH0zZn8|36Cu=$fO=w7&|e2`a{!J287llhsWiBOsk%*Il>v?2m3~vJvggR*kQK z`3vy#-#=e3q0pL|aQYYW@lD;%Y<5E1wz}|el{>VCm=y-Q}62cGj#P^C>d~< zQy?_=3m?e^Fk$eJ@%SG3ncGFNsyWzY%jAXlMU7lpN5lGsvUqp3h!cSt57GL8G;CR) zg52VktlKy-)v{>;?nuFTc#40ey>p*yLZrYda3ZbwFT|*ef`9W@G~1qjr%V{gv#7HDC0fU>o8N!600tZ=L>(OgRhMNar?3Q=X;W z0G{vB=U8|BPA5;SJCg!6i~*44zBXj^f@b&}aa24Dz;Nxr)PEKjiS1;%NZu{r^GyFp z`P?QK%c(>}?4#D}3BAsK>{w=>sBo^8aXqSlIXSp|R{zJDosI~g|G776Qe`fI?eT`8I zpOn|+Qg|yzAX@BXLp?P?E4B(Z%?6l4RDVABDy) z$&)7Ce!54Z7jGL-$Ah;c&v`J8Vcy6<2_YwFrI&*Klyl5+4kMj4bVVudmc)uh-4<|e znfkoMUFObN(Uts!dr>ucSF#l#sP}9})7h}QHhJjvRHZ=sIWui3=wI*Uaz}Y)dBi5n z{(#A^>WnZkH_&bL^YSOFCsKwBI%a1H&iuKL%pn^rs$i;8!`}dFL)ikoBiFV-a@?%* zwC1L&d@Uu~w_gE#+G@4X``^1;TFk=?o(Y%w z#j`k$k2(fRa6gwEZ+7_j)DuFiM-p;coPM0>16+gLa~LxUI7IdOU~WbhwFeC#5kex0 ztKzSwBC4g0SOMTJF=cdZ9a1XSz;9)@HuqlTN+PDqp~cDj;>Go^muAd6P~x|*#X&$i zdVBlG6oMm1fp{>D;x-Z{KLkl~nnc3HPMS$T<#xG$4-{7Vt==x5CU@`t*KJ{cGW$aL zCG5NP6m!MaWSy+ak$PuO2@Qi)s=kW5fbWv9zk(M2d!<7#zgNN(&0 z&F$)%{Sr~xz&qhjNfWMUBBx^$dKoXtsOo2z7GKD_y! znwlCN&0k-`X16f1aQbC19Y%N|Ms|d^mPNthyq%shzy5`^^8pG|kIMTLS%vcRAu9Ke zv(=!rE0I=m-Z+{~{~cg0@J1<6l~LXN(c82pzo)cxWp+8km|Q{7f&<4iEgPri@3`M{ z=V6w;`7My{C7I@X2Q5usFTJL)lSH13unaunc=#7Hq^em zF7i&~e-Tw`qDAIqL9xg)$$tSud|+8I&WIDAC_z)zKpAhHJzpKQ4LzHg0{NFV$6IKskZ25gp>1;+% zf|m}oC`B>pX%j=~6S2jrN=iz~%2(-zH4|H(YA+if?~|X7K9jQ$;^?R{;TJ`xv_k24 z4(}1eTGKZPvjNf?T-M(lBBHk^pl#XrwJ4YzMU)!wQluYH`WuL?YCG}mK2UKehml8; z?;C>k;QecCRt#qXfe_EiY?V;KyhG%@+6Jo-d&ILhE1yVMo$wbTDW^r3e2f1<%$4~p z68V6FXvM2W!)BvD^lge;O0O1M2m3t5o%~w&u&_@-YCEqTO(xNUGt_5V7)j#i-?PM~ zP=ef<5{B*}F;^f?r>Dq=H80JWgnCt|teeb@E9ZBmQzjnB&x4QzF(~$WAj#VLLynMC zUMqOQ)`~X6c#IApS^)Pq|CE0I`t$>y4Jeq95de@gn)pHDfV2`ed}S2_(4X^R7pB}k z(_P|vuRDcbp5CvfM$KDN8s+ZJW_2P^B+RS6hH%#viShbtn$q5K4Av)%6^-y96Rty85xIyJcn_$8*op+-$ zpGgc$M0Da||KV5j(WeuCOqQOIxoaEx_BqhoNG2|k022GZycEfLh5~=@$a-IviwAO@ zv~|v@-Ift^E9)*1+AZaxn|ac7cwL$DbM{3VO}j<)k|R)2$!j$xp)U;E)|N}VYEWl< z(Tjjp7d}?Ew!cLlYQnkX&Vsy}yySkdYnAz3cJN$~_bsK__ErQ=8nw5)jJo`yfO9PN zF_&MA-xhTGv%D#3=>DF+BK&~D9Q|)Hk|0vpZ5vIWQiP2IyK1jlWS|X}5HjA^ed3A* zsNzu-N*Gciau=xP1SiNGIFh4;9{A8uQJO6NwmcvE%)ceDy9JuZTcv(_zIWoR7ze#4 z^xf8}!j4BfJ8-iHSt$4jD~8$Yp^X1J7T^)pg&8G*K6ZqZf1vdhOT5b{8WERYkACi< z<~PcBM2o)}czUqU^8LC}1+4c<!)d#{_)xVbNAgd1`-p`7HY0y>Uz|;F3Ol6eu|! zVm(Mdn3#C;xNwa_PgA}dTo}=hivm7q?ff+H6|AI#z0k`c5Ef~!B+#WgC@$o8BA-C3 zuO93tT3o<+K0V?uX1GbQP%O3zc?Ri56bx)!;6a0!?SsPlJ?rVOskD2o{YbCg@kmKU zaHRbDySu`>f1w&GD)kB;)2X?DtaOXp_b;kqCrhIb0On*NDU~$sBZiDPkM|5a1g)YX zUHw#Z%EIM?;GdBJlehPRY{ivND|Y@KNx^lPY0Bwz+68{m0^3pH($XbmCF#7uwftlm zN+AhmY`@|KP2wW!AFSUiGu#42@E_2}=lpRD#Gd|o$PA^Lnu}7DRDQU!Ts99C1!7zc zOva9FEvt54rst7VPv=vr?IonS?Xx{cd{Sqe&Wx|YBR#K)`YMqGPW?Ce*hzy4xI{;> zGXn-Q?~0CCSF*vnpqZ57IugTNxifRoIAA5b=!V83$k476zMQn@&Pm&a^xyY`5#I#} zk7&bA-|!^R+}ZKD$lA51&~piLZZJiy>9Vxi&y35qN+QeyI3|*KJ@Z`v^H}(|n-Zq~ z1?~CK+0xR2w13~C`-2e@LCWHTh>A+eVb8{q7y9lEN*xN6G&Y7J;dkV~K_ulRilX8- zGJy<;>ie*BuMkZkcaD8FfeA(cR|etA*W4JOls~1wPc~5McYW0Sq4PNDmoqxR_*orb z+-Nz(4 zqDGnOdLLYV?yu+O?9BBBN$V`;UYTF~;?2CuAlG*U#?rlkapJK8DcrFg%I5F_9A zE8Y6K72K0hFKJhH$tWhg1yQu-tqrQ%?Y<_h+%r0SIY@HOX03FBr2}ssS^uht;?KD@ zQSJ)D92g7X9t)|$p@OklU@Pe|Pmtznk*&*V!e^5C=?ibN1BO@N)!zoMnjD!4fQW$F z9$dxVn%Jv430eK{C72Od-}eEys8v(mdx>%xvXq1_*-K5KKH}{x8Y<`7z3o(pPCr;<({$+OvN+tpcrORCx?z`Ol2i;*MiBBpM z(&a1iCEGjQN57hY$zbW`tL1h^d^$M9PT1+iefbZ+@N2&VptnnLwbyT;z4sv^^6u|m zZ_n)C-H@Wc&p2^IW5{&!19C=@I=R)2xA3-g&i16PICHBs+tsAv0Z0SZqVXB-Zg=`^ z!X6^v!TdtNZae|udzPJ46cfuI8-lu=^0-;t2p^3t_DZmA1l{o>7V+@K`Mkq5gOFAY zq+HCssT<8uBTvZQlrgn9xbp8m3#$puiYxA4Ns?RfAW3g?x^`2J4<@ zbS=sp*P=)lyga7~84}ujY)4r8)eP@RgdNQeCE*sq^Z zCVXB|E#=s6-eBL0@Mld<-wv34K&D5pswoN7VuWTU*uY4L7u#M3Ms9#1n>Z;K>Ss}; zsGp$88X$I%;7>4qXtoQa2uOyk$hTPT`*6>#I~(wC908$8r}5SG#ORA=UYw@(?&MTnDMQ3t>@?x0Y9 zG`A&FUmc|>@wx%Z!0|Uqj+3Z=hwvapBeK+cw^_b$$Ee=A*Ixwt7k8fdpkN*c0MmY0>hDa^Y$9Fi(&(cC!Nhu{qf9c;SC&fw;VUKYheXCPSLcT}2gK ziKI9E2s^ayiH)wc>ECU$!ELzp`lhYB1Y}oLBb)#(u8e03WHf0sCXdn zsHy+;{8)?&5}9CR?>r)9qplVfukOZqbh`Is#`ExT0!^TZD$bq1-yy7eaA>%{sb3S+ zBmXnIXPK}hwT%5%@ZAaI2NoO6;%w^KHaL7W3Kkc-y#H=2=M|re7e$P7GFSYkqRMt6 zEYOJFYZa}99C-YQMyk*qG`w{J$I#Ckbdiv@fDSdxT@2|8a`*IZ-_&TK-{8^NOVLfV zvivHi+%}b77BCVHX(Jusm0~|I5(Jn|5MX9uC-&->ymh*+eOq?tu^m?Y# z-~*|6^(Hu?8g<#HM!)dL(e7r9gfy$6@Z|M!3ve;m-PUX<8Ao$$3j{fGZCaK5djvm- z@4V{whL8~U(_MTu%81Z_!I9NiKjhbtX~P-8B}I@DUVBTC<<)!=$S;0{W=Pi6Y9Z@4 zoVxDZfoxm$WOKo*FtyVy5uwuh0x~-q=lK$*O*n+}mu~*WI%yV)$iG68lB!={_p}R2 zM8V*u-F*TiC+_^Nt%pItY_{YKNOKh)afIlvk&JTnYz+) zAq28T3r<8F|H5Mz?CRVzRVW@Y9w)zKIE~jx!)qwK4|;7nbH5gV*H>gj{uN2?%djn* z*@CvG17NqRq-aDe4SxL-K<)qWM0D8?dzQik5hxspmf%ad0U}YlE*^#qw3#~}OmqGR z<1JVB{%5Te=PALDQg%DwB)CskI8WE(FWHrhd!c+Jy8m%aW6$;vr25;piC!%VcJnQb zR%&<|nU{E^h`GuzdJ;!C%l8Ks<>T<5q(;~!A<#Z&*O{66CBSC(V^0F29^OQT{Yg`f zY6csc#!1aIn?V_rZg`w>_~koTw^yaWY~}Bs>WJ*-)9~@LEQjgWl0J#y1wm*qAq8@> zlRZ1vv@g}7tRm|vD+>#xk~Tte5HJMD^y6rT?*icgOV>JFCZdp61JT(09NK@O)RJ;I z5XKOBugN6OU9J!BVUcyal?Sl-U6N}+<%vO~)m#jIUKKXUH0sOtx%aS%!#j(jaouuo z{L(+hmq_`e9#Eff5Yh{Q@})L%&y0$phgpLw3{r_!MK~ew1ZFakav6OKlU zm3xufXT<%n z69-=lEc;lpUCJ&+rF;!wSOg(}gQCq*PBJ^zOg~eG0MGyrV!4iavg$Z%NI<4R4y~F*}eeIho6)5;sb9~Qr zzlY!7=@-U&^6ZGWGq3|vlSlUs;3xOYWLzqjm@5Z&ANel&@gMr`C%w?$6t?z?-=rwk z0uK{{aiviC-MH3t+s3DkFQqLd)3)7yhCZW3)0IZ~B;-U<4qw})W_*addn@_F5O>3V zndLIhbv9*u+@TvRH2lT%Tz?@GX+k24=>OJHqN|4(TrH_=_6QogjCewSW*qW60|!-V${Zg-IQ&`Br9?xUwhA%X z1pNp(GDRh;!~j%a?7lYY2m0O0e*ZlYW}_rvMP;B|67XfA!hnO9zJ2@V@uBPTb^dOo zmN$QiahNhSD~px%Yf|AEGyn1NgIKTt_qeetdoZ3x0y( z{!3)M+D*ECsZ;T-Qcp?hz5+k#=wkM8G5*5klwg(eCgf|~+nk%hd0fBrHw zBBenN1U9t{9o^qgz0hyO63coSC~8>qpG;jSF>4QIOaQwFyh`u8G-VNuA9aF!!VO70aqETmx5L zWuFZW3gSPT+i0CdZ7i3*FLr|srhLYpuU~N(B{lnYFCUo_wN1l@hkzvkcGS&52T-YR zzzq9kHvEH;-nSYtUHulg{DG+IuC5-dU1Tssd~2Xe+1EkwjMl_oJ1rjqj?N^W_5jc34s zEUy*Xi2*$If%GmwfdlJD@!5W=Y~bPG&;|LfRCO!Tc8n5Hlv#kNGLWq^ujgnmJ*Na; z#Q4#)omqLX_}8yE_wxIs=LH{0;Mu?nz#oi9(hFyF=A)Spq0Ys!3_Y`!!UOl!A>`<^ zXPpy4tR`{_*s-s9zpN@729rn^b4SfQT>X?1U{V%3bY^OO3F4e*BKioQKKf-V^Zc6U zUb3;!*Dwu1hny;h)QvI#j>`M62#e)|t6F?zxvmern+mQ9`({-k0iMMZOm}|zpya%< zJEuDbmBJ*`5LI$0%pbWL9@6z3S$wudwLtxcD4VkgFh9L^39j{?S0W)UX14(1+w7Or zV^iPb+e%!I)=9u+`g-iK#`TEKnI>@mqv#yU&lE+I`Lnkv|M1JDzf@X)_xDuaKyZYr z_o5)Ct$V|_Vu~-3{g5^$v@R4nke8E6OhDro5O}aT55;B0TXD;h*d0+Xhs`^Ha+FBH zqa4IyAdaC%1(Wkb^zGwoS3Tf1KOpXfCSCK5XhRX>GE!+T!i3Z0197mdCx-jFiZhR2 zggQz;gajR-R`dDu=l8AB9E-R16@c#E%3%a_E|&F+KIujoi7x91&D(2qeRu5xj5AMe z&pY0kgN&dKCH{%=G40E5l^%QrV0Q`uM_`QM7<2zy&*%i*D?cwGhBgmT~SK^MTZJAGH~^5uM#7T=|)^Le~dX2C9W*AA?jBM824*9B4RdX>q!azJ)-+v@OBf-GD+ZTr%az z!=MAJLNMGJRlLX0k_ya}1wX66oeaKCwVyunf@od$qM9F(WL0!-mslPT* zVi!>kjFT^uG7pyUDGl3_VcLRAPk&H_s$`Kw^-re{gs}vk`sz_p*JJg_9q8o}R*!Jk z&C<434ia4PtJ>JHmA!BgiEOh^2Se~*%YpsYGRH7ZXj;dx^LSC=@|??50_%( zW{4o+4{my9fI;O64>1R`7C0)(ESz(3)JJKGtjGx#=oKERbpTlmHkgWBw@ffSd+p;n zdvtoE(9G$TwUD260QfNP(aQ!ud&u@T;tl|jJKm7q1L#A`DrjtZRR+o!4=8?JLeGwm z^PW*1M|Pzo1j*r2kA~N1!4tGb1VrcNLC{P0v#~YlTp#$LH#eQ=)V3PZ2^67` zZcLidp#Q-DDsCHktyf!QVopS>VV8Carf(p4y|E>rt7N;+70u$-jf1n0*0e2015vhO z_gn+ftcjoO(&O8sVHsv{JdVFQLv-YYIA7u6-o~s|G?}EGrzE&7>2I^B%fZN?8u`HH zVvD;~^}S0deRYzjRI89OA%iMxiZFn?b#+T?@q4>Zpw}d5a+|*a75P8QCw9M3I46RI zuFcx1NB6Ndd>m*Ph$mV%fpP-Z?a^;P3kSINpA8sf7l=+QQ`WMRQy2FYSYtX=yK})J zwTn}iPhpHBg2vfnFUmwJaBy^CLEqdf7@%C&p}QsX2lRLmk6#*EKvEn)uDS99gDzFe zc|{6KZa!9{}v*O42O8yFOTJUJYAb z9vC{aX1pH6BeGUFSfFT{TnDijt*877>0vDuVTQ7jr)e@5^GNn#@tv~!AEN0i z#VY{9KI{Ex1R{`)Ug4v4Ro9&v{Zwp5w{b~e2k3RQ%fw0C(hKqlS^{eNx9!~zshF5&iK} zwhE-G_0I#&1(HeI8HL*xZ=7Avr1NveoWw`S$3>>8Nhgd#L&p*+?#`wA|7yKwcse z+`VWrQM0ugQnw|$?KbY{&W-f4XP)Xr3hZa^fg8o zTHM84x?tIF-D<@gn36I11iE3}4wNf#)(Fhxvql+@ zA!i%3&LI+Mc9DUDImbA}jRf;|^=h~ON{4zP5wMAE-md{T35|7JAE0s|UZ^tQb&07N zES`4^D~AW*Ha@w=*{z6eLxQH6V8GgnYVWTj}PyLrTuhIZ6_1eaWG`dGXWYLV!(8Cl7|S?F7%PdARnc6MD|^-+qcM zPHDmI>3_nQ!u+!*B`Xn|@-@SCjk)zLrA4;+KZTcsqbWwBD*3jT7}M;Hn|;rwtp1-b zt%k%7bNU78?(I;Ds5`%oXKH<0fou-eNI0OKUTQO4FK7tMuM!<`$EM4e8;Nq*JHI(N zVgZ>gxtdx565PFA%eM#E?nYzqMyeyF`*8%pQL9E&ONRx=7Q`JR?ThF4(6&gxS@he6 z1#I&sco>k{j~&oa`w~)epP*zRhvZI|H7oK)ZHC*6l8pckxBlvWxF~{wcqXQ zjy$62n<4);!rUD}b6ex7d5xk>`UslkYj4NQFGV#A@#X8feEYPklBKc>{7DWGo$^Qg zTi@EKX{Tr@k61q|W`L*=hL`(3sr5QhpF-eGh_tjUe}FI;M-&VsOohpjo;l!CqBTJ4 zzlARs9g@@!bU6!-yYLl8!Fx!aaC52KmyDUzGsi4HiM$cisIFoP{j&(V3zzIEI5Eec zpFKosNkR&R@s_&R6jRjwcV4po8Ss*YLr@VAWS;uAoG7^0>y{k8v38DKthchcg`zQV zI2BmQh>DGlk}O|zTHx~$5Q(I(?7{yjDOJ%VKTzsd#muTJ!U-td>FOBa*4|^`sp3>* z-;W;m;N3(QR@?pXrX~DGGFp!OG;DmVzH8inLe6NF1xvuP?kVgK!$E3wj%MYa^uYYX7}xWec$sdui2;+7rN>29nD6$n zhae{)_FyP%Bm)ZKR}uT!W-rfti4|rJfFFPNg+uy5h|sL4%rJfnRUu=N=~+XB`NSZ= zu~SJo{bjIG00!Pz)5vI~pDi6nR46XAX%NDsxg(u%(Ep011}ABk%`pOX5`NMj*9U&G zj?d|05KT(a^#2V^lB3!;M2LI=+G1O5e;#`eBws2)*kKp~TP%;QUm+Q-xGmf3dadaq zdU`;08A`bGk69fMn1UeG)-3wT;WB*J@G2P&|A4HALS^7t{R`UWlU+B{ttX*k2#k+Z zu8E@abUzyv@oOd9-bmG%2r-86suwd&SAL!sQYK~mn^Z(P*%0-Y9bfsj|bG7?t#E?#KmJvGp@T4L# zdswLQ!4OBjL)YGx!Os1Yf#?#2-sdQ$)PnL|mQic12;}Ne(oM_H-wc%_X*`H&SV$hx zRH~DsoBsF^S|--kvEK`HKZ}`UU?no%*AFv65j`a}!wtmH-nI1WIV1oz z7IGhoIvl}jUMKLklXaKVg52^J;d?%6Q%^7g#PRsY!Mgl}TV-~HTa`x3M5m7Y)VG_p zU}~l94s+@5p?rRsS0py0mBg{ah3V1^Ylwl8$Mwz^j0=d>dw3@=+jNQLScB?Yw1!vr z4P7!NKUKZ=XU;dI6u#7pWriX`-}mQiPI(Ksm%#azmh;EPDTRnAtmAmm>Ft`sv*9t& zoxy`DZ_D;&AVKVE5*MNJm8#y~1J+pQWw6G_X{*+hTtyVQFoyP3YF ztPxD9ztego2l61$aaaQ9co%5bQFu?|*4n&au_GYsabe(*+)K#xrJ}oz?EQ}9 zYwj(E*DDq9q;b%9**|L=1N}x_)roy+p0;9v*rgJILa@6z)Biuhz5^WV{eQotqL7^# zSy>SgY1tk{p^UOu!(PeG2$7wYCTZE5ipU-*2`NIMj8udY<$r$~=X6fr^Z#9!>s--*Zn%ol1I!}Q?nx*b#9Vu^GCtRFCf6yH-lZDN3iuU#5`a{<62j!szXkw z%N?&_A^W?>{_C?F1f+3mb4%IxuUPDdlEn4n!(WMWi{{DvoA!?Q!PM9 z#;;KgXE{=e6-dnaF{mAFXF=1W56%`BKV6zxIla! zxO+cP`3G2Ay4%Xb0=%1WSf1{sVuu%U37hmI7D})AIr;eb0H2$&49o&xs5WC5L)9|1 z_;SW_yDg6W@LbpXVvgx4!*xF9FRO#8*&&BWM^P9KCCQG|11@r?z&E&2j$n{n;BMRY zyF;H2!A$Z<=ZT>!r|NDEPHh`x{5yku&qk2Dz2N(sYWq7w-K4;pL(LMIqbj?{CSXu@ zv@;~T9P~^ao$Qqc6sYQ6VwIho;TJdI7O&gx;RHb8yROA+1_Wn_SK)s7uVH-yuvvt) zR}O%<0wL`Z$6ITLB4zqwsQJbwa~i=I-UZ4NasoMc1cIfr_gGcO$QyTEfsX>u6@}5F z*Sh@PG!RmapeWRHe^_Mu^c}$V$!tmE0_Q>1XQyrzYP^%qr?9YK5%dYm0YR8 zqx9p9$`f_)qy+jJ@|0qr6Sfag8o4_3{t)K+ZzeeR2u~}|EVrM4}Ak zb-Vn0h#(saZt*}YkIFQjEOP1_Ae`1VZYE&75I>tKbHHNFtXLKf*OF-S1)!8vNT`f4 z(UH5YM15`ze`3N6q}9zy6l(1C>-`{{<8OXPvt>0DJ}V z-`?>)0nLhMgb_4&-9T1)@>v+;NQK`Z>|?|{FBAOx(Kha9 z9HzJgQlMB0Y8Y;Z7{I_-F7;72NOL@3O+$94 zj!Cpo;4YW0gAqhHz|he!i6@xlCL_DG2&4hI6?vEY@s9DNJv7V*c!meRj%DUHU#fz! zb7t*$E$mI9>oh5NWLoZ_z2Si_k)7wRvxLz7StV0IQq0l&m!z1M7vR(ismJ(Ft+hEk zNA%2LL6*Qb@#DPH8WT-W&Rp7W6wEALquu44Q#UsdGpH9DzkphFd!D4xfZT(+s(cI# z>!$4{oyE5LEtki82$zf#6VHbY-|6zGKY-B#Z?59Da%?Ls;@H@8+x3Ru5vxh1hYCnU zdvn0Gb_UH`w zUlKWZ9t4^&dk$YfL3;KrSOuXyTV|sWINUKwD3M1C(bTknY+vDZx3*9&cBPdvqb0u+BoF-*F?ARpq|d~MH^_~v8Bj=8Kq zdB>0Ep{_$|Upv>&l=gv8a6hdr#o&%9LoRF}UvOvD+z~PeJ-*-Rrx`Nbe8pM+;TS^O zNha{3%k*Y(x5fjlsVI-S^M5g+CA`x+WEeGCxk;o!NM(s4XLsbo+wX!^Dj}sJlcr zrlEL+z6t@sIZ4LZI$QYp;e6td5Qj3`W!QU|y8EU{?PA zZ9km&kT?6S%c)AQkQT=~GfUk@brNo{*OTt7e3s@4$yg1{!N^DUOPYWHh^;t-DVVs4 zi&IHKX7vpX^CeC*W4d$A3+R8^4b=^~+VrI{?g4+lkM?Kj@$k9f=`F6@wX0)abn#qd z@np!Ck4p2u-WK_raL(hjF@OG3MeK$Mf|&90#u=zo1jh4lM0QdA9HXQqCcHvUuClPN z*w$6g{%s%Q4|lh=wSwAdwZN&YKb85h6jLNKpAIAMb>Z1lumKXMYLk+|e2u}_z z?MmDu3FvO^c$Y3W<; zCJe^y-d_?P`$P>Ta{GIoO)F=o4R>M~(a*o5536{A2C@L=oaKq*(*Gbl>1&v(7z`!v ze4?Qt&k;6i2B*wvJ+Eu@%*Tl&lJ%AQF?L_p2Kq0E_>V7cYf=%7Ncy|&Ol8QcafoY+ zj7t0)&izqRowfXm3(>lq1|0$dra)6!HB869`Z)Hi%&D|pm+$3ND|>jky&s~ ztwf~J7UVuLQbu%YFqYcZoAtcvEI8}YGheR@ca8B4C$9Fv5rP7Qs^DPLVqdqS5q#1$QK-}|~bVGsS?%gr85uX$#MI*>?^7PE9I%dBNu&=4a3Wbb4SBZ%9P zh@^3`In6J%waRl}7{!bX=zI5kG7?v>;?yd9XLig9t85T#P9*)j^M8Xv#Ahfaevuc- z#6$qRu1D2m+8kgVD+IfgzmzXXJ0IizN;}9)Jlvk8IM`OZwzE;3B2~GoB`8YlRZm)g z!jYJzk&A3`zM98Ba0xn`3t!ttHNfs&@FyB~8zf@{tPrAc{{+=Ee1s0jx-eDPF|(XUPteBRbu zFv7NExz5CWeqW!@$5w2S)&z(+w>Y?%42-}8JBY+l1N_meg*mT@X<+6PA9BOk`cRK(~0I*vw z@2WJnl3$8md6BsEj-D9rM>5#Nh-9E*m1)#z;``B8q_T|X203+frJRda+Dnj*d!-0- zFt{iqe9lpe`SSck0P!G|h-Vo4?zW$9a3^QYxqlnHaBo=-1)|$nhfsDtluc!@4n9;& zC!Tbm5p$q1j4uHUMtU4saOd8+^B&3s#QU@Md;OLPQU%GQ^TKaz2&3lAOEVZK-T8SS z2t`gohC+=y2CVo^b+_JgU}4qr_Yt-JID~0#KLkF12P$nR5aj({lo%UdW0XAnf z@?hsabU34JnqVSwvmX!gPB766KTsIv`SNR9%!)MgA5jLT$ z8)@h+ECIm=wz&eF;@(dHiKQ`h3Nm}VHu+}C<|9!rjqymo!}Tmb?;YCQA>CIn8lD-u z7o3=MAp4MRmmXX-ac;Si676OX_n(Hv3aimH-ydwhtoirT`fsSiy(cUeMv0U+kagH5~QeXFGCnfz%&`n zR-Il&c=5x=6@~a0lQtT5RR<)A9-P9npy?@9+S2Izu~laM^HzR#OVpkHkgMP#8Ir8h zU{rmmsWf?U+&VA{Dp@Ez+~+Gx242!G19*UW;~t0Z$PeULoT#vCeNNQ(iv)uG5>^32zC>t1HdB* z-jn(=drA7okR4%T7+N%Wa$^19u2}9_D%Nulj2mahk;4fc9L85uby7}9#*@xTw!YvNYCtZjY zR40!R)Ye+6pr9~Cw{(WImtI*#gIkq+%?jl;JOYw&Vr08lELC46sLq(ct?r`d^4eF- z)uG?d`>?myks~LLoVffoXx|CVT;7KVaPtUZtJmPj9HRSysXM`P_P?6%|q9`j`o`jkCE8+)tvrbg<<# zj}+mrh|YE_lFxz6Az~bAktfkK`ou^9bQ`xb&WTh#$58k57YrqUm?$<0)yKd`s-EC& zfx-|!NVF_JCY-qka8#~N$-A@jh<>m#2cys4F6U>C68t}2)bIa&%v;sT_tJv8I6OQC}Z4@zm&g1v5AH~vgf|6oz%?` z>$A??c}@!FoV%UEv@d^uRQ}{-d;RD6m-z*ato!Z9$yd{nQa8#hU(K9_wL9NvpitMz zrG43n7sn;*B=1OW=sdm3&zO+;X=>W;Saf0f7ny>$J&9K!klDvvrh zMO=)_J_Q)iMgt|Jm&IaY0G((h?l)d%t@?XtE<|UIT9YLPag_xQ^L8lQfb;O(Ogg*O z81cTBnG#kFL8!FTfV_Syu#wj-Nsn|RSLKj@))G0b;UYH}d*F~tu8rg)V_$b&|3yj} z!Os`62E+T@(yU?F^RJKKCHiLt3??f>`dcb@O|0Qi+0& zAd9kS!hPS5(6z*%!3|W|oo^&en-sgEWPf^%-$1}h;_g4N=UB#Nzw5lTEu6%$o+t7> zr@17m9Kx0rn*`Lpq7SDBj-q?(z>qPoXHY<)TMiz>foecs4m;ZddBsa2N@pB_5V!r+S zmhaz49wYx{$rr{QNijWMP|&f>!vM}!! zr+NiX^*mpl-UF|k6g&6hGtYFFzT@w`GT>Se)$-BKT_tX4b0W3O2Kp}N>CjhLv$Q zO&tUUwDQu$w1`0d(((O@zg{BkX0nKyFQ-$S4Yyp4UOg1cq8%MPEKogI#j$6m{ zcQ(cvBO<~9_G#9^{r$B)x&t|zu3cfJ7ab(?t3^sHV< zh++;t>tQ!^4jepvY6+K3a39b^rmzaO7Nbr9-`ii_X822_xLK*a)U;?%3VS3VC*bSJ z9$b;2ZYr>|XXD@R%&#ZUH?VDKj=&_R5cSV^`1`vnFGwcvrrFjFQV05G$sy8)?AvS$ zdrlb7nN?6HUWWgo9h$YrA6PwNJ62>lVCMX2_nIipR^{+(XW>U(Lr*5_I+L(w)n_wb zLO9_hlz6Gp*ZSdwx!|=XRZ=7UmAev;eT5VAlPi(4(cYhcdYISR6C(l`c}drQt^3E3{~5sa$sXLF z2tU2*S9Xf@SFcjJS|gh!^VUUVz?wsE1^tBNU|!0G=Yj7t%v_>bLZwrcIe-2%3T~Va zssYW^J-^4DCI#+ddM93Q7yL??mPx#2o!qd%&@~&4_w^5rjRK z=x@IWK*Ef%{;x3hSh;3h#6^;q^_(z$D-k(yuL?FDn8O8CzVuE@Uuex4oQiE=Y~7y) zhu88wDrm2D?MxTfziiAja7kV5l3J>i)M!iX5eCk)_ZUBI*Vp_aIr*$`nH}lZo%!oz zn34Q5)u|M;BY&pBpQX$93*xgL0YmhWI|Pfj4w?lG_MDeG_Uf636Wi;ivp41w)>t$H z%}a*)$Tx6zj(qDd+%SRtg!cn^e4q-0yeoy2#lPFos;qu@hVtYVF+Y>zow|-DbKE`K zF14a~M+ZiCb^=1V)P?&xRhTpF(qU2o@E*%(M68+u)Ja262h>3Qr+XGM}3h-@(s%8TloIS{hQXK-V=zwsr1J&ktbWa-hcZY1YoLRDwm~4@O(QumE%oa zTcz!DdReyvb{XYzKvcKe|haC|voXB3{7gz2qsgUt;ELAf+mMFc(& zo6LS0#qyx3QNg9P3+GWX3>lR{D4qXlTXoK}3;*%Ns}h#DI^E?-Bm4OPYvYy-=JC+C z$l8f+FcF@vlNBAzyS3@jR@LvZAm9q!fM3&<7j$2Ke-C1;$aNel6<}nC*ZmQA@lgjp zN$dGb{@&2?L9zS9Eqo7&CP)9n~>mTir0Ioxyebfk+h8jtQ`7MsSipB0@XHMZhJgmTWS-g`sj0*H)kNC_cta0DUvz}1nAZZ@9 zGXM>gtXR)E#2ASZXwV#`Bl@&@smPg z^7QanVJ4ZE)F{baG_HV15$8verUB*qB(?|8ut^D`>|wj;Bn|+5cmEJr zH^L^#q@f{`n10U}xN%|2<9$atpOW{Y&M#U~hAW8E#`WfYy;(V$bVWH2t1mfv*(uR4 z5(Kr$4i?8Qp9kt4f>km*?!Ikq&@#Om!uOdZ8WqvU#(7eF2UKAsx_7ynkGk?)+g%Mc zmGC@dlZ)I_c_f+&v!o`Cq(@uOc`YwH(jNOpTafyDY*uba7q8p#NcZeL4WHS!OW6O+ z(<78l(qWg@j+yq}3F?UCa?7YxRj-Ab-~Z!&(J`C(7)-AcqetoNW`_iyLs zM=~5(P1H!sc_aU4L-~Jv(fzLnj^uhI zQUhR%6^>9)bcmcfPWZ!vv}~6-)>D#ga=-ukQI$v*(HCPV=4;#P{OZ)WV2uAfN_Ap( z2LQ|4jJLUDUohBl%a-kv)3%Che)m3m$SCAiSY!s}7N6GbvvXt7tQIB8A^;Z3Htk87k8)Oh=uPLXTygYQRleNb$Zt+*8=kq4%934k z?#6RhVsw_hG5h;=R-Hm4o~)JjU%k&iU-|E^7oXRLAh$JyZ1(>B+Wy|^lXeo_ug|A= zUTpUJ>n|uI1Ev++#k}sJLEVIloZ?dqpC8Czh$RGUx7R>*e;$?(eKeBX<4iu4UPFy^ z4CgW5xc?B&P|SKItFY@>6*Y@^p9BhUAYmVFJmE?8sd*(O6NGK-t<$TmnGaS20Vsi- z5_1_L?UUSUHjWyPK|*;Swe7P){sYsk_-@`d=XQNLlXNTPht!fnITZf5!R^;0UnnpN z_!^gc&_$=KZWdY@d_FE~Q0Fy!CUJYr6qi&oZIssDbWG(}0gsnPT8@+zx9Y?>%RlRy zzh3!&eL@l?YrIb#ie*^=k=lX-rt#W&Lt)a)|G@43Dw)53lD{6;IsfGYlYg9Rd0NtA z^4><%UNp-JK`SU}XR9%g<)dD;1ICoV7`i7`Q(nmLVxUl`S{3r_a_knl6%WZ%R}YoA zAHNDAV~!knh@(j4IDPLh5rXR-+TIXv06Ydw0W@b8$uiF*iJlwkFlx+Z#t-KWHF}&; z{)po99T?Oan-K+1oLi48CYZfMK*m6AF2J015qV)DM9XkN`N3#}c9nf=shSQ=Gh6Xj z8ew`(6Bm`uQyJ!_U(Pe#W-t2Z>m=TAZh#75^7nsLQ2#oF|NlRdhvSH@9CL4D{rmj= z<0%CAGa!}w_jBJ-`z<8L#FOhtA(dN$J(&TAfoTxHWDr$tt7eCr6saPZD6--GlRG%C zTVqs#@uL<#WD>dtwN)qni1KLU>Y zGyz>tcefYFkS$w5CF?Zc-$|@_g)v>a%r-k~#R1^5)vH#%efOf&k?WhvR1F7?E`ln; z3F_6m5a^!uyA?#qUqKTzm!t8^3*boBUlq(zigZlKVLd`Tk`i1(y4n=py`^Aqf=GiH zCP)!;x`p=EEBL69wEFRIzkL^EV-a(X%F;@mrxO?u+kgE5zKKwnIW@paDhI-AOByhH z$AG2?v;sr!g>)D{4sq!lP;w;;&a>-$pDvw%@xpR(vg?}XLuOj<_3YCOLL~q66$lkk zpAB6vn$nZjsLDPeB(!q*r6xHs$Nj2p8v5K=g(V9UIWUVD& zO+M(nr4LsXch|f8FjXgc>K8CA9|$Gv!9sy3da7%$1y8;VUQ5+4MYzvd<&5Sc0?(W$=i~EW;A#Z)u_u;+D^pp(F_2>F^24ZDRTH`q%#45+2<;--{Nw4e7Vd|=6-O}&DU=wT-H!X|UFI0w@^ZcWbqz}KsH z&p>x-k?n{1bdKW|q8jmGMF8>%9|fi)b;`aiXv$C7rCaphUur~rENM$bgBj_+~1I?Qb1UO#S=3sqitp@aqq)E z08C{V0dG?rm2FO#XKHeZ)xN6w6&2)eaiSvV%uF(0C4DWsQrEg3^LL$iN_TtfD!L&Fhm8kJ);ui< zyXJp++eX8Z>DM2DA%8-^uq^Sgbtnb^BIQy5-GEGp>T6gqZd@r-&6ByylnGHrnCMG>vpssi4PW_CM^5-aGQ15y@+M`n5NkYLlP}Y3Xb!GwQmW- zNb#GoT=%u_iz%~whEC4?b{IKj8F;|(6Ve8)11BVbgCQ%agHJ;InwMUes;=$-Z4L z+bVndd#E_~(1Ev@`O(lFkCH;Yil#@;Yd*qF(h zm>+NlC7j2*>gO9ASflSpNOLUjBvqXtyL8fY?j0qyfJ{J9(~j+5M^a=Qa~}ElE_`;j zTG_?^*a)%uE$9*HRb@kCLHBOI$qWhG6*J!Jx;Cuy1M);4hr{zCA(wvTWM6jUBTaGE zD0*Ip_Lbw9tmCM^0JhCUzQD0&5xzG(t<$I=w?_nPGNSBe;=Y;U69jzuS>LAJ^!(KO zZ<rK)B5fS}G(AqhxSf%-QER)T-jYz}(C3`Tq)$)Opz7U#PSEhj**Gqu;- zXOZ~8lVgp+Zctp9U`#XWJaBb~rL^(K?{66&bwK>yD&s5i0jS-^-(OpJqLq8BGW?W4 z&dF}f=h!;3ETg1p+ca9o_army;=s7sj+~Vnh{0$`?+!P|Hz^r6I2u8a95eLrQ^ZI zd`6aIBjyeHrmKFm9g?0~AYCwXWWnAX(=X(`>RSbu?CWw?IVSd4^xyAyIvIfy42!*g zaraZyws#)zBQ393Eb=iZb>Mte2AuA?xY#+C``*WDM~R)ASsOp(vG3x(s_OI-jE)4W z`0+ohiGO`1EjKrfKEM(DBL)z778s@21im@(oYRUs zF56(mCi;sGH6rLyqrAfX|5a@N#LZF|PuQUX1;s^68?zcF+;(Yfp7)hTwoL79-N^0T z2X>ib2JvM~P-gAAZSGVD=S{E!)5>s*ir8M5K@M zkDh~4f!kNngLTJ-*hMdd1GahDW#qe}F+qF%G39Z}H^)!iv1-EjtyYQM!HT`{IY@Us zwUU12DL458qIM2u4=zDoJ7aoH_FU~`hKxCAUFUUsQPybqN${?0Jw?gzU>n;eGs5Sj zb!MX`AM452=Z@r=JiD|`2B8XI6N(VV9G+sCy&?CbXCQCknej2G;7u`YHFjGyHV( zu#UmL3yADE2b)P&AAn%OR(}=Uv{si-(x5MI7qjT0B8pt|Ny)A@zbmSdAnWIb*OseE zyIry&`nUh@N0TzQmid__fzoSMtk;_vxf-2gkYDZ0NWu5g>?tUjH%(_(vIk)d<{ron z0C#f2ybE{+si@9mO{lNOFf@JieaZ1LB8vKF!B@4GXr;G(9zBlHRK^dvGn*g8&9^WA zZ&VG@5)I&~GR<3~LjV_s0(he@7|mp6t$9(;UFdpKOzL1sY%punG(3b~Qda|CAMdzT zh?J()nhPC^-TOM7m3(=MiF@8o)X|t)S(S|Q(lP5;TfBL{f8P%4%CV$$G}eTOadB~mgk6s%b8O;6#V`0)IIkeZ;aaH1nOEY*R*;iMi;nBFr4% z`<`@O&+@N1-B!C@%?GrsjmaF;tSc&$XKqalM`_gyA6Y2l+T~Zy^C>fB*U4|}4rTYn zZRwuh&;3ZTQFhB4CHn@8DL0na2TRyLaZ8M@-ugB6w5jEGpeU09<7HB%aY_G*IQXL? z-GEJ;mQ{5e@2e=+?8)b?eXCwtag0WkJ^`zFAm^3uAaZcb2QTzFv-+$k(V0!`UtZXC zE#Z3jaY~#`_XAZaVZbiUSns0~L+~9={>)BrblCEcfB}g6LkqY4BMwEZo#Qm|8oh>E zNsE+b+_psKJ)4m5gM?eZBvt=;RtHGjN6lBOZQxyNW5KX54Rv%4@HYburNG|Zc^d%ag`53(GwUJABzq9^P39 z2dw8dKiWQ+Qo<6J?ew~|w^^9?;}D87`y@kpj`_$_9(|H=HBDIOl4V-i=fFX^BEMoS z~D9;(8SuJ7*vcb22XiL3EcuKQl zo#O~)dZHqoBdrG4c=d=o%YB=2q`*huQ)Bkym!Wh8RO25_xqD8BlJ|m?j9pWkS5tJS zq{Wg~LS2t+UwnT`{^Uln3M-`iEI&vCx?wk!my#@UVzhnvvkJtoOh*^UYtuXLVMW8V zOe&m6(jUsOtbuu>cR*TwCgE<_c3a$;)=eBjA0z*N3qPX&8JU3Yio=iYMP98W za@GZ9&M_wPApzTamH*?n_#@PE(>};k zk#dMMx8sR3qwRXo^U3pYQ{3{E%@#E_Hx*u>nL90(jms?|ZtW=S&i9J^;8*52t;3e0 z`!0s1FKyX+J)maK&r1916y+O)M@REWD#2jOC`-byTCL_!Z zj>L>pHMQnTpa@!VJonHmMs#%k=`se)eYF7}?aw~MZuH4)XPNH{8j zPOY&uQiTS}9j0~@M=YZ#iJn>3-F;?9Wk}fTp^`6C*jsigt3RA3Zuc5CsgbY^m$Zf^ zvqMtyxv%mKsLr4T04_xF)O<)@KV`tq<15EzA1O2D=pHa=5-SOQbb1Otz8DfcblG3R zYqOqn$ajec$${+HS#J-4t2LK7D%kvj;gWeE*Af!&A<|u~!~sX)c8-=fgGB0+ib7{J zEl15G=BwFy^St0(>TU4FTxjsd1{bIEQghJVX?A?*4b#!|qcV*2a4B-M<1D zj%%B{hWon5Ik0~}THv^P7&sej{CR=SDOxbqM{`AA7zp3wue+D;)QclEmef^$gRiR5Lbl8Hsn^vlRUWVmC=e3~u>*kbAo z4(wRVL;1q%U5BBPm1`n&|OGEX4*&bUiU7@QXMasAN(WmZ1P zc~+G0nxy$E<<1=xIJ+|q^ga$XS+>7G)UrxTBqx;c(p)M)#Ng?2Ea$yKA-?c=O_*&B z0Hr%)X3m@TCOhjEGRC|<48{%u0m+W1nFLL|)i*;gJ42sAUmt_s5Ugrjum2&;(1=s# zH`@CqorCe6F?1L^Hwyg;^-`z+FIJ4D)c*#C^i_w$HC>E*6O(1g2r43?R9EXV8Aw^dFbvw}7ZXFrW%$tEUx|2)q_YhNP&PwXsmu3e3<(xi>S-g~N!;yUbZ_aX#M)*+UD^tW>%P`wR&30S zrhIbAclO!0uk#|t+`)1WJM5n3T;z%N*4=Cg7z2(j;h`W_bog>Qp{ud)zh|sREYgCu z%u0R8I?HVIY3^m;oq`NHqk_9P>x~Bg2t>=tG^)PtI`K?;?Utx?igB4xpPVy;Qm~hk z2TIK;UZ;w6zYb@k2wzF!yflyUf1%qkH+0r3xH0!tC69sLE1Q+9nZ`$6Lnb!7_~xh` zXjwlrC+4Yb28Ckx+3Y|+KG%Js^BK+@RMNMu(+((QFHz{6Dcdkowo}2q@Dl$IVm9V< zP~amV(vJ#*f0f#Q0ju1d1Va;jVj)4_WGg1b>}Z$1heK_mi2hz?wnS&{S%AG+f`dbE zP|OJ`a%Ey#8!i|@j6t$hb0{mcPo=Sa(5`X)m^1+_f_c#5ztmHI|AQ|n$hX_kkzX=j z9u(gi`C(U<(veimG0dPZ6j~N-Q?zHa#V~=PiCrd>>5T#3ba&z}t%0&X44lXl5C2y@ zAtMnoY>)jTaf)UT3>Bn9_T|!<>tc{HR>&FV2Eo4Zy}eD82x}!NHuY! z1^yqzvuC;pyg)DGfI8hBJ=FbQ5CR2lfb(Ehy_{!SZ=x%^2eIQykqZ5$p={MH?kej} zW%$*@oy*AC1=kF2<_AuF5q=k+1~Y{HXKRn{7I|s|GbFsS;FH#^FnQU3HdPD<#NGe9 zteQmk{eZ}@os^9YRCaCoA%?Ju4D=ZV>x5;6G5oUyw$ZZ!-*!8dhlSlIr~%M50x6T7 z3aa!Aq~l-V1iwE1GU5vL@g}ic=**2r?xq9N$k_q_GL(1!v`x!e!u$uq1L%B%@}?l->q@Png}eHlEI`62qMTB0eZBkPfqGHv~; zFf2;l^wP?-AJV`&(eGsHZ}$`THj2n#bZ2DN^JK}c*>~*BvgJ@Jr&3U79&1mZ!+1Vo zW`fRA; z=)K2#P2s;#jwOUTXh|RAopjpn3U8VAR!VbhklJB&nz?mm`Ny{GzNlz@5K5_5VK4-u zbaA>0(ZQicPR<=(9ha6H>czA`=e7W##fq}oL&5}0%vFvW5Jq7r%C8FoD$>S{+^oAcCTAJTEQw7r1&$={Ev~OM4!%01I_0dQc5Y2`Cn*Ey z6t7EG3$v3c1|#_PC~w6{>OS)QmFa{Nsp-*#7_2MD*TiEj8rwsv1^>^;0n^Qd)KElu zUbtYr$Vo4yna_ZNgYPhM%)u@^sczs2ihHe{B9#{uZz)7cH4sC~f}fA8t3Rf1ehUj~ zMdLt@H=QT|l&*4%Re+mAqN3M5xmqQh(GxJ5rroO(mr`p4x^#Ajg5GpLe}R+n-FlT- zlLtRz&ytfGa*`(ubC3P1fJQ5%E5DaRuXpI2{kM8dClQi{&)(y7m(*fRO3tA}MOD@k z=hV`8k7#7Bbz+Di_;fMPbmW=G!uRS6OGJ=<(&~dXv3_V{%zZ_*JqEapE7gHu&5p z@%~Is1LEMRW|KB#$Ns`k|AonrM=traXT?NR8b=D!9<59UNl_R3UUM!ik4o=@5rB!HFM}t(&M2L3c!1AbH+GoN z`5BzOQq&yF+klh+8>;NaWje<%=989pA>h;*dMnziDCA(e)dpdrfc%*Nv2$?G!p0uN@G6xJ6>r z8f}k&&1B~snm-MWsxYq94tQH#K&hprZ%vHs4B1RKV`xszg+qTHzis;n>g{PHR<`Bi z^nRf)lezVaNsL&N;h3!BgToc;7T;_?rzLWND^x^~-n(A5br zy)9jw;j*Ti#uuI5kA&u}_Q)feykCm^zuxV13Ib34q-t3gv?Fn`dbKz~oYA?T^7q2D zk~J>`W-L2>%0wqCd}^p=y4O53f)8rD_9itnH=|hKuI|iqu1$$kKKtnZP;~t>w(-TB zCJ$sSp%7KCG1J0WS;Bf^el5044;HbHfv?E5uROVlBbHsY7g+Dnj#RzB$&EcShtpno-YM2E4`Z{5 zuL)^8KAN%-c#1Hcd5}KCZr{!@w?5JUTopbPCZ=oD-< zXt*ax)M)|f8))ksXKO82?>X=XcElv>SU4jNlsI|8`_HD07u`O`)b*;=n3T>+G zfXGL)<5i|*vj1Jc3#khTB3IQ*Y^8F6e+cs4Yk`4=yZ(9T)jLKAD}t2FSM7*bmv;Jk z;e{`qYo(5Ubr5?Zq&P^+&->g=xNDSUAfaNB8}daw)OfQ?n7V1s&bz`@`_4x3a79_) z|8-JR#G~VI*nkp(#e<6v<;Qwx@j*C|v}%kyTN zYn#X5qtHVy4@>sbi`{V5p;;v(cCg{v1NHCdRMn{}JmshzmY=VfrS1E5AyG|$c{kFe zk7N%W>Igr`ad(2nNw-5IV^+D(>VpOZ&fI({WP}r&T|u zwP)bTo?4`$Sch=lv-Yr{&15#)Lj$|lgx?mE{szsIbP`7_vWrXy6=;qKr@p4=NBE^F#hx{_tk;t1=eT2`qQaAmR6UMMAz%(^91~rr4zrgKsbjGd#vrkcKjK0k_d^LT^LECc6yoQ-XnlOub_f0AaQ&8}oC!&x z^Zkc=E9juNs={hLl^#fJ>XP^#SDW1oR3F)Lz>sSg)GGT9uOhp=w+Ydr3gIMCQVc(g zKrTXQA)22=bQdZVHI27#Pz>s*%o2OmquFKlj#dCqA!USZz0$Z$m)f>|3mg`m{eV~i zq1Qe&J4USUp@8}^m9&s;k(6Jc&o)co($|uHgNct*Y+Cwh@x?fxq=8S(i=7vD%ZApf zFK|6Wm?GB1XjfeWmods@;m)L%W!5P0;@Qb9=ZeD5Jw(RBup|ylNIJtv>G#Z(xb1?yh&In_{0GIPjx!}{@H^?%G0|5dNV$h*)|c;MN`7zZhIkN3b#Qtb^) z(sVJ54>1yws3*8fD2ZGT?2%o+A+EAC!u5garJ`SR3g3vq=t8c(-8}p8;knIf ziL!|Z3w$lHTRDYVtivj;`9f=tO_L(T&etuA+u`kgFwkZCa8^6r_jWNxj=cTGLoH3! z@VDLAvKFDr5PE>>$Am?c9O`7J_|@icbi)1Kkl`$TAjilPjaQDtgG)QuDPuL`6z-;k zhmiTz;5V!8EU+bWn9p@pJD>XQ-c^@V)zEm}KGcW=BX|+VxQDMWZ^~ODUfOgBE~uGd zoB{(|QipEVgdVEb0h^Cv1hkzVv#|BTBJB|lh5dvE8>3Y>6i4swZB{UE1XS|%#n2z~ zf+S5citVsid(7sI3KH&>XY3E$3akF4jqMz!`J;{>*eAci;G)rZpd#ETQk6B=K0&G< ztV_bSRiAG7lgF?h)w(*+!Y{hI zO5qix)OQ!Z&6|2pNSoTVQ&2$ZZi&DqXx0VfX>SdAi#6?7pdwuN-l11eVR-)rqzINI z*ccG&fdGu*vYpyK70E6$%dA;dw19r;@#?4;U>|AioSBqrW*CIiqg{Dp!A9`_rA$uk zaSw!7^dEcAZU&mXujpU=?>0OjnT|NqhdHXp8l_ptZM#Q4 zV?phM^D>VfOEQo6`3(oHwKm8y!NPXYoA)rIv^!CB^d^@NalAhXzI>fbuemvT>3YE+ znW9GJ=&E<1*e6(GdwgL!1X;j_YIy_8=mG9(dGx9$@cSWQ*gd_$7e!9PwG(*vVOjsp zE5aFt=+*X38@I%&`Anj(X8vNZD)8dOmylP&B|%tlwyqO`Ke=iqn&i%P9JH6ZS5vt? zKUb?7n0pICqbuS*=gl`9j+e70iR?D3I9JRZ9j0P!aOm(OyH~A}hJWRTzc2BRrca)V z;0^Z9Lyct^&w;s>pj6`&+BI z9zvJj*ig7|GHG$V@`LwL3~NViAU1%n3Z)bJvYTn~;O@&iTHN~67!Z+Xtuqpdv6|^IBjiDN=1FI@? zeY7e@ub+n;PjG0q8d|r|@>xvC60+ZS**53bX0ZIgbUU~vY5$ACV7qLjL1&e zbxbxMmLWyepF1*ik&KK|LIUp8h7HY6kjl1RSAO!qQXZ7s{T#<7MOOvxqpptn>E7W+UPUH71KOnur)W2I7Q`VGoU=vjz!uXQ2MzAs4Ul8&-OwhoryXl zw!7%fKvXST&;J-R`*~?Zi3BBjtT2~?*zRWquVW5602;R9Ap;Ftv_Ew`v!bxrL+{>lAxs>kbvee-|zD%|#C zRGn7ovTG~ggW{#Ru)^iQXQ&~ul=-!XIt7{|k!A(K1Gy%gtjrqQS!En9gdH++!yXlf zc5s^3Gd3aHswUn%7->~?!5DSxNi&V96Ezi>oKksZRc5bwXS1o@T8H=Qx#&}F*9p9# z@WoNwoq6NDyVhwp8?bCri(pghb!W{Pf%KdD&0X5Swn0lH@`GI{1O!0eu4L<)17L#{jAjRjY zw7m?ZV3(227;RPbWI}|#(qa- zi`INn+A$u@LKiFXzAt^q15wXPH$Lz72&8JHShsbj@4f!m1Di)CX6|}Sh_6~Y#4J3; zl9(Ar?;Y-bGLCba9qre9y^uE-tv^mg0@qFXDTgv@00dfaUAdepqqvqOa#895s z@MZA4KfAf?5bc@--yXK-GW|Y5*}2V|mg&xHvP3Zz=4vpk%}o;(+f08g3pDqky`s|E zZc@5ezG=;Sf^wY9GJn|&y|5DyK)J*H^*%}lrlb5BAeGMiAeA`58D#g_KIM1@&1G#W ztxe(Lms~nt)$ZT|@{@5b@j|?NUYV#mEEkR2?7Zx1S61ofd|LjC80O&>IhE<0UaX== zQE>>}8{xw7^uF%d^zIy0DkhCD?L%!6tTfvtG^!m&3NurhoMl2T-JMMcTm|UmyhGd* z)&>rt>@;oZ(Yjj0&xg|vw;K=DND+T%VPMcayDn%;PUF|qKZrt1krA!7_o@u|z$9&) z|JIjFisdQrjbDVrt;#EJ-MzGStVs-NT?;DcV8vr&c5vN=qUaWj;Cw!Apkc5;j zH9beCcFCYNh&z5JEQCGk+*)FsFyEpM-Zut2EF>5nYB$_2Y%JS>g~g(aH+8N-Sk$6P ztFO3fg>}BRl+m?T54zK{d=XE}x^qRATJ6ib=fkrwA64n~IguzVKD~g#&uISIe+(%> zd#X%nNKRe0lZDS4b7@^CzmA&%O2Zh!&4?(-|26S^{HGQ zCf%@I?Fm*b+D=>~3ZFdb`f86?wUl;Ls&T}llMj5R3wnU>Is~RmOd%i@fq(W5^}2RmW>=yEMpw{Y~UMFGQ%=oejR@0{i@7%1vVz%y1WXNU;PwpU$m_x({ z31%$63+uC(*itAZq^w)xf-sxY*ep1h=cGbEC_GDbXZCZmNUrSbAa{F~Wy>FJE`j1O z-1|Ed?`MORBxNc zYRNeyC^0&TWr4fTcUhMxr259L+JLgNRU^3s1ry5T&B#}Jr5cj&LHY)WYM43j? z35yG{qf=G8aDBayH-AH#! zNw*53-@W#C>e=VK|J?rBxYlIMF|ITlp&ISN1A^D0r4bNXL3P>r7QjaX`x;sXG}Opk zN5Gl^@}kAO93X8Trx9+05ii2ahtH!lU+s8 zfz^hh2VLl@*;(DE;8KG60-%iAobYNi*pJ0u{`<88{hO_55!hgs4)hmtl{Y;UKE*P9 z(a)4YFu8t*7yBLk4wCF%oURWpr6{glJjf@m#nHq?5v6yc`uXk|j#Z2#j-ojIP{tCV zN$}7Bh`_>)V>-s_@d4j9$Sg#|PW5eD4A=rjTxQ~fFxOP^dQ*a66I}R_t*3laUD7fb>Zd?iDbUWBxgmSsB9Bo(2(EgTuw(&ktx^z@Z+(uk3{b$6%d@bb^TZ z7WG;ejHlCSZm&S_2w3Mu}IOv_3lyC6a|Fgn7n`eyb^eZ{kwSGc#R})Y<;a-{PPbg^({v{-A zKWPNrkCH~d+U>CDbEwF-h|*lP{K}Aoy0QQ3xqb_KhnozIiUIZFe&sb)4y#Ry(~DUc zC01{%R_fo4F&N?6&VkH6bqwpFS8qX<*Q-8fyRd?h9N{JXBdb}qZ9oVx1AR&3)fnw* zkmQpw(Tja7#a#r|;o>N-AaiIGy@Z8*P{WA@@Q-kUSQuX%@kWhL9&+&;H7$Q%pWxkM>g~vgwM8O2Yn*Rjh1(W$XG8c3*gJfO1(;F$~Ti~#( zB{2EkvgGi=fX+)B+BPa+*zfy{S)0Oz93YT7_10?}SqVurTLj)WB5-s(dbM;})~C6A znQYM7jV^hj!l9 zVZy7-pHT7q%db@ZfhZ(PYd|>-u1Q7=J0Ld^+Sh3%8Y=T0>&>VI$`$lMCZCvB$+>qt z49G1Y;^z|F+{59jB;AFs6dDqJ3{Uc>d`1}_^nQmiQIT4=1UqRi!fNnYF8=XSii^U#TX%dY5#i7%7V90sN56#8+Q!PHd z3D#1esK+x-Dg!xA?u}8mQ*uN^i?r%-K(Lu&P5%cwfo;Uxw=fl88!12Zegi3wSatxl zr#fJOT8YZCl0NjlNp#oGJ$t_Q_a`E*4jxG5tR%D1zl_W4C~sqs=#>Imi>+IwKhjpB zOEKn(<7Di&3mYO^=c!}B9{gJPOPj5GAa^47yHO$J&D-LoBndql5&L3JQXZ3qos%iu zxDB*QvG#_fMzSDRq$JV74ibe>VdC>%T zwiM@G<(i-pu)AMXg7|eeU4YL424A-s(+tFO{yM4uVsrB{70Xgo*AR$YK{^B25-O)} ze33Upop4Z-mFbl~J#jGEwM3+_7Fru5%xhkAiQHCf{`H;P&~a9Qv-UE&4YY(s!A^c9 zE(M*pS3G5wb9W&!lNidpag6{u+cw$!{~tAgq__a5q9j4o^_}0&Pu34C_=3g`Tedxu zyC6?{YUtE>{7*h%%n>tf^&jy;9UVSB&cD; z@rslsitH89dGxL004edH$Z+_sz^+8PX~;B}v=B5^9Wp-9t`i?(NKzbuP6YOZfk@Ax zCM>FVp^5_lkCVv4n1>pBh&@632fm!q^TmD!g$)*_luL;}pob7RB!;>O`cHZzCh3b5 z%8b1UqLq`v6C+{{T0%^Q#OKMp-M9h+V8y7B$Ru$n2aLD>;X(x4Ne0_aI_g(|uh3TYU;t6Uzvrb7;Efb0{-bnzi3%me$GtB~#E+sa?1Aglk zI6YDr{ln`Pm|*J# zKFM5=b6%5yjD4oUR?}P1*um3GXs^ip;OeG|O-1BJq~?BWwK z|6EDV;CH(pGzojG3wEO0O~ZGf3O`5)@7dT{hu=US0N77#a%ez#pXQo~ChR~)o!k>1 zT@|F}h-4DE;xN}SslXRDXlfof$c^Th$#s4bGX1_+yRx}=fDa#CP(B0d!j>{T-=|ee z-)ke_D;8Sf0@obykrBvzPS`t06L>~mTe$X(N!dL^?wunLOJ>*|2J6G%T)*rHBws7}KHf?y%ZX>7OR~LPaE#-!+m{ zAYV9k9KxpD52s)TgA--)RKUsueiK%Rk7$hjJ|d|ZubVHs7R(JzPeP+gFG!GEu<>dP zf0&{#h-1E@eoYpIic97){SqZ!fmoE-_P1FkJ@F)s8BrZ=r8ap88@zmAwcG&hB&O68 z`AK^i^9o_m;+C8je$I1z153Xpfwjk2UIpJDCDx;n{?Dkw|5)W@#RLA3qg_=h?7fM0 zF-mH#W=BF~z(~`&Y;pqD32?P0k+Zh`0;^b>-nAsB3N20^CkK7fWiK}lEK(9ZBGjd< zjHu=j6C6?0Iv60LYUV0}XphPaD^8UIt?&%ZV;KVpOKc8_udtYHb*7&kI;KH;@#ZB)bzcn`pC%ea0(A7JsyIMYz0*o$vsJA$wDptjo^} zE_T7@-T2lUz>>kHc^81BGCpWcLrj~Oc^dEy27yE>CdB2_R3=;=n@^AUCtM@X1*Z!( z`el2uz%5dY(Z)Go$u)*3^m2vdk`F0CC>IQtnlrZ%w^e$vC&iXuzP0-C3d?~2`+A}6 z8`1-nFmG4C#1AqT5)VBfO$AW^$ik-x*VUvU4JS7{Og$**=GZYW33rYmK6HOmB>ww9 z1z;}Ebnz~1?#+D z?;wSp-r}S8KB4pkJ#z8`O;(S`BIwQ@%bo-pJbeg4P`ML-T?PJTT__=wKoq*s6`~Xx zt`8*yV@f(o6{`k?cTKnHtG1@H=>guAU;Dcn4Uj3^#Ty3VK^v>uIZR)el7>oAf}bVe zm*C(c?p@9r{O@`L&RSZ1G+^EZEgBrSK71hDjOsb!0_QTsOctcqpZa@F&}lZ{I`!fH z5=$UmNUF_2jMN&>j?LKzXkgK$T2~Olt9^$x5LNG@H*^KMsH`2PGHEq0-XLU}p4VY@ zW8dD@j>{}~GMh>!ZG^>Y)eetLKh+^P5+;ao09_r=@sWNiqjCUURy>_{Nu9PmGts?< z$Ocx$1?qftoatkpcPzk2(S&t|%->l)&fs06AavW!C^;b&tUM|E7fz=DUp+VoS|&%n9XGi`xvcD>@5J2SbiGFPM?fi!<%1Qej8rie~BiFA%DjI~0t zQZjRuC_!W0_t!vX09vVBHdJlhu5=^J6hA-4`v2-9VxAP!Dw+T`Rr-S}jWb?u6;qA( zYw#m=EZIOdJm#W;U#@|OL1Kjr_7;J1`UlfwF3 z7X;!|@x=UK1Wtn;mzpcI1~t5m_+9cNo8)oc_3!yoMU_Y`cufMl<7Ly^|F z#O;f=2{dGSxlUgy5RF<#nK#lB{fi7Rz~3=WKdcW_7NKmxw`Ga|@Hk ze0>}VM3I?R!s64;L|=pX42|?Eow)1sW0<+Z)x5l#qptNOYhI&?;$e+peKLIa(|kMD z%iWPoq+uoGIMS#JbL3 z*stiNzdQMs)>Po_A~B4I5HSSm1GGeaCE^I=9kXC?;~$*Abrm7Z(7(m%`3YhJ2aHI( zBStL-6h-mj;=PT~6!CUnpl4);zT5308M_mN%~Qj3s;e08IVF0<^^@!ZO9~IXVTKkf zXhc+hgRPgr6zzMilxs)>UWju=$Y@t|I-zv+(#!vcSl|gVFHYQMMFhupdH%Y*7fA5C zScn$PlPC~u{AeE-eX=b+m}Xf+(M#rb{FC^XZzL2Ht;3u$5vzaFkibMr1nzwJnhum3 zR*qF`Y-5C3(b0$D286PB5JwdRnyhjgt(eaK)hLZ$68fry`L9}=IPz;2abR=?Vmiw{ z-T9egyp8IKYK#S**f0T@pXt%58tvc z0Xra-To4J}a`j5|=G+DyxyQi0WJ?Zc zMnu%}rO;It@VJa1JLP`X7ifQkeQc*op}z z@zoJdq!E10xdD5y{(MBg>n)(~L1&+G25`7)#Q)HftU3b!kWubh7>#fey0wy8ap>-v z_%xW~O2TwLm`b6DQFE6fxawsdAo7RB^+04oa_ zW^BIFZ}K=9bNn$dXg9VG*f}l`Y_Z%`7_c0s-3LGfC**Hw=dA~16&#{7_VLGr#G=?} zy*!y~_~vF@0e=V(7BuF{wJ^o|e*5p@HO*n6{s2@^B}XrzjBBiaFoJqz>{0;>Yp@B&Ld~bDqLO973$Sh%+5vXfdQkJe1B5+-eZMYMH5#=Q2XKt@?T#}Zsh84|w7kGT|zeY)m`Sjwk;`}qv9YMQI01^QX#0D<& z?v@F$Q^3#@Z8cjIEu$KM_KYe! zNDw}$FPmG=wVY@{U+m28xEyilh28|z0w%i~g*faMAc(Ckg$U|y!=8P$#iBdc6+XT2 z3p}^qYuEMNem>Hk|M8y{1AiyXuCQfVfSJk>X2ZM{@dK47PBqNsZ=H^35X4Ii;k9S$ zdG_k4&L3s&5*gxm_!1~X-^P=LEA};oa|~fcAwd*fNf}H;WJFOLfe{4RoW0qx?Hldo zf`Ps}^Oj%rurEu7fDNOVVpeZoySYT21pQO?m&|O^G3(&8+p*`qUkAEC;68yor7HdB zyz^<93?*I^iK7Bvzb4z#YgW%tkzC(=0CdnBNv^qq4IxFsY^SL#CSJOg+PwgX3^Rk@pYBuMgu@QO#x||H zYd2=y#zO7?ignfyLlV%;o47%?kMTV>1Z-;+dgR~zYlF~GNBZ+nYdWEnT3aA+Yv(d)>G6cQ}{oL<&zl;31DbWj#Pu3OYb>MPv29& zND2j5bOzuJhjAqC`?cDbW1ac9Ik^Wvn0P`r7%U1{O&90o+^u3_Xwa!ysCaTN{)jdZ zJK&Ah(LlwCZ#H!y1&b0Xi86xT;Do-pbA}MYQ+8qJv%T*^| z=Z-71MikF)2qsa3*nl1o8z8nmbU3nC3h6Yo;xmL-J^fv@;>BJa2SMeFx)7x_oMpCn zEZTaECe-uzCrl*zoZ`>=kdheRlW-1dQCD88-*1R>$Fa-dQ)FoKH`HusLP^0YkaMS&As#oEawqWNirDsYb`6T8L(wo3)*KNYU^cCOod{ z{6_?b@3U;k3sl;DJ=4WrqKuiHKxtJe|2eFX?y3-a$~JkS^wXTcG-bTW4#gTph$niI zKEc9QM~#}J2{WW;(gV+Npu3bT5ZD~-*l{@s)O&a5n~pjn+{Wd`AVJSq(arPz#v0x# z$hpF(mX+byl!(hJAbKAv7L!A8mVF^1WlEWZYO;q*1~1R5 z>X`rBoPT?27PLT26R{P=+0m&J`UaVP0^E~sZaC+&cm(E9wDsQJLgTROeY9vPFwIO8*O{sp=LkAn>QgsHxh) zLNJ@bPVKLcDwmN8C{)?Oxr(|0xf3?Q{?63{G>Sca-@}{RnSEmP=VkKQI>a)dQIx1( zE<>K$=JadSK+0$1+H{AXr*p@6Qm?g|c?WhtQVy!S?#nJF7`IkcgLGePU(VCvy%3=e zsUg~M8DkNP36zqhvc?|YHX*hxdm~uzp;d> zu<=E3po=~~hyIw>fMEgHz?s;7l0J{MV#@Q;^bEmP9g2slEXU#DvG!V{3${lQ(fgB` zzjX3Z#OgBmL~cFk*cHii?U^c##3Tf!?j4_2VVm9$Z zI%6K)DIaW`cD{)=_|N{6|D%Kpcn)(sk$hYI6_s^J6E8ue11W5lp540k6@2kVh8ND* zRAspER4ec!>=k30^A!+`BH_d^RZ>-$wBvK9GORO{W>vCcEh^6mst-&uZ#R*UVmv9TBWGSRdS%u|G$W0N*GE+5Qr<9FPWIFCnx8=BxKX^CeUhhE63?S1 zqUL!&%Tr^q!CpNlWFud4WW@UM#bcsB!|BJsa{-bvyQ@C1%NXE3n8*?~9d81?S1w7- z?ho;*Zv(rkb~sL7hvInQ4TY(W85gRI4LjPsoSM!rrixX0q)W^koKmB2ZfDt>#vwZkFQh$4W}$i!?+lQEgj;n++%0yCX8*ai9&evf{L_3U0*kHu`^;5Qw&nZe@4*>%jWghN zJ`F_$1*xyr&PZ;ZDGGhbRZ@8FBWQn1#f+u0RlD5-cVa|Wg&39;iz94MA1!iAt&7-6 z8N{@~@HLG&tb=ojz*W?kCl+DVzy-)arZlP>HTlkFXcDQxKz8?q>ERs+GAiuH*o9xy z4$IFfk5J!A`KbMd+R_*wBT|2S=Z&Pd=l2PoP3H5bO}ZfWVyP}X5igh&kE=b^{H2f1 zN8eoV<~zhN!gjg;3fNn*i`456tI!B8SJIjS8$f$z|FlDi_ejw-U2~qU7vpgfg-X%UcjuGWI51Ap|*OZ zOq0~B3|GSD5XB#nTC&h3mX^iYX->maB&Bi8h#bfmFj|VYX3&G z2IAS@r(Y*HZRUXma|sAyo{z;OAc z682fUxt%g_;AFidDL`yGXX-g4=qSQ>Ww?T0+kSh-GMSVeh~ma?L!FHT)M;9cS} zhvV88Xl+j;E~6y0LEK||%t+M~@e!baDq|!F-Fak^5G!J&(b@r>=-ku3d zEBg~L=58+YuJF?1y8vw-MpVHkR;US<@WN=d$nnB>q&Lvh5Y%7D9=PoEKp<(dF_4sz z*PoZbyxoMm(vs6Qmf(ZofpzW0RT05LVK*Anz|J3I6Uw-u{rIms%zJNr@uN$Q8PcayhkO8$@?xU_j-{Q=u_R?Pgfwc*7<$ zkIRsTb9oy3O-bBXL3ZCnIFbF#hP>?W?bmQbpgvQx>m?l84JA8U1ce!puO(DvVP-oU z9k+$a2O#3fU<1}78V`m!w@9Hl)1ggPf99Fsrv>7q>Oq&m(Z@OkSWyZJ|K|ujqSE={x52v$6ejqZ)ED21=Iu%1YMX1P?z9Vd3tA~%}{H>72 z)%-_mqEQ2S>4Jv0)(vGw=-3aSr~yP`IWx)*&VMKsfye3h&oBO9Dr$DL^sz1jTVhy8 zi7e;%5+*fJsowRWLaPO(&ycybRyTt{`oEyd7!j%$W>ip3MBt;nWnc_ZruBf73Hu-r z>)Qg}Brih&p+KxDBoTzrd+UQJq1rM4sI$ymjiy$(0KI!nbO-2B2M>IEN9AIbHEWN< z0Z?v+S5x@(0Wj|CUK#TI_t3RO!h$xjU>s zUF5llIMhN~6yqs_VxweTuNY{k#Z{@y%g$&^1q!H>q^!@rziklu{`O>O;{cGbLJ#9# zuB9tG?;5MzD-U#iK3*Fx>MkCEfD9F0>ltcEEzcAUXx&`I+Uclzq=FLS@B7(=RH#vG z{u{(N)^BDH9O4xk5JvTyZ$ZebvE7e%dSb@K0ULk7z23k%RIuk%8ybFu+RKFXVu*arlZ{S{C3WKbmlO@I?CR|!st#*@AE7{97F4`dRjGJKC z5f-H(-4DYZl3oMv??Tl((;7wIQ_Qrc&8>)3KVTd6mS^Y<3`9-)1>O^5Jx2-CB~xrL zT17cT#F-SsrZ_u(32)h$?!|$kOF!kf047ll%D;E&d%AnojHsZYF0#0$&((yNQV!Ja z&X<0I1FCQCpZr$~Ov=p;2CD-gCA%5+KBj3%nC_viMovcL2`pB?6L^XzFi8LUS5(Y0P14MFz)xAR)jQMR7p?r`3(5$>kHxiwP1tUkU~3rf>Vk(ZCL zHqGgc@%f%?A#P}&*sdnlex_u1icG;dlCcA0Kymc@Cv9UUZ zg*oHW4RD#8pF_*GXxE$U^)gE(1i$2~NqtinEVybOJqAbE_ubnDGM8b>tKt?LH-jRR z0Quxrh0zcB0Y8e8^i5rs_#i7@hbz4M(Q{i#4d1K`ggpqD@LB|moA498fXLHRKwa4R zwq2fizwrC3xHe*yu-)=o&0;pWS08ANRB*d3{htr?-=8g3PylV?5*r~r9)}L)8%#LvMm=dM+GyFsHpP$ZSK)SREz_k& z@32}26YjmlVcSZG9`BNL^wxnf<%FbVLV81g~D6b+<%M`MQn> zz#h2rweDEUNOwirGd^EvN7nr>=vVF%@dT#j zt4HV^oKLra!3>Jn={n6>5^`QY!^iaoG3wRI6H(@AeA|JPTRJR>*CK@WzZWU*z!1cs z_Xm`+cXQ)YhVngg;PjNE=L1y(?NnnS-T5te*K5(6o#x-61PxybN2=F41HIH&RRRCszKQwt z<3|1WzJRC%p$i|)cG+uHGAk268q|D|eJ`skvWr_G3xqXSl5Q~hYea`KVC2e`1@7$m zjdy@QkDX)0BZ5kFNWBZp(dERSs^OcUq8`qtsVa+CSE)c&_f&=lo9Yf^Pt|+)n@Fj6 z67F;5gOvNK_I=gqacD4hqQ|J-cEL*K&WlLC8c2tsGtZ54mx?zGHG(L>FSeabX@8@qwyq$a#VXw3^TEp?IS*(SM$B=L+e$ zuCCG2^KD+g>|Hl*yPlqWLO+$7Q)vM;1r0ly!|n$o9dZ{hrqp_@QOf%yRL7Kca@-;18@z$+beN*q!BX39B4=ADTB z3e}!Q!7O3kWY^^(xkOYkxXw!RynMuK7ri9 z_OiI@3!vI_Hn-U4SivrT9KQ3?HT?r{w{b+nfmV-3dgFeTRNo9%PCk>_Irup-@G=>8 zhGp+1+-(_1=VI$Wr%v^LrtkE=m%W9<^$yzd0KmaD;A#ofTLQLymX@EOu_o{tGF_>W zMtOS!zAD&IhDa?pMHtBZuh|`2Id1h>4DsMn z3-J4B*2Wzp=vzJm#^#hU=Y9`_(n0GzDc;Uez2Xq1jz^XW2we$K)lUZ5c)UmrP@m0~ zlVZs!Alm0Tw83UR)s@tG??sq{$EdV$P-(+8Fm~u#$3;9V~~og zZ|O#-(OAT*-@~Qx3l!AJ$jPeqy1cQK4D>wG5YRpSEnD0xXx~Vi2i$>;U{&N@%_~F( z8%hOd@rxOc#Ip4!n-z1<>CiNCR7F~g&-&$xFp-^o;||s-o1(Dn!T`PJl(RFPD5SM+ zNs0Fpx{MCfYx`G?emg4fb|8XoG@h091xN)d)S z9@DWV8uWJ!w4p$%R#tYqGm{PvGrto3$aw79m2V%P?0MAenVn91YVXL{r@;UCwCQgA zJ1_tMT{wzdl`;aLGSv@Lpvii++F>NC9;@`#IDS0KbYr7>wS5=@<3-|hC&QOLXQ~b? z$m?V5;@3+lD2?TV6sbaYdc94x?2sbfln(w%F$9yapRnrtU=?;iY1?>z*XX#j<&&HU z_aD#jzy634``3_I!|urzjetLDP!wXz?mirsWjsZPJ4NTrPU^iuw)CT5*e@-2Us))Z zsjC{NNCj}s{BoRsFaEufpN^RvEB zOV!jSs{(2dt|EnTh3bz~{cCl8VvvvPmi19zB;G2l?wE)CH7^9qeXrCmZI={(01aY% zshI7PapbOuriufDN!vaDs}Z{WDjbVdZ}92feAX@Z6^zxC3deg@un=0P)xbVpx>oQw zWEp|zRjwBE<@5Dhr-sn65Tr#{8;Ff?w>P;>xj&GX+jsf*#>``F*i`QWCwL)v0dX4* z$wL#C=(61s>@-`Vr>fsF+(2hUW0+kh_x8EeO;6Q9EfDcb#a?FXD7Q2)Z;-sk@)H;m zK)U|l3x^oin{G2CD?C{q7)e49cGOuZNvA(}-L0K&h1+A$JiTDs0E*Hbf$~ggV#RYI zPZHHqDXxLU#_%A9N4ZYl(eQi_ye7ye=UMykqNlPlkGW>l_%fe3s2qzfq8We0y36?Vfp4;iMNNAqFcrhZx^$ z^YqtOqxbdMwj{VXF${6)6pjO*BTA`_EkwEHDp}_|Xik*>?-~MwghvUpkR-A34dvb& zt$NL^ApA6&OAjWbG^;-+}<1Ks-#!{8ovHvn~+UR8@y!O61t}%3sfK zPDL7&0{B&>4C+^JC5*Jo3;fEW3$XFI12fBHCGW5~-8yMO!RpDw+#Y%g%U2*&)%r+P zrN=E4II@V>`Dfe=t2m%MqJZ_Kd^gBpgiPZW)XQg^H`4d7G&yaH9k6`70pVLGdE_6n zXV_o<_}na7mCB-*f|3Ye58K@Ez#kxX@V62%khE8{8rhpejSR^i8xiT67Y>O=z>bs# zOFLVYov>_JyLGDQoc0s#1h_Cq6ANEm15KJQsN=zF>us~cOI){gt>c@4w!UPj3RU3I z_T^}wn1fO7^K&uNNhZkWqr}YE@08FMV&A2H^_(5;h|+6l2uM0Prz?0D^J^||%;aX$ zc7iOVOj+3fK2E>~&HetDyPjEvQGyF%tB2U=@Y#C1ZMp-Jt$R|Dr?A*0;@0H72uO5H zN3A1)H+i zVi$?KTa{nl_<=&$B7R92dQfz}%+CXy1b{=L-yHnb6yF|Q6MHai?HfU+DuCm=GyOpR zAtMiFvT4b!j*NsD-)O2LRbo3NT8t&4CgTw|zWv>9nhy}hH~K3Iz+`KT4O|1owTT= z(4la4gOEZ1J6LEnvm%YZCX0>yxj?@;e(q3pXT8iD^e8?39;9o3wN?J9lM9)YyvzMq z)3T_)s`F0QGG>OKUtNi3v2>m_JuP+R{cW}XOdgR4k+!4Flrs41APN0U)@mx}pqu9f z4+R={R~xG2hq^xdHKwx1JT6=!|FG=4t9;{`%If-N#?PdJ*AKuDA10AQxF$& z5~fmv>x6Fx6GcQ`{8d<64Osdc1up2!3&e^h&Rr=RMcmQzu7(Il8HN zBsfW})HGj2eESjymt_y`MtZ+F)_h6gI!)4i=Hr=U{$Sj9wOR(Q3S0Ai6zK4O!yt03>fuZaC(XJV4&oP+Pm55m0b)j>f;g9z!jC%$uD3 zN?pxRJ5eu7Z|E(k`V(lM117R)O?Zh$m-iSMGi8f)paQhczL(d2kNq^;nX z;lB#h&gDQ>B_kCZ9xY}YsZAF{0s}g4yDxfCPbKl9TXgmWOG?3>|a3JrlSdQ z1N5*O;-w4mT#Yiv8&8ecTW)_aVbyc&DcFG!tyvs$fR!*0G`zZ=cfYDtI|WjU>{LP- zy5pYsMeS9phC?y7nOf7Kqdf-8^l-a(TkoGDZU&@aEXFz+G(F^p&4bv>G=BFo!LmCv zgH9A66Coo#B{7rFraAY+l-QBFA%rVixzD``t{R)dY*b73o3fjgDfsYYx_MR)ti;>G9;rQl)ts=-oqm^18M3uX=&d|8>SasYA0Yk~dAPK)6Dp%4a-=9xcR{|GB!<2Hj zK5ohkRedCDn@sn|9IqYZc0)R22BuFMa!|*}yToOZKS2v9O#DsVQO8yI-9q4P1`?ra znS}QXcg(+^u4;9^eTqilG$TtlkR+Q19yJA+xz|TQ37T8!mN~NQyUiMsy^`P@dg6VU z8wxCodjy@m3RkENbl06r}jw(9hJbZvN|axU^mqf!YSMV0(TMpS*0;xn`Sxi&HT z)KgxZzkh*S)SokO=%VLlGi;_9hBC_B`4%C`dhyzgCKSUK)`~d5{ujBTRO*eBDyvIB z)#h%iWpR`W!sVyXa*Nnaa|TNCS=-%@_toTcnsiwba7CZ$<+zM8tTsD-w|>*LSr;E; zaBUF*TM@lxlN}C2TAxnTM(c16QpF8`qdkqIY8=`>kZj5ZDuG{T#-xWad1_C74eVXk_SEGDj5$nJ%MQ9`40TjQ1*)Q-{{J}R(}yn>|vv3NnIbxEKV7wgO|yb_9aTIcro=LPYz|BJ~oY0 z*ibhz6k;{kuKx!xYqyitqo#!hXsj9>pZiPP_lj>h+Tmm~IUHKH5lQ2ZZN36DE>96R zf|}`Czga)^$T`?)eiBcsi|PxsVXbOhhV|M!YQWA;&{0>xIMG^+l8H32IOh4hN(*g* zJKzBV;kV8iD$ac`j+hwV2jjlY)f840`%NRd`h-+KTpXqEi{!rR@6^&DD^>6`}nCnMYS$M zNhy&(v^RpfBY3zT)WaY0*(>5tG=6|&@zu=qg)A&Gl5BrpV44~!gVu{QmR94`^Qk;2 zCaefTT91bUXE1mx`(H$|2dST_cQ!=FyCBm*0tEJbDBOASYV>RkknQ*CxA&tX`I1A4 zQd3YJb=ktZvNb#Cp`+lxzk*?G*pt-p_?XXVl5wtLVc)dfP$ZHu4%(v2JjxHCQSkyf zc9YKS!gm~{f4$FYB31$qm}z>eo~*gV+W4G<-&1QIRYGX;t5*D{Mk2~##1SY5yFpWJ z+5klEF!@OQ(P#-N%lwrfhWd31>L3H#`8L>X-$K&}I2HLxBbjE{O&Xg-nWT2Pnib<> zN4!gY?K`=icIl>9P}gyo)X%6g21Cb~0W3|up5NJG6`N$`B$}n=VZKtY#xvtmaH|`3 z`!H%&q*Y9UZGlNl4&)Fu+DVG$`H5Nlu+sbiS2;JwHV?Q$YGOY20Q{HRd+=uZwTECA;`52Mx$ycp5p1jaDn1(v6Z&D>+nKBzI zs8#f34@5lQ*lLe`yU85CRof^|abNo;y6hiiizx_VEb@Y06jIY_T}2ShGcXlZusWs)t&1^9qx zl7hu%-{w1#dn_4q-#G}PPx`tSF3|V?f`)ReF#ZH1$vQ^e^#i}aBjEMI2>tYYgdlk< zrQ8<$p1P#7f*%!FI$9p@R{h`uH?LsG>YtfdF!s7!5W&f<+&--;B#7))b)wjr^x}9m-yF^t1gffY7s_i&TDe)ipPIxQqPkoyzsUey8Z)z_xyEl3Dk} zat&Fy%Z~kB0zl-9Cbi|J4WP5D0*kxuAy^C4GruVUuO#$N;IEhl11OblQQObb)lTePE$B&H z84C*UB{pTxw4+lwZLm>b&t#xm0phJ(`RtUpE7rx!zL`_;B_LmrCly?-oODeq?HfU2 zj+yi!FTv(K)_)nha;A11KPeKEyQmRu=<7Nctvw(R~KTxhwj?bTzr;-4(SlP&(5ajNw zZNE}tHA{&DT3QRs=Rn?+T}+ev{@6zcC2MyJ#!5fKm5o(EiJFh4v^p%yv$({OOHT?o z-q`cyn6z!#FXQ35;I{Xw5(lruGRM|ydGAItQIXd!?1K!UwIWm6-Hx#IkK5yR(MxDN ziAT`UZ1yn#U!i3|AuUV)H_uiAN4Vq+N@XkB^lKCm64_H#oa^SKu>!#1N@!%ybAp|- zvdvW^>0}T5idDIuH}1>UqT$8r2|HK3%bdF6W!VAtx*g#DwTa1o-Gt9n9k;A$PmRoi zT|Wv(i`S}m@I~<9q+WNmSkl^%Q?p9Hl$;-g|I!1;j7YYo0%~Ok3f#U`cI{+(ZCM~) zgG)1@GEBwg(hP)m-?$1F?FXfA3`}WOa^?5PAK6 zw#n<8>m(nYOQKgv<9<6>-!9XJ#A$Oe^AEIu0uCPb z-MMXZZgfv2l^hct-2UD>2mmqMIMnaKXRTm$2Xu%#(LMGz^hN^Kke|8_DUFh9qMURJ zX`dJ{PJ5~hJKM@xeM&!IHY!ecZ-DDU@23n7zqSL&mj0d0e{NAYKj~bq2|j^IYt^_0 z6T^orrqUNfmq`*B`gg^q+!}D>QK6uhZE6|cQqc31-(!Qrnn}4-l}13Ic%n}#v&q*d zl0L7YwcP*Df&cFzBwuh?(y9p|Ib!cw2`wO@kqfOcT>z#mz5VDI+DMMj1!6OqD4qqt zpAbDGE%}zLH3?FL6|mj&)I?@YXpR1Wa+Y=PF<}0+ z%R%UgbNV=bEq4Dlzd8IQ;m9)Dx|<*$70}c^gjbV(b$;EvQN~r zuoKDKIw?ca|9r+5Sv6wseht*7_O(nIt@f#ZC>pw0viIIZ@VtvIv8p*K)c-Iu!SDzKA+>iP>moVL_Q7Ofj})25hIC&E&B@8fX;gzvSU z;+VwN7k4sYwPwsJpFB)g!St}T=R|&WwZCAVYOvpC&U(9U3185U!m9<(Xn!pWPx;0x z!~etZ^Z$bFgGB?npSre^M=tB+%d%K5N}OdP?Vu5X(8}x!Hz5XEznyS8#;g(xA`r63 zLYL9R5=AO-2W4J*eH8n_4VUk2Fj2s_YCh{xH>UHV$n!Hka?Wu6dov;k+g62fiG3f+ zAdB<|kH{!;VZ-{zD;wbK6xFlNy2<(mh*gbu?EWSQ!hAtjeE+`=0qB0m{664XYeXrM#uo7k>w@x= zfy?CkBe$xbNiG|s5yuPG0X+6fdu~lkhFP-q#`VOrQ60aG_@&p%IlW?<(Azu`T z$j^h}gS?h7L%0UXOHjzN!94f+D$O+JvT1DBYB6*1`8Vcc#p0Nia?bYW z3$~biSi>kV2d)_qxRM4Lq+cH&__`7GL*Sf_i=zxY_Tl$F0*|$ky6LdP*7s6&QkWL= zZWE~>B+X;fVux?fa(cOJWmEFh7c2F&wz+*%`tHkJ)}e~=e3P@eS?JLz*~8YzAq!*M zP;mfo!g(7N$vTW@u&ZZN+T|Nqw`e;4+V)zs*%8QQB+j{ z@~Xa9!&+khxdE2IXVkjN9a4OYra#q_V&d2@739)B4m??_iw@PL6J;2V{9<+4T6kIA z*rCf@b)f6tzX0B8pk-I+>UT5VCgZjhGQBe38CL<%2-E%&!hWzMFNtaHnkZ6x>sZ^U zm0wkop|2i2F`kjnD|ys2A&(ic#&hdxNF);`}>%o}DP!*&p0Z*I3We7Sol0t;~a|k)`lr78(i) zKi&;=NKKxPxRZY07|Y)*0MDcwzKR6$>U7!qSxidQONBStbRFQPP`_?iAnWvw>ILON z!)8a;s=V(kS>!-h%A%C+bUa*D`-VJ=L7fKuPQZ1PYAeP$C~SKe_c)px!GB%YIf79i z1lJDKt3}A5pRtzm#JtSJl}WW}A$l2+UtrE3YsGRIaVtfv^;Z-lg87tpN=gXMkpn_7 zkEezQXe79+@+YtjUoNz`(`hpA3SVK6#&y6|P1H_G)0Q?^c!F42uw+VhNzdu1ko|vreRn+9{r5h4la)=B?97CO z%n(^wk&)~bvPWerWRz@@J+fzJh)9trBYRc0Y?1vtuco>`-`_v?!!6!kuje@9I@h_* z5hkm0>ML>|zY_Q4IiD7$h8C1lI_3;u@Xolb^w2cqT(X`fvb0uOUT}2DaZc3BW|3vo z7sJT(?sO0U9nudj*B~_2#aKQ~y2U2>mJ>=m+dbjXrmbt}Wj*geKMeH!^#YQ1N_2Ya zfX?_lzmL~c%MaIfEtWfv_zIjVYaJUK;mEz__ALQT_vr={dYnc+By#Df1kxqGwxj8c z<-Bsq%j*7)3O`vTwI0NMjzU#TX2ZcBJ6|ro`=tedZ^z#irPQ=+t`5opZTv5p6210r zYjRF@dd2%X?!L^ERgNx}I&9F~H6KZ=SLO3o*ZNU$g?r6CoY6xchXUH+YE8jlPp3p( zPMIj)wYvm9MNgQwRUY4g@@IW1v*alp-n5RL#~Onw1iwqke`V%AM5sIO^!;L~@eB#2 z>BdeP?BX3OSP2&vxOm&oc+$k`w)iFEOJ+-GL&*5TRlzG;FsNd!gL)~tOU`i3YWs0l znBiAeHS{uFe&1^KjjD31{;TmgnqGd`^_*zXMmt7j1|_dI?4N6-cm8&wWw+5SA1NMyE3iDwmjE290t;GPlkhiF{*< z(bQAd)T8&HHr3KWm5)D0|5P8=k40=o^GSccp{@35KJ2~Da)vzpeVEMp`O6)XE{At8 z53W@Cj0wc04H6!t|ohSKhif_HF#T-a8tloWi?7*e-3-4~inLl9EQmeP62 zbmRSq@3to?)>tAi0AYMj_Lmxv*MSLJT|lJ;UBKS)R)IA{GodG=q}mNi(E_*`+J_z4 zJJ&$(FaEvJlYEv|EdBS-C}zU?ku+NSrI}^tH(ydE?x;8h^91MU^}bw2D0(ypvBY>BZP z^_uD!`JgrsMk;BPMbjsv_nh~R34-#+SJTYGOknW$qHgnG>hipvAK)?%b<4+hbAESBqc=9WHTcYS- zsp{&7<Q(fq=!uLH z<`cKZd>n3x!b?H7qSKc5eQ#d0o7jg_B_bmclePy2E%O>q^`UF+u2cC$o=0@tTf$F9 z=aYbjg^qmR(x;1)K;pF)J#&W)96rAW6+O&Go%w_c?3`tb8+@LxM2hzpFJ?Qu16aZa#0_Vi^*=k zAo_VmIrhBKOYE4dy0fPSV=+zr*N4wlXxwgPeyhq=0}@U=U#rjP?q=lco%ZpLS--9q zV-5UnN6P>gdub-d9*abdd50*W$4{R6=r-VRrAdO+ZWyuEJUzP44Pra7$NeQfuE9PK zVjTLq0z|`vcP0&`{H2FGk6&V~h7j#DfG9OO%cPRcvEB?S)0!6C(exP_d0Hvbf>c&v z6%5w)`anvSofB>HCpckw$nVzN8?BI@dJC%3*U!e!K$V5Ma9@5^1yZfARL5sWg4$t~ zW2ZEBE4(E4^nno?42;lb8v>2Dg0pv`#MzUue+7iZVW}>XYz+{Ljol>_3%KoB%_IJFVSl@B-)bJeqIQVPcCb7@SGAz1yPU$jf!tlf z^T^ZrYZAEeM$4TDs|G|WCvtk-ZV%bNK8ZgypVL4UdR4WkR!A|VK}#6@*vX(MyY~`@ zn>bbg2#(WnUG0-E8+Zbd=5i3L{^BE~9rVpMi*FM_W~@*d#*Mw5O{J6BrJAnc$INg9 zN`qgaAEqYiaxi6&tm0kp0y)GR7u>N7-`c%n{{~RzCbfC)MtXyn6;EisB+fo}4~Z;4 zT1AlWtt8)RQ?FJv{Q871#w3L@;l~u7=tICYv2{`Hl(VD4J~E4ip7PTXmI)4$idS_} znyCN;Ui5sQEYa@XDS>@X^>COB)_=^(-S1}QJjKJOuLKDcg$B@u*o5waB=}9~`$sBB z^j0(C6nY;;?lE!>h^7`iTe(hGb*k6*=@G*D6HI%814;;T2jfvbWblrdx||51qWo!5 zR?xG*fl{wQtQW8{mP-X!C#+WOD=DwU(e{K@$lbPpn8@TpK-C0j1nsRR?cW#38pkTR zw<2`NF1NtsL;#j*35CC%^rmv1u-ltQAxEQyeDxc%d#D_Aj|azBoNcic*q`H@6Y=Ix zp5lqWcUDhfVp+53TP&l5TNqivz_|-i<@wm=-kb}H>C@kMaVMUZ=U09yX{{-XjraY` zpO&8cHFdx>3bkI~I8JO5K^@aYv*2Exxsvg>!3W&CON*d06!8YfO9 zu{&Dy@XB6=5ms%tYMBtn0LA?u7Gi*c*B+qI+aFueYoWRpyCU|8IF-L_ygT5l#kmT) zP#cW)duNB8Q|e-IBAp2MI+wAp-z;`~q$>!LN;$yN3)Q30F2JoTafkOE$rj@H0 zd@w&4^Jak5ary9*^%oF2$gs|<#dPbnZsWNK1Rkt&^gA=5c>nd#cs zJMC+G5cBh$w^$`*zVkR|FJC?TGNe2i`lQUbZ;+V|g)qBg|IJsL(>8ayYA%%zXcu*V0E&rK8+ zoBW2Y&w*qRM3dUn-vfn$u7)C> zHt45&g5dYyWeE~XzavB<2K8tkpr7L2IXw1HfqkCP*ug(gQk&bv3eu+?E;dub63P`L z$6Y0cAw~9oYF&*>WB-!a;kdbTLr50%BDJ!mgV@cN^PC=W_jCXhg=G@rN z^r2G0d`H^7x6x^DCaXzW$~BuBkML^Nu3tVoZXg78UF#5%GkOW%Iu*EP=sOwBhLx3A z3<4yw>N#9-*YKYakc95RWOlVap!A8Elb5`7iHCj~C)~;vkJA@wlqJ_n`1+XRnI9Qa zwR=Y4^4y3o8+5vC+!7fs;0}~+hv3&YV)Qy(rKg7(cw!2x6MXkk|LeLnt6{JyC7Od^M{0HfK_{xvYSh4Pcg7COV#R%|-e=_m>(T<0f4`LQ zk7PV~&bRu+pahw#La=h5WirB+<(Y@cogrK$t|#S}2$*8f?{mZ~vNlBFt) zT+6A0!673sat^fKSk5($MdDiA57ZK|jl;Ad2@2vce>VP5WJR{E{x#F$o5(`NVvi3u zc|P~y8iR7d*)%t3B@z@pbNb|nf-vnut*K*pf{uoSpc9#oq;l?LuA;ALn&NZyDv|NJ zkSeaLXIg}a9t@ap;(rWUN%9mhL+uM7wr+^(qW07`AzO>^eQ+}Tf({Q_1g|e*t%(fK z8y?R8U_in@%6tu@U7>c_X*yeJu_w)NSlPj$C2R|_lk2KJ_WnZi_j}N&B7)Mo%A07u z*bo1_<&62`qcRY=N+IyV@wG@&2LKat&pqb89_qdYWV+~GRk}O?kq!RTtvcGzn zZeE^M-=-~xI+K# z*>7BKw2i>Wo7(?|9jjv$n}5AkZ*c9N)Z6oe*TQiHctJ2w(`-COdQZjbv@?%2ajFg~^6)w@~YSFQIm3H^FYm9Hx6*qldv zi_eeRIvKf--RSm>7xG z632|!l~R6ACxIY5d%C+4>GQ~tkntR78&vX(d;LD_3($Ls8+NF8e;idl|+A=cTt1WxaOSU3OHUOD0Q(;^JXx z!}r^U&_H4tr-x^m_U!HE8D;qtO)VLWtVIy;y$ZVVY|2^MgNb~P^EaX{`Vo*|myF4g z)*Vv<90P}j4;-OvNttbwbhGLU7E7Qk`n4-j6yU8+Ih5DLK&Vfgcooxmh1qL4}9`h4x zlUIym?sl*7PIU_e=WxWp^h0F_7Wi)zf$pa#(EiDj5 zSt@DCI1jwzc}<;`^+e8szX7-lspU$srOeBz&>CncSHsYMOg7FZhE_sGJ*eght+ZYe z2x2QlEZr$Q(ikVJxu)mmLhimeZdfxpZs7Usb!9 zOG-^Xv5|gZ)v4F42^}8s)Lmpxd`))*hhY`)hUu_UoqqDWP{DhrA>l}LgXoBA3)9@U zr%x>LqDBV8YRJuVq3+$#f#~@o8K@kr)}uIg0Op|bV)mI zboWtBZlHJAtK<4mGZ8IpTp{2`ML5Jmc6Qe#^m$5Iq?8pG2}OViMY%5Rq?+@1)T>;D z)($evG8i+6L88ojXcJmj-2s3gix)cNT$OX{qu)`RstJmGb;k)A&uqRsNW=xzQRr^Z zRmp#^$_obWjTV{_X45U8lPv&|UzXxQHa%*ryp~Exb)4`kB2v_->lN&eMOl=bT0lsB zt_YeO&?nT0IiMmb3l+(z=OLQW=b1i*vEAP>nj1B;D!gd%-3sVX7Sdfs|FKSx_^u)N zwS9m*lpyWPSTTrz$&34nAhU%8<;kJLG&z}b*n-p8rD{8A-d-hct zI^qm`QCioC=`X2EBsb&u?s;4pAG+>Yf|6&}NxnW&W>W&$l|&pw(wTHdx7pKfs(~^v zV7zXa&8(o|HK}6{5gRM_YY@GDO5&k2U;EMjII~jxE!gBIh4TRYYyjIw3?jJFi=)xI zvoD|zLWi6WI^;Iv10w#m1Yu2c%IPWv zwMDCXeZaHeQ(7*qS(l~K9luf!^&#Nv{Jqvb4Rpy50)QM@v>Ga;TmYRrS4}Td=BJSp zvGQXebl?6HyAb-@_7$b{#VNZXbuBVNAF$9c&Ov)#bS6C_;JL2Iu9Cw4s(69Z1W(v6 zyjWv=RUQ1Gq~$T|7E_E{T@F<@i#Q`tr53r13-4g6`Nw?Co39@nH(zPPd9U|~x<$Ll zz=x*{I@922wh`xYH3YP2NVs^pzqGp<{faw)L3qtdY4ql`r)cRdH%|+0kY+B$bPPZA|nic(}sqO zTSh6XKr8Hpp4|PNsq@hH+5@V(N3RlMcF8Bl(pnxt4nG2&RZc|ftOMN-TacsjHmDGj zyXu5B0I!-fWzshXw?x&_8Lpz?J%*n^)30{$~OyZyEpUwpF=a@ zc7It^_dlKemDUIQ}_9@-sgbaI;p2T z7h8SHTV_)R8QskLq@Z>nR$PkZmQYB0^^mp>lGOJ(Z(_KRsR95mr^DzLs^IDqT~|5h zFUNj)ardw?+()Rg@R(wlvCD3;K@J64yD(wa9;6EJwAi(ap@k1r#Aa|5M1dO&bI)`n zi{B!13Dcmc3GLOHUd~hTj_of4Nq0>be_5|IpASzN+*MHtb(fnQJ{7k^|92TR_fwXl~=1x5YUl2{AQEl{lQTI9K|H zlX^QIkx#@V|1oqwZ3fJqxSUiMWOs%$oFWB<*z(KBX(K!BOONJ5hq}D)L7q-wLKhl? zUO7`f#Iyw6<;r`DGBfJ;kr9x0Z05RPe(u)SIasbUI=XmWdMlOW zN>2A2Dn(%C1)&F&Jar3#mv);W>%weg^bv@Lcc((**ya6p5V3@kq8^WCNNNTvwF_`g zpd{nefWtC=HSJoD!=q4fp7Y&7l43Ab&-;MSPeC%+s;A1+IEu zzxyMaA1C&aMJh_+GNK{bKu9RM-oY?0&=Z&mnamty@Sho5II+hWeK3O4;~(mVKIA{z zR}>Z_^*jn*l2fZF`C663W*=jffg@90l4A;e-yiGp)8@z#k1f7Px1-1RIZHga zrA2a>%)&LzJ2cg)e$*;)cKjv z3k1s}Z~Umu(QGhrX@SeaA2M;ruy2gXTcK5sF~_Mixcb{RYmTP`;otu4*R~5`OP%o| zpO3sM6c?z3#L>&xMU@ORR6yDGd5>b)^nhVQxDxK-D=n&Kd3aK_H_5DJdt1C31-SMF`c!zA35y4|$F(cKr zeI1j2P!$9=WDH6vI_v)QnbF1INPVq+7Z-kQ!Su#maXSos_hmn`0RquA6>AX!JzP2L zaZDem&w#;u5ep-yTj6F-`1Mmh(#62!zd`1qQ_M{u{G|xIoKUsF>a+DM&+}7!sQbXQ zmJ%;e&VTRm}Vq$ zhDHs~A8q7pQb9LX1|;c97{F;RypkLJkf{RK+uQ6FOo`2%w-`t*^VoK|O&fCV6ZZT$ zVTd&xs+=3|5dw+*84m(Iwe^dCta{6RsQhDR5Vga2>oYh4D`J08SU)KPa8yA9DGxO) zEu?)g#IB#tg(>8I-4_Qie#a0F@#5;MnqeTba8BSYTnk)(v|tY0Cma^oD2IFE>UD(< znbKO}kCFb8$GexCHP|Ab8rj9Lsrg&`_zks{=Eq_$sT@_a?dsW~tEVN|>`*q$KUKcR zS-$Rn;^^kpkiE9v#73JRnVa|uieU!|9&#(+*nY;*h+hvihi~u3n{&RthE2wSZXEz~ zcGoMa&*QR~Jd_?Sw|#R3XXP8KZ~^P4)1 z9Qb|EQxwXRmD#JrS6Q=e8iTPvHFxaiMjHvw?{p6*!Q}08UfNai_Bg+nk&Y-1s7=s> zS1mcG`oif_VTKXmcb|Zwum2Q87m1vVa0a4}i@E8u(v^MKMBdeXuJP-LIsvi-NB>-LZ+fEnMe{89lqxx7 zuc33x3!+vs7afTTaKXd_?V{^_pMl_{dTIfrfC>S&hIj!dKj_YZNWcGN{pj8P3FUbr zZWK}oW0j_MdU*?ZsvqVI9Vgv_?Wh+m=J-R(U*E%&8-uv~ap^WMd>)j&pLAYqb4q}* zT|rGA;HKi8d$d9NTVDG3LBDv)=lWD(=h+ss{FBJ`(>Y+ADhQxv!v!03-An%kDHtUp zZ{hDo>6hKoBN-zMtoc%2fAQ#dZs;LGUku`OFT8X{3#=c{0-f8dX)f_%lyDs$Pz;+c|Jirbjd#E#wC#fp+VR!0RQ6c8gO_p8QxN#F$rK_ zQPqMl77X2oO{G=hNeUEhAfoAd8d`?(N@b5vwl3~XTPN>-Efj;z`|XMj6&e^N}qE-z27w z=t_h9ec#_3eqb4YeMyOdg!56?N1yKk${6{+PM*VX5ZRhe1IN`B zbO(CfvOw#9CYJybVsQ$bbmJ?^LQZDH#8)oBb#0bF+G$=Wyc`Js=e8a=Yc6JiEuMTG5u~$(z5+l7w*i721;>Iz%1pGEcKz_7Bmc7zD4UdS z%Vie71I>qlg&UL%z{yXFp)hgZc`z0e!FyR@SoP!~vYW~x%P(P+)Dym~@(d=fj}Y;} z@zQ*1rdT^+ckJpJrr$3q-h)%5e`ZeC8~xWgfm4d9?2R^rIofmE>LIHB_)36($^mGai3(33jv` zPCrokK*kBt+iD*OH2mw2{Ql%BIq&Jgs(vmlOb`)EzEv2P)^7iJ!sxiL%Z-rZfwy6c z_ekD9UV@-r_)`EQ1Y0Lhd`Z~!-+|-`sPY}DcVOe}m0~aJf&U-hUr#SS0{YUf3 zLaY~i`1FAo;NSQ6xsSZ~MSC@>UxxJ8Bcqs$z&OM07qI9wfeFSwc+y;1oYe@t1<4N- z+A62Z(uiS~%rW5V&-E35hD>V(kdjG9;i41&bEWChPyx>qREN~DeC{xFn>2?~+m3<` zyMXmT4;{M_8wN^`11Z%CCRK8rzj+!) zJH`vYGLWOd;TBAi{)VYt<_@;X@f~P(J_D4V+F}g!x*&1M)prHce_3mZx)_(YM8R8A z-(^!x_{W|iOY|o!fWMg@2nb9UisJtw(I86|ii45I)`BvfJ-BY)SHu7X74awM%0YIS zkU5b)8@U;XMgUT4rOFAnghdmfF9Ny)-swtci>9fBfKon^HH<~$X!avq_hehDq*t(V zacDzg?vmF%1GI?Mrk>9h){Br4 z-vm1hi6BFMnXekCnmhFKgL(oypMRHlwj@J+ZegfvMEQ@8O(cQtn?x6CGsI^8e5>(u zKH-8kw3GjIzy1BrJ3q}Nl(c zdNZ*w_y5(P^!;gw;?Sm^-Mc6w@$cIO_|}7Jd=GF20iO_cwgy<)9OzmEpneExiVYNs z!63pR&;`gFfGjoD0?HvMoGS*BoCNXixF8y2M7Tau0IRpuN;FX{cO<9$^=>RrznFZFVn<^E-k$+ogB@EP< zODEkw0#|g8Q6paa9@5W4F+m}+Hz)2!oaFHD-=ZS~B#?u z9#AQR1)BxK(6y(v+M%aCAM2dbp|S6WchvLgHm}E))9xY(wnH}M5KPX#02ew$WTlyI zr5R3#wDj1sxdLH88xUuhYRUHi=}-L=<^1|`iC%oon)V!GX)d#H-&z`@#;+H(=u8K# z`OFcav&cE)Mm@Ro$N{Na3{~<_hlZb2fVm>-$3P4wn;cW9MTa(FcK*W?ayFfOjR24mW8K2Xz5IjUltaTt0L*9?>0t)aQ0T7N$XYXq71I|YkR5j6! z4XrfiJF3sas0|fqV6AG%-Z5*u|3E?T`*mEz!eAY#SG08NeQ(K@eS z3eGb<)Ty@SNHqkao@QeN7YAQ8LFNN;DHD;Rln@e*^Z6j!eFT+IXAqX+0Q}PnaP3m7 zUZuK2cgYIjgkn~oCmDge1l_eTwD22n0eqL3)@MH`WUk9=hq{IxaN4pQP>g><&h_TN z!3sizDT8yWEl&N}4#aGCp>9N8eB5l|$n$4U#+iKb3fYP?bH;?-^ z!9$o+WKVU9T}gwGQ!tK+UW*Hi3{4mZ1Gjf=_QM=#u^_|be+0)zKV9JkwZ;3=_HXcN zC=W)3zuyYLlvfnY#2&&og-$5YsVt$KEN>{4sdCkih1A8DP#c3Ezs5*+{&^1xw6Ir0 z!>JHPjdGG$w$!LgY8JrMFyMQt?J-MR`;PAn{4X1JrG^w!q|_hhDh^GOeO!;wo7I2a zXz|lTS-$tsA??5nBRu^SPNEo?Nr2U)A>+r0?$kpHUcAZNMi5w!5WmOE1a&2t zF#U|d9^tJMKf5bn2xkJ!s+8Srrhop@Prrm2G0q9{> z#`w|jQ=19~5K2OlB+s7g1uT8=`AC1|38(iGVFEh=j3J?u%b#BZFGH|$g-z|r+QP7K zrAYXJ8(?t~{7~s9X~AD-tV$dVCX97W!izSzkV4XzrgHpZ_3yDH(ETn1 z@Ie?0buUo7(|_)MJMiwF}|ijoTKu`*61m%g5|D_pj+#(e;e@%wP+=MlnD zu6j0!3JuTqz#$+WZO^Yc%uF|Bb#d2d8p_tTJ%=f=elBQGsCe=2h+VnB@US9;I;0oR zqoC;|Ikp%05$luYV&*W7hu7?FvwLkfR2Ru;d{|%vJ2ckfj}$<3tYbw8-ioS57P|#7 z*%tQQ++N-KPtXJsNNB-PT^I3RhA^u@goozAMrBnd541_}be?ANY zy~-I9PSyiU^k2g?ehj_c`CS>!3z~nzBFu{hkfcMs0I!qP+OQTZ=0?uu|v`2vd(Bs~3Y4e|z!~1aOEl&!J;gP`;WZ@&Az-=y$s%S~)L9gi zhYZ%HJCJY?^D#)|#o?J590HTSh=OQq14d_~Y@2{X4IS7oon%J&*QtSt2n;RAp|!jrvLVQ7jDLsTSPJKe@%f8 z26M8UW|z=o*0EP+c@|Qm?Ua`6;KHtcXlbRYYRp|MgAh9|kSDcxFGK+vgViMwBp$<4!_hmgkdS!pbe)E+vPom2v+ zlvOoVN__Kr6R5kK1AdD1CxTQLxOduK3WtkH;R^pe_A2TKzd?Oi(fEMRV4xRATae{W z{oldOkKc5N1DRZhXKvhoBDC+HDNaLz@Dz!15yJsc5@y(al_&0-E09&;rK%h^y&FIe zktG?vkJs*;KKLKy&`F5IP6kl^uVVtAcv}%H$L(PcNa@2~`u~yTem^gvv9K5celAk_l* zL(~uH0v2)bwI`7Oo|KQ2&2njv7sN3|eit`TJc|7aq?X6hrBP!9k~n|%=0Io`+Ka#m zy~FpGiJBQPpg2&qv(mbIga)wnI`ONE?Dz}t7u<6Y5ehhZ9;ok-gaI_Vf~2h~5dlnQ zhC*<+p4&XR)VcgK0;BGZVD9g#^BB~71Au%uOzJ?R23-Ua@W>5xU!g=ni29rLD z)AVySAT_yj)*PCRC0-t^`yFs*z{Lg=+7;4x1ACm!M=3#QQ|CDp;ETFwD`cKuSf7$rDN&zW6H_EVrg~pid>2r2P6FBMf_w89yVB! za2~iV2X^V_m*0rq$B_(M|5f4ezy+GfKPQ9E00)I%?*R^^pMgs0tj*9l*#}TA7ez#` zWhYDSGhi0#*LeaXW?g@`)~g-@Ij{rZjo#NuG#r_7IJDYb4g{M#$VnkF-US2~qUVPt z`@8Bn>J~t}YU#R2?%fYoVKF;15ekjnzSi1+@IVJcjLIoVA7G}=K?>bfr1}9wyfxuQ z=76(@LSe3T`*gl;Q&pw77Gg5eYeq!5Vm4XywzMyoKN zz>xkVu8w~*U$R4H{=*8^*I&z$lhq4vSdf-dmt^ z7kJDJqFXy^iIt>U3(pb!3!?92<#xwfJRf)V*_eJH`Fi|)*B7jD( zTIE)iZ$m66@%F3J&i3fO#tsq7mD(6M2NQ4U{{hi{TGx*~CXz#WqJIR0Llb}_y; zhd)EA#kpvnFq{NhT%SPvPH64@1&{IISq($snU$uN73fw+Q8~w4a|pa>OVo1dO{w)D zzbx7Uq=8q9_qsgRoWsr$LH2Dc*u$cncmrrfqqoq9CgdXTsV~;T?bi3aT&2h>$iI?R zaKDx#$RuF$R_|(4%;yunNVSFnK@5VIvXA|_nRHx8?S<;Rn+*!n<9 zRz!$tW1Q~QFD-yyh7vh9WK=%h%XeT#X;wn0wqaUm82~GYs@RUzK3F|C6jKE*?>)dq z!{me~E~(5`<*8e<#tI-!e`y|?!17Yh>pQmb!FU0QY1yH|`8}p|C$NrL(Y{7u*hqm9 z3iKPQ8Q*%L<>oD5P+u5HcX}XrCZm-g<^eCW0XY|nEvw*K$@VJXEpmEp{xHoWZJOLX z$-^b|Q**jfGBi_4MCR$$3I%9gJ~QiK-QIh>V+!yfyvrINzbf9cVMMy3r2DUr)KvMm z0rpE-#3{k<(1L&L>@;xdoK1zeU=rI&-gv>0H7FUbg}>L~7)iXXW&%mH*|W~v7n9g` z6klJ0vJ;2UBf3dWb2A9rT{jly|L4gPUq*^4%WHg`e&PfqSy#()?%dm|=Ej0D%15*z z;Jc*|1g^dQ08MpXKKF;)6wS3Sx9x+;8>b1cQ#LgBNG*1AX4je@8m4udgP|yvW!qhV zSa=uc==3nHcsPi~Bse{CDye+N#}RYvosgiA;fG^c%A#M@fkBAJ`uu4$#q^NXeh%~= z>_AyR9!Q3JM)V7lVTV+?ieWO_cByJEMk#;2j5t1Ee&?VSuQ#lAIjGq<_Z^^QqY!N6 zTZYE$1HBV6Mjb%eK9MiKdzY;VWDky&>j7IEp3g@og1JWBh_)fqd5b7io1Ig``{h;O zC?=B7q22ta$Z_zEevOVpXa@?_P#6zOy(-;*%X}jfAYJo#`&YU*hd)3fN#^Vt%%%T) zXn$s%cGGo#<4gZ4;9*}a*C$yGe7tl=7!-CcX|wzhB4h5(jw1KE^u3POiOn;P`wCNy3bFQ<|6 z9gq3Jir)UgW#7*eQ{A~-8>8g61*ATIb$dPqR%uZ*U>A1ze_-?Acp~32>hO^NF;w3V zgdu5BiqMVJqCthZ-@Xd4ItV{7cZ;nS%JTFTRLlBL9lg=`hq&}JB5O9q00@=PD3Sj> z_^gQgMpQ^Z(CB_1x=x+rEFVhcuNPV_W?`6s>hfotyYlx6>_JojNVkK~7qAOObLQN1 za6S?Z;x%A@fl4B)aT|LRI${{;S?A}(=JK9OvfiY)4GjmtYXRjuu6@dv?F=|wu6l6- zwjcMz`nlWOjPW9CeG0fk*Waf8ptXa2dg?oAZ*d7J`Vx1{B=1q zlu4A)ojGh@?~V#yWAd_p?+222jziL*JATM}@&cU<_H~)?zMSv=mcVB9%49;JiQw*;5e@U^lBf4VVN^ zCAcI0sH3ICW>_fU_;C}u83}BLXmYCCgLk?gzb8E*6&R+ffArxYRl_Hb&su=&Ohr10 z$6$-by(`zxB>*d^UpMU2S+>R*{2GoR%K)>=?eP2%uIDC!^axlj4#`Ig_rW+){1S%S z%eN!17((*sJJ%Ng;f%VkwhizmQ7J&Q5d$dQ_VeK+2m-P4N~Hox1_ppU zWb1Vs^Na@S9->C5f9h*BlIUkiy?)s8#n)&VWd<{mYhQq=xGmyCw#uRy*9|c_%y)xH zORUmY$R5fVK=anJjUdA3pQ^!+L6}H3z~=`xgg*yOQMWJ9O_buZ1dw4I`q1X!ozY3Z z4tsFTrQg)jStv5?KkI-Tc3(!Qwb~7_LM2*CDH-BI2VGGRI2DV#hfl?z|KOpxZv|PQ z_Q!k=vgp&gSM|tgeVj($;F&)VlFLSUWN>`iB-Oc8sbc&*`QfNo?Ia3AI) ztBE+RoNx+Ep=R=k@1cvH6?j}V+$mb+sPRWfKE)8;Qo7xsK^Apr85~g0pj@LceY6Rt z*}KGHpaTI+KI0y2(mGk@jx|Cq%_rq}n|rA#rnUC2HQen5QZW4s8^jby#{P3}O%lc5 zTT4EwxDP1U4}<>mAIOgTz%av8gS)D!eFIA0TSmSgd2tsUy8^dAJb_;y<>Sso1V$;& z1x5;O+77>aJ!xJ)i7@7A_rJ-6>OLnvmX_ZE)ve_6OssxJ>$&;&DR&jOnM#*GOxZA1 zfbAz|LaSi#zn5zRVL5IXqO_gCwN=h@19IsB_95^Yb}pCM>3yJRa56GX99X+|0-7Qq zr*H;)N8T$o+)?oW9e1Py=oyf1n2c!$_m1x;)ozD4rVh}G?HS+f&$u}gq@IB%AI8Ai zNueS>ez>~+j-EsfHb&5$<<)RSxtbvT5juBiEZ_23N<>4NF43pf9^1dug@nJ@v>rI zSCT^7P+iTgv-#X(8$#TS5T0CqK|$@|EAH+);Epmvmi4W%IipR3=pJT9HF7FD9}!=- z-bOK*R*e&jxvpi^GKugr7>1p8I;suOY?@;n(uM%hPFEnl{C$z+ExiXZBGL7)XQaC^ zQcsPxM%2LUnT3a62FqcH*6asZU&XkyEw4Y5NVe^I8cOKYsBDjn>VJ4z#*ZUx3(!bt4VSG#V%%v}dn}?!6CRs?e)-^OT2@F)sm4k(RNb^ms9VwBoUI>{Y-ys)^clyEar1ARe>5T`zDl!* zfr)#o?PR7Aq->Dn%s3N{@a;er4(9;CP1eP@?atY;7k8ol%eQlU%@lip;m0d}f7~C> ztY`rKWhLVp94nJUq-ALR6(7X98N9{EFvr>a;mBQiXcc=@XZYGv7sxt5B|-J@#&pMp z6JwbJp*p7=okI)fXm5{)!7Pbq8k^NpAveJ00G2u@xJ!>oblE-~*f!*d?oD|y0T=$}Xg(Xg zU@$2ONi$MP+gxVj&0*(vmOv^*PEOAM1t}e@o}KynaJ6Au7A;Xtj-WrW^e5UcY|5Ef$(7>N{=p=(CMHe;;He0OxcRbB7MH zD8+}?RP1@4u`yU@C83y+bPbcHVL$awmS2PlSUM+_3;6Ky7QJP4#N9WBZ|?4{qHW_a zwx+GvS;;9`bK$fe@zUpDm?tayBG+&@$&~O2=>=#yARqH=c`xen_Rr<7cS0wzd#ogX z@2^|&YeSIRmBLzz1(M@M0-aQdGS1jvi_?P(RmrUD@;IK^}ArT`j-y$m$B3JoHjA zJA%1!L3UhB;z$xizw%sk;DB`M zVyEY3A7R^nwnSWQ?0w3Ga@lQvv&H~i#%&j=6E-dsKJQ6FU-!Iv`I2?gefg7gf_VtL zD|cS_qI2e@N2vr6x8F1b3B95%qkpC~DZBiJqY#)^4aH1LgDbQ+FvACDr*blc5+~Rx z<#}@njKWDcjD>(vFn)eAktXGXPC6&u8@?q#69~q6p1E(9%8qq4U|XH z4VPd}MtjnETgpk@dVq-`XG^vjgb#sMPlXeo)we-Es22zXB?iJ#b9E1bgIg0tt37M1 z#^L-Tk>XXQz?&GrP3f^u|{+m4M3pEPZ%H#0PY-9(dM$z%(x3 z?5uUW>Fj;p^1{vHw*|}4C2*fcbYQQi`T@wuzk2n`wYO(v7IO5}jYj)<<4t2mNw`EF zVWxo73CZjO&!-y)uQwL%j|gy*jEqt<-{C})%>zYud7_ggw;n(P!rrINg}p(t!qS{> z;Y%;f`!6)UBoe0+K~?IX5eZ>*^ZC6=V`*qOl^&>c!T)2|yu~YVmL7-O38#J`{Od=? zXni1jeD(UZTlWUgm(D}+4q9|u+k6~)Gi0nA#kB06In8{s$QgpwM#jTHlpX1N22#oa zXs&{!gzH+R-RD$%a14#{Fs=El%3pW%>Q7vYk%b%J61wW<#dF!|^01jp7dcw;xy28Ub#|KZ#~Z4B0nSwFAkqsyu8{*a&`%f6zI04zX4 zTMP=u@X0Nh5_@_|@#p9to({dh1tN=QZ`N2}+guemnO|qbHLi{{fRLlB{}1Wr z;{Ae(03B6B#QoN~7WCZ#7Qfx`)GPub;w(n1?yQeZ4*t)S8wXVMPeq)FTwDiQfo)}` z5;~OPjzb|tB-9z4VM!02fu&n`#J(5H!l9Z4WNH61aw5<=e3vP%SYv z^Z=5C!*B%45x1@6IcSMdU{0nHBD30c%?~J5>n>qIqjirKJ$nfs9n~P`y?wHA=6VU& zbL?id{)P5iE&g1)?c*sS!DYG=+6xad9~W?a(U$1czpU163hNo40t>LB%F=XA-y(fjfegSmsw51lZw^?E*2V zy);@<(Fc%UX%ekzlz`2U7Ry^2MuC@asyx86y9KuUQ+GilpWL2gFd5q?GA`|^V6z%v zRrCXYWb=+v_!lp5oeqk2^4Cxl1K@uM^V{Xq7Q#$&y8uGe&Pkm%#l$5G z9gbQ11nHdWO@^a#e6~Qkgkb~e3sRPtAGo2C`%ln9gsqESVta3)*mx3KDpDN4u8Edx z3k!>d^{dYv6Jbb`NJo#ZH`fG6+Vz69?H2uJ!6zenJ&P;4Wu(O+Dn0DV;*(m0ZI6tb zkB|U{58nLo@B|H-mmFMj{-^y&*jV0lkC$K`4t`D<^sZpvz< z^ICVsWFUCV0F6hUG8}ci+d_Ytm?VxF*dxps55$S za0yRoUxw`P-2~2&M_9t-G=dINo@6O{Q)Md|?<{WKutg4Xz1mqd6ArSK7v{IfzSN!O zzR!f5GZk#Rx^#?tInBh={ZFSLtu^&By|70rX; zGd=V>V24xsZSfhAke1#hzRnQa^!dW0nNqR>AHVspeKcPA;qY!T5p^bQ>kWlcbZ*ae zgq@`P{oD1l7&{y3=hbIO(Y^52fE&wgmE%faZ*L!7tsQQ!VDt&rQcw@K`^eoZ+fa3Q z4h+574%^{pl~K z362nj*)z`9S66HRVHk8E;yq%g$tr-a!0{-mowYM?=s^t>2dD)dD(ASTCjbBrkKFR_ zq7-y6+27ke(zrvsG@bSkdg88SnztMsQ|+|uN1AL4F47X+qsSe zM8J~yzB`k+QUhML(~E^i&%2!2cpuyOcyBN640QsXw>K9CXc)x2_BysshbLY@n8tU_ zh(o9R3xvx@gf|!v&d;pvjIputNz#aPR_ECvyLJ*T{U`-2xlDf{+eYK!JRpEWzh-a0 zzV_Ad$ddl2=Dj#BL1FYva?yE5&qbMUX@KN$)u~vE)ns=;TC89v4IPt~wXrR|TRY#D zfuTdW&R7)*j739AifHtY72da?5ee>q>&+q)dld@WllkSDt!V)Cgdg65F#<_KpWi66 zjZ0t(g$2cW>u%lg%*U=%>vqxFUCyQPTC+HhvpM!utxv#x$JwWrmLI}@FAVzK-KeAb z^!{smuJ*@@>hzNRR3c=BpVK>C|COhr69wSbQ{w*#8~)9M#948h9fu(hHF|e6Ix#UZ zHkP&S3{I1WVf$av9vX%x$u|IoXgt3e>I;F!#TG<7Oi5p0RsvncwKoY7z^c9qJ|1{x z?lb(Q|Btfoj^}!f+ec)J5Xwqsc101Ak{L>h?39L?kr`hN(K53_GD1dD84*g#u8btJ zLfH~hr04q7In}9ip5O2J=e+71r}*CY{T|o*x~}(2)MADra|NAm#XPE)@G89+9_e@> z;E|~{)D6Oe_L)O~Fchr|V(mb=hkWIm=x;39zwx#sJ)|g3d8OE01CJ?)=?QMpS^bs7d@Q4YT;#T+ z_bVP2fIMxUH|7p5F8Oz@eSJ&qW*ppEd+aK$ZrX!Drr2J1>p-0_{j$l^#UAa2r|*I{ zkRL@T>4);_7HNP)nZtTGEQZD;l|Dp03O(g;ygUI9=^4*fZ5^OUE_w6f+V&MDBT+&+ z(oq_ykNmXsCG0MaDSAi{%3-Bv_iqZO79w)?vfZgJzE6GSO+arO)y3uZt4O4;s3)8J z3#QKhC?~CsqA4?-CzDnF^XK~>Mgy$SDu-JQt(E^rImt{%;o`GjTl=iZ`d4Uxk70fY zvQKDgH_F<~JvX{x1C2>A!SpexnDD!Z1%5L2@tgb?h~X(rhu556#}JzCuswdmO|+PS zVdz1NT;E=_j*(PVY$-mzSC6xSX}Ypcey@Ap{<$2>@-oFoH)qnKEfk%5Nj6&7I$Unt z5Zn;TD%ZTX;Q^eH>^qBgxOyYZyhmv(=L4r%f^&j=c1&W2eVuG;`A5^lW&B!c{U#J= znRv1UjXolw9WS-lW;(7_x-);j*5gF>j&QvL&_A3dlfE9vxZ+rUExY;_uj~i&pFRj} zxmUdh5sO8O{U4vfw?~D7yuI*yK~8Cr1fkAfsEC+pms0af%yjL0%9NW|`&_klarQ|K zUSXYQEFAKzmHZRN9dfP*#l0i?y27hWqeR$bXIAr=`ZzybFMyIzX_-k=Qr-@7x zE&Z*SAam9FsSPs#R}%9>F`Ln~bEoTXTQwO$mhgD5j+D(Olhb-vR!ji-IZTx;=nyo?T|F%^J*slLs;Kc*R8l`+-`d{Y`Fhqyc6FJX8?eyEtH=`6> zl-)TjI(XImi0|r9`vRy`c#u3$jE*;Y67bvPZn|mKuFvaEs>wR~}%d33t|6?ukR)Ph1n?S)+q5*DSinB9#XxhL8KAR1+U3uB4fApU@5 z?&rU~TI``p_>xn?So}r5ahulYsFZ4Xsq?D`sQDc*Vz-VUoQN>-4z#A+K&#n!4nA_7 zcirnUJG%%q@ZBsI$!J|Jd`*d6v0ZTdDHoO%kWJZL;t+xll^HT281d=tGoW3zJxRaO z{1(#Z&eL5mErP?xrFBy151l!-{7dU)6@^RC5T43M$xM?+vQD(~(#-j+5M-fi3?FVs zA-iSo-@hN?Y_>ys839rdxCRrH!)>_<)-kpf*d7mdzyZ$EeN}XooaZRFHVT+~O5`8P z{j^MM=R*MzWBzoOoAg1s)o7+C33u(8B;x3MHy@1tdGwkU4GO;}gdY)sL<&IPFH4rZ{71b*1cD&X z0K5u7M#Otvh}eLF{m79ayz*i6hDoaAT1FCwgD@z3Q_iyJ`3Lmh;D0$xI|Ez|`|6W> z@%`-?f`5Tk^43{4k1ujPda($e{|>pU3vsuV1Uo_Ac_cGcyU5R7as?*?j@?Lz4NaX=#J;JFac2F+KP+>2V(E&_+t& zub0a0ZZVxvs_YFGNt79pj9=x(9~dmzZPgSoCK+@4*4_Obm~#5bc_fOXRs-y zf#~#%wRp%k*m>xkU`yBqi=&aI=W zF3X{XgrCOPFzL{_?EMi`AnL(B8!>2&*(1AKW1RkT_Mk{0Ye``vz3<_we4c z_YA63Auk+nqtn|_c}rA=+;`%W-x}%xO<6kJtwKD|z>n__jQAJ&JL!RCf0S?e=ke3bTLz$5)4MD`3hR5g*;rLOGL_ z1qBA}_;MxBJf%;2cxkOXy*DVZiSHi43d*Caca^s49+vF#!`^|je!iLEY#$U07^vjgB2P$+|)HCdGz|8lIlEWq-_&byr;qqxs5Q-4fSXSL$t1i6p<9-N{b zs>WI!U@Yc5Z$e&97)vyJWsD*e=u#tM$c!}K$XXPbVz+qftbnt0u#v$G&ySBbXH2(^Oy1d*4>SDM?^-Z^2|BJdV4%lzF6fpmdpVD)3secV4G z`yg)AR~n5sZS{Yi7i#z+ig4c!dG)!#)`Ru)f1i6mHEZvBp)im7cAH-=E56z$0F~(u z$8bJ?OQ{!Tif%4p6dWEyQnbK6E4WO+J$V!?_9D;s$xFUaDTLmb5R z@*bmW&+vt6r|B}^x&k2JT5FqOv(AojF8IQQOVaDs`M|%a6C8syrYKgQcTcYlaatb1 zirn4M=({h0SL3DgD~345+X&m~;Reqf*h{a`)=3tsagfxi=@%YPFEw-SD$lP=dC5Ag$hUphy>Ei!^EQPaDW}E_=W?3Xf zplx1l@wFb^`n4 zWyUWDyc1Y!ct3!z*!ojP*xU{zrJlDRPth8ScBd>fS$}skLM%_)VbY=`0^Zi%PwLv9Q6YX^!xQ zj~rWwv>_kA6BW4idA$nwUbb3?-%VBxpcvxx z$?40Jsqc^y8vsS)h||8$+ji}0!83PyF$(;L!A4?*4D_FOZA&rgcuMF-5)`$D9JI=$ z4;K~Mw+j@{-s?W9#n)5lWcg(v{M{yH3nT5U?)hRmCx;u{O1q%?HI4ohaQLjwc=K?04wM_w_>W+lA<5KVFyo=$wnA}d8u6& zFcyx8iOHauur%_Nh#Jf;K@F*ok7eDSe6WZEc@`h2dhWdYA~{Q$CP(r)31C|nsh@Qn z0}#?>bozh-eNaK=>Z~C@#j?qHm&(L1w|S3j$Sq%_OB~$iIhn&VPpJ9IrJgDoLBAS6 zBJyWN1{ckbgW?Y&#)SeZTUPy7QFJO5B@t~+<)hSJUorkHdd={C#jfKJhd{Cvp;S!H z_thWjpBg=M|HmfHH#6o!EmN3vNEB{ zMQQvcFkE;_$0+ILqY4<}^lu4l*cYo8MIf-p5hVuJ zXuo#yQXF*uD4jV9!@uH_aowhZ(oLtw1kTw~jE%J4y6pGBxOmGl=KgnV62?#c_1#3x zit=93qF|@0j0vTe8Qyze>`2&_C)8KenJ9_qNy$xx7Inu-ho4U?k1dF{?%uxizr*Ce z`~=^z>F6tuuBE5f1y*P6cmXv>S|&bemOeJ-ef+w*my(0e&jrV+KLc?n!6Z*;6)jwp8$sBkxS z`3h_+(~ZGw7>NFjAldA2-WFMxgE#h{GkE;M4w&D8n3!3jW>6X3K3}-fiy2J6J?dY> zea)CGp{Hb%-8D$x2af}Yd&85}%4^W{w1^bvh}Wb^rC;zXEZeGmZ5*y4D`p4_2%IWc z;2?ESH?WXveEP&>@3$M5Xwl}j;j7>6@UTp#)5LEM*X*wL0nqSDgPGtM#QLS3#6(*I+VInwO(l{un zf2QG*c(mSr&Tj=y5G^h3f=+4fEen54Ze%tjaC_X(CYsNbBg}=Ldg=dqPe+gtcg-1` z`_W%84-v0zdR6uOKi<->r+Q))D%2Bi8Z-X!^Ql(TMM|2^LB9tvsxgW29eIFxXb>Go6;agiE4 zb?7paxt!=tf#CtOXS_T$-qR!VD4R^dfyl=Yc3ypkCKO*#EDG$1f|p zBXRVg9VZWuG9qDzeoX1Rv%*BSbEmxPF)pQVysQ2ov8eaa`I@j*xN7Ed)(-2nK33cOGS5W92Nsb8&$?(XzvwB78WaRIYrO$7*>dvHl82RQax|TjfH1OEVbhZ< zoA?yIXS}5th&L&$FFhBg&sZ4**+8nZ5x#&5TDUA6}nDnX2Fya;R8{!1(v5ETU zi<|(u7}8}W{ogN=rj(c#Iod>AZnxjk;p9X=ugl5u>k;G6Jw-{kOkx&+UT5enkQ!b@ zKme#12S$~AUX(|Vwc)l6ZjQ&7RO~;Y@(?X}A%@GFwx^)epK)U7WW0$ZM^|2)B_|Or zQR(85p_q;SV2JGTUM;$DgwBnal;e53OMcX-L07+rC}o~N9BxQ$khRL7)bl+${%W2& z#rqd!6%S_%ah)~m;Wqu`zEN0sTmEfMrj`D)XewnGnwLzxTe7{}RN#->T9H8Vy=tA6 z?^JY-q1<J3Q;(?~fKc3Q$ z$B6L3vbuNbayX5VRpD|WtaQ;qT?)C z`szwk?r}H?RO~-nxV_$a679iG`VFJ}PUck0Y*ZwZT4Jl#ncCKWNMZUH6nU!s{?S)&$ zLS?NnL!z%9+5&u5Q5bwPo}>&&;2Sa5W+UI3_Q6-feaTg$;gG6)xDOL#+s{mseOA`d z2lGJ}ae0!c{F$**dd)r#V$Pq2qD%4MD%zri$OnGpH$i$t1LXeFuKTlq)$2PaRrnckxM+8O{hRpuUHNKJ$%JmC?aBF?xvLgu0TqO3gpw4YNmgN{AJA5=mXG~ z%uNq}yaE$9)EhXUh?aUN(X$~`0&`RMN-tPmb5nz>Xp^>&x4-5AEItFvBO=@*bIm7| zD2R#G7_1T!s*-tRPLz^eM(wpi&36?mZqC3J0q`E$?k>V4(eCP3ZNY$|yRNYxKv8X# zZMn-DgbqTy9`X2|&UHVOFc<~g9qzP{qAeTk2xt%8IAgPKAH(Clw`?z1pSM+2Q&Zc; zR$kTGB7mXvKjVihWg_aza^jryLRPlY|sI-{2k z=l1Hl>khI-cxNa4>`+TYKP*9;v^H(MxQ7e69Z=x5lL@s=bQZg!yyJ~&2Zu=>yqcjE zaD}!w4h9BjG?=OyhiA(Q_gx{;=L@J*T=zF&oY|Ef1p$;Vp2( z`U5TjA?=bmPo@!oKlURoy8qV&mxQAd9}mqD1AFi3>(&t!BE5JN>7C7G)|HE=JMnjB zGd;a=sod?0)t@1ej2teKKIL#^c;R=w&t?xntD%$-diuTfOi(%gvMal<_&S=l$$i#3 ziT%aSuhcxcUe>zvUcWwe|MeYmQ;7(r0gwSWH~E!v@WUUGH}-w_^c`C+X|H#-sFz^o zb*@`2LyHKKp9ORRD5%^T*G+rh)LU|OX(dJSsAkP$lML>BgV=Nv0&F?QeN<&u!i7I( zF%C0nmDSwRe3Z|V{x$BegMXoKR=mdZE0`tU=l!2i^6JXo$dhwGn&>Zht=0MM=GM_a zeDvsfqbE2S`obl6E`-G>#@dL7<=}5hHxsgk{;_F5jk8U`tuHNb_`qS<(vbGFkJ);S zb{2oY&hmgO2k~0_hED(+UmZyB(}1v|ug?goxeY3TNt1l@YSXKND$lj79L6_?H9g>6 zHJ9AY;|oZ*N3^J!=l+4P3%H-J2A(OklXH6eW;p;sgia$+vHTgfH|i`e&3TT zJrlFq6+n^PA3Wd>q}tlCxuw3Icd>?&ObHP%4cEz>sdr{mnPmuucI$hXD5r~*Fqs6> zxaO4otUJFVaj}ROM^6_@>Nr7tTI^q_Jl_xVR4PfZwKit7hH{O``Fd+{TT5mzd$;xpcX8dyT31oS zYv%=1{rbW*`g(Bim*rZKdN_{>wXOb?TQ5^TMFRQoY2FjC>MA)CNa)a!I1NR@Ie-0* zzkf2w@1G_O%UUkw_IYSubNiO(Q9SNHyd{C;19CADQf`ze$GKt{saS?e14Q1PkBy6; zA31jbKV}4F=cIx=MqNE!=rRiV-w2tjtE(Gj?(9d;Vu#8TdG^7;+@~P(RQi+Z%Ni&< z^Fv4Hdek(hD)8E5!blyR&8-iKs=xTmqv7t^-VbpX1M#_oA50(^)qBF8f0DE9rQ(#8 zpz2$Hj|e&DYv+$T8IP%KB)Gfy%2Nap@qs?sF}{Bs$lnK#AGty|K%Pr_txu}-?>~Ph z4Zw(XHJ%BZ{4E^aX9q4jK@HU>{M_MY>gv#uC*f5c4yUSC!-TbrD1WgOyT&v2l6srI zj|sR2s2@kWO7=V}A2}n)D7_>3l)$6RAtb+WpRUZO?;SAE-01eM*LYu%a+rIqK9wsNK;6HSknFzub7mB5(52yYpFV{do!zQio5$ zJmrr@Ek-mnF;S1nkyUw+4sG`;{*XP{$i@HzuE&J$Z=Mk)|A^59gJBP7zUH#YR9V^O z#?Mg+p&qI!AFzD^{zgGO@8oinWA^s;;0Fn@dZ1he47;+pSkg0fT{_#%b8gmo)dIsu z6*Wu}&!CK4EUQTGtVr**ko1&m&%p&n*XH{A+-7!JqDWDD%RC&vdSQc<;+vuR9zNH# z_w-d}bw$#)5FfujMZrkNq*3aX&*`R=Cxi&Z2;@LYJGV!Ffv^F+c!e8_7S3P%^C$h8 zIe+`rtRMk=C34+pX=#BPjAw&$Mar0<(S1Bq6edGEvQ!siuDm;cS4X&`6UMtubd)Y` ze2=xYwNV(xB(v6BR)Uu$!MS32vx`_`f$Cp@U9s%=>tK<-Y-b7CPNrw3+#n$wDzaO~ z@D3RcGk?hqvR}j!K-!csUOPy#-julb+esxH72-Ir$0_?~_$L)p^!3WOMRenHP^1W_ zH(i#)_90B@5@G{*;9FSU7$2BrcqyQM_Tl5l8|7~f9IKX$0`lsE2?mCR2Ak5K+l{VR z@2Gjv$%~O|&DQWJ!lwq;C5&zF)tKOoC?FqLg{iN7LRjqGQ)%6jb+wTqzELf4)6Cw3 zW(l8$>m?Nx_llh7+)mDVgI)2A@!MU`;)^w`za3M`=9BF8)RuXDTpV~h6Pkc=c#pFD zob5BOrdT;I^Tc4KZQ`Fl*nggsDj6mE-U-AvUu-Bq>pOF(2;&=XHoh3EVpl&5g7C46 z0mhjpxJ}wjV^3?#Bn>bKXb2ZNw^u?)NS&v5mw4>+1nv0l+9W-pKqo>8T**nl*bHBD z;?~(yVrgk@MK9^JBDPny*OqUwpyR#Y61-POI-dI1}64aBsM;YI%&G| zm1h?_BT-Dz(+LU+;_-_L9|N`8L}@4GhTViyB36$$qgIvYes)KTUq{XRW;PJz@RM`c zFM7w{^HZ?iq-Sja=qK*bKVy{K#VVpVdzgQd`-<<+g`ff?+rmy%} z{>+itol2r{R9l;u(D9rl1%E3o;&=S)kyTM09PjA)dPPr`_4+U0z04jA<_5f*R{RkF zetQhR{FZrLKv7WQdkktfL7bm+gc?IzObVpR=}gT3g77q`26f;PxH9F zxk(t;pzz+UuXC{t*1j!(GT@0MWs?7J;kkQ?W|#nE?jDkRqBR{U+(~kFLhnz>i7cDB zr3eiCmCBId1No7M=g1n4x*xmm?ACCT0eaX!PvI$B=(x+Mm{axroZxMkot+9LWgo@0 z&k~7`kI!bxYs1YB0m0{1d4pRDXt=Ra)c0h7#6#={;(8Ix5T-HRq#+vw*nNg^g>Y~= zq3h5R;3lzb=MwnqB{VuK^tkDrM6w+`MYVn#Z(xG?n`wCA7hg_q95T(jSTazgSkmkG zQS4&`Y2aovm-*Y-xDD}*kt4EkZ-z!r+|#df{6U{4Bfm@n_vVw@Qtn@_)PpTJlUGN% z+v$J#m%p6ZFNx>phtT_#mhf)?*Az6)E7z)PB18xgIoC*A9wsN6-KX$F`T~YoD04Sh z>I)3<;f)fTVI?s!E#7#KmEZ_wUDpE@Fd}7yYBq`7kZBY#zcv``yBE9YW z3-!Ab#``ld3~ta*2@L&ZG%=pw7)|%9#=MAHqQWXm zWHM$MbN*%|i_@uti=F|5{Bf^0vrNn_RX3P9yZIljP=EoOs7ZdR!^@cq2A%W+KV#Q# zxF&wPo4E)QL5%Pu*Wb3&vqi@f7q&nTUhFPRh+#gla>c@mG{DqrPIbDJ`+xPT6lA)l z`vxj#4ciHwzr6rz(aPM-ii~7)C*6~mlWQ$Y7~BT>+8W6{9Lq!vRC%l#_AYg%9xi&f z{{|dOoD8m|$IhC*T{u$t$xe7q{@+<(mL%@Z&%3um6eUV``$(&HlndM1==l~jIXfv| zTnAh*iFPillH!(LYT`*lha#z{8pm3JUa$~|9l1Ci=sp!jiw2zt!W`PV_1&J8Q;FNh zWr!zX6P5;`L^1s#l+5lAPOM0YC6!m4dz{zvnv1_!1YYfxpRbXr65c3s1arpxGEYN8kaYoy<3R7S&PZh zeN7M=Bx1PH{z$SuGwNLE31nkq%$*z%5sZO#O(D z{M*}pHKkkH)8Q;Z@h^|Hgw)p?HHv&NHRaYG>VLpg-oAfE25d<(+%O;%+ch-_q>()$ z`f)8B_Ax0oLZ^%tt3cq9e5e5bgpqZrvF+nXs?6v$7U4r4;DHev5CK}~ZQJFugx8@I z>lO0JfCv^rP3qku6MCPeM3aN}oCI9GTNO;9W;up_2NREBN^0?KYso#}+|t=#aBzCN z>&hox%ofph7qf2BTRaH}?54%Ld8$wrmwwh`F8NTJLT;m!=(d}h?0mk<6qt1hj+2meH(EE z3JQ~mG#Z-ytv2wW;^X@Yh5#2+TvXJtFQ47D_Be13hL8|~Yt(8G=#CGXjm1Y(KF2Hx zJlgD0OHedA$n^>n2BpB-%4Buc$%5SM9_Hk+@`W6DHu_8vUvh@G!DPgo-d+3bGRf<# zws&Iioc20sv#hxSQ6lG9<^Zz)7tiHMYje${T;4X09)6Ph{+iqCmy$w1gT~*#B3&g@ zC}JdHQIONu{m6r ztjZInSrMcX=SOv2N+zmqB3p{3DTFP=H>e+1U=}XuKlx;9x;L_Dh--$tWwED?4N=!;X*;4{+LgSD-dS zhxW#NW^~PgTFpnUUPd{4r$Gk~4!-$L`ZdhycEl2cWp;0ux8>FpW58h5mw zO#M=$B((0cui@^L{!VbhD8DX%FOo$)iFkKRiotn|8{Z44q6EF1#dszv>o|zLpj-VT zAbh)t%~be4Ckd?M>-w)?_(5mC&-?4urj-gsTlzI+^--7ZBIZ!v5Xv@7cE*T^2zJ^c z!f+PLE>_3s7(wRPLLQKP;Z)TckHq}vhO6EH7~d4EfDtNTR6PH+4dr2%T#Iz{nO?#s zBWiDjd%W*{sixBn0_T)I7)hCcqH*qxY=hez(2hbE+>MdHD7LZ2E9CA`bht%& z2c}~WRsB6;BJ8(ScMyKUhMa>$ttqnub@N|=^Ly4IRZ&TJmxNWd#_;}o1DH|QK=p14Mg|k#^39# zUEyMR2%pB8C49Ltec;DnW2FJC^*m(5_u(k0#*U4=1kB`9`;``bwLxHnXOXr@|!y! z{ZMC{m5GWe^kQfQ1@FJdt68`4C}Tu(CJ5p~o%eHdC=8dzo^yM3yLxA#qdB-!kTzoq z2-9f#dawRLQLxKO!RoXYpXOts4+sum|E-AeU81!tWyPcNaJ>Cf zw@0%hAcHw!ee?g8sD6!*#D%4hFvvERtz^lGBAE)C!3D4KuucVHf%}8{3$f_q;Cr9m zp57U%1WIE~?nX?70Rx@Vo^j~tMsRO%UT?T_C!4Ixo*4{$*~k^Q6xrpvo=a)m-Zu6* zU8$bZZK?5iSII}fCjmY00dR0KU3Yc8V-2(U-)k7vJWBia!UJw|+wyGpojxi={SoF5 z;Hfx7XzA-iSQXi#usddjEAc&-!uh7y6__7;gS18I28^b47wwm=nph(mT^kbTZD0B8sL zcTd-C|Ktz;OH%%E5CNfVPqiwJPxjRy7@HpXC~=u&8vbDhx2{<`Uq{EH3)iOV(W4{s zH+LBWpCZHt(~&K-MTUlk>^-pI zY~wuR#(!&C@`8{$B)=oTF`@>knqjlEp6+LAYHDH^*TY5)V#nCs?esvJ9ebF@wr!P+ z`lQCg!O;fSbFb`i>^Q}~l9$Qq^!h%gScrgvLCJxg;2;n{fItR>IeXx$ZCpy^F?X4mT+-P_(YIPPsMVs@^S&|D!cg=oI#Y3 zFhKhnq%@TmCfboggr%aA4_{IB6T{GTP6$4^X2opeL)UTRh?aOL2F-ltqKpgN*!)X6pj6XDHT;)h!XY}&focmt+7Qp1`COK< zK4Ev&MhiU@K*=HFr4L6nO(i}gC?euO^brTHDhdpYI=qUXk%- zodNn@j7hSZi_Pv3od_#eZww(U0{=Mhq;_NH8D4KqmPZuH6lYe8(|`p1O0=4Lw)a+b zfECDE%&$NlyEwth|G>ktY-easZLN;HK>UfW?-(*a1#zDPs1wZp4?)F=@+_NJa50@ojKO8FNH2t7iZI1h3|kfwCb$7DnW6p~At9k=5@v(If5ZUg z6XIC5JBmhF254{w>u=oT6b9HAupqy12-^x3I zt*X;sY)>)gUH<>S=_JxcQffw&=_JD{^YNMW_OIJWV2}?^U0LsBksxzxpJR7vY+T%t z7afqAet|6jSD+V%GwRs!<=$GDFld89r|qyD5)gdqhqf-37yu3h%gES9=gBK^fz}fI%a^h+o0021>;2rJ@%H2s zz5B#mi`f(EPwMV?mKKP|8VNE zDoj5ZJ+vG@ETDB|tfW}x+*i$#aFZgQU7(rpe8|3ljBg7yJBkpS+JESUo(B?reBWIJh6a0?t?Gvzyv>)**cRw zfG;MYk@#XU1euA`)QQWR|`dV6EsEK(Np$d0dnD=_TG<_5dLd8p-?+XAc z07k-y^+a+`=P8KwpNLZEX2a(zF;&OF%{W~z0ada%MaEhXxogAT{pxJs+l?d~DZV*GvDk%{W zk&g0da||fJaAm*0^FZdM)8H*dh_Hf4VY*T}D-_M)=t3+%3WMp_h( z3YiR*6x-}UDxB!H!RYHK`u@O2|)C z-AH5f1T7-y;>EG1v^sF^*eYx8N$GvBb>0)QX|cyrt5;tKL=Eol&hyiFfCPyR$lzJd zTi0<*?}78C?u7VNxGRpKYFB0c5MtgX-(?yhyhh_)kkLT$1guibJx1(K_=ssbUqi=r z_q#BO|Kn%LyM`x~<7E~D%*b;kKRr3t@a$O^FYgeSn%@Ar*+XJWG>e$gWauuhmRv>Q z&^y+*pj|6Hj*TX*Zomsai2Gqaj3UE#__^ftI)*m6mWD68>I4$5SS@PL*&hqm=@*c} z-4`Fn{QE!+yo??w-uJr@HqtTlR<%Q;%{P*sKIoXNe70BxSfFp<9`l_A8W4nT`n!k9 zkMFu7K{c|3uJ$J+@^2^e+rNt^Y@>Ie;!js=D>zlw>H-rA$yb5Mg~o>OPxiHeEPKJ?smbQ^uy zdgp0LxCXD+)-GbJBjD{jijN{+0?#EDA9fM=YAbomXVYHv?{eG%%F15=vdA%fQ0|4` z%OxwudXtN|6G3D8x3-#6&0IBHP!CL^M4Ce#ET5u;!4!Nu7h%wIbO1g_*24TIm++jf zzsQ6iiry6!a|*C?Bx;J-!*&YYt9tk_!-m3Sh5We#iXo0&w+@C_I*eo85uWsNrla4e zw@H+FnjCD$T&YJ}v!ZR!>A~+cEv} zTbcvp#Vd9n{OrJXIpdr(O6@2%}En_aw7wi zKnXJGBxO4~i#sQ?XEiJE2nD$c72zs0hJ|l!AWfTKjZNRD=p*-_1X;cvWqzons9@@j29jePAF+r$)kX6En$#dCeb z!dbEp%S!#D9$*gmp)I26JbsMp$FuuA1O^zeJT3o1EFA+Oa4YjiJ4A4L4yl&d3RZok ztE{&BbocI!vvvZ`D0}|Bl>EhMrJrt;#nrl|^bLsbuwIjmLIjKMsGz+lF{quO+ zjVu2;w^j8d&gPqS4j2ZUwhe#vA)s|61%^LPsok=(RhB%L@p4r@bcK~?EE^M*=YX;M zu}7T(Fu9_!Igff1Ri5Tskr!kh8~x@zKe;~T-nAgQ@IJ(U)@ieuETc(5gy4C2w;4Qq zkp;v@;=}E7xlSwcXQ4r)UZRa|>rqkU^F2mKfY9(3`s1Ov5D%qz%cE2OcqsN%f}aW; zdPY`Qmt>7#$o5|i;8h6&Vgc`^)q^AV!C6GEM=9YyNO_spm4I-kvR&&O-Q3(T2hzPl zx?2fU@%p0F8Msyl%63x`_B)E}U-`5ZTW2A3!N}T(r2sWGxVPG>-rk=9akGV?m@;hf z-XUc2hS3ycc`aq}O5PUoa9u!94MQ=AdxGe9(5{eCuKQr3GZVqGYTN8#6_*Q_ro^){^K30qR8Ya&Wu7`lW7RB(*j zcRmVH1T*szXA|OMe`pr>>}3|HSeg7A_N$;`Fhq(_dLdJaA}33Ofe?s#kQm8R{$dtG`_*Yo3JT z1dQD_2v^msAk{VnPl;}mVj+B~0cyDIJ>0FG(|n1_hB*HFCbB|*76&JY;=q|LW)V?u zGp|^2IW#oMQA*3cRa{#!A>+)1hQkKQD8d;h^bnX3aF>)PdVxeoyIEx~RHMKw2hG2Z z?d4(`%Drl*aLz4P_^R<5ScMUsm?vfnQhh8GL*g-hPJv;Q>u2G9RtgnoU|0bOj_iep zo~f;U!Ha%ALBMRiZs`=&=^(>(q9eH{C-1*KqDK4gRd^mD!Fs{1ee7Qt1piXSeY=bl zf^fQ$Zpk$_bxD)L(lfksZ1;q7?pfRPP$nUs0zfY0{IS6&wf7K| zV3cMzdI*X5)XC{pkQKDtFQDwq%*;G1qoszpeN3IiVHEDIs^?T07`|*iOoLYeD6DmA zI(fK&$xguxQ+ABNkYI;6k1Sdh3Uy)v>o(z4#UuR-^T=$MH^>eeHlD(38=Nu$T^g#Q zcU$+a6<%H2%TW$1oX^vk z$R^+4eoN+0oLo9}b=-S`7cJ))G9bJp4Qqdgz$NM5As%v~pMxH~9tzmuLT{{`xegf% z-#8}*$tl~a9jIrUIN12$t(jNCR7-s&iGQi)UmG-@xdM7%zcX_k zgq2O7`XbQi-HP@sMAdp4bMWbr_U7hXr0U_WprPG2Z{GZ7?+E?PSIBb4_8}d%X6#ty zai=WuZC$i}c-8O}KdnEaF^DOfhA3A&A`%~}DLIBZ@IlcGSaEJst)K*VBQ-+4Oa2N{ zPvPNaTSziczq~&Xi0tV-a`Q3lepB0nl_>6~h!@xv1~Ak`h=h0-p;;n0zIeUACh%|d z68{6L>iayeM94})R5j^m!#A5wnjxk=I8nl;d6heWQeWGCnODX4MM_}c{mF{K zC7b3Ab{=->C}@y*!E1m!4+q7bh3CzT%b1nfZ|(9WNgv`%tlK|$ygeyU? z8S5z2=NUAfLy1zCQ*cp;yaUi;VyAZc+D;6R(6oD^e@w7yiy;ORj@l30itjzV^E_`q zEKZ4Xg_tt0sJ$pov6Pkd*8ZAgnu)aQXw6&{2d6@#qJ+7cFj_8yFfUs z6!+NYitGz8-UpaUGF<6&Qk?%_9elL26sSL$j-l-_BNtp1Xv_LoM(dSiTQ_EhmWk_o zjdNchB@*s1DJd!Jvd?dvUcAvUON3@f^L=*5~yqmwZfn{{TufapSzC60!uBWz6o8*<7r%I+h09zc&mPgfiDo zarsZ%Ul_&E7Om=2z4d>%9Td{`c+`WN8M3Ox;B zTF|C-7<|!@u@cW{~oz&%c9i0+F5^kZX4DN&aX_ur(9%Mm>O z2%Wl+Mg>?c;B(3~>|Q#9@mj6B>Zb0vFhzX{Y@7(1zW@n!Z-l-ICW(Q9@4rGi+IM4T zuFXue-m;~3bTU6t!oOOo5elr*tTKlyM;=H1RcTcw$K&D=$3OPaw7;B?H zNHi(%4nOVk!>Vw?U_HZNrM|~zy~8GN{6OXerDX%`c%TgOe0lQW_{%$XSg@W?ngcY* zt?b>B!rRv*=zld)C0MC>rLk&#WYy=>n~PC=o&K%(>OD=UOjT2eLhL(;teQ$|{N6ez z>=?rf%RfrV|4i(d=7Qx6OG^`%Y7`}P&E{w-PjxDaOV>PtcWy(hwX3heG;Ublx5I^FCh3KC@j!Unn6%iEF z3~yKRnk*{7ME<&9{H4pWR2of<&r1x3Y$U^oi)z1+nEcCwrF|5l zg;N_FOr$OmvvRj4;5sv+ROLBW0OuLY-#Ocyc)jPyz%WOv9GA4my*rLwdwCD{=0>^v z{%NbJsq&7bf3+_UeUVb3zthFJE(wH>0w;rh%l4?Hre3{oD}!)qn5p=-i!VuO4R$*p zCbvP0VbpkE=BNzxHyRxDMcK~>`Rh)ckYKCLf;<>NwLKbOeu6LVv?^e}QbbOL(EY%HBmYhmDs;67g%TlGN3u{0c?=D<4jZ?lbB9xUVsM ztLd`Lej)wq*g}*rpT;I_VYaZXPe`B6V`u`ke|U@^W?cwimqDxqT%15L)lTI|B{ZsnALiu?`Wi*lycTgln zmkNUJHIe%`h3f_Z`o-xug%@nFe5B*TSf@NxklI0fo4NjNyIt(YFuusQZ5Z0iULB6u zSF3xGZ&U83j86G^>qk0q)eWKH*=CM17lVTl;0SzV-Up@Xm+p0|h#fUryQQer92=u) zHM6iAmKeasHLzQQ-HV;j7W-+V>$}c6He3&1w+)Mi;yd?^?l|M3=pXhze0R96iQ}cn zt+bQlP$BnoM@8?y(Dvln$c8D@=|bhVY-l^8mSz~HH)`-5UuRW8rhiaKj4btPX{z;A zwbOn1{37S)t7@t?=R5g?xpk9r@~=F>F0MCIoUy9RkC7UuH8rLpw^4KQZ6?PWIj86L{m)t^*JQ2tA)FPw zfCG*=HM|5sCQ(D0W__q*SuykMD8JqgjSKvaAMSH(^$mpUXDH#mtjVV$%*RH!RliIO z6*1_VqEq?!^1MJy37|GcNrVeJVav zgrD@m19rdw6{-wkx4#S9;% ztm-fCg}1;FB1U}V`*r{yK4+NZSwq$!MC}2hmE?x?9g%&sC6hg;Fe!WA%wVEA#hQKP zF5~O8C;C47Ur45Bti(FV* zL9>{R9=V;G+*5O3;k>M+AEJr6a8dm<{1M^j_&8j4WyPD9fp$G*_eQO#4!A9zR#SC3 zt@*%BU*BGOu}fPj!nI3I#3pAC@|AlR03@AyS0DRNuSz0_8JiHuh>Bu8X!76YLhoS; zw1ItfH=(fFVV7_nxlepY@fa*p2l^E*mW_dYvOcGN`xyV1-?9iyU5MICxKnE>o zk*f1W29o!kb*ArrYFg@fRLe~2>tfw(z=6a9@F>a4gbnt6{%f>RwELeVnh&Iuk7F9< z)O_s%0hKNgo^uoA$x$JP5(G3%k0jAtkTYNI5Fr7HCMU4jA8 zKLv-4$bIQ6PrPuz$a1Ld2H!(knRP!(!@s}%Q&|8ME2pdTEon}rk^JUksqWU*^@jK?tqwm6cjV&h3Q(q1zZ2pQ)_^wrg`n3@8;Zju2r&I3|CUt`eK3&$R z^02nr;O0K{8$M5+X8m83jBgM-OF8mJddCSGQ3XwAw#_G!_ES}zvLaKD_Wc;#txR`-g)K7`s7%x$Mc56#4sI}T5lBebdTeG_yACX zn)5+ zP5x*H;8gP5XLXd{3waX?AdbWaMCfv&^3Klz+5C!0z(s0O5i2^8PU*E`0$4B3pVe$;^7)@eF7!H5V^ zPFI=3y~tS?rQV;q3m=o_pKGEgkh*g7SWq*6-NR zU>1567Xz8Q6xqtHUvJDJ!eA0#ld0Rck1*nD$zT}>!j$HCjO3eVy0imM*tSJf1F-D& zoO1fSi~A9@kC9!SAWh6(P9W6F!7^3rWvFG+Xxofsx31XB@7MVReov07gNkIpI z3h(H>6Hz>;dCz}=c`=Zl0!%5}?*v;ab1=U-IPCN!bK=?Cao*GIE7A|e7`%6Uw7$%f zzIhV`P3jG@!Hz+nk(i%Gs;W&?G`)JCS6claPAGIEl~hsh351RX=+6PiwRHv4c4(d6 zj(*q&NrwOpk}Fu{9nUX9QR0XW2HEHR`c9M0eRtjQ4?2qHIpF~j64=R#{+-MCN_Cu! z&7Di7Nw>$g>Pfsau9bKitc*iL#9QpZ?4Gud#qsW-@Vx_xp08oj9}wmqv!kcWmDBB=koq8Fok37V*BT#F8XlQuwU4H6ANjo! zul_aUo8AZ?H-P(Q-h*jnmcn@&8g2y20m#j*Rhl>YfMH+s2eZ^$8cG~&Zrd%z zUZA@$2k8*Cczld<(=GdHzm{fKck+7>2nKVldnan9%1|4Al>Fgve|myw+qK5U;w!f90m7Je%v>s+)bg)*SE|48U4P2r})R3?MYw+6FXeWzkV4f#3fi)ijHKH z+dBg#=GtiK8#FIr!B~JMIJ5aZrvUl!L~OaF^GKAli+WH5Q}cXaP45;5)YW!% zg`m9yk}LaUH^MKmtq)E&o>&r2JUZiTPED!7ils33Z&vi!_;{`4vShcO0)$*eBWM?{ zK2c4O!G_dV_%lC!{Z_V!`K_BioYaOiEtom~9{1x0>=aA=zkE!4ay!GT zo%u(T^5f$$QRV7<`2{a5&QkhaR_%4!=~#)%vQ;EiHwuw>XEpS5$sO_~IgxL&jq6c| z?r&3_es#+2%nPLth>#_89_u8roca z*PAzFA!Tgss1*N@SCh6*59BsM<`+MHx-m}r{B0@gg8}C9kTOrx)BJRCGc;3tObb|mB@&u+G%Az<0J4@Fely=w?GWxXfpBTJtG62Of1^dY_? z?V}ai_n+Tu*u3PdcQfNWGIJ5G^YM_gH1WDGTeHnecE7K^+ndJUi;;&o&4#HSfuVsu z>|E99usx@zSrG=)P=x#J0&ocM0y? zggSqrg+#NFg1NA9spri^*~~Vimn~+(dEs}L8>80)+nw0peKq5*aP>6BE9bDr#FfQK zd0?tNS(w|Y5T%Uw9jR704LZ)HePx%dR_Hhdw@1+j1s}DI%m52M<=O|2EoJXN4Qn}J z#Gat~+TZ`uL(Av?!`gd5MU^ez!-@n&K@<=X35pU`l$-@YL?lSgph(UV1q5sp84RFA z1tdo$H3AKc5+r938k!_IYm?Kzu8v{e_~yO${l2wk)`Tefadfa|$-uNw=#Ss;XAMWwVf3usSoIZd7`va<@_qxBHtCNuKrn6p;7^>^OhE`aziD0a>@5L`iIZ9?)3= zKk#)x{i$^`vXf#(nij|It=S7ggExTD0~r&#rs>_8-8{q7C@5t}Efn*)cpOVe-BJvG zIB%wfm!NT9mJlb80}a;r)MeI~Pn%3ZCTgow;@d#yH8U|uHNyZ@mYfZayS|Coz#a`J zfYVxzwOv7t!WiG=gXplh3{Ag-C-LgZwmQ9|G0K-tzZFSG7bsGHX}w1ZN3}JsXD#hH zH{TNxF&TuiK6HAZE9Bh53)ama8wMV+L=GX|Y7pjJ=7TZNbxK){jF9E+OTE`#zJA{z zv77198G@qeC!Ac*=*4eqS=bisNC_Zv2P5B0hR#==9=De`MN9yY+3qO(*5J|b+uDmo zNBvq8Q3p+(8{84ypGHQr=E%*d%((O{AT{-&?Ot~8-Q2vB^<=7Va5L>C9egA=z+XMQ zGvuCQTt-tv?rs~L@2wz`Ch@6MqZpu4c<`!Rpi~WMOr!Qu&2Pnq-RUNc zL}<-@!s%2x4*r`D5`V~LnK}qpwy&kp9~k{5o!>!lP*$#R|MvNz-X9)g7xspqKOqRw z!#80+S*6bRcVSW_@Y~o_SMidhVHNnQWcvD9awug#HEDJ?pw{FmnC^&B26L}NtLW?Y zq&-pYeZpb+kH);=D2w%|;wD@+`|<8Sj?aGu2>-hue6mHsh65AWb3o8H6#%tLZ@v}yVt$&% znEv8}{R>Phn*~W@&7zsp_nW)})ypce!*y09Y5KtbPnY$E^wA&m=}g#P_tlTjL*WM( z@YuZNd%+IZhI&o7dw@K^Pv(~+_Lr3Bf4()wkvnL6BU~!Ff7e4n8Si;i8gt6+yXguX z*&q6l3Ul_K=3M@7mqUSp3uwW<`F)`@2p2&Af->`$r{b#xo6@)D)Q#U_KB3ANT#|U3_$FXDgc0t`X^9{Q2VuW>^BFN3E8q{+2cU_EJ8*eS7P> z7r=kIK$JP*hk;<3Ae-O5(ud1;@H5f(wSG<=y#w>13GJ&Zs;hop-~Z_=VAN#8gwE~%<#&I1ssFSTU>1rd036$k*M2%{{=5@AP#*|o z`-AHPI)C|S6-I!H1vZuEgV)OCml{2~So&VxE`#0LgqZkMQ z^@)YR}T!i?W>Tn{Q zy)S%4$0(7GeYcI5dH9hRtZ6$Vb65iIDm&2;-%cB=Llf7&F8V@)q-H~W)=mJ zTw^nhzEcaerrx01!`xeAF|Hh9qS4O)?*a5)8jzQKS9d=1=2&R64_Y723*yO&uOlHl zLX~Gi6{a|*jHf89f%&Z&n59lu(@;dcVHV@67o$UCa4QbYvhf*;xj6baGmB>sjt)p% zY{-bde`2Qla2y}A*ZRp5{^h3KffHbqSAuDR0OW?BpnKiGi`OKBk(^eo$rV3d;T(Zm zeZSKv%u)=d8Oj#5q5HL(Wk;%6H@%HW^*{F$>$m;n<+;20&rz-x9OcWKykhq9bU*Gi z6FXAAQn?WS>BaWv51yQX?ex@ZH!ljAa1NtbMV=y^LtszhA}Nr53~2tn!94=#XM0-e zwVh$AJjf?K(S0sat?4o9%3R|3W~qB5`(606^^2FF6&GD=e~6j`1APR09pZwP%rqeI zBZ51?q7lfcocNe!^v#i{VPVwqp3>>jjm$m##Z`=+_{S=Z7%NI1e}Y~}@}}t#FBq8H zoBO006L$Ss{&i6PmIP6cdO>shmKEEN4G~9V6hbkyeJSpN_V3o{BRy1#w>R~AcH@)c z;lN;tGZb2&&bCO7F?CGW zHteqsMn4|Jf3poxPBel*fqs4cJ-BnU02A?I5f^OZVrZrsf~pEJvlDQUDRR5!QRy8* zCvfJq!5_8o19hN7?9!~v9)-~0G5m1~RHm~4hru{Lr#6d?hp)_-5xchW1b4ugUhP=G z)gP)HwFWKjwG&o6y)Y|ssO#p_UlapgKeL9i9%g{YT?`;mWUqIr$0w6WzS#(86_?;M|-Cu7_ z!d{B<7`#;ZZ=c6+gUP>!ET#rRq#p?q0XVqM6}tiFG9U5GzVYQDoh|r^#MnxU4&JA{ z%io!K54fS*U>!r}WU~@>Or-$yqa|=<^TnSriL)jcV8d}0Pv-!)X%#0#FH8W7v!el{ zcrxJo^N@H4>9FEqzFj|~!Y>(3Ap|*guRGxeqq|FhdkKupf^{r3v7pJ& z%AxPnBr;b5ON5YI2Pi23!Y)H(0ew|t51eWUl^FOHU`Xsf(C`d7zd)`J?$eje($CTW z;I{@l%(QC-ItaHxH3-hxPxbHFPl5!4$N0me*wbOz7|^vou(AUdCJ2s!mP_7a4TmXc zUf02c6r>Ndd6Gk%QqyWHPlZN8RWArA*0Z^gmu;=3f9^%(yt-1r&;RyOWM3nJIo?PR zQ0a`jrD+*=QV@sKL`JNF$uLNIS*#5Yo;P~u5`_BtdI#CRrlm)eylc!Z@$tDl2DR>=k5S^to_R<8 zBlH<;Ns$)S0&Pp0$jXL%3|~P8z8o0UDX7eI4`3P%&TT9PI4V~W;kJ6? z5R6&^`h9_U%kgA`0N9w*fI(jK4CVuBR2NzFvB((DrEcKP>~CSR?*KQ!!W-4(x-VfI zVtKE9ap$3SQ$Xt(Z_lG<(Q5PPO!Ncbc-uqzKiSYJ_+Y%sS-8gbTviNLl9ERb+L8+K ziS%8EocIBWKm%=~fTj8!WFRWVE;e?#)zB0JE2V|bpMAvR2YruU^WA2=ctZZK^O?Ra zP!!?b``hd3Y+gdo20X6|5^`UX*#D-}F+b#}kde#V>+c_eOfIi@POrZUD_U&!G)e*d zPs*k~Ug?|6X0}EbNU0(QWsAnH$*pH(gj4#?N=xp4=fuFs(8snWLMZmkYxT`@h6)C! z3Z$(ConKTsJl27uDUv%-d6c`i$I|n#d@Ru_P=O}54_@Q`@lZ1eHZC29bPp%~6(q}U zEDh`#3GC@^-!i}M^6j@O^dU>X$!hx3SOTSY0zt{fujO!lf1$6@M1qiD!}}~jMj>Ai z+Z|+16i`W>MpeK+1jcTFCgPc*r%M2s45_g~^CquNV);17i(-Jm6+MFk5Tmq*1>Mk) zNjPhg=zu*!O|`0@Z6Ic+FrMRh!#I3rZ$T5ZWXNGAQE%bRf~rHI)LZSUO5GQeDTWIb z?HY~rM9?=*nub#B?2W8}BV-E%I*hKpH(fO1_7d9rEL}hS=Sr4lrLd@{*go&y81XAq z@#E+`$V@RhmwkLQU(1N4iEX!WMmfCFHZYSv_IZP}1CA*xnj3FR%V0^sk?B1@@CV?- z#WT%RJ!rdZ*5@K&F6W*}+OP^8GCDc}n-G|ZK=LUD8p%vx2V@_}OytydqoGUtqIdBD z@ajTved~6Z%X;N~RRt!yGzH()pU39^{8cLZYvY=OeL@rUGxsSKk&yCUslRg+T7YT< zi#F)KQKx)3xWT_jFihwSGD!`Gx5)<@y$2j>(PY(uwBY~ltbA?=(m2>iW*FDY5eHtn zjYa^kv%=X)STlABlH)*-e0{qz$g{q|bjl$P=h}cfY!B%k_4&|V?Q=g3fxhP%$e%1w zGI@%4!GKu_DuOi(9;D&c0?=SJhw5b7DDVMbC2tI!4A;nsFed z3wU$H)SjhrULOG$`dd(w2_ven!S?%Fy%6*0>`Df0n%~^GWMZG51Pxc*8oh`M;**Gr ztO&JxJMs(;-)34XnCSu(c2^GVz>5;sXJLmgEjFo!bFaC?F&asF|V#-98(e*n4!rsnB=IWumoGH~TYqXGTX>oB~789B_8Sy&-21 z9sl&uqe~_D0+aXF25d>^XfTyU#%;^A&_(@tHd}y7&t$V=ew%kiLf!=}(D3~P2b*6S z$U~v0(hePED1uyC`Y*uQ6ip5z-G;1mKIgdFtKjEoFO-QBD%gvMyAgN_k) zeUc~CS&$*l_ALR?$S~hB49#+G1lo%TjiFHsSQA(xC%3_VZ=n#1HL z7r$R1(*l99Ju6t={O&9`$SkquViZkFgpwsP@LDyQbL@nk6}`p&K{S_I%A+=|CMQ?R zR@VgxF3v33W!5(>H}vHc`dw~K#FEK$%;uQm>5q=z zFXYD^_;)u>MScKE4j3ws?y3R-Wu`MT3e8gF0M%-d%gm%T!hcF;86ScI8!&@0{SFwv zrBL4~D8>lR?w~_+u{pjsGMuLu=0C=*3WlAkVzY-zne8DKTLVXtGtIen1IpSz*XN^C zu!EkSY%2V@UVnb|^I$$j05$)@7D^h5V$W5&km;m6hY>lmFowukWR*a&99^TCq1E!Y zRf5{yW(`Z_=lN?>Y`1Y_Ih>GGn9S#-5e0M8T;DhJFW|`Uw-x)D*gSKyhahHVhsiRk zw0%Yc3NIkHLs%Zc7&s^zEf6V;T?1d2o@%VbPP+yW2|o!78_B#Z{}$F4&e)!;2zCuD zI95}9J#8ZFs-g#4sk1o!7cfo%@%qS&`{5{j;tBw`#x*>D^*#7@kfx@Hj1Hfy_`bs4T#bv88{t?W?u7L>d6! zF_xF^|0T9dj3R{bCG-t0YHm%G*k9A*f7ohFCy5Al6(Yq)nW+v$B#4@~JfQUM2bmLw z4>bY>OewHVaBJ?>2DnIAmHMD4!X4>*1wMgJb_zzdK#4*aT0EUVU5Y+(=mrgvQ2}8f z#*3KzXv%pdM-J^D=6z3&1IDlNk@j~DlyX;q_&t#+0Jo_Q12-IvhD^ePX7h9zobqtW zEG>0Ie!|r;PwmHbeRg8PJS!*-JCpjbu& z0UgzF2EbIH^09k&XGKCnh`7?lT_DnZ8h)3cFh*?wV)Y5r@o5<$5V5@v*RP9Rgg!rz ztUa66akUkJL-cRJtp{SQIEY-qqSbQBxtCQwyg5@>K$kN4f`&$?bC>=y9Bq2H1|NWJ z5mIr3TqflWZ#uZP`W#lf`dr_o8_MoQ7etvzpvytxJ=vZL8HK73m}WfkMhJRBW6=mP z3o*Q!d8+;ecAe!ipF5zg;17Cqi#Hy3cH`KSR_QWq#)f5Y-2m; z5|u>a*%E&dGWNFR81PQ5W*e9r1?UuN4<#Ot15_#AknSCv7M&C+uVsRlBff=s#AAs5~ z4-Xdjbap|WA$*!Bn2@s?rg;Xd;6eiQn`W+!{q(}dtO!?Jcj--R+0*emZjXd% z&SI7g=0^9hao)kwn!p`aRJcpy9AbWIxf^80+|fH`VU09{46O*b8(bLt6LcvIj{;;~ z8QeNb!b+dNbe~Lx9P&yZ?q)Upn()TQD-Xb&!Wj^gV-MG%ZV5Y>MU1+RjAOzKKBUvg z(xWYW`%txF1L(o7h#iO^-)qSsE8axlwki%=YJ`Y>mR+Irb$>taBP&-&afv_cix60v z0g9b{jH-u0`JlU=*@)ANBoYjyK5LCBp4w$zn7gAfF>*sgkB&*<6Lu;fa^+EGHovES zQ_aF?>fUP)Kf<$t_4A(bjLCk(m12sJXRsb{K?1lGr@)=Nh}i-~7^IrbuA<8K&BivQ z{5UdyJ*1e3i0bc+@SbZ$tIm&~P25M*%;!G$sxU-kwh)%HxI85$AA=>fO}xSbqQGX= zro~pr&IanscDXQv5^=mZpkJc6p^Cv!HfCj%J#~X~4QOvJGdbuWhBgnV-#n}~I9R=; z%S;u|e|@T{T#&?0GBTU~wR`rb#MEM!Tz zi*|280g2mTn+fdeUa{p~$`-PhIPzDVB*gZ>=H@KQ`p9t<<-NV$4~s@sG|MJ~=PfXS zw^y6It%K$s05HM6L$eR?8$gG%d{eeTTN|~c$We6AA1p&>AsL)@$HD*t@a-&Ho8*ic zgW%niMY~vY@WbqfDi3k~rFXRB;KhBG(GO`w*wVOmCe0ZgU#|1omGfY{7k~I6)IX6n zKs3(uSbR0b`W~%vUs{@ADW&^@bX2m=jk3mgNToRPJOL+GO_GlQLg)*tCzc+O0b|3) z_G3e0CSAWiz3=hJArVgbx1V3zMFlcdJmqHXnZ6tADK{>^Y*3giZ)RPww*tlo*==dLaj6zyhW4H#ARrNsI9Q*+M!B zc{V-8q2J}Kzq_cve-E{Npjp2SO4sGlZ5UTD;H^_pg2*3|L%;_?n9oJoFG8-lAb^AF zw2m4&(A}zJ1oG=L=(qr1)hAO;?z0)pjdj<3dglUcQkY+2=Csx{UrDJbI9N$zXbl3J zuIu2@3kR(q?-49kYWy>Zx=X;@KVNynb^KXl59DM}AFGD}Q863lcWb8?yMfhXD%QOr z9_I%s>H;VXkoF3MLd7UHW_7nA5tr$!UxDY0+(viUT$7!dRy|+%s0r^fbbnHm7YKsx zl7{2%g>zB8v@!zj!n4myVl62;nZY***3ub#gIB9S`=W>w+hhTr$l%O z?|vQO{QW_K%El0KmQ7^#!N$SEe3qf;bS;8>%;qO8=$JIYFiTaaL5}LOB%-p?qT%E} zN4^Pm0Wf?=pk5IGwQ$N;Uki5$V$yl}m3^Y)(?1H-|G2OI_0*MSng@2jdmB361X6A0 zkK%zzP&K>gup53%eG>p#W(^eE)A6Km{9h$TqpNf~gZkm*i$TkbfGf2i--3ZC_{H!@ z!(^$LBZoGr5u0%MFGhTOYq<>eVqGfUWC4`LQ9_PMMV27oamZty{1T>VaBhx!uAxcl z;l4K$SQw0{X1M`mRNKNqVv}lcp%|J>&-NO8K=X{t5SO9N!_x`$KI*2K5?$s<;vi3& z-R{Poj3>=~5Z$2dc4t6HWURQ_@jG{zV;K`6$*+YM1VMhKcAZfPQRp9FM=$NrG(01} z{jZ-MIg!MHqB6tGqJM1Q)g1=i^lE-AIbc8C;P+L0xa;oSGVt5z2d{TAOW~|tClkLw z1P6*W7D_(5cQQ&FzI(8I#NT=XB@$Z|`)hvT{rv9T{^@&wZAUw`UT(Ao&){VME$@H$ z;L zV&Ghh$4yyfWBBWB07h=E0Y@bs(n{F;x%%n3%fTYENT!^*kUkGqbrD$(qQ_zmAo)|H zWz0cnX9sDghpvKER=5LnBF*Zp`e4Vus}Fwex_|nr zRYoGN91g9f+S(i*4ent8NZorZlDi`h?}Zw|#eKK@CO;V@6`#S!(v1#`Te6{@C&#&@ zA#C!13i9X+X!jm>B44HOvx5a#+g!edGf)`6r#(Kgvp3!YvV|D!)za{A<(#Mj#RNFu zI%`NZr57PPb=_JWGefIWEkZ!^1h^^hI&4EYkPa*Ff9bWB>b93{H4lUjrdUMWZyD5zPt_qs1|p^Bo-6TKxFv3%i)WX z7*AOKk@wu&c;7|etIzL%L=fMw7OJXPb8hIEB?byhu*}u!pF(WHAdbqsx##k9e5u`b zmI@#G82A@#lNVa{tyxrP(>a|}WVH-lR|iBAq^|%HF9X)A_xc)&<*1XpT9wqm`R&yZ zuYJwyoD4B-tiR1%7bu#ubMaSBFM>ty*e$%$ON14EXW4HQn9?iQ{;fk6=Y_+aXG!srK8i%926{C&)yY8FQE^_D#v>1NSbM8=wC03;N zYL<0_jPktEisP%Vd`1aj();U|^d}1K>i@mJ;csB$zpm~1iv!S8hB;2TXwDI$^~2?v z)^c`Z9A?j>)7!02TD#LtbS6WnR0zEY|Kpv#azxH7hfGP6bEyL!la9ae?jxM~ zRIohJHU`yGo<-N=CEAZ(FwJPR)2Q#TUWV2igh~NEuQr{Q8cFkTSI5-^O!_M5j(cMB zlx1PP_h+izJUz)jhN!;4d)8EaJlkCWapip8y#>W%QtR!Y4{gQQn;jBJ`kdljQH}Bn>+pAYm-IUW z;E%>;j_d7a*z$VN9p{=MTQ^u12M;0{otCp_nnDgGz={K!ku#`PdfZ1ere-!E=K;Ua z-U7|w0tz+8A-XrEZ;)CAb~2Ch*5p;UZsD3m3|Dmsl}HhLiq9YSND!Go-LuoVj%;8v z3aQa;q=CS`w*bS?7}s_=05ZO|bBbGx*=6XZ+rUD08E=_8i@a3oGu84|R~r z3ja2FE_aEQFlHMlG>2gv(zRV!Gfm2^7p7hJRI^>zAW6wJsw7p-#&r5fK<@^T2|@z` zpbUMY>Mg$`TiR4DUK8yqJJ3mZK%~d57aD^cHV_o+=fb65{S`7ELrEz?=EQ{$;(4*=tZ&G`VC6V-LT9ZQVX&~(h0ao3W+3G2zl-Nc^|)AWXo84+Rl}Mb}l+!r+v?>KnK<%5Dqg{G+7P3kXiQ&De9L~P+$%JqVNo)!i5GJ&#^<eA6dpg8*%L|e;1~AF2YZBqy+L?w`Tuj3$7Htiq%#E6F0A67C%8(jS|}PcV$<5B zp!kVCavH?OP14Q8S^ilvk7N8`aRenn(j4Xb1R^7%!9_oWbAUrFWgemw^jhmgD&Rb6 zg9r-Zk3v4B1FI6ivx2)|!7bK%PDahv0DE{F5tZly7CFva2Nc;v{SD zX_Tiwxw~kf5t=K|(EXfDft6V%(7qK-&5^0@igQbDsLN7IeIhO#>X?bYPDIAJAgNje zr#v+?w#3b`O$iK`ZPp6zzEw8_%-_%NU4<9|w?f+}KduV4NAXeohcp4oaxt*d!M0LS zmD^bHx+N};Hf?Xufo^&@q(P^z&;b0;u__OyjZ19Q#DV_=D&DxevmBbcb4XbkJW}mJ z06&%Pc5!mGf9CEsU0-+nC*zCnv3MJL4f-TiMWKt!GgD^~anwCekjWPf>mTbIoYs`4 zC+>fG){@y>3Q!IOJI0v!C5uC&F&byPk!X_i@sVa`LzP^ z;zq&YeV)D(_)ew0rtXp3fUv&uY+AU-J1OSOa)ZX1oYSoT)HBGbDcg=|sN6GlvL*49 zxsbqWDQKolwVt>fsd)H{Th`N{m+I5!f>i&w+~28t`Q^TwM*?hryPoGY%eRCB_St%0 zpCCh}5aFjh=NvR9c;1sxC0O;#27ojLZ6>5?A(m=Gr-aF@ZJ7NZDE(jTwk7B<{$4bE7K0^)6Ue> z;X~wz)HkgJ^5*15_F`*~?p^9MA!^|m;dfdG_p*r%0Y}6?n`Z_lDR+yt&Quhn!}e@y zpGuFv1zwgGnikTDSFrgUy}I2tG{%NRnpJ#^PKGQw5?_`mznnptII} zAgtVqG6;%bCsn&wXg;wAOFdN_8^ALMLLKjyIj}f(n!(T$i{;>W6xhdbOOXkhN?(?< zFwh-u=s{|*cAsa;bTL7uPa#CP6&H;>)0N)@md#wIrjUw~nWNdc$$PdApZG4HywAzg zgUuXDTl7gX-IDWJ&LVwd*y21s)5}iMBeVQag(bh6##4Oau?NHIrfv=|P}R@I|R*>Zr$I3lecwFudk9hF$VwhRXfC zOtm<0KtRZey6hJ)AP7%FanIU#Qn@SEqlQ+1pJ#=QBMlK>OA+7GphbEYu155>4&B5H zcaeRkIPv|$=1z0f#Y0<|$IF&UQa9XvXQjzJ4_!nX!nWl80?w?r25`#5ukIY5p7GEg z<(RUuQevRKeKa8J?@%7p8S@v**Vj46CIZ)po_mdq)Ui21hoNOp3EV`Szyx!M=t0Hv z;s*WR5ROVHudV`uMk-9gNJ7?A2Eb1!4DJ*bNvxdC%7yrO5C6pGkgBJtwVWPLl9{MVqOkD5UU#`v0O=Gy8_kDEN-5vTS{Wyp8ByIc`E%Ks8Mo<^PX$ZH1SjS>L zNd}$oq4|Jq9d~^|OSvSDKxCoQyb*fXynJ%~E-%jCge3qKZ(Bx3>QI7mlshInGtAQI z^Z35(t8sU=)7czVcP}`DA&kGA@~+BWr3mgsXgwCZ!D%arE=U9TB;Np>q!|v~=CMJ* z3t?`bh3>2Uyz8_>F%p69r0tz*$kav>70K0UxF#Mx|M&baci@O|4uKw%Gqs^J&F&u` ziu;x@&bc4HN1pZNu~ot6P3a)_GRU#7B_)LPmSbL3aqZmH0!FXqgSjYjYQ+Rb8jPK8 z8FBpYDJ4TEVhznEp6jf2Jex2qn{Lf6iJp3U^GGBF8K#sw%TI!`=6dbllSxKZW~+E> z4LQw=4h6UqWML{ySxc_{L7=;Yc?9IAej?$oZ5dff)b?sy6IC>$>Q}_@2OR@g zm@QaSGhl5j#fbfkAwPcp+np)FR7oTk%GOd~qigmAn5V9m@mhL$<}Gko&RVCOHG=gH z(_?YPuG#2PARx%m2aTIhUa>h(%AY?XNDbpV7l1`47$Y)R)~Iu6_*m?87w~i2Csu*A zrMVBSN;?tX`m})spc8*{U5~v^P~&0fW&zMWCG0nd<42jyjf3cg)OsLOImadYMmDsG znpl`W5`$7e1iH1_c}SaOg3O8oqC_^{1B;^y?H0Q=e=S)hK-q5GDN!B^z;aJ5ba-zA z$Io;DW+3M*7R07ZMcEeBoZ|4(C*o=WmO5~gZ0OSMyG@?6*J))V&cW@MdT>k6`W~zA z^0bdIg(lF*r^wo4QV+w&2tVqpVmdkQ={`WvctDtv5|`7NhxMtwgh-nxVyYdQokJUH zU{2q9`7!(oiK<0YeL25k&>X$+rqwxr0kvzaxFhT4{@}B+3Q=S^i+cnnwv9(SHY&3% zX`96WXZ=tzDjpZ+Fr>y|76;}ZN!-!rJ3XOllOKjAGFIBu?j`(f!YV!-LJ*Yom~=J7 z(UdP7-N%`Uo+k%yPtmu`^8Q~0t^T_;BE zu6^7U9g~?izV3Hq(JSnwh`zhM$*ievZ z_l(I{RU_*ih&+UQ$Jra*t#e(Qp$pTK?txq5<(S%2+nDRXxB79ris`-tq%`MfHNNt< zJ{{S)ykF3vA@~jRKjGECsb796rtgFhKx=ghQ2i2m4nqfUkV9I?8ZtViGX>aaiVhew zgMow@wMocg0m^>=} z2@EKG`UfGqv%W!8(&Ny4*TG}aL4$on+m2+FO|wfou@a!qNV&cRI$kjiD{3YzTsp#& zEp}_Ca~FYu1dY(Pn8<8VYcN9WzelGUzT`K6Ew^zk%itQg)1HFKJVFLw|FjK7SjX7O z=X%FUBc^QUArWq}O}~&Z(@=0MIO7Q0o%J`>461{`R$PJZt9 zyL?2Jy!@xhs6YCls|Y5s&*En=>GIlsd-%~}_BH^SDLg68O@l-*X%zaJjcMhT&?E`3 zeX1xt0dz!C>)1$mNrV0S1Yt@!1ck-S2CNJt5$>WYxiISRB2^cI;3G@?((@NX6Y2&q ztf-kkiMvY7+1&s}4WzbEQc+FKW$*>8?e+v7MYMY9%M|6g=bHwmj9i2AVU>r0KpK4D zQNv#|*>5XYMGnL*ks)4;9x#l88s8y$jJw$CGCQ9e%RLF(gZ5|K0EA>+@JJqeGP(8v zwr+{k)DvKl(ANQy<(Ndj*7LMY&>!L8R-WkoI#YoOgK%)Ohb#Z?W%yT+^xq$^OhN?E zD7*>p0074zy;u32orv`Avj8S+@HyD`9u8-8uRDz?N zZqU}rg!VMI+PfozDBms%&X0}(sunTO4q`Z;wlIqubTr@;4&S!33wiCUcf{ zZq=TOWtSYw4vt){RF#vIbTj%^bx90u z0K>-pX?cFj!~-VbnY^}owoV@Vs~r_N$te2Cd+lnIF$}z-`c_i6rPpu8%U}$bJi5WK zh`{wxEeY!+0#a=m1^?wk_ktDc8Sy0RTTaU(mK!FgXXfQ_!n&<#5z%H!znntUx@ z+5W4NBIm*&h5)za>`1wQnlIT*8eD(3L-U1T##3urZEtCuu(4qPsDivFx9$ahlnABo zMWypXgfUMzW_&t;>oOW>F5q`l$>w~)H6<^)8#bEV7n<8X_EYjb+vLzId>t|QLd;`2 zntkQC#99GYAW|azEp9%#OHez|d9n+HCJ}EZ*ei>+5)FM52h<`C61-Kp1|3iLs^q?W zpsSz5haJJT_P+zc*SHfHJL!+H*D2o1(`p|cgvUM;lZLrHk%@m34T)v6sQ%Y@osc9^ z;cXTXS^P)F|6w`a+6z$J6rjQa5wBe`zk-T276iOyKEChyMccIL;jjN!{1%u z!hA{?O51`!Qgj__v5GF+Y)IYXsQgFqHeksmL4S(V3>+KyaJ7f)pq+`J1X={^Za~kE z->XJ8?H@T#I15}GBtw9fjj!#O@=Q2sOgJ#Ed?fr|I_m*I@AZN>WJY(uitghMTcWo> z>R8OFJCmE9!wEV@S8&QR&Fm8`u1W)^!x3ncWIXN9OV!Rz%1MUK=LHa*hfV0gSxCoh z)uRS5HI@96e0CDAz5<&lRa#_~TC*0Y>}*KNz=qr-UdBF4x;9+t?3#y*^6z^IRq6D0C+=s4jlir z$yd1_h+Bie2>jO=qcZV%yHxEnj}d>Rx1Q_68|A2nrEI98g^GrXW3AqE`H1PfPjcJ6i5-q_J$xm|Gd91 z^U0Ky!0tEvGGZp)X3P2=hb_%90l9l!=RK8dw(e5O%~ERt$;`DSbW=?EMmN`#Mvie! zxtj`QK9Gr&+uneQ@4uAM(B9D5&2~@sOM9Z0{_xd5jP287t*%>~^Jejr(AgW#N*JYo z6<_dz>cvW5OUK7@*6T2j===hYU$t-1ol;1Vv0nfewh>Z95a(q2xUZwNfKv)yLf_^l z{>SF!@8dE`jppjD5tu@OuydZ$HUx&%X5!^djD0BA)1$jVLx_WJI&$9RzAs{22VR#w zNB#2ay48nWISIby?cO6S%Kc98_5x9)fn#V1!5552!+r90djHkIXePW&reBF zwxk)!ERP9D(NLab9QTC!`!;PC3!jho%S;SWk?R}|<#=_66Tk!t4hxWxz27sy04yG( zeg)0$lYAZ|N4SlB-E^tB3^t%dpM_)1HM5rGV2^I9wQX)8l;Z$~vG;WpcRpLRxc29n zNqXqixW1b9&B3H3V46aO>)1J3m_m*{>XDi#9*p0U)I0`cF3SbPnG+ay&~DSPW4&Im z-_uyZ2bfM>Lb(8tk-^3jCbExOgOpQ=i2gCcB#Iay-Pxr|YQ4jC;2gIuQEm8|Y+2R# z#6Fru$*$EKZD_>K0A}yxgDEJkaaWW4Tu*rp1ZncPNH*M6$@Mp31jsR;kC0GwC#diZ zu0XR)Yxm2rcQCV=4iG*mzXMG!4N>OC+}UCVqjueVOLdo>y}+5^zxR-wV%b$`rY1Yo z#xrp0y{LUPcu;;)s~Se?^66!6I+oe`XO(P7Ly#ay;ddujt0IKzJP~7gLD$`<3`%Z6 zUEpE}vfT7()8g>g?*LcMW@Qw4KVioc9m%UtmAV`v4-jO?tvT7DOM%M_EnnRoB^-!8 zv$)>+YD@OJH~BBV*D4zeqI~EOTs?^98H0eJ;rFJtSuH#xxAqK!kc0H)9Jxap88+9} zme`Y{KZDU|PZjQN&J#=k2UIriQ~k`9FZV01Lv1MixL7gKbpcX6IZpC575}W(^S~Dd z59w`P_QWDG2hj0JwxQt{Kb3nf1RvBQKWE!qL#UDFQ*NuEFdbthNJMDX<$e6p9xajLSk7p#{Ot9#F2;*s4XB8DnA9)qKGs=|doFEs~FuxOYqmfLxXV^(91$V?p& z*-gO!{i+w(yVl z48GLq^a7gopp9q^T}(A+Q3YH9?r20UqOExVT&MBzskX}|o=cNFof$!GU64f9p8)u^ zNuDTRir29f%{K&u>kEN&g|$ejb2W(mB$7mWStk-ji@N1x;tx6HOz6gyzMQ1Siv*)@ zI+=LL3C_{j*+Irq<`&SMVl~%uw(i{I!~p_H5*3Z&&4kvb!;;u=Iq&6mhGMW~EnDo= zm8{Ocyy=p8aek^^v*}y?QdZpUrsAszn)>nHkH4F~%R~B`n&h+2*f?v*0!Rnbnh6{=Z2i|ILXi%e?cX7ssI`IL=h^5?y45T=cT@O=sGTdH!{y9cUiJ6nLPBGsAZ1)dO+XCAu1O73B}dk8*%N8!yIiJg`e(2>AE zIgM&?@``k?JZ_cwf&vlIrNq|Hf*fCH25J~Rc(hP5r{D;sqw~)Cmz4G*eT{ZCtaAD=1Fq%VYA4E zIU?1G`u+l^$s2RcOVRqriUdO2qIhN?@sAw0!3>M9PxH)8T<1sV7=X{h+gxiBU$;&c zFgyfY_Ey084D=O%Z_hcW|M{5Kk$7&z=Ph=iTQ7)@wl}RMF)-mBXMN(;=vh*Bvh~aUsH( zEgqen?(A{bkMB6Csc!?bCsG-tY_m16#2n-9=&W*gs~k=rA#<0gD0h9`eU63An}qsw zw+0=c94|USmG_1#49FbME!w^g_5rEMr}=7Qb`d+4hrh|Xf5D^v>8B^I#6sfbzs0*V zzws#5R!Yj%lg@zjUtBHlpHkm?rSg!PnGXN#ij5bXs`W4p+@eoW(^PqvkXvc(kuyNy zZmznnfz)g90cRYJKtQbWXzqmOQtbRV5NB70UZwOx zDkp0J;glcEOV|V8cfJPYRlI~t1Dtd&K!JpJbjTxMJ2Os3y~@J&%JQ8F@EVQ!&k|I|)Z@UWpXhaJa&8kXQTARtYdDSQ)q~4lanbFuF0RH7_vFQ}9wJ zK3jAIsCH=?B>N6~(~IQF89K{M98NuZqiUaOg#JcR--(U8LYf`8hp(|V%!_$+#HXcQ zo5PB2+;w3=Y3^IepR5MY`HX?M)FMseUt?_`IR6 zMfwU660w{i@V4OR1App^!4c~?oA+E(?<-1(@C^$?0bDMlv1gq;&qUzBSewA}23eVz zU0uqeHLE+DEW$pJwZgd87aKI-!%+}{x|dKy-pgwJ8sKH4%;RrVNbL}3M`o&Q=TiA# ziX@?2NZK?0p7jh@NbCcgT9yyz)_{tc-UMvdPKpz71AyNDmEo_Xo%{ zp$_f4=?*86OdfR*C39@}u&(V}6PV0(jy(DGG_U|b-A6Nd>1`rEzkoTq_6E?e?DFNh z^SfhKP2U4pOD}p0@BB~4H}wPj+MYPg?scDyDPx1I8A?_ zzToyEPX>Y-8dAsK1b2cHJW9uB=;FN-d zEFi^T!KohLceZF(5b$=B9a((X?QA~DM~g9oiECMvhuOGt5o~R>zrI4UH7V}r#74Yx`FaeSrl`*6vP!!b8gO>-AtT2xPnsm7N zc4)IQgcGoI8HQsVNm&sY7D>k^XVb}z_5+$GF6-3JJ}$BLq%D{@e;TeVr4X=ZH{X4d zsy$8p%G(X$r&H~zub$2Wkj!(r0cKFO?@4{1@cf;eQx=$c`v8&QsrU9WgIM2*(eH>pRhUEH@$>KhXtr4%5 zgG1HM+Jq9l9L_zo(WmP9di)W)fgxo$k6G|%CcIGU4FrUq{rE;IOs>?PA%$Ym@5s=p zRte?l=cQ%i7K9HQ*RSnRec$Da+*SLpc+ zd+|NU+UqIrHXL=5`~=cP`Ptj`<3*jR)mM_=$!|p7JCi1?Kk=@J;lPaAT4DIFP)Pip ztraP*xq5jr!=IbCRr$`#sn&&*2j|D+MM@(I%7iJoEuZsPn!WdqYWwDAkJ4iY+cG^j zt#0-otre8u9a zA%f!3hHyyVwcN-TJsXw38Fj%(Mwv9z)y#Q+8Bfqz8fs77L^*0Z&7_QR>{*Yq4S1Qe zbR1mR;_Gn4D{Mj!&2o}@)y5KBxMyr4^tA^w<$U?0jF;7qkPZfo8$%|g4JOVxQ?G&Y z3eZcszAhjnA{f9MAIi!!+m!o%;itnP>g95LH4fqMID6 zrHkpe@tCt z>C&KY>0&592!>F(Qk=}CAof(;Rh;t4#8doZ6~}n@LDLz?T1yrSZiDPnrDdC@zEq}BDT^mQoG*DZ7{!9qFn(L~aKQgG<*4$%`5vAzT|Wd{C-%fbVWpY8BU z(`J#lvtuU#;cg11|KW=SmU*7@UwC#UAJxCQ{Yh!-gm?#SpTFT^n&u+6lGFRZSquOa ziy(Sj?dd8lSU-b+hIh$K&CG@=qxKS$+$!w%T0d>8)$LV?(;nh^N<#0{3}-UqMD)!n z+7|CssDIoc?;kE}p%#vOxnEO4RQ6^)0F836_ zRPR|8@ctqY)43$hKz}V)$9vZCrB#1=hp2!qG7NWW(cStrs6T*%m*`oiSXYL>QbrZ? zpnoyT^8DZ1{80`fL#Qv_DLu7xHeGbd6Fnf(1gW=6Wqv1;4Yi)pv`gXn{ zIchIaz^_wLz#mXrv@kKGbbE-hC11#jDJqk+Cj7Kl79w@AP6+c@vLB$=^)YZbCOmP; zZk98vaELnlR^?qoa)3{7;kmZp)*L!Nm+8s8V9wg$@!{|3hY#y|~jN&RZ@%=xaX1mJoSoWfCm^NelnGjL&u>Gzc_ z1ldXFE)w-0K2U}oX2t9FuW4*}nfIrww{>1v@6np&ExnezEiPwR{=peK(-^ zb59?;wcc~``FgEW4Q&s}FoA`w?HS>^id?BFD~2H&>(F(^twF{i-ry?AH=Eae=sSC? z1}O8_wcG6s5Xa6#DtfJXM!C(|5>8$rtf4Zm9?u*$v)&jADq0o^nc4IA1x%&SWE)V~ z@TOC2zR=55OLgASdEzVHbU8>FuG-|Kz>a1L^Pk=XJ)N zsUj0UQjDbKqQdEZL7}H|LaD}kH={1if8Ac!ZtZMZe@_>Q`o7%StbLuhn><*(1!d9N4uZ_Wbu6gBqPdfk*w1cilic&tZXWK zbiNWYv$G2=+1azQXGL`E8L~q5dae&0PU&0yzR&Y|J^giFI_LAb@B3QsYuwi*9x!4z zViTxjCVqtW7|gwn+W*C;>DDe=sQh^>HV-+FPG^pQs9wu?`7pT0MmkGv(U@C1eF|>r zL6W906oP__nGtiDeNioqNK+iw`quX)e2oJ{`99zFHc z32iZWM4fNZanF1^7=5s;RMR3enDB`*Z;&70n&|oo`2km3!?(+u~5$;{m%@v7RrRn%XQdrmtcdthyicWzg3Q%6Lv&-B`MP z&}ZY;=d?OS4vW1G#R(;SV&omE5xfic_{YvK&E+o%R(IE1oHe?WNt-Dm7HT|Y>y85%L(-4Y4Vn4YM|sje*O;X1mULayaT$)Z&Xg;ZOxD)Z+nE5mMGeEW?~H0F0O6{9yB8p&ot`JJ0f>v;H-?HAdIa#>xCytzpN|eN^hpzdZ@GS{Yj?qx~m7=PwugR<9?9cAm${3 zM<9(AI;_!R&n+mJO8C~!y^y*0bs6dDfgwobPe3cb(rvjA^CIQWP#aS}#)K?-%YNRk z6Cb@xQf>6`V=qa{=i!Rq|6&E*l^7fS&qjTOLMmgpzyk#b9RS8f$4&*or2u?_F&-1R z9%$b|s_JrVkIi6G(L$=C{&mMe{oP}}@0**IXez;@gLcWHkX4+Xmf3;+$!)KT;-mlJzp>K32>8 zd{TehVJ^|-1O8rigV&RK08$}?I#tY*wjw9zC*2NJGnGDBFla_cM`r}Oa#?;d;9DBV zUmS?qv(Ts5Iu?M)>{%(H(L(6M`I&72X0kn1Ne~kKZv>G|ug9$+Y8#gdrO+Y`mwno5o-o@-JN&|${eG64xo zdsaNEXcuE$-IoxBJX496;BVU7i_pMC=BYu<;R5kXk;;@WjnOZdFE2ATuL3CJsEH%H zv5P=u5-N!XX6Gd{uf7kIJsV!aX2G%@Y^cacd?>)2g-8H$T}$+9!A1Zr7f+;+^C1t| z!ub`tEaoo^8RtXS35COh&{6lj>}T+n4ff2G%jJzBFz9GG7`42=y?`j&*v9Vi%MWe=Kc9+saKYE91ei&c1szKI^1|L1fr8d6c=+&P9ZQeo z_d_ftxR;;DBpykNey+loyiBWAb9f%524+^lxFlabsGx)HpPyfVv)v#6%U!9RDq_0O zP37C;zU0{lUbdRuz9HW^n_T!M?0{#ndSqVeTTAt0#4a^v8|Tkd8RtQT_|1=Ya{-D* zuER|fLlFy@i2l5m5dpq8!%Yhejg!9+Hxf34%b|(b{#hfP2V!i)3UM_27?MDjJ8L3A zeJ=8Y0UxsxeH-cJ!!Z4MW!+gs8Sw-E$o_NX`A7a#TS=4qlm7qAP8MlhU#9X!U7)fP zcSQT@WA<^j&sx1{-zJ8`egFt8g@b%g5;<-_Q;;;9HyMKM5gsdLmOj>TmqJSa0P-yM z0MwC|#ra-QBett4YdFSPzs zsUyTZk~cIVBSOlx{G1=e4}D(kGA?m1>`rGLn3%1s+@iC*e&9eo{HUxviK+{E3naP) zEeS!ZKqr&t>q(}Do}I@tmRbH(1S4Sx%8v9ZZ^!c4p!X68jgX;r1G<|)Nt8{_ zjF<{%3GIZy;9*2KB%zj3>uyQk_0e&f4VZ&BjvbAcH)-kBRrq zKrFXd()|KzeC*q>V)Y|Stup0rK73>6^&D;zW@yyLSrzvC5{%y&<+(zfcIJ^?9>6H+ zEfU_r)L89DK-7H!wQ)G-%4wlr{C@3Q*eCwxFJ=cK=gg%@nK{>O3Vo8e@3rzXGvZZo zjV!?5WkQ=_d6Mm4<>@cp2#eu8h}V+Nb{0Uwx|PMyzH`j}#u~tgJzNw6!IAdrQ`-yw zFW-q`Y{1Vl?#&YV2Uq#6kd8g_4ns2`XR!CG2$AfI#Itx!vQKHPoE;ym|;6tdV$A5@mwi|KmGWAMpJH8lR{yPx%fL@q=}Xj7-G0Hla;} z;dj{MWWRG~Q!^pE5Bcie*U{wX=l^t_uId4uqSFx4Ph{t?OV!L;pf_Wi2URkjq>_mD|gOHcwkARb_V!c zg~ELE8V10U21keCX!3tsfww9M)wtsB2Z#rUefr7=KRFcH@L2uTHEqMe46Ih3A$S1y zX*8sRNR2J&l7{-I0yECK|4yn=YKK6=q>C{!zu+KFns<&iNv;%Y^0blka&VRQpwjcp z3bLBN2Rf(6hh}DGY1#(8|`66R@bFU?JrPm1X{j|`d zMCTV5KbB9n1Ds49Zt4>7uasEf8_vD$BaV`hJ9a&Q#WVkN1Pi(t_u%{H%Y=C4$htDE zEWTuU@z3XdeAt$ct&g$YDu1K9`r6whi8Aa}@QZ#pRZ4PX@u;jzENdLAhC-Lww%eLc z4O!=k96o$l?Va6l{o<_dp_$2@kyY!Jln;&kgE=`4%Zhm6wrElwtCcsZ&ExydpX?mf z=_1Oc3MyAVjnB09il3wddz8Q6THOCqOU8FooH2l0iUt_3kl3j8az@U657iv$)^rO& z_v!C->-17H{N>#H&R`vZz7vdD#x+${6N!&55N%;k)GJsRJo_J(<9<03W8is9#5XPn z6?5qZ;e(TQvK8r9lt@=-T2M%EDZ5p-#-h1Y(!4D^ER252v}&C@32Fjmfga>*fesT< zbLIvQC)z!o#O+}rnas6gj zkw-vJ1U~VjL>%THrHnlW^{pLASEVXcC0AIRlo;k-+Q+efjg6wVzq@1eDqMTL5&xf7 zv%{?bf^Pv;O$s|I)G0$i?h8q{mDgT_m5q}mfPqSriG#80WZVoGs5g@6!9FL4q{Qa5`Y9HGVbbUMe!->Q8}WoQZeAqVyL!6R(#u}L7m1h;_l0-g5Pr3K zj29X48g3IFsNeAD$e_y3RdEyzen7^}ClX`V4Rh?lR2E9;;B z+rOX#P_0?U>G&yYt6BR#iWUu)8LAS++J+25`U;g}KX#g{1}eW?J9F=3&~y9cREJj$ z$$$G$Mhs4@QW@*{wYPugpNmXDOv%gVpPxUcW^H|3@RGjz$<5|FRv7R96>l-}QXu&H z%^>41mS4;sxp``b=>_Gp=*-Ma-CX)5jYsY?Wv(j=?Av$o246^0-lgZS(#p>&ka4Xs z-MNC>%INz?QO}mW&@R7o2YRA?=-Yc+xR>7&c^;x@6_+n$uKvPZv0!36!|4abgSI6B zH?$_p{lJT5k1p!Cbmghp2iBWYNYTmKSE=f$PFyzahuy46Y;?V94D=Y`o`E z+|^}Q@_hbtu1vdaNxCG zaF-BLK2WGeXP2JRb#~dSPsd}UyZ3g@Jd~yYTUo_>e)>;uU)v9nI|?;5M8O#Ri}(hr zn&4Y|^|cdsv8T!CEeIIUw@EjB?Q^Wni0W_v+q1? zjOfQWrq1=c{cqm2_8s0sz{6r^Z!oP>%6Ryh)bAVx1GOlqu`5DxBTnL(odhRvD2OHp zz*tSEKq~*gpL+o>m?*L+9o1jF{R{=TsO~)A zqd1%*XTB`#j1l;dRV;+dyUb~UdEa6nqg1Zr&Frq%J`nqlc^kvAHj3boU&OvqY-o)Z ztmOqn7a;YmD}LGXh9xTssl2qMEit~kx^)zUIMNSeRocY^c>LUwSE|pHMI*>T`SMpE zvS-F+q@2Rb%LUiNDj(PNKx;vyjc21K3_w^@P!b0KH;9wYE!9e_+tG7n<1GQkC$f!8 z_Vdf<%?Qbz!Jg!r%wH5iziG+emAu)aV~ne9KN~al+2{vE=RGuOd{=GDfBle{j9)aA zmz!+l`77jNi=UE`0#(QHS7Wc~d7O>98e7CwIHq-Absc#4%Ajb5|GEAPU5I-SYrOL> z3q@-nAsYANu0E^uSp85C4XQlc?^Rc~vKo}($Er@#l3ICPv0XXm|38x6Ez6iX;E^}R zbz*8LZ>*|!|AAiwdMQb%rxuaMh{#+u-6P3gF2{accH(Mt<%_D)ZB*)?>?f~E@9phn zp6c)KhuX1dS4RD18hgJ46Im9Z(z&uplmKCK2B(g)L(r9FrM0JCz<(*$_<(=Fiqic` z=v|F--U~z-#`RtShO4p$=YNp_qP`Wf6)}gz5njx`T;=iI5O!@pH)I_(Wh?p4PEabW zb)0_0O4}UEX*f@nB&MES{J23lgEVa965)}6S9it*`o|>05kmRU21%%FSS8ti{D6K; z;sqy3$EU&Wgeb&g$7D-=N6|k>G%OGz(AU^&ty#4b={_UmYL80(g-xPBe?2~bR zS%k!ar;qyKVgH6|17IrYvc?OnUE%|qr{TS=xnaTNiTh8;+(j#!|<&JMODw{>V4gZSRv*i;~dj+Om zY~ThTcExBj(hPfU3p=eJGDT8g>&<=kmsl=~o>^#2@`{EoqD16IHcx9PuVsImMp(>a zoS4&hBD2S$%7#?|pc_J+uE$yCSUIfo7dPh5*>+Wh<^#2f$kS^U*OuWu`aEi*kq-X% zy+&TfH~QxHBGk=iS!8z;N+T|Y^0!)pm8VCuwp)qDSy!wkB-D<9^ZIrM6M`id^1U;N zO?~D+z%{(=VdRDprhWJD&hGbaoGA902}qqY4`rA9ELcues`Lxqxkt|4wh%ikoKX=t zLSB@v-$58!oJ_x^qq6MJ@E zK|!Q2L{R znFDuY#cbsKJG%Iy7@E7N_zq+Lr{F&6ONCJ)g8!m%e$XA@nzLpb*Hl*C!W6ByE6D3O zIlNo6Uw#Cm%*^MxR6Le!gIPN-l+3b-XvBetxcnCU9%J=E>XkN6TcwjO?}FVIf~<$G z$gcRX$RoQTbSz|~K91{t0w7Z%`<%iZb^ibo?i_hmO@&o{xuntNx$nb`AzszkMj*%8 zFfcK8yUnPkp*PHCDKn83dseILQJ_gsZnva2Dz_oc=-j4+x!b-1C3IT0_&tj=^bsKs zm&uC~Ab3*#;2tNILjRG#f|CKSta~X2JyoiN+-Z14T?^zLa-yDYW4O<$m zyE3d-+Ds(5+9<*gJi!LJIQPG^xAQ*`vL1)1!0ml}sYDM2w8@6q9nK`W8s|B)*1 zTI~dnBzqC7;{**N-B7m17t-oC4`#EkI$dk70(jlJgxcELUnZ=Z11g^wwmhR7lBqna z=7V2bTZ`#XAoUf>x(%d+zhD0JgFVl$#_n`vXqMWHe+r6Qgjph6 z)-3q?gZ!7jpjipxv%b7gh^ue4vw<=^Ndq01RmS_{2VJUX4FlFD=~nVAycuv9j!hWf zKmG`pU01#=e+iOT3D9{Ne880Ipacd4JqpI0%kM!#HIC zpspoW%gE&Qau4DP$iENzBzxNzuk0;nIk$Wy7j@B64ZA`A zB`gE7ig@75fr-8<!FjsGR;%t|AlHfQd7GjJEyC;?hBf*XR+<8?Bl z%hS!NjPCufsta(a0}-D0`hv%eAj`w)-d9M!kP*c(RerXokKjHNVvH&DpKhBvBYrA$ zb$1UTkY^S_jE!w_S4-K^hV1yRJ-37QtS>Kj!^(>q9ilxS=}F--N4IL^k%Y}QgL%rq zwl+ek-A&AXRakx%CV@~M1vH}e^iZAs5D2{rV@q-ku2Q4x;v?KH(VDIoAx->YRROA% z-=j@QZ{@eTcs9Ma5X>^xQ9ms@+*eMYn^{8dKSNBd3=Y6!xr_7Pw}M_`ez)LMyM(E8 z#3xw}<8ig`7r0#dMGzYzKs^F3p?#tB&#LIjtAh*0p|rsnH>+DfLhRQy(GKp(=<9H( zapgYCC6?zl{^bZ$o7ACVtp0K&jyAVD8g$8Y>QY;0y;S$=fnt|KP0*Y#@u=KvCA-hT zkvKSUkD-}Ekx`82S;6HKkafh88GE{aAu!&X(XH9Wy?Yf7;t>7!0tRkH@%fBt(PjWSo*HeC;kANr| zl5iIHuLO>p;QMQ*>^uy`$n`>)a|4eEv22CLC(elHxR|tw=;*S`vEk|IM;0<|Cj+Hd zE?PwL;ML68nPP&lN5^OigmjJA@BxRAjMva7^BL$MwftzDzMa#5WP|Q^Q~(%=;@r^e z3(_IP8nz=i#+K9Q&+ZQ&6SUWHGW>x_LP@Awguv)@OJ;OGF! zIIIrvJ6|LYC~TLB^rfaGtPotM?E}XAbjtM3L84{Gq<_2LcVPcV{)Qe380`gb-0>V7 zu?J?s?%4fAc7vcvs;KqjDw0S?l&LD&HVE8pxgTQejL&_Tvkj+2<{RSRUx^TMYE!Z! zRXvGi)HPB{aDg6sUcr&+LpnXfWrdCri#&~Qw~+V2G5fTCV7VpXlVZVK%=+J9_p$b* zpZr2ra4$>oDHT5QW+yNY>#cZ_|CkdDnO8~pn<7jr`LJqUe4)c`G# zf^pw+z+ZyH%OHB<9X14Z2Zbh3YS{ive&gL>1*qxamvYt36BZTSLkeyU^o82l&a(d< zH*tMB>LbNU?cRKDbl;PQ3Q^5QFpmkJHfLGE>r96~Q+ z{V0A5(-Wtq)L20Lz3#qe`F_CY9HK2VZ}#)taEWq6Ee93ZZ4fWTz&YZ^+vV>tZU=1! zm?wOdBvIrLh9Nm~6ZiZhE%BW!g^#@$ZjuQ<*)8~{_FNuLTAC4Rp7JE(`rvLv(dwmv zRpiGWaA!`g=tZC|@hcF0!-hn-G89gDZ^+Xf0&kmR4f6_TW|5xN)#3Cg=yY#mb zjP^mo-5=ga=$n8U+?<}Wn$gB8;XmvGsKuTHv20fEc${=8F3HwEAkq)?Obb1ihm{FtIUIZKz|^GjNL4y zd{xDl_8e>)f4k&LKz{w{{N^uegFs%d zuupia$FOO8#$a;AHtP;m&MnK~rXLpk6MJ~yg(DF6yXI3tjMUv4$bz^jYX83Omc`%f zQrWhz<3_a0Iu_JG&79VYCrYa;DCUBjCe3d?<6w?EC=tRja59>q7&%}hqlRS0Q}GiP zq2l3dK@wp$^L(oBO8gaP#f*fYWG+2RrmHy6-kbi?-=7veM(o}{^%2~7{Zs|09VmS9 zQ7>1;tF^gjqaOvDmGDUb-O>NRJw(y_c!!6Zo?De;i*f&~z+b*ZeQWewhT){l$4$i$ z7@nIfBXAsUK!R6)P;c|!3LOqvX0`*6$xo%&iSxHr1^Cm4g2?5-R(zb(37zCU@PqpY z07A-euno%)!2*8Ll)qskoc}^IAuQef#Wn0NPvVJohO-~@1ZacGt!&?{MdAOev1P!6t2EA0M54?~DjYb82AfA{Cd zP)jjd8aj5JSnn0U#g&x5V!iKQ68Fo7MI2T zMqQmduLfoKkXV4>SmuYej7|oX(Utxo{DQMY6b0eF$+LpO8E%%=E}5#!h}RA%pkMPl z#A|U!_VK&yXU2~z*esV1_FW(V+`J5f)nZ!jj5`Cph0+`Pag4b4%YwVAWZZpuxj=EK zIY|PH*aI^Kj9}^zT#?1xmkeDyOtcDtZEGeiYZF#l)_D8;rO1VmIbWf8BzJ%u+jB&= zp-{F~O3+ZlmB;eBda8m4Kh0U=VOPR-6hSdu9h#E2x`3@F3VMZ_^H4B868dU!+A9!2 z@D}qr7xO$L^JZQ+>n^{i;23gSMYf@NRl{ph!EKea`{mASOT@#^B|bj}1^M)XklAT~ zVw!iIrw&1e`i36Xn&~Qv|+8Izd4BbNw|pauOCt%f$*h5+>^OUiJAYwYhk|+DDcd4 zzhgGE0E8_#6#v7~GeLE`aUeR{?mai zf4S5V91W{y|NNrH^j}U5l>~Kk+*fl?Hz9G-VUha(u-8sxuQ|c4t6{cZSc)Hyq5BlD znQh$(YG!g^GXj?Xqif5Osi#DIfX3SaIN&ea2&c5smcshaQ%Mk`!7gGjgVWTPTY@vxd!Fx z6^P_+`duW~IF$0etzkW8pT#H!g@AJv#B;%l;NjX4Uuj zmP|hM6q;{-fsXgh)&p_MBIl{Ao+BgHAuE;FvDH`}8oToxp31AT$Quvyvh8LjO>?LX zX}tv<{QDWNx#WsZ$ z?F;&gj?mUBY{XV)pu>q)W!fh&CwjuIe)AD4y2nH(*(;V{kArRt@2T zUYj$cx0~Eb=ICAdDPgpt)~L#8$u}4mW-~gS%uHotQ;_`N6NI2BNG#_%i4I;kY6S5* zoDz!q}$@$pTh_#?PWJNgcqrE~S~Qh?qB$Em}pO2&0ZcPGv)tz$Um6D88*lsXw44=RE+InIaT``7iuXQSKN)qy!$P87j6vEhTz>#G3UmcOb z>ZuCKiF)nMduHD`LoeKa2(yuPUHQ;4g?7uf`LBPWL21^NA84?Y)@G+tw1Ke78Y}=Ka zo~P9G=zN3!ODV;Uh&yEQ?ltw51QMKIn{U=ATXs0Hg_@kSy_lA8uTDJ~W;wLY<+j;O z#H!L)<%yl7#+z?xTWINg4h@L&nvAjyM=kT2MWrb#wzZ1g#K*YQjhxNUT$VJ;xMXiNwENb2 ziu6JXY|+MSMY@fFSH+!uZDhCm+z?)_n1&=i!CCG#b$N3|U4AZpu&4Ac!98mE>C3|1 zx6E+^gn!VJt7HY=YHkwots-CIlR+n5Xmn+{IMa)aG~U>232pk2c5ZtXzdCOYf0Wt< zL*;WotJc4rbb_ALISU;dD%$2+r=*}!;Z*L3-E#A@f?*8z(tOPlov(ZMc-h7hHL-OD z=tn(T8dA`^x5Mv_N`fB2{wAqhxmS&aiV@zy>ri5yXMSXYXqs~rzK)r@Oq$jVh+pjGLI%LG?Zo|DWLtOhAu0np&(Hsp3WLO&VSbARG?-R_AujKotzS2SonRN?Mfytf>=+sCKq^z5 zcx)fl7Pl=ePC?6Zmw$qSrxkRIC#@G5uRIK; zc2`&?5F12#wdgHOMj7!>u+=)kUUPL}ux4@4S#-XmXrG(KJfa(2p4tgLnJtT$FPnT& zRDo!!T~)7Xy>|b>fkkhp{RC$60)I;#N!OJG>yHWZ{ci=3b2E z3P;YF#<8N*-atb$LC+n=6*rb!w^ii{Fz${p;oT;thWL7J7u^2^YyT){KOk*%Gje}A z+lR;nMM2OxZZImIR@9RI^vM&%>e%(2+H>E$M)EU7m$5^Ks%;vd$aY(x5!a_dn5Aw7 z8Z#G&K-iTl)C_F|j!X|H_rQ!15&4b7KnRObXEcP`SmqEEHJa4TO13LP*t50y!RMn5 zO5@HB)6M{`P;=VqJ8s-7kY1>hE}DLEB~}C!ZJP1B8&=S_jdYiZDll*bU;FTp5M1EB zDxWwXFCgV(ljB^X*Nrz#sTtC zv^fiZO&3u$E!s}%DboEGPFk(BU{LB#K`G(4b_Rg!V(h#}-(;T02?6~z_q(5hjJ4^r zU|$po{+^}j5}3g3@*?cJG>!gvt1Za6@~V9jhj6`)0k#UrP6v2WZq75P+y2d&;HABU6iCs!ne9l;TUYfDtJPf@t!X(E`n6Qvu zx3(!br*W7V51*OVg`s)EIv3h&9WEKEoZd=fY)3bPK7TG!6>!zu_7vgYFz3JgSI{#E zPf+!k^Ww8oW&qaVL68qIy-5KcKg{EWCV4q(ITdgFYVDvod?+*wBu#_CBQp^myZO0y zC{3y#A;<^l)X3iioui>`LX()r3?Lv?-VX~M(9V3Z3D}4<%~e{^p&^Twb7`n3RVybA2O<<~KanlAG zFEXJ+PtrGUq4T0ACJ(v;5eO=jxeFeWIM`6NcC+Hw^!?Nok#Yir)&C>t>zyj2x;Wop)+%%?RZs+|CxXq(0NFbw_zGO{bfSee=~nWGt34h~Pap@8l5*Fo*) zJyO;-sON9rg>OI`QFy;@;Tn``ymx2AFrUfb-=tA~ux)twE&CYlvYYzCf>2k8PBY#v zyrlCq8K#g#KwEH3@TH0ed3N>Jbb36qpvh+E!7e2&{Sc7I+d`TlErJH05;bp@dhf-| zva6bvv1SI>^D*lQ;g_V?FxSliY$>bvQxN$abluDu(ZHFzLjFM0i_G{y6st+@c^3-i zWGc8c*b5;DA0UBxqRbUmJOzxeh zOjDsoPH^BVBZC{)`2?6j<_oQ!%Vt7r$sDHNe!Qy4)l>#u%XqQ}lMOEH?rDB@^_rGH zz#7(}1_k{%q%$<;`Uo_k1)V3XSgRlqezSV${;?5DY5}=xAGE)bOH=ERJzQwvP>fnl zF#XHwQW?JjSHKmInEvy$*EAp8yLa!_;r-P1gWq6Uc0TkRP)e!iOUV2j+#LVfar0E4 z%wOWV2Aw?FS0 zrJksL6@ae%AtkT8&s*e+FHP29oz&kH%3ZvQ{~^6XPhiVE6f4Pm7Z`dN{hY$=-=uald+ZGG;RBPX_aHR)Mlb3k)+ej!8K-nD~}t z{5dnB4Zv!trqdnBFt#nj2=U%*7;YB+#^)Iq8!HH{NmI6Vwur6hRT+EerwzS_oeUg1 z-a6~>OLAENpGnZ)o5bO>a|8q?*_1f=i}4~ie$-iy`+Y-}XC5gPYtsEe9+nBO%nkBc zQ5NQn+D@`8M%SR*8_!gvar}wV5T_HZqnyK`Fk2Jz7ACF)==-{riOhd}ORHq^+8_k< zMrD{FzoM+XXUQE=;8cSJz1X-kP)R!ajdCgmNksvrIo5!E^6csU1mCuE@xsjO!D%t~ z9S>U0mye?B9XD+)|ELO~iOgUc{s`#BI(@!xFdk;=A|hG?wnwDXg_-G|pdnTId*iJB zC%00DPBsVE@VR^o$(?NEP?Xin^lfFh_=WcswECqZ&@>5rdtis-2BU@_;A8ojNKl!z zc{cyUiE+S*>F0)dpgxjNZ!#Hy`7iWGn=H#MoVH&rokXmI5S#@j_{|v16i)zXX0V{$ zmYaMOhE+F%sSJyI2nPo;lycOm2Uv?&ZYRA?1V{By>aVU&{jk{kw3fh~DeCpmk6T?o zf>_w{Yr9f9GKrz`;o+P9P9aE7{dlv!caJiI)A$jE0O>pJrf!YYl7x(f;csVQbj<#TCRV|@aWMkMS8J&J4deXyok&H3Xk!b~Y+uS} z5@=APO#BJpV;q({EE+P}ZZ!NAJWL@u-=_IF@Ebdv_h@rCZ`~aLgm&^GM|8VWRq|1A zOfQ=sE~gj7DE0EKPwwI}Ix6xB0n%0+7&BUy;h@H8h3f5TE#^(2v-uTgU=A(P@fQ-(msHmV6 z{Zn^PRwSUJYHmLdlOb-84@>2f{X^{JC$k5q!>*5Z2{Y8wlei}j3J6m3mV?nR#SBcK z>2_=Z^!EG|B1q!1X;pms~iI?Z=&%oEJVw@~|Eb6Sgsb z;9RMb0#2JKcVexysGZ21DQ+LhA88MvS|e&*Bctlpkzuf@h|g1Oxd{eWHieKjR1bMn zA;Z|=rKR;F&_rJUWzyNSCqZKn8Eu4N1h2Gmw%!>0l+>@Sk3_PBvh|(m&E)~Rq#TV2 zNKrC1*qkRES@%F!`VQ(EVl`rWo~6DfYL8Fd&i}vPuVa_R>u=J^;=Ok!@&f@(4}pPI zsy%00lJJ_Ha?jj19d^f5opZ{A(?~fA7y1>o{I4V*6}NhShE^C-6Dbp;xu%st2Qk4) zGvK9Ef2@<*Fa^wm%r!x}hbMIcY}n=uzcwxzQ9&kxc(SHjRSWbMqP>6w5J(Gcx()K1 z0L{VyI1YhZx3fl}4LFtJgRuSlG&t=1S9L&%><}>16w(YAd~uQ=CV_{!oN2Ull6q9C zjtmDaJ5RcC)@|@nowlN3>J_EyNPF&Z``P|WULTh`b^IVDYaj#lkf<5rW}uBEjt2oC zk5K~s&EzQ9G`0d017L7hcy@>b z%uLwM$C39$wvFN9Jnt(QKO+PPJFqva?#(j-lZH13LVj_j`Cq(9tjt_?N7>meK&GHm z#`pftBs3-5O2&7(_Q{PW&$5YZL*Q}8OB*woPt1XTQ5CNBDN!9$Wsv#k(cbKxJr&&9 zFFi7P-^|nlF<|cNq3gx&jQ39uW@TlCD(((}S&f50D~7E|(ut_9I?dg02x*lBQ#dNF zYF7XhE`oVYyEfZHuX4;R3D;9OZG~s=TniJK-ScXeY_?0WErfb;hqS|lBJ$CsInZRH+Ox2sQO}h=q_go2y^HPkpXf_o~+b35|Xo5J*2&2be zco2dpr8FeO)+PHeDa&zPWpovF`@71hw~TW!KoNgx6^b zlnLE*Nq&~XKb$hu@Uj9@iq+hE!4Z{CQfT1=?jNJzUCZPA*#b*FSLBqBHk2XCFjkzu zOTFdXJ1PFCcOap_E}HtuJ?9)$Zp8Q}A5DN+aen({laFG|3f*-0qjJDu>Ct;(HV$)5 z>4dFsoi_yUuMwD8GWfcg8l8{bv3Zbd_oo@mN032`NgUkK5I>m$O%o<$HMWsVh}_E- z!jGeXv~HO_hP2;ElR-)q{9f*Z)zRV0=xK$J*(H@Q>&Hxjsv54WOtHedN+u42XT{|8 zVfFgV8mF%sOwsPD$n3YQ2RH`ifvd$Jj#snPyEz^_R6aRhBLrT9i+?t2r<2_z z=4nerQtm)}_GgjgUwY|t4v^QF(&xCr*vx^W}=B#h<8Bts`TUKp3kKZ zm_tHBuInNCN}Os-5`=Aw_Kr5+=F6OOKU{q-V%9Tqh)5V2z>DZAm(y)Go`!7`{`L;y zzkLu6#z2O|w-)HC?@VWQZdilk2Fossn1Td{g@HEPso;pspC#$PdO6H@b>A}m{3l(M zPU;Q`P8e<3&+7@o2%>r`CH16c#bn>8!()*yD$m}^hqW4W)12K(3ozLG=FuYK;;%@& z-(U`z$B?33RbC(60}6H;oPJ;mV35pRU1Zb->jkdx zwnfNYba@ZH?V#|ne@#fsvhc0HwimIEfVfGrJ)B@@=@`r__ECn+uwKV34MWTmIszoo zvot@PT1Y8*3uDQ&AbP2>>(&y?GU!sD;}%SyT1`j)VxxWJ=)r||gtSVDrM6#S9taGk z;TODWDdS1KJ|Gp4BziQj_x{-nVM%C)S%Br#=AM`+6xO@Ru3 zx(`XlWb9@;f|uWi4uvoQoy&#)87eWp6UKj#NAFbt0m672sgaiiM3>zPAi$kjfKRHa zQ`_7;56+a9{^yi=Fr(ep?B^GxcG<$56#kZ5vT3GZJhBGjcbnE2<}meGJ&y?}XV{L{ z2~jFc@T(k%6h7^VzDSDK46|ue^FVNdjMe3>q@ql20@RY(Nm~Z_AOGQnj|ukv(XU`s zco$5~Y*TaG`awDK-{bd|C7Gi?+635@?+OQPcKp#v$^n}-{;zaUnCS801#L9jP!>D+aKwMa-E}c_RRInY`V~ zd_5NmCLrZJ2T%wDmhap~hnb$h+VSGIy`zKHWA{5^CNL+J6im5T4Tpi@2IIk(&r;2| zr>JJQF#@wn+srDsqUfG?1}d9hJFTXpn5OK>_GJo!ZyCjJSY{zYXBePrKLgf}-zTF< zB+Ymr^LTMCzKXAjlP)=_A5w%GOjLN7GBpU6 zg*|hfWjTfLlhm&6@Z1{D`MXq7=NdyHJ&c(x)f1hlljU#Jjgl`sW((Om7uT0iP@)rd z`|7NTL8CpeCjx6VZh~8JWhwPxwZdv|jrFvOBq8vr;ge!Bg9Ld@j}=X4@xVz^E z2xaBzM`=#aoBKC6YlEH;LNxGRWUzYv;zWYM1wZ4Aj(dQ;I2Ct}Tq`#UP*~=v<19nK zQ_|=+--f{az<|ILJpu|*FSg;~HGfR<)W&UQ`bQ|U9J{!}L|*3q_TEWg!b8O_z;eGTf;9#;&G@tDP`?Lp+L|)zT$eH6UA7%FDk3Jjk zc-R2LYE`Uy{J(Lw$XkM#i@+?{9jMCOqcipQ0^`p`t35SjiV`@P>sxi?nqW(lMn~e? zZEjx&G#da0DHzDg&%o$du z9;|p1|M+uSlaU*#V6ZLl7KKOHD_53*h{bPDH*VgBaO6!dH?e}LgC%rS9S!eqy3uQM zT(?B59y62BtkW=1W4^E{C-%k3p`EnL;G+HNEK&MGAxS<`yJYJQ?*bQNv}p6B$Y5-}TEHwcN^m{vQfYzdP7sy-zi+lM1_@X9k-a7hf+1 z=NzUb$f`R(xHu2f=&9?|v!4|8-cAP>PRSXdu0s41yAUM5Ei@FG*GXL9a==Jcj^3sY z$R2WQhk8BhgphvyOOAw`L))$yrhZH&vk^OV`$G*RQvy8{jH1S;A8zmH7I*yim9McR z-o@9G{9irj?*%*A$q5V_2Qj+|Q*2HYzR zyCQnzSp@)~L`@3DA^}D|I-^dnN_cQk^BE>efwP3r3e41I`(%D=w-bwyfpif;k_XXa zU^4)em`#55$>@Y3eD&AZ7BASiRCRwu{P{zQ$NC~2@dcijZQ2}d$aF-g%*yM;*{*nL4lF7&oOGg zdEQ8$M)Y%rX@EYRMMMe`J*pc>$PbTmwQ=cha!#Iz31GIHdv}Vgis3dCMrrbQNi!NgPXHRpCafcd-WKlI5KYPihA43o?DWd<8zav)*qGyWvA_{_F0 zz(5d$C5ti@qGRYU>zBFl4*-vZu&dQ6iS-KltcIPKLF2p`?eHPy8YKMsp40x*nE-3L z?U^<3kYU607MjBn_V{NdCXDUJL!x7m#=-k@0Qx)0AdqzeLUdEnuOW38M$G`YA?W(0 zRtQo&y%0jP`7DD)=r9CLgxl``AT@1=4E_T@#rdh3-Uw;g9OisMPY?Z(8i&Q3?&Ijq z3@&N|$G(6Qe+&vY6@`T4cB&qVu$#PgnH!8fOyt&dKfu5as?A}yU2$sgSvj#9%tp!1 z``52~Ki~?VB-h_{bo5I@f~vwpF3#jqrW<#y-SC=`fZm5i?^D~ZL9*R<%wfL7p|CKj z8G;j~QC^(WYCA>kZA1H_eWfHCPa7ZXi4L6)QF%sjh~eM>M!EcVzF4^|l7Q?lppjQY ze|w^-AW-uL^yHospD@}8$ec_uxM|h=J{h78P4@QXnW!PKc!LXtmcExJtCgFD&x^6Tc^=}Sfn_J_KJzY48D2^ia7e+eqD7ojN2c0*n;2<#znV|(e^c`w zNlE}gHBQHD($KJfP+UZ|P>@hj%u(XUY(4{!b;LW9pO5eJ?EcC*&rJ_L>?W($Qmp^@ z@r=8%c)~H3rc>$>N&Fg-kN}%8NMRRaGKqguSM^->Da`cD#zblG?l#UbO?#4EjznS2 zz98jg(Fa+)TuM?Yzz5h^yI~j!=PI_D3v6vSGBWaMcZy}C|#OO-tuD$C_3?!9pg~S7fv@&T9t?N_tXNc*#myX<$({~E8Nge=D zdm-wXZ?H>}XT+koK)9u}jqYYSYlBfIsDM3mV;*V@LAWF_8@rO0AXdKj`A+c4F1ZvA z5pDG{>n1I1g(>y>g;`J#oC|&R)?mkpR~QX9E}sA-4gm-8fFb6$=1qvO)A4p~Q}V$# zFcft&sCKjGr#wQsknw?&&!AkCaUrAUSq-5{iI}Q!N);bwa$MFkBr$U?AN(hA!ODQB zL&cfhK9WytB)O6j3{3ewMxSeK4LAgM{E2U;5oA8#MhoTc>0m#lm zl%}$tYzHqRrLUxQ%%jR1q7Pa^18Ps^+b?`Dy9Cj84yF^wAdC1J&e%skzxwz9CqV7Y zawCi_L^XZKxzn4?ipbg_@$Ys1i|(F=vm>p!Da(eo-_L<5_J3FSud*EZpu;Cs#Ys&{tF4ah~$+vivFhux3a+>cId9c=?_tG^R zkXi<6CLh77x@v}r64Aw^ygU)TebV8fTN^z`&fWMnBg6yU9e%4`vd%DCTtA*`dhLrE ziSd)CkD8=K7j8Abtuj9bnaRP=wgFw-db4<0;&P^5x9eYCld*3{Z&rcz7%U;tK?FgU zBV59VaLHzbOA<+rifqDbK5CB_B=UD?8Atp11wyWROo1$F@5Lm1q!QO?kiymG?N%Z+E&XgQZ8gX6PbD@aGp5m#CT({lR+#ZsC!Zc6-(h(vHj{;N>MPhc@ zUBJS!0||tQIZWN>3Akn4cOm%5{^U|5Mfd6uSM<&Ot{lQS(qbc+VrGtfNfWi-W8FV3WFa+>_B!Ozv+fUoOTda%J{KY`jDXRm8Py z@QR{CShr7tiW=O!yCeznnb*hEKV*89dML-W^ljmYFVUG z0;!@>s(7Pg0ZE1_KoUF+gAmp7s{5>@^&kYv7DM4^fWDAPdx6r0bOY^ix7v$cR-T#= z4=y`Z7yB}~+k|0-jYM2J3A%#rOKQ0>=R{FGWit^ssGzTycVq2Mhc2z}#s*R~?F37B>X4iCrZh(d+RhnklcINUf3 zbL)`us-|PZfvgc)Al(hx1E7ND5CpAwc&R7DWA{{Z%0i)XmkVu;mP7jF^8(DqCU%R_ zrj3m|T$Lel_~_2Jp+ic3jdVU6h8vYEe!vv#T$}X{J!I;VX&{SGUvb@p;J}H<`1oPa zn$;fPz~0mGsuh63HJd0h5c&4*6vRYIQ+Y1t$rn@W+LT^w@m>TcSh?8@HR^Uy-d$jm&-DniIk z{jQhe97nnv_viinb^r6ak8@tH=j-{rp4WI>kL!90y(`hrC>MeQ$DOiw1MH&O=M?JBZQQinv7wSjj~Yz>)Dgh8C9oWgq@X$=~+YyZ9`-gc$a zF?0>g_PRz&z?^e><4~vEMSc6__P|{!9Y7oJHK_JW-GRRAr|0a1gXl9~W*TZ?t2G-lluo_TL`N?ZJ` z<~6mTCdGASR!kxZ+^di;5|P{?HHYXbgyFL*_wxQyoKxSU^UW(iG}R+%u4FAz*Z=!% z3iKrew?_y`4sxJUjlk`wt#qPyYB7ryZPYgIuaE!m2u$(eA!%3i_0bZ{Uv(?lwI#ZJ z4kJPPXKRDP+4tZNS56c|9G3!Qkmg{&iuTb74?meWIOV3D2W&(^cUaC*fZ2r8<9@%8 zohWJT+kqc4+f+hDrIC(`5;lSy$OgkuX{C@?ylQ!f(^Os_Zfb3pX=81T{q%$eOhg1g z9P79qJVGk>^v_y$z{2?Yh9%>^6li6~OpVI0S!Dv14I*~+=EEZ33PaWbpp{MjNS9%e zwUAM<@#?#m3NB~)-l~b3)6b9oRWj(@0&{p2%z>Dz3P%lJ%xV(RH8F#NYxcXEiD*8?5F&q4HLiN_OZ zdr?@wAz(PL2Otr%wN^fhmdO>1G81rm(+3!)>w(I0x*p=HQu3o~CP6)(wg+|%9Oa?3 z-di1%kJJ8?*4(dk24-6r#qVEV)spd{*6cFBC7_=#O!PH?v9~$BpyjyN?wAj)!015f z-!xObP^-5lE3I|~mKI6~rA!S!$A2GdQm;4H6rEWM- z+`OQ*t>d$nQSe+JFY8&2`}`k3 zeo2}m6KAh<%QTwrDwosueAarMU$}248=TGF!$oWM5;n`hQyWLX4aD{Y>V*RtbVFrZ z%Q>zWGhR|d*U$BGhl)2@f_+3_MEigjy$Il+=v~vTuPh%j;mN|9-8#2S5 z?dj{^?E3N1-H)&%C9PUA+fp3t!HI@)yMB-owY%7&@~yY7oN7sLrP=TDSsS#8->Ink zrB>eC9=!0^AC*hDe$=BNnEW{-Vos=e9H`E`8ybYOm9{}<0kofTh3}`1nwlD!t}|M} zUcj%>%6T&dKIruUZjtN@kT*7M7JGdu=}Zpwv5fUD}H zrRx>j89NV?BQ02%u&uOsYazhHK@$4Im!?wTzpiLIy>UXmHTDE8|+L^^OCs*Vb?D zXnAY9S#0ukQ*xGNGPa z8#Gw4ud~J9q)sAbB_GdlRqT^K$Zb~KVxGvr5l1}v?ng2uN%gEU9WlJ@NKTbuvY@Wg ziAfCT93YpuSx>>Pz6MhqmsK=ogah_ku1@pm1GW|yphm>fR%>TK`|O0rX_+`UcGqA# z7_EWIut&_8i^VzdcMZW2`oROgH>KZwXYVnHZTgz~?*Ul038*)|)}b%cRCqb=75o14 zWh~+w8c2b_#TtMS(y>cH8P2!Zqp_E~vQD*E|7Dwr{uSSea1DU|I{~V!7P|{X0iZzn)o;4c ziMe{C!}db@Bvc2xr4N;DvRBH!#$WbvtGaajZ69!-;ke|m>}e2|L!7lwAM$ee;lOs* z8eF|9$8c;LSK2J3wATArhR9`lm&`=PAY7}&v{31auV6~00nKe*`Iy=FJ*0=^wjBT! z?O-#Tn?9hYB;o3kpZma1|D#-u7yWFUciBfIO`dsB?Ru8i_E7$^jYsxgvfjX@v`-`? zst2HG7NhJBZxO^%&C4PZs({Ukh@C-yu(p`=jfhXZH$2x!|AP9ic0Kbg)<)S*a&21- zfUBn43Z%}RzE9TUl=D6H;>PMOO=9<-be9=|CJuY$!JzRy?sxi1xwms{PwypKmmhk{ zKX@o<)ZD^&J@?Hy+W2(&Pv^dbaKGXmx7uuhoR#$MV$1bcU!jhlUZ7;K@p_+~_ul?E zP%x$+qJyj-`CsqALgzxe0w{jsZF&`VoQrB#zJK zZWWdKyB0GE`f|Rz85%(HJ*`2yCP+K5@PL2G`8|c@(>EUqIQNE zI5qS9{Siqv$_=gi1jyqy-O|o)1I1qj_j6N!xXOBCu-WB@r^FD<7Wgcah41LX>PDVf zH6uWHdua6&$QmQ(s545j>~%PIYn)x1#fJI%`rTfw=w|7z-7d4^13}3G@%rn4AY)d- zO2=8#3^r3rPy5>#7pI4-`{zx+-zbhS4vKsgP-#s%Qgj$|`s=c$5dd|TSI@N%yNiQK zQH#w5jsa3!k0dPFR>?$u$~3D>UmFM^5JKhEtHX{ST*ZEemnL>Uz$iRd$=V)?*IwZ| zB}snu(x`vL5q-NBZ26%xn%_EC9RPkJ&DQ4y<%jcgb*>ylqF#sqE5Yqy_ALXS+T3ad z?EYME-cH+4Y)%DJl`N__z~$fy+Kf%&{K-ws0SKkp4;LmTN9`Lt7XsZ5`VM@%sNLg~ z^@!!bb0o)(lyA#$+~}A@PgZ1me|bOBRfg2HG*@JzfpBnC!ZDqt>F_OqPdn47UV23X z7&!Z|r#@bt>*#(6;Wj}PC-k*YNJ&4x`&|@Qnme>UdnB0B&#YaTUb$6AO3S}JBoJ|@ z-}eDJc{8|t7z==4ox$ zHysH0nWucm8`b7fwaz3w;(VypzUon+=QD`Ee#dpiUN{KK?ZBat(rSJi;>*pEb2}D* z>_N$))ulz_mdf%yyDqw-AZqnVfrlI zR0=6w?iv{fFoUNmJh?iMjg_^w5-Pd&3inYzIzQ5Oq5HCI=LPF4w(@{bt+n0R*Kfa{ zJ7njR($dluZ4e;YHGKlymUa50?+81S(yg-IZPj4yfZb&e)Q#%Kvhg$k@AgA^`-uO3 ztWvE(vus=I-*>YEl!LI3V03}X90@ny3 z)LI=9eNyRf&^!b8-Y0T5ZgnY;^-Y}xepWxmPlo{oiJ)^IPM!cD1Ncrm+CTa{@!4{i zdiKVwHH}aj>XcinXkO0RZZW-mV%B7R{aq?9DZDu>;!}aT=JA$iCyrd594HtWBx^pn z4Rq5u=%#{>HWo#OI15Pc8K)1kD3~h@$}JvOYe)OZ)j%@oP@m`h#>Sk+UN$WiW#zmW z-qwpa#?N?;+O|U_)pIwsc`p+|27WrS;scNdWnM{YNAtBK-7c+{dFOQGnaqsSt`@51 z+Zsc1pFX~OECmf(0z^JRg8NAAXP~f7L)x(F?oO_+a}Y6c<%`=nc4a|#4kW9FkV%3+ z=;w`j()I%)GYRg5e9SZy*c5@H@O9Yqxb3C;EM#-hrr`nrzx(Uk2?1U2Qi zTUBZzl}j!4;dbzp+RbN!Q`il4tM8Q$Y~S}1DSD-dk&P@tKzg<~aFS6cIA@Dqk5%cg zEH`KvSi)~wkU1(vMfy{`TQ>@m`}IR?1n*oK-==R(m*%wi!W44=_KD+#3{yj9u;xA{ zvNp}a+?a(%RP_%$zQrXEX{Kh4CudEUHt4CVr^LTFF`2`gf$!QnM*qqFQuq=qd@So+;fQ+VqkEnYgC!D!w=U<7N`&{<>E!AaObzw zmWf9T?T_O`;pMWj-vvnFI0B>`xc)=07E!?+P;lizgtfyxp&L9q@delWXLq<0Rf%L02G zui$|QEC>dNxOE%oao}IF+i%))t_CXmP6YTTSI9%^+ziy7m4QJ!qQ?_LBNuyLkuEdI z*f6a0P}q9;mf>sl6hynMex|2gYsM}5A%^JgqbOHT6{SEC8vEg7ymawwSbs$+LV7MD{w&`BXB_+m62 zY)54z|L0);=sBb7%!gP663&#lA4-Wh%m9o_r&J>_1w?JOlIt7ZK-t%3%=EX}ICSr6 z!hrxJV`<<6Sxm4|u3R{D;M_Gx=r~16M!8bDI1GOXTT%y0p@upBQ0C}or@{w5C${~| z+>+cJ-uRpzXL>f5t(0AkzlrZN_KR)473~wpClF2(ih=4#{sK4 z8&e|&8xo9BHaQ11tP9*nZW$e!nb?+?w9Br$+>R||HJ#~P#G<`5?-f-w;Ga2%&eKq5>G07Ugjx4Z_M*rM8Ek|6lvx1$I zedz|Qe-W1!d4pJLhkD#)-(2>*R$pw`ZL!f@t^ld@JSoRddy!p?v1URNI|xaRxfC%3 zHHT;%XD{q^S^-pWBrt#t3E1!;L@tGp8D?)S?%1n&ami?9sen&|oW zZe1Ouq~mPn_R{6z8vLPZ4sjk4v+Nb|(CG)St}xq}KF!((Y)|_@N;QjQz^wb?s=Cry zurz_h!Hio2AJ4|^;ymyFnSl_H_CdpinVFex3ZmYt+8g0cGOk}JA&fZ_D1$*k{hl$p5`!KZOBdpxfA>OF zihNhDg=uo-?>DY=$cBwp#n^bsl!mHv$nwl#Yj@60`t! z7*DZUTdB0dd984EJPEn*JFsfeUXf0@2S8Wloher}#eUVhYjMmq7m+x#`b4#X0FYt@ zv%-j{c@cKxuOIDj6$m0Js!qStMij4sR6XITiME;+4l3li77lNfmz+}kTPvHO7x{e6 z&}uqfjmf0SKR9;qE<&3cd)W8Jjt;7?tOZZ+`>n?*az+j}TVTJ!5rs|20F~46W5kHQ zsX<22zt($K1txnwCWYBZ`k|5whmaRu(QM zu;wn)%0DYX{qaD|4+5YeoRlv4+dBg@0W)qL5%RFY2(`j_I@Nar$xl@U5yr0WV1X9B z`Pr)8Uslw^I@f4|GAU4Kx%m5Q&V#IZRKu>ujgHI23OX)z zJckN&C!-S|;W#~qK-9zm^P<;j8Fva&ak|hDG{i<8AAD`ZMc{6ybEClF*i4Ybv`N_^q55AP?1k5@_U9K zKUrl`3WOqv3)Y2b4zQo?YjqKHC0VvON3!bY^)n17zb<=&QM&WR1z#GUU7!CtN|eT- zaxVReIGq=pxOHaG!`S%;=9d7jnPI7|M4ecLC7=n|SNnm~>Up^}Nue%3+{n%v!wjYCJqN6~=ZgrEQmS5>?U|^tz z6Xk$<76bbS*83EFVob$xcMcKCk!q|ly0dc$?WzneC!O2`9jN~yEFW=?aY93%tn=S; zWCCmVSRCP;{Z@^2w>a}!*&lV4`b@Er;{-jlq{vSD`cgJhc6!ZoIg-^_ya!R4{qO=K zLU%oB5I=j}w|yUjM@`48^gf+TV#DvxLCtdnTw!kekgo+AYjQ?P>i2(37}a|*GM2V> znc-(d2^id{4T)R7uG&Kd`L?t_zoWSZ_#vJm=(Wf6zz^AujsIis2USS}e#o@q3WB6X z`ca4!etObV44qT_O0A$`bBW(V_}YRT@c#uS`fM82KQ#^HjD0!(dvJ|sAd^jaJU@M07A}H@ zvVQq%+{r*({=SBtV&qmdQ!`erWro0!kd4eJHj>AQL}ZEirGQf%z-KQ)&=fykjU_V` zxrTD9h1A9zE@vqgJ#JfB4(BMlptq`PZ+tg{#DOlq;777s^7^b={$T&jb(QV^L$Y)b zA&aX>TqBJ;zTd-yC*OI50&2Ja>*%YyIFjxoNP;@j{DnGEMeqm+_*J1hr$`m#zuji( zL}OHdnArZJB%gF4|7{N5n-e4Bnub0nX_)sr7=#+BO>y|W3ML=2{t}l!!R)>HE2E6p z`cCK~DLjs@;SV7hKwrH?6A5V>_e?8C70DBA( zr*CEZ7LT(MxOMlmEW+;ESnTRww088=^)18ISINzn$in-T0|EOC8wAlBr&gNc4fK-ePyLY!mD3-&u&WLO>n7%~V#iT+aXHlag=1 z{b-FwMXE-ot;d+M{&8c%;Na;PAPUxs#> z^Cd{kt4ik2Xx=uhw#>DJ`BF_rEOBFia<8VvfS$!z@=#*Vd8fvlnj^r?fwzl0ftX>( zvz0_-A#yhbm|>QL8Pa`?nx@fcT*T{2pm;FKPFBo$q$)rZ_pYx&S8>crS%%O|FVc-1 z7})prI+|}nh!99U;^4ru<7Wkt84nsf#LT>G_o8l*fBlwllraD=il|l8nX|~0v*%Lh zCrBe@B?tg&{7tcvau-%LVUxr6PhZPgn6pJ|+A{5^-C%iO(hAGO?P) z&v=(DdE7ZfP8MZ#D@DuX>_49eZ))pb{wRatXun_``!l}qGQnGrp>2vMAq@+52dvMF zw2te2ROP>=jf>~9DtQ4kj{8n|H3yEN7+{mTv~ z-8T&)JIrdM8j$vTEq&(hkUR+l&D)}DlVO#LGO)L|-=wFd6*S>f9~DAPdB`T6SInXTZ3;CB0wNbnh#DUP6%iY9dFFBYpN5BPT$K!x?O5V2(4STks>r-4Dq7ux`S@Hd0_)szhonTl3b5uA

~zPq!52QJjv#4lK=5}vybsY#FUGEoG6n3dbnRo2?!87 zMylw)7-4RHbxmVuX=B)r`&Qs^)astiaV1uQLchrf{%-2cf8zcAY0ObztldL+1L8Dm zZbNbv4yTk8c`49yjn8(4aAOi^`M=HvfNj)1?+=6JFmT|AU9Jj$Ei?(F!kP)n7cZ>& zCd&i-WxJjrKau)KiS0`TX4$JCtSLTMcPWv+KVj*Yy%+HXkqcFKu#qoqly5IP1^VCi zS%Nxv+m0!TI*u{m8&uUdi2v&d|5e+^IYBhnfPK>Y+Xqeo2j6X;gTGA`EHkA@@Rr5U z<=4}Vp975DMyUN!NjxRAWD5T6MUmODLI6Iiqm{9V&}`H>Aajg#R!ZzIJ#sJano(mzcLIlQ#VWkY zvD)lPP-oFdc%l_Oqv7H@p!cJ8W|)3Ppb{XHrPWT3bx(A4tMo#NuFjmAQG5T-aM3=kkn z>94J0Z>g`Rzd9ve(I9O$_H)mU^f~ODpfUcUbv)Q9HSgu|*EcjobN<|u{=XnD8k4xo zSG`y8Tx@%xTmNK?2883PkvbsXhsZ?nn*(J^Gv)R$<7z?9rGeI>uT_LoL>Ki}O*SAc z<_NyhBh)#N6RK4P3H5RW%xh?BinYnPHM$_QR!MN6y)XIxo0hRec_)4Or8?yMxrJDk z8*`zPk7M@6wM%{vYs)YS{YQ)!mp8ry^51@U>JH^A65z;xQIeWmka|&>acW44zu^!B z_F%}*8NeaJ&i&mB)j9IKHADRoX)Fto{kP4o&YLau44T%rxvl4<@AO_Jkb2ESK2qtZ zqOn3O-{0xG;_gZG-njPqNbtu~NoMJm=`ZfZtTIuh_~+K@c-f~fHqqzZ_s|yDeKW3} z%Il2&FvAg5)Dd)N+9ji54lUOS$=SA|Cd2i(YfaNnr-!ndW>TvA#tmmb7G+->=0Qa& zW1#a|_Y2ocPa-SF?*1Z?H%FuGR`6|&h7Y#nq{OCwL{?rt*zoe4;&=^l);YJ3MGS1D zPLzp{?$OgNe(T4d(DNWTd4z!KmCKjRx~rJ=FM@hjWDyaqjABc0=6Ce`-R=kU1cm)6zy>nJT3?0*p-ie0p6 zGfpeFm~YugMsr?v{X4+68&nF zFiX6Y2?r80W+u2j%P1IW(^gE9Usy*-@sQek;uQbOg$W~-#rfh{U?aEGg-;--o*}gi zugaQ9PD5O_D8vDxlrhj1@=A2h(__r_p-)c1LcBl1`9;TIsw@8!pVQm*gHIw_9qm;3 zw)hm|C2bW*+Q9!4Njral*;GVXQ&SfkJ>FXHc$$MStr%aAYX1}p!v7NA26LAZeTl(- zulb^W-l2T5HIvVB5j*%d8Tj)hC(hZzh0g;I%3O6N<;wpeyu^3-y$f|pp_2UprF8#4 zJW7CC+e7MkeLX1*_Irc;{N#=}YG$i=jzzvEH+QGK z!J$(P{8^c3P^+apzMr>@D({tkKJUe1@+b<2tDm1WCCESK2_@QHa31O$ z;iN{vdZ$-A%aG&OY#HMdTF9l3HOAO;E|YfDDllRF^OlR?HSG~ONj6j}uS@`%-m8}| zWx4g|TpF5Rfr-?}+$v67?x-pryE~^2#x^9smk2SHE0^^3wQX!zPx>$uy7LDlLevvn z0|IT#=3-n767XI?lu+lGOvQ)60;lPr)^d);i6+d`-0G>X2?tzFiMQueH9Dy`cbNTm zs2IPoWe9KmL%YN&4OX-)=*CULXqJ!f|N03dbc@>lJ;YJU$(8iwUwM*n+}vzBu+hd-aqEY}-60O>n|QNezxo0$;?~P5;;63D zOnuRxq693I_z}fThVuvRI)9$`oh}*`Ezlxtsiz7zAV!J@7sFk-ycJ2!KOV!WEvlk? z7;B0r?^<0aKCbT5H8cb)%2}Mxkr97?&hOohN~);`*(_z+rUHnVdyeN@B#KOD^H|`0 zTG|MY$+@Xr$BDUbR3W+afwB`TVemf@gSkjaXq^k7yJaZ(*AIv|HT0z9mSu>5;&mbZIaRPOy7^{ zdqevtHzmrzk_!xkc(@%Syvq()O}&8L!|SyL%C$G zbI-kf*+Gax?6nQ(|9f6IqIf_d>RznWOGfuGWGo3-=6{X~LKHRv=Ijyd9B)mEZN`7B zOU~G-BDlSQkjb6c(s?V~Z3WL)hj~SjyYH5HUYrTtxAuqVd7(^;gROUzlAUK5Gq0D}67&${a5yW}^A78xU0yB0o^W%Rgiu}{z(H6nhc<3#MSw0W{ z^H0X8v^`uogl7rs{HJ66gIPYZ9cJ)7m)df#;g8f<30-&^oaDRVuxKP9EwMy{E!8}C zdEudEyRw&|oPYMBN4U>J7l3^ihmSEI(Fj!g<&QD^lq;XU-9azLye45(Mla#oO5)0` zUJag_sf4Dw08Y=Bw(PWN0{Dsifi@O4 zl7zikLc+WfiAkYbM80oRpu-(_NcA1w96b~2oK3u2cbpBAOj+ojGckfk9Lx1i>U9i$ z_ya!kPp12)e~R1#?oUu)?jMlxmoDZ{?~c6WQ7WPB+r4L!Rvyhvmd7ielc}(o45)~+ z`9Hs4p@!3exP*$>-Jftioyc5B=UNINF4w7R;BpO${mkXc<-Un%Qj5Y4cDy*-ZTy6d zP!Dz?rmfEDfdiaVb5VCz*jH5r>L$u?*NNAhTXkJ*hMF~JIA5~mj`B?CS*9H z=b>ILi_)t^_XavPwvtNLA8hF5BKDI{qWEDW(yOcQ{=+5x59?K;0djUMdW6X5CCtqK z*ewHbMBOBxjn>W#UNVE6e3-{4ebl8EmvB*VYp#0?SF-*H{UZ(%^#E7{=|?WBi~W46 z9&CKWs404rC@08pUXX(q@o*yX;0x9T5A{FHA8{nhFHlx6wN2keeyog(kib**GI4`* zyRi>67B)hrVCP?`0;~xUXDUBbQr0VUx_-&(^wZ?-OtR^dBXJheY0vB3IeI&IaqbpG zvwM5zt)wrz8@CXq2PFf}N)^9SGKu*4^0_+;^5O(iJ08yvpmXd)iC)f@AhwECnC6uxxX!9b0kP zS7*cEbP*!C*CzrM6p?zmMlc!2{Hg&zwF-357>un7YREsucR^>k{XKWo6)cR3k+)RM zMVU>uM~~}G^(46lO^>w7|UBIyS21P&jWB|Y!h=L+av|LgL;3)6(r zp+xW8pQsT$ix1l`Y9_cOl$16YKCx>(HGG37FZuC)%^gF0-E7X%w@&ckj#73>8)9wy z9_}TPi<@-sKe~3x4Xu(I5cd;|&v5T)ReU!(c(o|QzanS1Ip4w=u+$sge;m`~4v!vZ zTU~&Mtx?Cxk!~F+1_g&+LdTg`z(~^67!Jlm$W1{JN`(UZj*)S7(6Ri3|qPwN^C0c)2T*RnXDnwome_Ko)b0ENp} zn*?x$+gD6ih(l#T=;YZ*(g2g1{(NzS^ZU^N8`@89Di4A%O*Ri63oJ`()D)DQ8y{4a z5q)38O-Ul^|Dv&9Q(NB3-gT9LZsC;h zd|IPOG}ri;t&7`en6>}Y-J_LTo`2Y1?ws#wx@fLwIejv~?+LAQdqUSO2tAr&UDsZi ziwOyjsKepwKy)Qa&%IEZ)`2rBcxBO(S+sakmFmQHxwp1!VeNI zri3LvT;oE2S1>)#Y3PwaYzjs1O-uMto%u8W4y`lxgKfs@#HtQNk74#v=+1FmNYN|I zb4u~VnHOgznh%$pm<>bjkg~S+_dc-W#7muzKgujZTwy>w)1qUK{k+_R%GVn!MPaM>vvY2{{K1r~Z3oQy#)$~j= z-=y~Unb7@>REecqY$sBd)N(%CUehsIB5FNkzG+wLdDporJ$dojoF*IOSG!Wxe(!@D za|GZQWUjmvukH1+Ku@k`ri{gPK_?Mh4EG+EV zuVZ9nWMHsXUDPmqH50wh@ZTQ3n?-Qtf9a;}n--yZf1X5ZQE_kUbl>s1(?m!QyZaDN zeh8Xwt#xU7j}+IPc2WUfeA+Lhm?C&%+6rfNzg|-NzXEfJvOk$|=acl6&R>{3(OuQ& ze5rd1t{Z+Ss}TQsTZ4eX&dZ`0Mcex9`>fTWqn>=X#J2g`uqBMV62M(ZS6!Z(TMvUv+z=iggrZ%f+KJds8*BVbiFB!e-Ddz_;tT?tx#^^+qFTV<>p zJH5tcd~HJW_Gkv6L;P;rb4-`syOlt?9FXvzeM~T&Ic`qV*}`qZUq%O;aLr3z^H+;S zxF-*dwnstt=W!C;9i>k$&U#qs@zJ4r_$UqnTNntFh#9;);FTXv{9L~CC zWy89M!VQQ2B1|0UZgh$we-dkSAObM`qqtQFTLc|=cHlLJv*)d6Tf$cFDbPKl>7-b9 zVvOw-e~lWgu(Fh~x>F*BF7<BFT>kp;LBW5A} z;sJ^e;@#QSpQd;-m8m=~h|9{#`s95=jk}M=YoNfJ+0q4hO*cayTGfz!@Um~_Y zVzMxLTq@O@pcKzSKHnP1RaJ_CNuh6e?_r74UgWjFut+dx*hvsm!7Wh zX@}qwd=Vd?byZU6dPX+95FaSbmdBjnI_Eh0LS_ZWubl+Hx@o3o2;?xhGnn zrC(St!(Y7C=8%>Q*PIyJ<12>9{|+Cyy7Pc#6wZX}Zl}4^sLV`Q+>YLBo}XJSDd_Yo zRXXH~=@?uHo0^%4e{f93Y|hKnY9S-(zy;u+_yT>?mKuB-ZQWv9L&pSU(_yd_pd9OM zjI?yc`vPpltK7n(GtsklPJpE|LYcq0?cv??`winjnH{Z{|DBCRO_EoNyia@dH6S1W z7AQJ8`nCGNz(8VR;@c>;$;{}rWYga%mtNAu8tMyd_3zXZW4tQ%)_ci?jsVO2{-1CD zNC9N4^OzA+;qx}K+$eGow))?VokIf(el|v8Pwj^Eu&WIJ5=r+!eIRd7V%({gz0!G7D+FC2 zK0JDRbWp8e*8WA#cezK@8kizux%)+|-L2tPYj(dB|A)RM@gR1vIrwLtQebOnqE(=q%Pbc&E z4Roujr>V+xssB;7fbyKRny_z3^$6ZS`SmCDj9|m8_O4p;Ym@+adn)5jzbt6{uXPt) zU^_G*G&-n=4H>J*VKX%)wRb2>WQr7{nq9hElT0l$UWjKO2~L*9R=NaxE}Msw!6g%e zt+K~7FPpixkSO3~UtE~5v=uoP#JR&?Sn|{~nQwR)obW|QUuN7>JrelJd_c6S5{vp~bv8}N@`N1ZPV>Fv ztDMA2GQW{1Xm3jI*)5ag#4h19Hf5UMf)c)g&_FgqOIvQ2U1)( zXy);@O?Z;!DD9g-S+@M|v9$(PVOiO&o=pnM0M^#W1#^?>!h!8O$4S)L3*b7sOi}#VX5} zN_wNaUPZ?zbhqA#x=1=vK7rsAWsU~t=uTG&1~z^jRTfOWm>4izczS|}@_e@}r2B&S zr}sxsLXW>w9|k|stt_JNjKY5j0=ggHH+&#A5**7s=VdZpPRH0Ol-d-sCcl3aaf z;^M8j@eZB?Vn zBD*L_Q7j-GPG0uhAtQAI{m?VU_epmvm2swjIh?~uztB5viTM7TN+d2F=MIF`VY`-u zlnSfIugm!rOv#A$<${j0+i)({@tb&X8h!~{vFL&CY82yXayKjOf1ErJG~6g1e?Smd zPuG_3K5aeNrL)93Ek5z*)&%s3Pzn1n#%(0(3+7vzQcXwr^qDhjhc2cv{8U;|9AHYu z%58O-M#Fgh%bccreY-M6x}}s$o&MW^sDOyZeu`g#G{9MbNujI-M==V*q2|<$(^b|1 z@4`owcV;zP1P*^ZF+r*tArUmR%3i_Vc>8GkIlnr_>E1iNrH0)Fub(ZDBfhguzgj?` z0K$NlPykVpLYSVOp5sp&B9p$(4v0O6#nWx<4DU(s9TrmfFvNv($OwtHo346rW;5v| zbn`(hi@;)j!?`l<#?!q)A1=s_vN!EmWYzq9wU(+dxkKP%%Rh@EMSpLS(9AZhEqi?? z9~9>ou)E`q+_+>nwM!?k@qD?CbEEAoWf`Q}rCbvfXUYq&8NXDtG2|;r%+Hh&g5936#nfxvilaz3i|UW_Jt!vByr)(!se!vW?M$5XN895J?lHQpu&pWhEoH*1B?mjsE zr)a;5-+p>BbN_QM##9~bEw<8qm(j*5rXwxg1-bWGme`I5sMV8~1$t{WDo3ppc2!;M zX8RdQ=uXL6I9lZEblKD-VwRs4&xyotJ7(s;ThDXYp@W zu>mrJ&W(T*tB^+( z@t`5O0ihRwN%75HGUd6V(<{lQdp$XE%|^YXYABK)Gct@xEFIH!bFHs>Hg~eYnE6iH zihJlXx@sFC+?QSK!*bXzRzl+!%yY`p14aQ(2ec0r-!j@_vY@_u86Y%mD3qS}4}fQg zN973Q%aX!*m(RIFkUM#bU8%PB5LO^M`oxkO|H3+A))Jv5%L}zD z@jvCXhbYjLBW+^IR7P&(KjqkTJcG_+CJFB^PYB)=S~Xg^t1&@f`UJhsy6%v(Nx`JX ztVEiU7n@dL=h(F2$+Jnvhn{qU!~TMK?7XaZZ0uHB8>FFgCgkUIrene*XGq_XbOn}b zsfE|!rGS$Z3-==&#&-hi@ju0U1nU*%_KcO(M6SRLQ(bA;`ebYUDS`eCvZaYdw^>8A z>-c6xb9+K2SqDY~hTn_paeUKj8SdMsR)^FQ5MJ7f>h{oN;yuM#tjHe2Cv#Y|Am-Id z!(zi?NrPHCT+`LY(JJxSkDp03HOa4uw;{c_YrrXl-QSYO`pP|N|H7#2kx{$+-M_>y z#<xP@bWg~HRL$pp#i_Y{MXuE(u7+y9$E@4rY#w%{LN0D{W*q*j)I=GP z&dV=^lsK&zePptToaKdEz!KjnWG#PBUG7@R1o^XJoC7Gp4)P`4x0J|meYv>m*@~c4 zbREN|S;^rhn|!U9?;xv1Z9;tJk1l8s+~y$a8ma&60ZdYej?VfI1aE-H7Z$q(C%ziU zk$lN)S|N&KPHrGs0@NmQY&A=d&%j(Hx`(aICfF(Q(~MP9&TzARWsZ$j-x68)(Exrl zd7lNq-~Jx{?ILdP+cx4Ud?&MlY;(Re-u6jFmr;&#xXx9G+mI3I?XdK!ULM2tr5R4e z2U2`-+Suu{dpms5Wul{OeL*(vEFXTm#F#{iIClii7rXogWmS%EeqQWCb<1YBIfHKv zR4u?+8U|fkZRL1QibmW#jrLiqDCT)PHe*VHEzpuxXJ6e2OZur7F}@=XjGK*h7C_tu zy>UdOv(&WCWB%{T#tB6`k)On~_dt2sQ$J;{kWvj-uD}+Dbqk6j27)tZRX~P?ofmj6 zmn^hmf6scuJ5YbGqp0cu8d1$)=-+Me${hqFx`InXCgT zqF@3OQ%(`QR(Tl|L@io$uX*4_?IIqe}Wb*ZG9aCruuJ?R87b zbbna%2_NefQOzf}4Mj4lAZo0NbCo=9Ha+q8M~J`oZ0(%XRtNc#*olD%-Yh^UVUu;~XYaH)UV zx;@O4!~pQ?fHKpIjhI39M?n^olrUOlA91Ro*_^oBghSV}Vb-RN&{HTZ@5^>!~=MU*r7iLrZ4a3%&6 z@(s_ezPvJ4l;M|R<`X@yV@r|J)sCO*SpKvg*6mkr98T)pO{O+{D)aVh#uL?fsSh8V zy`7zg^-FN>ILGo(I4RuTNcPl6#g2<4`!Y_rQzk~NeG2n>myF(5l#rLAwy2&lHWIh)OyTzrj>yv*@*nwB7i zjjJleZ`?I!($U3c-QOhVff^=vHyY=_kk2m+K!2?+`*PHm$m$BrQ3@gZwCf#Hj|2q; zEi5b)6%`+P;+=eqGdg0ZY}BekpA@is_bMlT=oLxH3CbNTve~veiQ!d%{l-v?hL3=BCMucN{^SU>nC=y#G*Z}?9Z}!ucLcflTaNQ7ni9upPR77gOw}h+%v)- zRr2EAY&6T}m=+sgX|;UR!xrN0@)P-7_9t6vgzQS4xYD*Uejz;>xfYa9&VBQFx(8AD zoYMm3V>MNpfheEWe^4Fv%asppF^sIGynN1`zydh;a3em0H`2}KeM4c{4M3;ingh|E)BYk?B0y#MEvSZd3>QkpHBPJ>dg-p$bqS;n`j9C*4)Ckd@>do?fnsh)e#<3weMGq;A}$Ut0Et+mP0x zDBg6?$l~vE$dgiayD=_T#HYb*s)*mn#SNF{rDl}pY@fESf{aS&>|RBq>4}Eav1OY0 zcVtJ69@Ov6`1mEMO&)6P%Gj6n1@r265Ta=N<$H?O8zeXX)ss2*rK!ty=uBLlGs_Y7 zTh-m)#|A!`$R_tXHbS}t{6}FUfpgF^9|TO2UyOLYOpgGjfR3nhqaK=En%f-{seW@7 zYcSEXB%}Jvby|2l7-NWyWW7k!U7V}t!CSTl&TA>b?;o2>EAuzrdl&*HU5_t>*UQ(2 z?&b(kLM~*OJxI46wR)gtPvXM(#KNr2RAhzB9-OYo*^Vi!9ss6AA*BOpbD7`PiPukn zRevq>a0iLQbdzq86>M91%;t^x_ByNl3o(PD2fJI3-mI(Mg8LvQxsMCCNiDSFaOe`KXkq85yWO0Yi{kGH*8F!+q4PJX$w_2HcB&;s%=w$OcixG@ z18Ft)@Pz2r=BwRDkhk)=f`pPY%J0&X45H8$bv(8w&8B%b+}ujyW8FpCS5REPMwo?6 zgi-0_KAV6=YEhkyrh~={udaSjS>St)^&YqGgD4sc?qC^S`QV)`@7Qj{_{u-vQOMu% znwvf1-Qr!JZSRcXYProy_iNYNWXtZH#x-E&n z3seN5JKij0Qhah2$WoIH_&V6Tc;0B0>(!{NVsu@FMTfcKOJ~E)vxHTHm#4j4lFfWm zm2kMoLUa;GAtbMQ{D_3Mj*bp+*mZST8?y09%dH_r8W7tw%!Q8*b`-wcU6G?WI8>c= z0o&g6@%F;(AnGS-D@fs8f88(hQmDf@-12WyNb(~RJ-1Z&d=abX$9adejRG0@yw&^C z^myyM)f=JdHn`uEiXLOEAw8wXifT$ac5Q=6pF=TjaoZqNlTxwPt<$BAxBkd^i>D!y zkX^PD;K5#oc@pv~AR&8g`Z)>N7q{iam^^!}6_?kzsA6p}Zb@I1Whuwq;o@gs&4 zCKz*KS&#?h{jGP-j7JQ7M7X-;`aI2f2QkQ(qaOdQEEv#^+49 zg%^aSmMIo5a!{TkZNtqPnvRH0h{_24{AW~5}w+^?-TPc$|@ z&a^jk#X-Br)sT{r;*<3~``G@dSW}gb9ZyrezHeogy&b&{qfok#al;}t0y9L}rte^b z?PTdg7}-ohuiko6y+Upvt`;uOh^K5DrtP>UzLhgwhIt_)rv?lu@`g=Lg>WDtwR;CY|8I&TX9pnb)ybVi#%ZZ_9&x|?b7yn62XF1 zj@%BQ=VzYc@{6X$o9_&7t#V@*#9_M&BvbK}sf@l>t*cf4DM&BZh6Q1{mMBVM&Z(ld z0Hm_Vt|h5L2O!2_6FW0Ye;ZcGhGaFYV^6Jwbs)O#{gH)Er}N&_=Pj^xrRzf^`-CPh zk6M-Hd+T(!UUPBoyJO?r=oV@gh@OpmxuAf4+ta|?iD{aTL6>grC9#2!>%UH!G_lcE zVs`*z<87+h

YsJ}Qkh1;?2@@uE*sw>PRDx;nfp(_>qZT`Bx;n*Wb>;|TkJtVK_ z0_kFvYBC}8Ofm$D1v}1H)0OA+tww z1|*bF?)s@SGV`68GiS|f$Y8ZTJL(-yY@ma$4;%lP+m?YcDH^_%F3Jx#d5MrI*KWev1Nd8ZRD)9F%|aUR=+6Sb_m<+Ux%0ckkYHbaY%DQs&dG z=BJsC`9^ig37BF7ErGK9dGUROH+Y&xC{4bf+;q~VJhN{97JQkNWf5K-FCt;Fi^ zI*DqVO-5#E!KB3e_DdDXC)}FcTXPws&EHr0SN5Qn+t4xBUTxXL?)o7~`c@EWzFK?| zMl4#&QwdR%StF-QN=rT8o#C}BUcJY1@)9!l62_eCPjIj|mj7!mqWn{$OWBz5l;@B% z3Pyz@L+tTfeZF?Yd@s_Rm#M3MjN4#ja;&?xYe0}Zky6+#3QbMfGqY`2}-<>xEt)y8+Q-)8dG3-RisSQKn~wSmoI z+k*B?aK0A(_Rp{cIZL~CeJm1unq~dK0Kr8pf&p-SK`hL0zmx@I;+V=m`iTmLzM#u~ ztZv{ok=qfVpd{2YsO;%)#J`GaG|%;qQH$AguUUUSD9woqR_IOI23TVgmBz1^ z9YVix_qEUc#?XEU+C8{O1lN||jacTz_|MUx%XNGIi__(jiAC8F@20yBT?B1)%I0~m zhi5gSTKBcGt@LoL^r*(U_wJ5!o$GS($D_o(^1G{To)-wP2$53>%C`n(X*nNZVg6bO4uRUDaqwe?=9u)N$m z%ob4}cZB@4FuIWT&^<3(AWZU&cUQ@7jQwvgVx zJ$W-ZXcKe!_I;}-PLRdbo%B!%&+-X%rk~MXd^pRGwbc4TmZ^n^NYwx8@+%jMO zJnFSaDaR)-<4rs33fA|ts~;e-lcgn`LKYUwpZj`#DLVXYx&Pt8ek-4>$&W72{{qgw5`mTdT~&^s67~D{r>IaPU=0DQdMB z51kdI2WFGX$@P$HI95gEXGHo8#e(G*aO$_4n`GxYv$W>s&z@qL>n!A!xTQ;)iJdD2 z+aaxv>SBu>{2vv-kWX3ht{d;iOjj4Lb;(f)O>~$lBRiHnzleqT%bHQKKa!c=CRs-2 zJA9uilpdx%k=+!SCj0F?1BFr~h)9)zLQ)wMHxf9V$6BslG}9;@ARcMM;gHz+qe?;7|opV|#Mt6mI@Y0L5Qi}B<=bTS`b!tlnc zo+`zCMEjD7gfO+oI%vJ;bMYL@!!Im{y1ZAH=j3No)MN6`jw5p)ogcY0(#&DOlY0;7 zdJlkCH!hva3MbFDnR4{cNowoi7GWSfX~;g$MFJ+TBV*V*{MA`q8${cp;f(dEXsuRo zELHe1nMjly>iN6(YI{O_@e?hnncEkH%ws)CFMH!MRdOi$`oKe7HQVUr9+-tZ@X=ti zO{cE^(gbN`0y+3v^t>A{Ief&<-^Fg;5F##`-84>LfFiLU^X?P(Hlv)h9w&5*=E8{4 z4bOGUMzuv#&wjdpt%B99AH#B&14~C*Y%PfOq7Yc-wyXxfdh_N@^+ba`A(Jpt|0|`X z#m?bn4m6*+{^*I0PFEbmJJ$ntZ(QOPx(&A$WqF`-=frzUh0NB}Zxm~;-dd@g4 z$1Os!OLbUQ(`SqOnp~@A;#QZ)n&=){(?XbTo+fbV_)y$pN}Lp>-7HPOj{cPat`DLV zj82D<#gi|>ZdXO1dzid?`e1VF3%0>7Z-@qQ5Msf#+pH{RuDW-dnYFKG*Ra#B9y;+a z&)GCnwv)2fn?ZBqybr?7x0;v&JxzqMGhu3Fa>{#9ByOaqQ|$}W`G#@xYSw5Klc`a@ z&*U2L^k~mVudakUHJ_L7$&q!WHYe^0ng3!j*U`9bMPdYc&IR43jM6o7IL$e;M;aF^ z>j7Hyd_6B}4SJ~tJ?!s&l}edVcYC9GyRru+&M&NXQFrTHh|B-9kSsTwlErvk&sUlS zPmi9jEa-N(!8dY87)?uKXQc6!Z};17yDJe=y@|u~BOpdr#*x_eWW0X_%yOPzLPntj zpcE}nWJZsum`csIXrf@&y>(sn@%h{ZOW84fb@)XcMN{R}S6lmfthel;mz)1ky{u$t zGFRN@)yG1br(##BR??@+W%>8BYk0i9YqG~J#_xgUy2%qaTY1(Zyxv`#S93bqTsq%+ zsrpttp@u0sR)35!<9hf)_qHc7H|UbZLiPO<9-_4czbZUlWQ2un__1YN4)jf}BKd@V z7Q=%Lt(>2w@ENx}%|vv+q0zO!@waRlYD3+W{DS2M(ozE;-GgDb%qmYb#m&FwWsFYf zuY`N`$hl2&RG&@k?RR#x+S93*jb9O>O+%qP#cYeHlO;lhA8ytOme{_pDnUUwFDM-l zxO7`Jr0a4YYPl5^<2j8p9RHrG=???a#{mKkMRk8lvi3J!oi!yDE;_qXI9ACVXn zsbF2wO0AOIuJBWtTQ~b6ex;8-jSR75_e$jpA_`m9AuK1c^sy2>BaWVlzTW88JrgTl zUdHS{n+F4pN70MPX!lhr30q!nVwbrQ0@msdO40C-cT?e*SQJ?x2i2dl;<->~y7FO@ z{Ct&=x5N9?S4Vmig}kivuB<%qrR%t{iKPT#(IYy3f@Z%s6Thao*BqDs>M4cwG?%t~ z0FmNkg!f1UWAu@9n$i#3{5Vzsc?j_jU+xJG0UXK!mblb>TM}5*%VkS6#S#h0 z2n5$5rd1$I=bx8~SfOyICN=@Eb*@4t!l z+RI4GSbbT1!-wfQArASsFb~;fG$lD0wJ*rO@{*pZN5?4f_{w&aYvoga_G0o&>pIx^ z!koFGa6|hodt5pllxDR=H@8)a#4XfAu+8jvH+r$#h9^D8YoPBLHO3yf1@R-a&Yvbw zXsR8`gLpG+kN12OX=yNshBxMhh90}u7``AaK3Jmn4l*1W83moMIJvWpLww#tb4o)3E-yf#FGAS5wJFhKm;?lzf>(1_rSW1%E zU%dpzC+Rz+f{vWBOZnelwO0Ff`_cb2c`kvEfZv9|5+ug6Dy>}{Ci2>DbI00nOunQ- z=y`1OJo4J+F(LGa^&F4HBjAqTPPd^Lj)ht!9*dIE)HEGkU0yMsxsMQaN+3!pUXdR; zqrTWZwcH&fa4)mDYgt}piBf=F1}x~VjrXQb%)N^!f8nmT9f5`Ai+I=(9p5Y?*Uih4 zO>Sy=SE?(HZ({p&TfDUAV@+jdLO&3-IEQwy-JG{7Gm6#0u5C;=l8D3cF~KuvR^`G$@W;2zDto z^QSLe|F+Ah3e<%kEH4vUk?c8H^|JX=2HJy${xfH6Qe zvt+WE?Dv#6R&@XYY@Z;r3Q67G7muaRr0SNXTK60=T{tVc(gvyh)THALz1+f%?(Wb2 zAZ{rFy$~VpB@hj%F-sqk8J=(!)ge7^obPBWr@(POJ)6bc>Dm{B@}7xG^LL;#0ZN7) z+yaXYG0�I%pqip(R;P+llFUx3!GiqbqUbWhM^8A3T0)g|0`(lAAY#G_+`;h zth7V$k<3!Z%XQ=QmGZ0ZV5PxR*cUHufEx@#9ql%_*c2($h(@Uiyg(sFSy!eOtCfk=wWfXV=vfC@$iC$d>?106(rzyRI5Lgf-}5f|bW5%v$K>^FenR7x_SW0QLE=%koa%Je8_h|F{wc4ZbI z(&M9Mir33dAPLeEcsw#BM4lsw5%$mZBj*9QL!@z8fo!J`BCY(&d|#*lXNrA)h8zSc zXP}Pg-Z)VghT<$yvM_qgHtUr#*9SQ;YRw=LI5DkLd2AaF;#Pq6h+(}38yCd+_}(eW z{8@--XEww$C(9Bgi0PrVA>N(~tL|4?$$ZTlbS zy8e?!(JV>hyK(ztn9erTSzX~u zB*!=x11t}%cvEKzA3d+lgavm8nQp-7;n(kb-2{A(Yv+yEcXnok-ZKt<(V>}i?l3yO zHrrz=x(&>4rG&I>53}&>GBg&*eWRf&f{}J2_ML^c2j^{bLovz~tUM`+0zgP|v(V<> zRfs0mcA2vBki4s`v#%`-L@0I4UCui{56OA)TSb<{n|3L&H{P8gJKqskcD!FJ zyb5q(Zsa}hzVtLl9EX73B|nCtNoypyyer>5E5MSR!Ph5z;#a9*AUBL_C7)Y`|Gi{c$(9dY2Kb>_OT zj3f}|r5gueDh=J<@AtdK*VcQ$LUVIIe4rG}8fl#m9MtUaTTX`bti@JCR7P&2l*dG# zE;ped%uG?ZGs?dB@RF(5EZ)4dXc{)LaG63>av#$Q93AXn>TQCffB(SAgSaUeWms2W zJAqG(Fp(k-EX_2bVM}t!XAfe^<{+&r>LHn80o(A6q^Ua5U_MF_j!w_cjkO8wJN!UJ z?jQx2L)*IiQwQrP;1gq+53p+j#bL^)r;FDTTYW^Nq2+H*OcH>oW7F;?%B zPTTiF(k#0#y_EG#c0rdjQTeu^TLhzFlJEp$hf;BOMt(=Czp*6LZ?bwOZT%L1}?lYHinrjq1%VKUS zb}g);(`lE(kHeYogbI*GMJT>#@bMAv&>kd0xaIA*_h1>prr=lglT@iDkJG&TMVS9G zkolecm=)Tg9}4u(7y?*=G?!sy$sAM#mjLwYvecxMQsEjqhgVy8xx#Lf9P0!yy2ZMg zCJc0rM7sjjI5}B@YaU+55P(}4W^3KipjxISFgBg`@*)ewj)DC0e7#fYSlfP{Qi4Sk zP!!i2M4xfV!Jxz1PvA3M&{H0yv;?oacCYL*@><=ealD!Wyy~%~fMPf#hqM>U6E=>V z#n-Bq!Wc|*-4~P&8z$d%+BvE?{-G)pJANbgkwn~1_qoY3*fwrXziEVl0iHd%7h~ZC z&_Ee>qzeLHiPKp4*}byh{PIIOcXE2dIRfZ!vlPXQ7?Rp)sYG|k%BR4?;*zg0yf0iF zO#>%LJ#g~2IaN$lv}#|l|4VhqAymZ(T+=p|ME)1eCmft0(B4BsUpu77B7`VL&Ry4- z4)6Ib`si=i!u#gPkhh&1x z!-s9Jbzse$w(FQ11>C3Ybo45GJrTb2;fbVw$T(oH)ZdW#bG`G)QiQUyrkt0J42C2!WJX!0ar5L^RIqk z^}bj4JEW@XDpn50NQjIB-i~|sHKo)~Fj+%}D7PjNH>MMDq^1l*iL zWGQ!EH(=z8T}7vPluV{v*_>J~_->+){r=JkvPSj}HxGmXe|$xcUFybF;dL(O%vzpZ zVBq->99@)BjtLgqrN`9vF7<@o6(Yi;BNc22N^zxoto&#r{ph8~v#vw+vX(RCoJr6$ z&;}gWRVJ*SHe`TznZ@fScPNSGk3e#$WUD%@k)Rr)oA9sTmY0~fR6xVXE3^SJG#}q| zy77}%X|c8KGJsu1koVk@(eZ?CwQ93Q@*+6|?FlaMbw6+f&Hqvt+q?ud z-TfL?yuRBng#S=-ARouIhTpICdkIAPu2md=IJ2J-fBRZd-e2Bxm@aJ56zc^Cx(1sP zrSxhT$tE#GDFz`O>fF>SEIz0`jt<44y^rc0DqUTn0*&|XG?VdzK$r7Q04Txkhm<(H zv{;eS#@z>n$PKVZ-x>8lKtjl$FkMa`rzx0d58fOy6*PcwjUfMR`*!IUAwD#aHx0w& zF<2jo857%gZyLg8jOt5SKy08o;KB>1-WAB#>o*KZZzZVs)#lU*vJ_=<4$Xo%SJUot zJn_gnm-J)8?)0n@A7O7*y14a&W*9y8WNudcai~3IcBnMJ{qkKX$q5p2CI&u~0n12~ zQ#02yx$*WiJm?Dk{_^WP{hj%pj&(>ub>HFeWc4@`ekNK>W|5vf=@rDxeMK3XX5rB% zQ&sVJq<_CkWCo%E7A%I$6C-A4Kv~c)oE5I)-;EoNGD~-N$2&z!I9$#*dzqAj;w8TJ z5Rqf+9VrG&Np!qMawgjCy2hLFV9nNo3eD*!@ISVARrOmH=x<0W4Gw<~;0iHd{Nd^6 ziYtWeV(t4G)U`c64e!(sK<0-j7sM3bsAAAXN|0slvuCTMf0TkSI}Ug=#gi1T7_|64 zZl$GnLJnmj|UsaH}BlzC&e2}14RXwWpFfOY~xa1&f*1um6W(%>l~1wzu%6j!dc z;AI0KgEh%oPT>9nSvxU@+}=Dmj8lPI5h|hF%}^4#O~*KRW=B!&CVF;HAV744mAQnx zy&K06LMDD01_kcYf7Qp}<^!2#_gTe5B#Y{M`!KspJ)_P(2b_l9J-L7Gr@H{gUu`Mm zbXkHRbN>e7>~kLTTZWm|ybbhH&$p^^jFa=qiN7**-%iu1e^xRxwS-B^9-tY<6Kjg~ zwqs2$D^Bj7Jvt5;_B;>OnOqL+tWe*`BFJDEI4`)8tC+&@iiabp+_x0 zeZ$Qs+pLB%MUofZeClbPP%F(ZEaDe7z{Yp2+imDd4U!NV)|VTPJAsz>6b)o7=jXw( zAjFVXR$nS1oe-}S5-Vz>vmh-8^<@wO809n^yvv^hP8`yR2qZhu>Vy2E5inEAMVz(y zNCVI4GDOduAR(ZmZ9k^xHd+H(f2zy>@#T8@b3q@0(p8U^ILctF;*?#*SOP^8LCEcD zle#(_4rZgGamRf(p3WZwbwk?mnWcPZd`x%w{pC`LAntIzAM06qeCg#5<@4`xr;JAi zzA(!(XJ4gLec469A=w}t2s?^u7X_2X-UEJJeKsgrb8fOr6VNLN0xVNpDV8i6+edTx z*1331-ql}w-{LP;=XYS}nx~ec6qc|@uz(PRuuG16Rwiz4{cZ51VDsI>9c!(x|+lPAkd=4Iw9D1YaHj30N7RBd!|6)}bUhY0hQH4xomw@LQH9Dw%_O0;0Eur_k*aPJsE?_zx+`v1dI137>1Gs9;+wyah z^R}&np}5|#_4$c_WoRu+(DOb1gp13k4nB1&jqgJ@dIM25X0AhsJM##ro&L#8b9V^V za%7SzgkEQEwy}%`qgk;7GrzhuWzACl&kDuA`_6raN5WUm9?;`AC*JvY&woW6FAjZ= zum|BooWT;8Bd>&8WutPA1Azn{g~MY!+x%MZ>j0<>kAUv!(KcrzNsWyh06}qNr66We z7-DPArN08KM9$$?X7|mbbRMpL0#bC+1*_t$ZuVX9u$5PjjKXFfIrw!DKTZd;wdC~o4MY89LIk`n6x7`S74lyvL4LmY z;=S6D_fIYe=Jg&Y98wYf#rW~xS<^rD)Y+{_ZH+JwWu`~l!$VHR4y>n>*#GbckJfa3 zpaW}l4DGbbQ69!!0Atl8dTte3IlKgLe+9fTL=8U0P`_7r^L{F6bwz3{J?!3#)Y3P6 zX)jW}o;q+nDxWMK^M>MZQ?z7m)g(Xw^}LbpGAgJd zG6R_~M`Ynt$y9mmQdDQAu*xMFQ^CASAmpug||9V`nE4bKGwPjsRS07rZ`yZAeLJYHxnq0 zxPt5-Yu~Lvx+({yeUN1wU$ByaoGA+C-QL==n-TcXX@M|ZL}dUgD6lW(d31x6ahJqw z>llD7q?{O<%ltXe3P7M$dORY52jaO-%-)0R-LT~*ePH}^DkykV5e^s-!cZ4L zX9%W{RxQIvu^d8zOik1J^sHA~f%>X9$mf_{@JRY5wDTcIdzkLIDZDec=wj6wT!DtC+fG$k#N+CP`Sd zrluyJ-Wey*Vl|3+fEFybBKYn$HmPHgKS)D$qvcuXmO{w)C%}rOCBvY~qZHa{p1@WI zjH(+9CFD_k{OMJ+ZvW^qe9qLp@<}nH;4d^W8&ehY=TwJEFE?V!-8u^$5Tq0&-o4kT zA>&}qX^tDs$1x*?MH>mOomViY8FAI8w<1y@eXdYJ>r;*sAR0>46VR{a!3OU%0g8(5 zEP*vDHbzlwi)+3r9`mN@+`0JqVd;^CFm}(66$rusmg)LvYtQxG0N$isG07b*Pngf- zqagEnrF02LtlDkyI$GDr_;LWZ82lk|E1#0dl^e-L=X1O&H%N1x^sGGm==;CS68{F&PR zsOBOxh#s~xlV4&cABdkm^OjT>!P z19+=pOnETWn-&J6GN%n|L?!?p+~M`?w?u=fkzJRshK_;~;VXHH8MKW>PZb^kOB6-MqUY44?Z0CL=%0K{v9r6(WV(=wJD zeHMQ(VBup=B^I`N>t{zv0l#=9!Z^Q*0(i$NwE+oUfj1&YnQhX^AR&4VD@3n-WYY+Um$N&p(?snzE)^MYCm#LBjyCw< zpdPEx1?;&{4r#?IpxSWTnTkyuTxl_S z!O?wyWt1Iho)&-Rb~6N&+{w!GB|y!h;TYq}Au89q#A(a7VsunRa-oPuy#=EEhCu78#MQ_5wm^1>zue_ z^~(#`{xZY!&85P+I|=MKqXhvqHu`evNC7CS~+G3_k))@F!vF<6~PlK`6*m`w=`&ODbzf3|H!@s z-;O0jeA^c!9_ovvmUib}vc+$Qveqs)e>=ziLrNx2@S{8#8HzlMa7q|FX2%IL@+*Dv zf%s`ZWuF)+k6g>9a)8>LiPsl`Gs+%!E$)4~vin*MSKn~bu513z{U4ABY_s!C0jln# zv|_MPk4L>*6ko zq|Z^a>Q7NKfhXu9P`Lj()%gwb6nUTX>wRo*jzfn{YB3dL3^PDYE6*C6V@_*en6)?~ zY$4$d6E6mO3h4wm(GTr4eBrd1DfB88Dw=9JUJL`ZvSk1wu1E{!)(ayz{B^+YRglM_ zARV{Em9zO;%EF50?tZ490D&&W<8<)2RzmS;2zsf{wuZtiBkibm5aA8LvxFPjmO2aq zi(;N|&J7fywI*fYe9YQyOGj$~05Ttbs@VluWe-TAc~dU3=>SE9iNS+Mi`<@nbQ;Df zTWc;ngd4;q@i2WvbJ^`erFkf=c(qMG->HMXRX`GeKp`5+fpua<3rhU`*el1Scrfa zEYmXb&(iHGx{P4v6XyN37@W!l;Iqp#F?^}OKsmMAMcL&?et+rJOP}>=d$Y_VY(vK& zl4qFLO;>e7VjnSdxcm0nBzJC^T}&?st(&1>tbs(G6(lmv>5G7r6WE zwpE90LWFo;P@F)*DZ*JwcK~W3UUU1%{h@I(e)C#qETyzx1I1)-#DAkvrt{$+N3{Rh zl@vdJsmNmYz@QUqA4u1jR#xaJobm{}e8`3^eMRpe7}p8WkDTVxSVUS$a4KCTE+lvm zh8cYWaor=e4``=dJY*DKw^3dwe=+bz_NgA}prv3xyTLG>jzdnyS#lxJ3!KivM#7Ey zFkW?}oK!cL+CK{LI;SDr#C^o}e1fCTPEJKGmP`a%07?UehYSz{NY$w^UlJ%TOQ;(M z>Fx|jpb&DqT3T+vd*~iDm?%MhiV!6TC5x1c1@t+bj!$d% z7}Iz{eLW~>xGmGvx_$4{&}5xd-65U#tufLpF8^Fg0d#NQcR5=M`%EC`>})edccn(D zJwA5$VUu|A93WG|l?Xe$#01_Io*u`i={mju%dX+dgxIEhD(W7xBZLQqxQz_qB;ba$ z>X3A*Vgu8;4N+<3Q1j2kuDD;TEVjI;cWk85LcDWzkGw9P8E)uE8;H17V%jP9GCZ?KESmch3HwdFloBC2-0) zkU%C?dqL{90!J?K=0KVfl&!#YqpWjbg^~`6rXjEw8W0Reo#O{fH7XD-z(Kq=r|Gp+ zvwjZs({HqpLn^F$H)10l>myY+&-be0s*%Ep?MYRy0H}mp=K?odz{i40^?1sBxD<*f zD=mqz3o}S5YlES741^ryRE@rCX?amET$gY&^&zbh#9PQVRkOX!136?|uVoutIw0Jp zL^o;Mw|5D&$c@!ULZCLt3x&%!Jb6>C8AK#hyr~&^9gax+!JR#P{1Sr5W3N>TB%B^| zlelm|NT&y;hW`~QdEFw%1LuhKUe+K3Pa@1dn{qL76j4`=Y#tQNfZ1Z!ML=Adl3n%Z7#q#yTwl<1Xo-wd|MVu72}DaoR(2e=eeTvsr4dFh}bN& zzz`y)GgO|<6Go@u#KeqJJ8Uu&4>oA?sztjlk!vh7Ey9R`P(Lpj&rwMofZVT9qVJwo zR?#RpdKVfa@)$S8{qM6Q|!RFlZ6j zg2bDt3|n6p6+_og7*eYWRgI0cZ8lnfz-lJui#{d?M3p~1N4HVtG#McDG}4fZRR3&q z5h4@fP*e4ofFoea5O!H9>9kPn86XlkH@T#eA$8HfgyLOqoGP9PjnJrI(9t`<5MV7+ zoLez?5aH}8?;zI3Y;V1ukP%YkZW2}W7pd>x%X?}=UV>%HT_3DxY%2kNk{_6!6blY$ z)=-n4IOa6kq9tQk2EpF!maQk$;h^8w`+iUxe-5M;&p`|`fGU83+8hY%JfpT|BBg

Garrett's Workshop Warp-SE 20 MHz 68HC000 Accelerator Documentation

+ +

System Block Diagram

+ + +

Relevant Timing Parameters

+

Some relevant timing parameters to which the bus timings were designed are as follows:

+ + + + + + + + + + + + + + + + + + + + +
ParameterValueDescription
tPD_CPLD 10ns asynchronous propagation delay
tCO_CPLD 6ns clock-to-output delay
tSU_CPLD 6ns global clock setup time
tRAS_DRAM 60ns RAS pulse width / access time
tASR_DRAM 0ns row address setup time before RAS
tRAH_DRAM 10ns row address hold time after RAS
tRCD_DRAM 20ns minimum RAS-to-CAS delay
tASC_DRAM 0ns column address setup time before CAS
tCAH_DRAM 10ns column address hold time after CAS
tCAS_DRAM 20ns CAS pulse width / access time
tRP_DRAM 40ns RAS precharge time
tCP_DRAM 10ns CAS precharge time
tRC_DRAM 120ns minimum RAS cycle time
tACC_ROM 70ns ROM access time
tOE_ROM 40ns ROM OE access time
tPD_573 20ns 74AHCT573 propagation delay after LE or D
tSU_573 5ns 74AHCT573 setup time before LE
tH_573 2ns 74AHCT573 hold time after LE
+ + +

Timing Diagrams

+ Below I am presenting some timing diagrams showing the relevant signals for various interesting bus cycle cases.
+ We are beginning with the timing of the accelerated processor bus, or the front-side bus (FSB), and proceeding on to the timing of the master port on the Mac SE bus, or the I/O Bus (IOB).
+ The timing diagrams are scaled for a 25 MHz FSB clock frequency and the standard 7.8336 MHz Mac SE bus. +

+ + +

0. Generic MC68000 bus cycle detection

+

+For starters, it is instructive to look at a generic MC68000 bus cycle.
+There are some details of the MC68000 bus cycle that complicate the synchronization of a state machine to the bus cycle.
+Primarily, /AS falls after a rising edge of the clock but rises after a falling edge.
+Since the worst-case clock-to-output delay of MC68000 is equal to half of one clock cycle,
+attempting to detect bus activity by registering /AS strictly on the rising or falling edge +would result in entrance into a metastable state.
+Therefore we introduce the "bus active" BACTV signal. BACT is the conjunction of the address strobe presently and as registered on the previous falling edge of the FSB clock.
+The key useful feature of the BACT signal is that it is always valid at the rising edge of FCLK. +

+ + +

1. FSB functionality - /DTACK and Ready

+

+Given BACT, the FSB controller asserts either /DTACK or /VPA when BACT is true and removes both /DTACK and /VPA when BACT is false.
+Because the Warp-SE is a variable-wait-state system, we also must introduce the ready signals which are input to the FSB controller.
+In the Warp-SE, three functional units control the data flow on the FSB. These are the DRAM controller, the IOB slave port, and the sound rate limiter.
+Therefore there are three ready signals, Ready0, Ready1, and Ready2. The three Ready signals are functionally equivalent and interchangeable.
+Each ready signal is produced by one of the three functional units. The Ready signals must be valid at the rising edge of each clock where BACT is asserted.
+For the FSB controller to assert /DTACK or /VPA, each of the ready signls must be active on at least one clock of the given CACT cycle.
+Because all of the Ready signals are sampled by the FSB controller during each BACT cycle, functional units must gate their Ready outputs with their own select signals.
+/DTACK is a registered output that changes strictly following the rising edge of FCLK.
+Note that MC68000's "/AS inactive-to-/DTACK inactive" parameter of two clock cycles minus 5 nanoseconds is met here. /DTACK is negated approximately 1.5 clock cycles after /AS rises. +

+ + +

2. FSB functionality - Units not immediately ready

+

+As discussed, the Ready signals do not each need to be active all at once. The FSB controller "remembers" that each Ready signal has been asserted.
+This is represented by the Ready signal. Once all three individual Ready signals have been asserted, Ready becomes true and /DTACK or /VPA is asserted.
+Ready is cleared along with /DTACK and /VPA once BACT is false. +

+ + +

3. FSB functionality - /VPA

+

+When the address is in the range $FFFFXX, the FSB controller asserts /VPA instead of /DTACK.
+The "/AS inactive-to-/VPA inactive" parameter of MC68000 is more stringent than, the "/AS inactive-to-/DTACK inactive" parameter,
+so /VPA is additionally gated by /AS, whereas /DTACK is not. +

+ + +

4. Back-to-Back ROM Access

+

+This diagram introduces the simplest memory access type, a read from or write to ROM memory. ROM control is completely asynchronous.
+

+The ROM /CS signal is implemented as a decode of the address bus. +Similarly, the /OE signal is an asynchronous function of LDS, UDS, and /WE.
+The /OE signal is shared by the RAM and ROM, so therefore it is critical that the ROMCS signal not be tied low, +otherwise bus contention will occur during RAM reads.
+The Ready signals are always high during ROM access so all ROM accesses complete with the fastest 4-cycle timing. +

+ + +

5. Back-to-Back RAM Access


+This diagram introduces the DRAM access timing. +

+At 25 MHz for a 4-clock read cycle, there are only 2.5 clock cycles (100 ns) between +the MC68k's assertion of /AS and when it latches data from the bus.
+Subtracting the 25ns /AS tCO and 5ns data in tSU, that leaves only 70ns during which to initiate and complete a DRAM access, +not accounting for any RAS control delay in the CPLD.
+Therefore to minimize RAM access latency, RAS is implemented not as a registered output +but as an asynchronous decode of the address, /AS, and the internal RAS enable signal.
+With 10ns delay in the CPLD, 25 MHz operation with 60ns DRAM is just possible. +

+Similarly, the RA multiplexed DRAM address bus is an asynchronous multiplexer controlled by the RASEL signal
+which outputs row addresses to the DRAM array when RASEL is low and column addresses when RASEL is high.
+The /CAS signal is a function of RASEL, which changes after FCLK rises. If RASEL is high at the next falling edge, /CAS is asserted. +Otherwise if RASEL is low, /CAS is deasserted at the next falling edge. +

+"RS" is the RAM state. The RS state changes after the rising edge of the clock +and can take on values 0-7.
+In RS0, the RAM is considered to be idle.
+At the rising edge of the clock in RS0 a RAM cycle begins if, if /AS is asserted, +a RAM address is present, and a RAM cycle has not already occurred for this /AS cycle.
+In this case, we know that /RAS has been active for at least 10 nanoseconds, so RASEL is brogught high.
+This switches the RA bus from row to column addresses and RS0 transitions to RS5.
+At the falling edge in the middle of RS5, /CAS is brought low. RS5 always transitions to RS6.
+At the end of RS6, RASEL is brought low again, switching the RA multiplexers back to row addresses +in preparation for the next DRAM access cycle. RS6 always transitions to RS7.
+RS7 is the state in which a RAM access or refresh is concluded. At the falling edge in the middle of RS7, /CAS is brought high.
+RS7 transitions to RS2 if a refresh request is pending, otherwise RS7 transitions to RS0.
+The states RS1 and RS2-RS4 will be discussed in association with the subsequent refresh cycle diagrams.
+RAMReady is used along with a RAM select signal to generate the Ready0 signal input to the FSB controller. +RAMReady is high if and only if the RAM state is in RS0.
+

+Also notice how, during write cycles, +it is undefined whether the cycle is conducted as an "early write" or an "OE-controlled write" cycle.
+/OE is held high at all times during write cycles, +but /LWE and /UWE are asynchronous functions of MC68k's /LDS and /UDS signals.
+It is undefined during a write cycle whether /LWE and /UWE will go low before or after /CAS falls.
+Since /OE is held high during write cycles, the order of the /WE signals and /CAS is of no consequence. +

+ + +

6. Long-running RAM Access


+This diagram shows the timing for a long-running RAM access, +in which the RAM read or write completes sooner than MC68k removes /AS.
+

+There are cases in which a DRAM access completes in time for termination of a 4-clock bus cycle, +but the bus cycle is lengthened because not all of the Ready signals to the FSB controller have gone high.
+If RS0 is returned to after a DRAM access but /AS remains asserted, +then the DRAM must not enter RS5-7 and thus not initiate any additional /CAS cycles.
+Notice how /CAS goes high in the middle of RS7 but /RAS stays low until the end of the /AS cycle. +Using EDO DRAM allows the data bus output to be maintained while /RAS is low.
+However, if FPM DRAM is used or if a refresh cycle occurs before /AS rises, +then maintenance of read data on the data bus falls to the bus capacitance and the bus hold resistors.
+Therefore it is best not to prolong DRAM read cycles, even when using EDO DRAM, so that there is no possibility of +an intervening DRAM refresh cycle causing the data outputs to tristate.
+Fortunately, although DRAM write cycles shadowed to main sound and video memory need to be extended +when the posted write FIFO is full, there is no need to extend DRAM read cycles.
+Therefore we do not attempt to extend the /CAS pulse to fix this problem until /AS rises since the /CAS pulse +could be interrupted by a refresh cycle anyway.
+To fix this problem, we could extend the /CAS pulse until /AS is high and have the +DRAM controller conform to theDRAM "hidden refresh" protocol but it is not necessary. +

+ + +

7. Refresh During Idle


+This diagram shows the timing of a refresh occurring after the bus and DRAM are and have been idle for at least one clock cycle. +

+RAM states RS1, RS2, RS3, RS4, and RS7 are used for refresh.
+RS1 is used when initiating a refresh during a long-running /RAS cycle and will be discussed subsequently.
+RS2-RS4 implement the main refresh behavior.
+When a refresh request is pending at the rising edge ending RS0 or RS7 while /RAS is inactive, +RASEN is brought low and RS2 is entered.
+With RASEN low, /AS activity does not cause a /RAS pulse and the DRAM controller uses the registered /RRAS signal +to initiate refresh cycles.
+At the falling edge in the middle of RS2, /CAS is activated. Then at the rising edge concluding RS2, /RAS is activated +and RS2 transitions to RS3.
+RREQ is also cleared in RS2 since entrance into RS11 constitutes acceptance of a pending refresh request.
+In RS3, /RAS and /CAS remain active, and RS3 transitions to RS4. +RS3 and RS4 serve to implement the requisite /RAS pulse width for a refresh.
+At the falling edge in the middle of RS4, /CAS is deactivated. Then at the rising edge concluding RS4, /RAS is deactivated +and RS4 transitions to RS7.
+In RS7, /RAS and /CAS remain inactive. RS7 serves to implement the requisite RAS precharge time between DRAM cycles.
+RASEN is brought high again after the rising edge concluding RS7 and RS7 transitions to RS0 and the DRAM is considered idle again.
+

+Also notice how a RASEN can only be disabled if /RAS is high or if a DRAM cycle is complete, otherwise +there may be a tRAS timing violation. This constrains the timing of a refresh. +

+ + +

8. Refresh Immediately Following DRAM Access - Bus Transaction Terminated Immediately

+

+This diagram shows the timing of a refresh occurring immediately after a RAM access cycle. +

+Recall that a refresh cannot begin while a DRAM access is ongoing, or else an improperly-short /RAS pulse could occur.
+Imagine, however, that MC68k performs many back-to-back DRAM accesses. +In this case, there would never be an RS0 in which a /RAS pulse has not already begun.
+Therefore the DRAM controller must be able to begin a refresh during RS7, +immediately after a RAM access is completed but before MC68k brings /AS low again.
+The timing for this case starts out slightly differently but ends the same as the refresh during idle. +Therefore the timing is only shown through S4.
+The purpose of this diagram is mainly to demonstrate that adequate /RAS and /CAS precharge time exists +after the previous DRAM access is terminated before /RAS is pulsed for refresh. +

+ + +

9. Refresh Immediately Following DRAM Access - Bus Transaction Terminated While Refresh In-Progress

+

+This diagram shows the case where a refresh request occurs during a long-running DRAM access +and the /AS cycle terminates before the refresh ends. +

+It is possible for a DRAM access cycle to be extended for a long time, during which the DRAM may be deprived of refresh.
+Therefore we must provide for the case where a DRAM access completes and a refresh begins but before /AS ever goes high.
+In this case, the rising edge of RASEN causes /RAS to go inactive, as opposed to the rising edge of /AS.
+Therefore, the /RAS precharge pulse width in this case is much shorter than +a refresh occurring during idle or immediately following a DRAM access.
+In order to satisfy the tRP precharge time and tRC cycle timing parameters, +an additional state, RS1, must be inserted in which /RAS and /CAS are both held in precharge. +

+ + +

10. Refresh Immediately Following DRAM Access - Bus Transaction Terminated After Refresh Completes

+

+This diagram shows the case where a refresh request occurs during a long-running DRAM access +and the /AS cycle does not terminate before the refresh ends. +

+This case is similar to the previous but there is a key difference. +/AS does not rise until after the refresh cycle completes.
+Therefore if RASEN were brought high upon exit from RS7 into RS0, there may be an improperly-short /RAS pulse.
+Consequently RASEN enablement is held off the first rising edge during which BACT is low. +

+ +

11. Refresh in the "Middle" of DRAM Access

+

+This diagram shows the case where a refresh request occurs in the "middle" of a long-running DRAM access.
+The remainder of the timing is given by diagrams 9 or 10. +

+ +

12. Concurrent DRAM Access and Refresh Requests

+

+This diagram shows the timing of a refresh starting concurrently with the beginning of a RAM access cycle. +

+Here we see the timing of refresh being entered concurrently with the start of a RAM access. +In this case, there is a little bit of a race condition.
+RASEN and /AS both fall following the rising edge of FCLK. /AS causes /RAS activation asynchronously, +but RASEN gates this from occurring.
+Therefore the internal RASEN feedback in the CPLD must occur sooner than /AS transitions, +otherwise an erroneous /RAS pulse will be generated.
+Fortunately the CPLDs intended to be used (ispMACH4000, XC9500XL) are some 10 years newer than MC68HC000, +so their speed advantage mitigates the problem.
+The negation of Ready0 causes /DTACK generation and termination of the bus cycle +to be delayed until completion of the refresh.
+

+ +

+Before showing the timing for the I/O bus slave port on the FSB, +it's instructive to understand the timing of the I/O bus master controller. +

+ + +

13. I/O Bus E State, VMA, "ETACK"

+

+This diagram shows the I/O bus VMA and "ETACK" timing. +

+Although most I/O bus accesses are terminated by /DTACK, +accesses to the VIA and interrupt acknowledge areas of memory are terminated by /VPA.
+With MC68k having granted the bus to the accelerator, +it will no longer generate the /VMA chip select signal in respose to /VPA.
+Therefore for /VMA, we must provide the /VMA signal timing.
+In order to do this, an internal counter, the ES or "E state" is synchronized to MC68k's E clock cycle.
+Synchronization of a state machine running from the C16M clock to the E clock cycle +is complicated by clock skew between the C16M, C8M, and E clocks.
+The E clock changes following the falling edge of C8M, so E is registered at the falling edge of C8M as Er. +Then Er is registered at the rising edge of C16M as Er2.
+Er and Er2 both have adequate setup and hold time to be used at the rising edge of C16M. +Er and Er2 are then used to synchronize the ES counter to the E clock phase.
+

+In ES7, if the IO bus is active, as signified by IOACT, and /VPA has been asserted, the IO bus controller asserts /VMA +in preparation for the E clock high pulse.
+Then in ES17, if /VMA is low, i.e. a /VPA cycle is ongoing, ETACK is asserted.
+ETACK is analogous to /DTACK and signals the I/O controller to +terminate the /AS cycle in synchronization with the E clock going low. +

+ + +

14. I/O Bus Access (Even Phase)

+

+This diagram shows the timing of two I/O bus cycles, first a 4-clock cycle terminated by /DTACK, +then a longer cycle terminated by either /DTACK or /VPA. +

+The I/O bus master controller initiates a cycle when the IOREQ signal originating from the FSB domain (discussed subsequently) +is high and there is no ongoing bus cycle.
+The IOS state counter tracks the progress through a M68k bus master transaction.
+In IOS0, the bus is considered to be idle. In IOS0 if C8M is low and IOREQ is high, +then IOACT goes high and IOS1 is entered. Entrance into IOS1 is delayed by one clock if C8M is high.
+IOS counts from 1-5 and then pauses in IOS5, only transitioning to IOS6 when C8M is high +and one of /DTACK, ETACK, /BERR, or /RESET are active.
+For /DTACK, /BERR, and /RESET termination, the termination signals must be low not only at the rising edge concluding IOS5 +but also at the previous falling edge and rising edge, otherwise cycle termination is held off.
+In order to best match M68k's timing and meet the timing constraints of BBU, /AS is output on the falling edge of C16M.
+/AS is active following the falling edge in the middle of IOS1 until the falling edge in the middle of IOS6.
+The timing for /LDS and /UDS is a similarly straightforward function of IOS, R/W, and the FSB /LDS and /UDS signals.
+As mentioned before, IOS5 is maintained until C8M is high and one of the cycle termination signals is active. +Once this occurs, IOS6 is entered and IOACT goes low.
+IOS6 transitions to IOS7 and then around to IOS0, which is maintained until another I/O request comes in.
+It is the responsibility of the FSB controller to deassert IOREQ after IOACTV goes high +in order to prevent the bus transaction from occurring twice.
+However, IOREQ can be maintained high through IOACT going high, low, then high again +in order to ensure two back-to-back bus transactions occur. +

+Notice the ADout0LE and DinLE signals.
+ADoutLE is the latch enable for address and write data going from the FSB to the IOB.
+DinLE is the latch enable for read data going from the IOB data to the FSB.
+ADoutLE is high only during IOS0 and is low during IOS1-7. +Therefore address and write data are latched for the entirety of the bus cycle.
+ADoutLE0 is additionally gated by the ADLEEN signal from the FSB clock domain. +DinLE is high following the falling edges in the middle of IOS4 and IOS5, thus the input latch captures the read data.
+

+ + +

15. I/O Bus Access (Odd Phase)

+

+This diagram shows the timing of an I/O bus cycle beginning with C8M high. +

+This case is basically the same as the start of the previous, just the IOREQ comes in one C16M clock earlier.
+Therefore although IOACTV goes high and ADoutLE goes low immediately following IOREQ detection, +entrance into IOS1 is delayed by one clock. +

+ + +

16. IOREQ Synchronization from FSB to IOB

+

+This diagram shows the synchronization of IOREQ from the FSB clock domain to the I/O clock domain. +

+Because the C16M clock speed is low and because latency between the FSB and IOB is critical, +a single-state synchronizer triggered on the C16M falling edge is used.
+On XC9500XL and ispMACH4000, the metastability recovery time tMET is only a few nanoseconds +for MTBF in the trillions of years.
+With 30ns between the falling and rising edges of C16M, a single-stage synchronizer is adequate.
+Given this arrangement, in IOS0, the delay between the FSB sending IOREQ low and the IOB responding with IOACT high
+is 1.5 C16M clock cycles plus one tSU and two tCO, or approximately 110 nanoseconds. +

+ + +

17. Three Consecutive Posted Writes to I/O Bus

+

+This diagram shows two consecutive posted writes to the I/O bus. +

+In order to enhance video performance, the ability to "post" up to two consecutive writes to the I/O bus is desirable. +Three such posted writes are shown here.
+During IOB space write cycles, the Ready1 signal (input to the FSB controller) +is high when the FSB-to-IOB interface can accept a posted write.
+Because three writes were performed consecutively here before the first had the opportunity to complete, +Ready1 goes low because the FSB-to-IOB FIFO is full and completion of the third write is delayed until the FIFO is not full. +

+ +

18. Two Consecutive Posted Writes to Video/Sound Memory with FIFO Empty

+

+This diagram shows two consecutive posted writes to video/sound RAM. +

+When writing to video/sound RAM, the data written must be written to the I/O bus +as well as shadowed in the accelerator's onboard RAM.
+Therefore a DRAM write cycle occurs concurrently with an I/O bus write.
+Here we have the case where the I/O bus FIFO starts out empty and then accepts two writes in four clock cycles each.
+In this case, the acceptance of the posted write by the I/O bus slave port and the DRAM write occur simultaneously.
+Of course, were the posted write FIFO full or the RAM in refresh, either unit could +delay completion of the /AS cycle via their respective Ready signals. +

+ + +

19. Read from I/O Bus

+

+This diagram shows a read from the I/O bus. +

+From the perspective of the FSB controller, the case where data is read from the I/O bus is fairly simple.
+The IOB slave port holds Ready1 low until the I/O bus transaction is completed. +

+ + +

20. I/O Bus Slave Port - Single Read/Write

+

+This diagram shows the behavior of the I/O bus slave port controller under a single read/write request. +

+Here we are just showing the signals relevant to the I/O bus slave port controller rather than all of the M68k FSB signals.
+IOAS is a decode of the address, the FSB's /AS, and the IODone signal and represents when an I/O bus cycle request +has been submitted but not yet accepted by the I/O bus slave port controller.
+If the posted write FIFO is empty then the IOB slave port controller can submit +a new access request to the master controller.
+In this case the posted write FIFO is empty and IOA is active, +so the IOB slave controller enters PS2 and asserts IOREQ.
+In addition, at this time, IORW0 is latched from the FSB's R/W line. +This tells the IOB master controller whether the current request a read or write. +At the end of the first PS2 state, ALEEN0 is lowered in order to latch the address and write data into the IOB interface latches. +IOLU0[1:0] is also latched from the FSB /LDS and /UDS signals.
+Similar to IORW0, IOLU0 encodes which of the two bytes of the data bus are to be accessed by the IOB master controller.
+

+ADLEEN0 merits some additional explanation.
+Since the IOB slave controller supports a 4-clock posted write, following the first PS2 state of a posted write, +M68k will remove /AS and terminate the cycle.
+Because of synchronization overhead between the FSB and IOB clock domains, the IOB master controller may not latch the address and write data into the latches between the FSB and IOB before the cycle terminates.
+Therefore the ALE0 output is additionally gated by the FSB clock domain signal ADLEEN0. +ADLEEN0 stays low until a receipt of the IOB request is confirmed by the IOB master controller.
+

+Following the first PS2 state, the IOB slave controller waits in PS2 until the IOB master controller signals IOACT, +indicating that it has received the IOB request.
+Once IOACT is received high then the IOB slave controller removes IOREQ and ADLEEN0 and enters PS1. +

+In PS1, the IO bus controller waits for IOACT low, indicating that the cycle has completed, and then returns to PS0. +Additionally, once IOACT is low, if IORW0 indicates a read was performed, IORDRDY is brought high for one cycle. +

+ + +

22. I/O Bus Slave Port - Two Writes, FIFO never full

+ + +

23. I/O Bus Slave Port - Two Writes, FIFO filled (0)

+ + +

24. I/O Bus Slave Port - Two Writes, FIFO filled (1)

+ + +

25. I/O Bus Slave Port - Two Writes, FIFO filled (2)

+ + +

26. I/O Bus Slave Port - Two Writes, FIFO filled (3)

+ + + + + + diff --git a/JTAG.sch b/JTAG.sch new file mode 100644 index 0000000..c3e8c4e --- /dev/null +++ b/JTAG.sch @@ -0,0 +1,77 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 9 10 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Text HLabel 4750 3550 2 50 Output ~ 0 +TCK +Text HLabel 4750 3750 2 50 Output ~ 0 +TDI +Text HLabel 4750 3450 2 50 Output ~ 0 +TMS +Text HLabel 4750 3650 2 50 Input ~ 0 +TDO +$Comp +L Connector_Generic:Conn_02x07_Odd_Even J2 +U 1 1 61B2217D +P 4450 3650 +F 0 "J2" H 4500 4050 50 0000 C CNN +F 1 "JTAG" H 4500 3250 50 0000 C CNN +F 2 "Connector:Tag-Connect_TC2070-IDC-FP_2x07_P1.27mm_Vertical" H 4450 3650 50 0001 C CNN +F 3 "~" H 4450 3650 50 0001 C CNN + 1 4450 3650 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0127 +U 1 1 61B22961 +P 4250 3950 +F 0 "#PWR0127" H 4250 3700 50 0001 C CNN +F 1 "GND" H 4255 3777 50 0000 C CNN +F 2 "" H 4250 3950 50 0001 C CNN +F 3 "" H 4250 3950 50 0001 C CNN + 1 4250 3950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4250 3350 4250 3450 +Connection ~ 4250 3950 +Connection ~ 4250 3450 +Wire Wire Line + 4250 3450 4250 3550 +Connection ~ 4250 3550 +Wire Wire Line + 4250 3550 4250 3650 +Connection ~ 4250 3650 +Wire Wire Line + 4250 3650 4250 3750 +Connection ~ 4250 3750 +Wire Wire Line + 4250 3750 4250 3850 +Connection ~ 4250 3850 +Wire Wire Line + 4250 3850 4250 3950 +$Comp +L power:+3V3 #PWR0128 +U 1 1 61B2334F +P 4750 3350 +F 0 "#PWR0128" H 4750 3200 50 0001 C CNN +F 1 "+3V3" H 4750 3500 50 0000 C CNN +F 2 "" H 4750 3350 50 0001 C CNN +F 3 "" H 4750 3350 50 0001 C CNN + 1 4750 3350 + 1 0 0 -1 +$EndComp +NoConn ~ 4750 3850 +NoConn ~ 4750 3950 +$EndSCHEMATC diff --git a/MC68k.sch b/MC68k.sch new file mode 100644 index 0000000..97c81ba --- /dev/null +++ b/MC68k.sch @@ -0,0 +1,416 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 5 10 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L CPU_NXP_68000:MC68000FN U14 +U 1 1 6187DB31 +P 2300 3600 +F 0 "U14" H 2300 5650 50 0000 C CNN +F 1 "MC68HC000FN20" H 2300 5550 50 0000 C CNN +F 2 "stdpads:PLCC-68" H 1550 5850 50 0001 C CNN +F 3 "http://www.nxp.com/files/32bit/doc/ref_manual/MC68000UM.pdf" H 2300 3600 50 0001 C CNN + 1 2300 3600 + 1 0 0 -1 +$EndComp +Text HLabel 1300 3300 0 50 Input ~ 0 +~VPA~ +Text HLabel 1300 4300 0 50 Input ~ 0 +~DTACK~ +Text HLabel 3300 5800 2 50 Output ~ 0 +R~W~ +Text HLabel 3300 5700 2 50 Output ~ 0 +~LDS~ +Text HLabel 3300 5600 2 50 Output ~ 0 +~UDS~ +Text HLabel 3300 5500 2 50 Output ~ 0 +~AS~ +Text HLabel 1300 5000 0 50 BiDi ~ 0 +~RESET~ +Text HLabel 1300 1700 0 50 Input ~ 0 +~IPL~0 +Text HLabel 1300 1800 0 50 Input ~ 0 +~IPL~1 +Text HLabel 1300 1900 0 50 Input ~ 0 +~IPL~2 +Text HLabel 1300 4100 0 50 Input ~ 0 +~BERR~ +Text HLabel 1300 1400 0 50 Input ~ 0 +CLK +Text Label 3300 3800 0 50 ~ 0 +D0 +Text Label 3300 3900 0 50 ~ 0 +D1 +Text Label 3300 4000 0 50 ~ 0 +D2 +Text Label 3300 4100 0 50 ~ 0 +D3 +Text Label 3300 4200 0 50 ~ 0 +D4 +Text Label 3300 4300 0 50 ~ 0 +D5 +Text Label 3300 4400 0 50 ~ 0 +D6 +Text Label 3300 4500 0 50 ~ 0 +D7 +Text Label 3300 4600 0 50 ~ 0 +D8 +Text Label 3300 4700 0 50 ~ 0 +D9 +Text Label 3300 4800 0 50 ~ 0 +D10 +Text Label 3300 4900 0 50 ~ 0 +D11 +Text Label 3300 5000 0 50 ~ 0 +D12 +Text Label 3300 5100 0 50 ~ 0 +D13 +Text Label 3300 5200 0 50 ~ 0 +D14 +Text Label 3300 5300 0 50 ~ 0 +D15 +Wire Wire Line + 3300 5300 3500 5300 +Wire Wire Line + 3300 5200 3500 5200 +Wire Wire Line + 3300 5100 3500 5100 +Wire Wire Line + 3300 5000 3500 5000 +Wire Wire Line + 3300 4900 3500 4900 +Wire Wire Line + 3300 4800 3500 4800 +Wire Wire Line + 3300 4700 3500 4700 +Wire Wire Line + 3300 4600 3500 4600 +Wire Wire Line + 3300 4500 3500 4500 +Wire Wire Line + 3300 4400 3500 4400 +Wire Wire Line + 3300 4300 3500 4300 +Wire Wire Line + 3300 4200 3500 4200 +Wire Wire Line + 3300 4100 3500 4100 +Wire Wire Line + 3300 4000 3500 4000 +Wire Wire Line + 3300 3900 3500 3900 +Wire Wire Line + 3300 3800 3500 3800 +Entry Wire Line + 3500 5300 3600 5400 +Entry Wire Line + 3500 5200 3600 5300 +Entry Wire Line + 3500 5100 3600 5200 +Entry Wire Line + 3500 5000 3600 5100 +Entry Wire Line + 3500 4900 3600 5000 +Entry Wire Line + 3500 4800 3600 4900 +Entry Wire Line + 3500 4700 3600 4800 +Entry Wire Line + 3500 4600 3600 4700 +Entry Wire Line + 3500 4500 3600 4600 +Entry Wire Line + 3500 4400 3600 4500 +Entry Wire Line + 3500 4300 3600 4400 +Entry Wire Line + 3500 4200 3600 4300 +Entry Wire Line + 3500 4100 3600 4200 +Entry Wire Line + 3500 4000 3600 4100 +Entry Wire Line + 3500 3900 3600 4000 +Entry Wire Line + 3500 3800 3600 3900 +Text HLabel 3650 3900 2 50 BiDi ~ 0 +D[15..0] +Wire Wire Line + 3300 2900 3500 2900 +Wire Wire Line + 3300 2800 3500 2800 +Wire Wire Line + 3300 2700 3500 2700 +Wire Wire Line + 3300 2600 3500 2600 +Wire Wire Line + 3300 2500 3500 2500 +Wire Wire Line + 3300 2400 3500 2400 +Wire Wire Line + 3300 2300 3500 2300 +Wire Wire Line + 3300 2200 3500 2200 +Wire Wire Line + 3300 2100 3500 2100 +Wire Wire Line + 3300 2000 3500 2000 +Wire Wire Line + 3300 1900 3500 1900 +Wire Wire Line + 3300 1800 3500 1800 +Wire Wire Line + 3300 1700 3500 1700 +Wire Wire Line + 3300 1600 3500 1600 +Wire Wire Line + 3300 1500 3500 1500 +Wire Wire Line + 3300 1400 3500 1400 +Entry Wire Line + 3500 2900 3600 3000 +Entry Wire Line + 3500 2800 3600 2900 +Entry Wire Line + 3500 2700 3600 2800 +Entry Wire Line + 3500 2600 3600 2700 +Entry Wire Line + 3500 2500 3600 2600 +Entry Wire Line + 3500 2400 3600 2500 +Entry Wire Line + 3500 2300 3600 2400 +Entry Wire Line + 3500 2200 3600 2300 +Entry Wire Line + 3500 2100 3600 2200 +Entry Wire Line + 3500 2000 3600 2100 +Entry Wire Line + 3500 1900 3600 2000 +Entry Wire Line + 3500 1800 3600 1900 +Entry Wire Line + 3500 1700 3600 1800 +Entry Wire Line + 3500 1600 3600 1700 +Entry Wire Line + 3500 1500 3600 1600 +Entry Wire Line + 3500 1400 3600 1500 +Wire Wire Line + 3300 3600 3500 3600 +Wire Wire Line + 3300 3500 3500 3500 +Wire Wire Line + 3300 3400 3500 3400 +Wire Wire Line + 3300 3300 3500 3300 +Wire Wire Line + 3300 3200 3500 3200 +Wire Wire Line + 3300 3100 3500 3100 +Wire Wire Line + 3300 3000 3500 3000 +Entry Wire Line + 3500 3600 3600 3700 +Entry Wire Line + 3500 3500 3600 3600 +Entry Wire Line + 3500 3400 3600 3500 +Entry Wire Line + 3500 3300 3600 3400 +Entry Wire Line + 3500 3200 3600 3300 +Entry Wire Line + 3500 3100 3600 3200 +Entry Wire Line + 3500 3000 3600 3100 +Text Label 3300 1400 0 50 ~ 0 +A1 +Text Label 3300 1500 0 50 ~ 0 +A2 +Text Label 3300 1600 0 50 ~ 0 +A3 +Text Label 3300 1700 0 50 ~ 0 +A4 +Text Label 3300 1800 0 50 ~ 0 +A5 +Text Label 3300 1900 0 50 ~ 0 +A6 +Text Label 3300 2000 0 50 ~ 0 +A7 +Text Label 3300 2100 0 50 ~ 0 +A8 +Text Label 3300 2200 0 50 ~ 0 +A9 +Text Label 3300 2300 0 50 ~ 0 +A10 +Text Label 3300 2400 0 50 ~ 0 +A11 +Text Label 3300 2500 0 50 ~ 0 +A12 +Text Label 3300 2600 0 50 ~ 0 +A13 +Text Label 3300 2700 0 50 ~ 0 +A14 +Text Label 3300 2800 0 50 ~ 0 +A15 +Text Label 3300 2900 0 50 ~ 0 +A16 +Text Label 3300 3000 0 50 ~ 0 +A17 +Text Label 3300 3100 0 50 ~ 0 +A18 +Text Label 3300 3200 0 50 ~ 0 +A19 +Text Label 3300 3300 0 50 ~ 0 +A20 +Text Label 3300 3400 0 50 ~ 0 +A21 +Text Label 3300 3500 0 50 ~ 0 +A22 +Text Label 3300 3600 0 50 ~ 0 +A23 +Text HLabel 3650 1500 2 50 Output ~ 0 +A[23..1] +NoConn ~ 1300 2600 +NoConn ~ 1300 2700 +NoConn ~ 1300 2800 +NoConn ~ 1300 2200 +Wire Wire Line + 1300 2300 1200 2300 +Wire Wire Line + 1200 2300 1200 2100 +Wire Wire Line + 1200 2100 1300 2100 +$Comp +L power:+5V #PWR0101 +U 1 1 60E9BDD6 +P 1200 2100 +F 0 "#PWR0101" H 1200 1950 50 0001 C CNN +F 1 "+5V" H 1200 2250 50 0000 C CNN +F 2 "" H 1200 2100 50 0001 C CNN +F 3 "" H 1200 2100 50 0001 C CNN + 1 1200 2100 + 1 0 0 -1 +$EndComp +Connection ~ 1200 2100 +$Comp +L power:+5V #PWR0102 +U 1 1 60E9C1DC +P 2200 1000 +F 0 "#PWR0102" H 2200 850 50 0001 C CNN +F 1 "+5V" H 2200 1150 50 0000 C CNN +F 2 "" H 2200 1000 50 0001 C CNN +F 3 "" H 2200 1000 50 0001 C CNN + 1 2200 1000 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2200 1000 2400 1000 +Connection ~ 2200 1000 +$Comp +L power:GND #PWR0103 +U 1 1 60EA198C +P 2500 6200 +F 0 "#PWR0103" H 2500 5950 50 0001 C CNN +F 1 "GND" H 2500 6050 50 0000 C CNN +F 2 "" H 2500 6200 50 0001 C CNN +F 3 "" H 2500 6200 50 0001 C CNN + 1 2500 6200 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2500 6200 2400 6200 +Connection ~ 2500 6200 +Connection ~ 2200 6200 +Wire Wire Line + 2200 6200 2100 6200 +Connection ~ 2400 6200 +Wire Wire Line + 2400 6200 2200 6200 +Wire Bus Line + 3650 1500 3600 1500 +Wire Bus Line + 3650 3900 3600 3900 +$Comp +L power:+5V #PWR? +U 1 1 6161AC98 +P 1300 7200 +AR Path="/6161AC98" Ref="#PWR?" Part="1" +AR Path="/60D70CB4/6161AC98" Ref="#PWR?" Part="1" +AR Path="/5F72F108/6161AC98" Ref="#PWR0104" Part="1" +F 0 "#PWR0104" H 1300 7050 50 0001 C CNN +F 1 "+5V" H 1300 7350 50 0000 C CNN +F 2 "" H 1300 7200 50 0001 C CNN +F 3 "" H 1300 7200 50 0001 C CNN + 1 1300 7200 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1300 7200 1700 7200 +$Comp +L Device:C_Small C? +U 1 1 6161ACA0 +P 1700 7300 +AR Path="/6161ACA0" Ref="C?" Part="1" +AR Path="/60D70CB4/6161ACA0" Ref="C?" Part="1" +AR Path="/5F72F108/6161ACA0" Ref="C2" Part="1" +F 0 "C2" H 1750 7350 50 0000 L CNN +F 1 "10u" H 1750 7250 50 0000 L CNN +F 2 "stdpads:C_0805" H 1700 7300 50 0001 C CNN +F 3 "~" H 1700 7300 50 0001 C CNN + 1 1700 7300 + 1 0 0 -1 +$EndComp +Connection ~ 1300 7200 +$Comp +L Device:C_Small C? +U 1 1 6161ACA7 +P 1300 7300 +AR Path="/6161ACA7" Ref="C?" Part="1" +AR Path="/60D70CB4/6161ACA7" Ref="C?" Part="1" +AR Path="/5F72F108/6161ACA7" Ref="C1" Part="1" +F 0 "C1" H 1350 7350 50 0000 L CNN +F 1 "10u" H 1350 7250 50 0000 L CNN +F 2 "stdpads:C_0805" H 1300 7300 50 0001 C CNN +F 3 "~" H 1300 7300 50 0001 C CNN + 1 1300 7300 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1300 7400 1700 7400 +Wire Wire Line + 1300 4800 1300 5000 +NoConn ~ 1300 3100 +NoConn ~ 1300 3200 +$Comp +L power:GND #PWR0126 +U 1 1 61B1134E +P 1700 7400 +F 0 "#PWR0126" H 1700 7150 50 0001 C CNN +F 1 "GND" H 1700 7250 50 0000 C CNN +F 2 "" H 1700 7400 50 0001 C CNN +F 3 "" H 1700 7400 50 0001 C CNN + 1 1700 7400 + 1 0 0 -1 +$EndComp +Connection ~ 1700 7400 +Wire Bus Line + 3600 3900 3600 5400 +Wire Bus Line + 3600 1500 3600 3700 +$EndSCHEMATC diff --git a/PDS.sch b/PDS.sch new file mode 100644 index 0000000..0e6797f --- /dev/null +++ b/PDS.sch @@ -0,0 +1,688 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 4 10 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L GW_Connector:MacSEPDS J? +U 1 1 5F6DD05A +P 1350 3950 +AR Path="/5F6DD05A" Ref="J?" Part="1" +AR Path="/5F6DA71D/5F6DD05A" Ref="J1" Part="1" +F 0 "J1" H 1232 5867 50 0000 C CNN +F 1 "MacSEPDS" H 1232 5776 50 0000 C CNN +F 2 "stdpads:DIN41612_R_3x32_Male_Vertical_THT" H 1350 5750 50 0001 C CNN +F 3 "" H 1350 5750 50 0001 C CNN + 1 1350 3950 + 1 0 0 -1 +$EndComp +$Comp +L GW_Connector:MacSEPDS J? +U 3 1 5F6E0CCF +P 3900 3950 +AR Path="/5F6E0CCF" Ref="J?" Part="3" +AR Path="/5F6DA71D/5F6E0CCF" Ref="J1" Part="3" +F 0 "J1" H 3782 5867 50 0000 C CNN +F 1 "MacSEPDS" H 3782 5776 50 0000 C CNN +F 2 "stdpads:DIN41612_R_3x32_Male_Vertical_THT" H 3900 5750 50 0001 C CNN +F 3 "" H 3900 5750 50 0001 C CNN + 3 3900 3950 + 1 0 0 -1 +$EndComp +NoConn ~ 1500 2300 +NoConn ~ 1500 2400 +NoConn ~ 1500 2500 +NoConn ~ 2750 4950 +NoConn ~ 2750 4850 +NoConn ~ 2750 4750 +NoConn ~ 2750 4650 +NoConn ~ 2750 4550 +NoConn ~ 2750 4450 +$Comp +L power:+5V #PWR0143 +U 1 1 5F6E26CC +P 2850 3950 +F 0 "#PWR0143" H 2850 3800 50 0001 C CNN +F 1 "+5V" H 2850 4100 50 0000 C CNN +F 2 "" H 2850 3950 50 0001 C CNN +F 3 "" H 2850 3950 50 0001 C CNN + 1 2850 3950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2850 3950 2750 3950 +NoConn ~ 2750 3350 +NoConn ~ 2750 3250 +$Comp +L power:GND #PWR0144 +U 1 1 5F6E368E +P 2850 2350 +F 0 "#PWR0144" H 2850 2100 50 0001 C CNN +F 1 "GND" H 2850 2200 50 0000 C CNN +F 2 "" H 2850 2350 50 0001 C CNN +F 3 "" H 2850 2350 50 0001 C CNN + 1 2850 2350 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2850 2350 2750 2350 +$Comp +L power:GND #PWR0146 +U 1 1 5F6E485F +P 4500 5200 +F 0 "#PWR0146" H 4500 4950 50 0001 C CNN +F 1 "GND" H 4500 5050 50 0000 C CNN +F 2 "" H 4500 5200 50 0001 C CNN +F 3 "" H 4500 5200 50 0001 C CNN + 1 4500 5200 + 1 0 0 -1 +$EndComp +NoConn ~ 4050 5300 +$Comp +L power:GND #PWR0147 +U 1 1 5F6E565A +P 1900 5200 +F 0 "#PWR0147" H 1900 4950 50 0001 C CNN +F 1 "GND" H 1900 5050 50 0000 C CNN +F 2 "" H 1900 5200 50 0001 C CNN +F 3 "" H 1900 5200 50 0001 C CNN + 1 1900 5200 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1900 5200 1500 5200 +$Comp +L power:+5V #PWR0148 +U 1 1 5F6E63E7 +P 4450 3500 +F 0 "#PWR0148" H 4450 3350 50 0001 C CNN +F 1 "+5V" H 4450 3650 50 0000 C CNN +F 2 "" H 4450 3500 50 0001 C CNN +F 3 "" H 4450 3500 50 0001 C CNN + 1 4450 3500 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0149 +U 1 1 5F6E6FCB +P 3150 5150 +F 0 "#PWR0149" H 3150 4900 50 0001 C CNN +F 1 "GND" H 3150 5000 50 0000 C CNN +F 2 "" H 3150 5150 50 0001 C CNN +F 3 "" H 3150 5150 50 0001 C CNN + 1 3150 5150 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3150 5150 2750 5150 +NoConn ~ 2750 3450 +Text HLabel 4050 2300 2 50 Output ~ 0 +~VPA~ +Text HLabel 4050 2400 2 50 BiDi ~ 0 +~VMA~ +Text HLabel 4050 2800 2 50 Output ~ 0 +~DTACK~ +Text HLabel 4050 2900 2 50 BiDi ~ 0 +R~W~ +Text HLabel 4050 3000 2 50 BiDi ~ 0 +~LDS~ +Text HLabel 4050 3100 2 50 BiDi ~ 0 +~UDS~ +Text HLabel 4050 3200 2 50 BiDi ~ 0 +~AS~ +Text HLabel 4050 3400 2 50 BiDi ~ 0 +~RESET~ +Text HLabel 2750 4050 2 50 Output ~ 0 +~IPL~0 +Text HLabel 2750 4150 2 50 Output ~ 0 +~IPL~1 +Text HLabel 2750 4250 2 50 Output ~ 0 +~IPL~2 +Text HLabel 2750 4350 2 50 Output ~ 0 +~BERR~ +Text HLabel 1500 4900 2 50 Output ~ 0 +E +Text HLabel 1500 5000 2 50 Output ~ 0 +C8M +Text HLabel 1500 5100 2 50 Output ~ 0 +C16M +Text Label 4050 3600 0 50 ~ 0 +D0 +Text Label 4050 3700 0 50 ~ 0 +D1 +Text Label 4050 3800 0 50 ~ 0 +D2 +Text Label 4050 3900 0 50 ~ 0 +D3 +Text Label 4050 4000 0 50 ~ 0 +D4 +Text Label 4050 4100 0 50 ~ 0 +D5 +Text Label 4050 4200 0 50 ~ 0 +D6 +Text Label 4050 4300 0 50 ~ 0 +D7 +Text Label 4050 4400 0 50 ~ 0 +D8 +Text Label 4050 4500 0 50 ~ 0 +D9 +Text Label 4050 4600 0 50 ~ 0 +D10 +Text Label 4050 4700 0 50 ~ 0 +D11 +Text Label 4050 4800 0 50 ~ 0 +D12 +Text Label 4050 4900 0 50 ~ 0 +D13 +Text Label 4050 5000 0 50 ~ 0 +D14 +Text Label 4050 5100 0 50 ~ 0 +D15 +Wire Wire Line + 4050 5100 4250 5100 +Wire Wire Line + 4050 5000 4250 5000 +Wire Wire Line + 4050 4900 4250 4900 +Wire Wire Line + 4050 4800 4250 4800 +Wire Wire Line + 4050 4700 4250 4700 +Wire Wire Line + 4050 4600 4250 4600 +Wire Wire Line + 4050 4500 4250 4500 +Wire Wire Line + 4050 4400 4250 4400 +Wire Wire Line + 4050 4300 4250 4300 +Wire Wire Line + 4050 4200 4250 4200 +Wire Wire Line + 4050 4100 4250 4100 +Wire Wire Line + 4050 4000 4250 4000 +Wire Wire Line + 4050 3900 4250 3900 +Wire Wire Line + 4050 3800 4250 3800 +Wire Wire Line + 4050 3700 4250 3700 +Wire Wire Line + 4050 3600 4250 3600 +Wire Wire Line + 1500 4100 1700 4100 +Wire Wire Line + 1500 4000 1700 4000 +Wire Wire Line + 1500 3900 1700 3900 +Wire Wire Line + 1500 3800 1700 3800 +Wire Wire Line + 1500 3700 1700 3700 +Wire Wire Line + 1500 3600 1700 3600 +Wire Wire Line + 1500 3500 1700 3500 +Wire Wire Line + 1500 3400 1700 3400 +Wire Wire Line + 1500 3300 1700 3300 +Wire Wire Line + 1500 3200 1700 3200 +Wire Wire Line + 1500 3100 1700 3100 +Wire Wire Line + 1500 3000 1700 3000 +Wire Wire Line + 1500 2900 1700 2900 +Wire Wire Line + 1500 2800 1700 2800 +Wire Wire Line + 1500 2700 1700 2700 +Wire Wire Line + 1500 2600 1700 2600 +Entry Wire Line + 1700 4100 1800 4200 +Entry Wire Line + 1700 4000 1800 4100 +Entry Wire Line + 1700 3900 1800 4000 +Entry Wire Line + 1700 3800 1800 3900 +Entry Wire Line + 1700 3700 1800 3800 +Entry Wire Line + 1700 3600 1800 3700 +Entry Wire Line + 1700 3500 1800 3600 +Entry Wire Line + 1700 3400 1800 3500 +Entry Wire Line + 1700 3300 1800 3400 +Entry Wire Line + 1700 3200 1800 3300 +Entry Wire Line + 1700 3100 1800 3200 +Entry Wire Line + 1700 3000 1800 3100 +Entry Wire Line + 1700 2900 1800 3000 +Entry Wire Line + 1700 2800 1800 2900 +Entry Wire Line + 1700 2700 1800 2800 +Entry Wire Line + 1700 2600 1800 2700 +Text HLabel 1800 2700 2 50 BiDi ~ 0 +A[23..1] +Wire Wire Line + 1500 4800 1700 4800 +Wire Wire Line + 1500 4700 1700 4700 +Wire Wire Line + 1500 4600 1700 4600 +Wire Wire Line + 1500 4500 1700 4500 +Wire Wire Line + 1500 4400 1700 4400 +Wire Wire Line + 1500 4300 1700 4300 +Wire Wire Line + 1500 4200 1700 4200 +Entry Wire Line + 1700 4800 1800 4900 +Entry Wire Line + 1700 4700 1800 4800 +Entry Wire Line + 1700 4600 1800 4700 +Entry Wire Line + 1700 4500 1800 4600 +Entry Wire Line + 1700 4400 1800 4500 +Entry Wire Line + 1700 4300 1800 4400 +Entry Wire Line + 1700 4200 1800 4300 +Text Label 1500 2600 0 50 ~ 0 +A1 +Text Label 1500 2700 0 50 ~ 0 +A2 +Text Label 1500 2800 0 50 ~ 0 +A3 +Text Label 1500 2900 0 50 ~ 0 +A4 +Text Label 1500 3000 0 50 ~ 0 +A5 +Text Label 1500 3100 0 50 ~ 0 +A6 +Text Label 1500 3200 0 50 ~ 0 +A7 +Text Label 1500 3300 0 50 ~ 0 +A8 +Text Label 1500 3400 0 50 ~ 0 +A9 +Text Label 1500 3500 0 50 ~ 0 +A10 +Text Label 1500 3600 0 50 ~ 0 +A11 +Text Label 1500 3700 0 50 ~ 0 +A12 +Text Label 1500 3800 0 50 ~ 0 +A13 +Text Label 1500 3900 0 50 ~ 0 +A14 +Text Label 1500 4000 0 50 ~ 0 +A15 +Text Label 1500 4100 0 50 ~ 0 +A16 +Text Label 1500 4200 0 50 ~ 0 +A17 +Text Label 1500 4300 0 50 ~ 0 +A18 +Text Label 1500 4400 0 50 ~ 0 +A19 +Text Label 1500 4500 0 50 ~ 0 +A20 +Text Label 1500 4600 0 50 ~ 0 +A21 +Text Label 1500 4700 0 50 ~ 0 +A22 +Text Label 1500 4800 0 50 ~ 0 +A23 +$Comp +L GW_Connector:MacSEPDS J? +U 2 1 5F6DF4C8 +P 2600 4000 +AR Path="/5F6DF4C8" Ref="J?" Part="2" +AR Path="/5F6DA71D/5F6DF4C8" Ref="J1" Part="2" +F 0 "J1" H 2462 5917 50 0000 C CNN +F 1 "MacSEPDS" H 2462 5826 50 0000 C CNN +F 2 "stdpads:DIN41612_R_3x32_Male_Vertical_THT" H 2600 5800 50 0001 C CNN +F 3 "" H 2600 5800 50 0001 C CNN + 2 2600 4000 + 1 0 0 -1 +$EndComp +NoConn ~ 2750 5050 +Connection ~ 2100 6400 +$Comp +L Device:C_Small C? +U 1 1 616DE7BE +P 2100 6300 +AR Path="/616DE7BE" Ref="C?" Part="1" +AR Path="/5F6DA71D/616DE7BE" Ref="C20" Part="1" +F 0 "C20" H 2150 6350 50 0000 L CNN +F 1 "10u" H 2150 6250 50 0000 L CNN +F 2 "stdpads:C_0805" H 2100 6300 50 0001 C CNN +F 3 "~" H 2100 6300 50 0001 C CNN + 1 2100 6300 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1700 6400 2100 6400 +Wire Wire Line + 1300 6400 1700 6400 +Connection ~ 1700 6400 +Wire Wire Line + 1300 6200 1700 6200 +$Comp +L Device:C_Small C? +U 1 1 616DE7D1 +P 1700 6300 +AR Path="/616DE7D1" Ref="C?" Part="1" +AR Path="/5F6DA71D/616DE7D1" Ref="C19" Part="1" +F 0 "C19" H 1750 6350 50 0000 L CNN +F 1 "10u" H 1750 6250 50 0000 L CNN +F 2 "stdpads:C_0805" H 1700 6300 50 0001 C CNN +F 3 "~" H 1700 6300 50 0001 C CNN + 1 1700 6300 + 1 0 0 -1 +$EndComp +Connection ~ 2900 6400 +$Comp +L Device:C_Small C? +U 1 1 616DE7DB +P 2900 6300 +AR Path="/616DE7DB" Ref="C?" Part="1" +AR Path="/5F6DA71D/616DE7DB" Ref="C22" Part="1" +F 0 "C22" H 2950 6350 50 0000 L CNN +F 1 "10u" H 2950 6250 50 0000 L CNN +F 2 "stdpads:C_0805" H 2900 6300 50 0001 C CNN +F 3 "~" H 2900 6300 50 0001 C CNN + 1 2900 6300 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C? +U 1 1 616DE7E8 +P 1300 6300 +AR Path="/616DE7E8" Ref="C?" Part="1" +AR Path="/5F6DA71D/616DE7E8" Ref="C18" Part="1" +F 0 "C18" H 1350 6350 50 0000 L CNN +F 1 "10u" H 1350 6250 50 0000 L CNN +F 2 "stdpads:C_0805" H 1300 6300 50 0001 C CNN +F 3 "~" H 1300 6300 50 0001 C CNN + 1 1300 6300 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2750 3550 2750 3650 +Connection ~ 2750 3650 +Wire Wire Line + 2750 3650 2750 3750 +Connection ~ 2750 3750 +Wire Wire Line + 2750 3750 2750 3850 +Connection ~ 2750 3850 +Wire Wire Line + 2750 3850 2750 3950 +$Comp +L power:+5V #PWR0151 +U 1 1 616E93B6 +P 1300 6200 +F 0 "#PWR0151" H 1300 6050 50 0001 C CNN +F 1 "+5V" H 1300 6350 50 0000 C CNN +F 2 "" H 1300 6200 50 0001 C CNN +F 3 "" H 1300 6200 50 0001 C CNN + 1 1300 6200 + 1 0 0 -1 +$EndComp +Connection ~ 1300 6200 +$Comp +L power:-12V #PWR0152 +U 1 1 616F1447 +P 3700 6200 +F 0 "#PWR0152" H 3700 6300 50 0001 C CNN +F 1 "-12V" H 3700 6350 50 0000 C CNN +F 2 "" H 3700 6200 50 0001 C CNN +F 3 "" H 3700 6200 50 0001 C CNN + 1 3700 6200 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR? +U 1 1 616F27A0 +P 4100 6400 +AR Path="/616F27A0" Ref="#PWR?" Part="1" +AR Path="/5F6DA71D/616F27A0" Ref="#PWR0153" Part="1" +F 0 "#PWR0153" H 4100 6150 50 0001 C CNN +F 1 "GND" H 4100 6250 50 0000 C CNN +F 2 "" H 4100 6400 50 0001 C CNN +F 3 "" H 4100 6400 50 0001 C CNN + 1 4100 6400 + -1 0 0 -1 +$EndComp +Wire Wire Line + 3700 6400 4100 6400 +Connection ~ 3700 6400 +$Comp +L Device:C_Small C? +U 1 1 616F27B1 +P 3700 6300 +AR Path="/616F27B1" Ref="C?" Part="1" +AR Path="/5F6DA71D/616F27B1" Ref="C24" Part="1" +F 0 "C24" H 3750 6350 50 0000 L CNN +F 1 "10u" H 3750 6250 50 0000 L CNN +F 2 "stdpads:C_0805" H 3700 6300 50 0001 C CNN +F 3 "~" H 3700 6300 50 0001 C CNN + 1 3700 6300 + 1 0 0 -1 +$EndComp +$Comp +L power:-5V #PWR0154 +U 1 1 616FD697 +P 2100 6200 +F 0 "#PWR0154" H 2100 6300 50 0001 C CNN +F 1 "-5V" H 2100 6350 50 0000 C CNN +F 2 "" H 2100 6200 50 0001 C CNN +F 3 "" H 2100 6200 50 0001 C CNN + 1 2100 6200 + 1 0 0 -1 +$EndComp +$Comp +L power:+12V #PWR0155 +U 1 1 616F0982 +P 2900 6200 +F 0 "#PWR0155" H 2900 6050 50 0001 C CNN +F 1 "+12V" H 2900 6350 50 0000 C CNN +F 2 "" H 2900 6200 50 0001 C CNN +F 3 "" H 2900 6200 50 0001 C CNN + 1 2900 6200 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0156 +U 1 1 61704865 +P 4450 2700 +F 0 "#PWR0156" H 4450 2450 50 0001 C CNN +F 1 "GND" H 4455 2527 50 0000 C CNN +F 2 "" H 4450 2700 50 0001 C CNN +F 3 "" H 4450 2700 50 0001 C CNN + 1 4450 2700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4050 2500 4450 2500 +$Comp +L power:+5V #PWR0157 +U 1 1 6170B699 +P 4350 2400 +F 0 "#PWR0157" H 4350 2250 50 0001 C CNN +F 1 "+5V" H 4350 2550 50 0000 C CNN +F 2 "" H 4350 2400 50 0001 C CNN +F 3 "" H 4350 2400 50 0001 C CNN + 1 4350 2400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4450 2500 4450 2700 +Wire Wire Line + 4050 2600 4350 2600 +Wire Wire Line + 4350 2600 4350 2400 +NoConn ~ 4050 2700 +NoConn ~ 4050 3300 +Wire Wire Line + 2750 3150 2750 3050 +Connection ~ 2750 2450 +Wire Wire Line + 2750 2450 2750 2350 +Connection ~ 2750 2550 +Wire Wire Line + 2750 2550 2750 2450 +Connection ~ 2750 2650 +Wire Wire Line + 2750 2650 2750 2550 +Connection ~ 2750 2750 +Wire Wire Line + 2750 2750 2750 2650 +Connection ~ 2750 2850 +Wire Wire Line + 2750 2850 2750 2750 +Connection ~ 2750 2950 +Wire Wire Line + 2750 2950 2750 2850 +Connection ~ 2750 3050 +Wire Wire Line + 2750 3050 2750 2950 +Connection ~ 2750 3950 +Connection ~ 2750 2350 +Wire Wire Line + 4050 3500 4450 3500 +Text HLabel 4350 3700 2 50 BiDi ~ 0 +D[15..0] +Entry Wire Line + 4250 3600 4350 3700 +Entry Wire Line + 4250 3700 4350 3800 +Entry Wire Line + 4250 3800 4350 3900 +Entry Wire Line + 4250 3900 4350 4000 +Entry Wire Line + 4250 4000 4350 4100 +Entry Wire Line + 4250 4100 4350 4200 +Entry Wire Line + 4250 4200 4350 4300 +Entry Wire Line + 4250 4300 4350 4400 +Entry Wire Line + 4250 4400 4350 4500 +Entry Wire Line + 4250 4500 4350 4600 +Entry Wire Line + 4250 4600 4350 4700 +Entry Wire Line + 4250 4700 4350 4800 +Entry Wire Line + 4250 4800 4350 4900 +Entry Wire Line + 4250 4900 4350 5000 +Entry Wire Line + 4250 5000 4350 5100 +Entry Wire Line + 4250 5100 4350 5200 +Wire Wire Line + 4050 5200 4300 5200 +Wire Wire Line + 4300 5200 4300 5250 +Wire Wire Line + 4300 5250 4400 5250 +Wire Wire Line + 4400 5250 4400 5200 +Wire Wire Line + 4400 5200 4500 5200 +$Comp +L power:-5V #PWR0109 +U 1 1 6176540D +P 2750 5450 +F 0 "#PWR0109" H 2750 5550 50 0001 C CNN +F 1 "-5V" H 2750 5600 50 0000 C CNN +F 2 "" H 2750 5450 50 0001 C CNN +F 3 "" H 2750 5450 50 0001 C CNN + 1 2750 5450 + -1 0 0 1 +$EndComp +$Comp +L power:+12V #PWR0110 +U 1 1 6176B64B +P 1600 5400 +F 0 "#PWR0110" H 1600 5250 50 0001 C CNN +F 1 "+12V" H 1600 5550 50 0000 C CNN +F 2 "" H 1600 5400 50 0001 C CNN +F 3 "" H 1600 5400 50 0001 C CNN + 1 1600 5400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1500 5400 1500 5300 +Connection ~ 1500 5400 +Wire Wire Line + 1600 5400 1500 5400 +$Comp +L power:+12V #PWR0111 +U 1 1 61774C31 +P 2850 5350 +F 0 "#PWR0111" H 2850 5200 50 0001 C CNN +F 1 "+12V" H 2850 5500 50 0000 C CNN +F 2 "" H 2850 5350 50 0001 C CNN +F 3 "" H 2850 5350 50 0001 C CNN + 1 2850 5350 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2850 5350 2750 5350 +Wire Wire Line + 2750 5250 2750 5350 +Connection ~ 2750 5350 +$Comp +L power:-12V #PWR0112 +U 1 1 6177FFC5 +P 4050 5400 +F 0 "#PWR0112" H 4050 5500 50 0001 C CNN +F 1 "-12V" H 4050 5550 50 0000 C CNN +F 2 "" H 4050 5400 50 0001 C CNN +F 3 "" H 4050 5400 50 0001 C CNN + 1 4050 5400 + -1 0 0 1 +$EndComp +Wire Wire Line + 2100 6400 2900 6400 +Wire Wire Line + 2900 6400 3700 6400 +Wire Bus Line + 4350 3700 4350 5200 +Wire Bus Line + 1800 2700 1800 4900 +$EndSCHEMATC diff --git a/Power.sch b/Power.sch new file mode 100644 index 0000000..748eab4 --- /dev/null +++ b/Power.sch @@ -0,0 +1,228 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 9 10 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L Regulator_Linear:AP1117-33 U3 +U 1 1 61B3AB93 +P 5250 2100 +F 0 "U3" H 5250 2300 50 0000 C CNN +F 1 "AZ1117CH-3.3" H 5250 2200 50 0000 C BNN +F 2 "stdpads:SOT-223" H 5250 2300 50 0001 C CNN +F 3 "http://www.diodes.com/datasheets/AP1117.pdf" H 5350 1850 50 0001 C CNN + 1 5250 2100 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0129 +U 1 1 61B3BD83 +P 4850 2100 +F 0 "#PWR0129" H 4850 1950 50 0001 C CNN +F 1 "+5V" H 4850 2250 50 0000 C CNN +F 2 "" H 4850 2100 50 0001 C CNN +F 3 "" H 4850 2100 50 0001 C CNN + 1 4850 2100 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4850 2100 4950 2100 +Wire Wire Line + 5550 2100 5650 2100 +$Comp +L power:GND #PWR0130 +U 1 1 61B3CD29 +P 5250 2400 +F 0 "#PWR0130" H 5250 2150 50 0001 C CNN +F 1 "GND" H 5250 2250 50 0000 C CNN +F 2 "" H 5250 2400 50 0001 C CNN +F 3 "" H 5250 2400 50 0001 C CNN + 1 5250 2400 + 1 0 0 -1 +$EndComp +$Comp +L power:+3V3 #PWR0131 +U 1 1 61B3D39E +P 6050 2100 +F 0 "#PWR0131" H 6050 1950 50 0001 C CNN +F 1 "+3V3" H 6050 2250 50 0000 C CNN +F 2 "" H 6050 2100 50 0001 C CNN +F 3 "" H 6050 2100 50 0001 C CNN + 1 6050 2100 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C3 +U 1 1 61B3DF5F +P 4850 2250 +F 0 "C3" H 4750 2300 50 0000 R CNN +F 1 "10u" H 4750 2200 50 0000 R CNN +F 2 "stdpads:C_0805" H 4850 2250 50 0001 C CNN +F 3 "~" H 4850 2250 50 0001 C CNN + 1 4850 2250 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4850 2400 5250 2400 +Connection ~ 5250 2400 +Wire Wire Line + 4850 2350 4850 2400 +Wire Wire Line + 4850 2100 4850 2150 +Connection ~ 4850 2100 +$Comp +L Device:C_Small C7 +U 1 1 61B3E861 +P 5650 2250 +F 0 "C7" H 5550 2300 50 0000 R CNN +F 1 "10u" H 5550 2200 50 0000 R CNN +F 2 "stdpads:C_0805" H 5650 2250 50 0001 C CNN +F 3 "~" H 5650 2250 50 0001 C CNN + 1 5650 2250 + -1 0 0 -1 +$EndComp +Wire Wire Line + 5250 2400 5650 2400 +Wire Wire Line + 5650 2400 5650 2350 +Wire Wire Line + 5650 2100 5650 2150 +Connection ~ 5650 2100 +$Comp +L Device:C_Small C9 +U 1 1 61B3EE84 +P 5650 3050 +F 0 "C9" H 5550 3100 50 0000 R CNN +F 1 "10u" H 5550 3000 50 0000 R CNN +F 2 "stdpads:C_0805" H 5650 3050 50 0001 C CNN +F 3 "~" H 5650 3050 50 0001 C CNN + 1 5650 3050 + -1 0 0 -1 +$EndComp +Wire Wire Line + 5650 2100 6050 2100 +Wire Wire Line + 6050 2100 6050 2150 +Wire Wire Line + 6050 2350 6050 2400 +Wire Wire Line + 6050 2400 5650 2400 +Connection ~ 5650 2400 +Connection ~ 6050 2100 +$Comp +L GW_Power:AZ1117CH2 U6 +U 1 1 61B4296A +P 5250 2900 +F 0 "U6" H 5250 3100 50 0000 C CNN +F 1 "AZ1117CH2-3.3" H 5250 3000 50 0000 C BNN +F 2 "stdpads:SOT-223" H 5250 3100 50 0001 C CNN +F 3 "http://www.diodes.com/datasheets/AP1117.pdf" H 5350 2650 50 0001 C CNN + 1 5250 2900 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0136 +U 1 1 61B42970 +P 4850 2900 +F 0 "#PWR0136" H 4850 2750 50 0001 C CNN +F 1 "+5V" H 4850 3050 50 0000 C CNN +F 2 "" H 4850 2900 50 0001 C CNN +F 3 "" H 4850 2900 50 0001 C CNN + 1 4850 2900 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4850 2900 4950 2900 +Wire Wire Line + 5550 2900 5650 2900 +$Comp +L power:GND #PWR0137 +U 1 1 61B42978 +P 5250 3200 +F 0 "#PWR0137" H 5250 2950 50 0001 C CNN +F 1 "GND" H 5250 3050 50 0000 C CNN +F 2 "" H 5250 3200 50 0001 C CNN +F 3 "" H 5250 3200 50 0001 C CNN + 1 5250 3200 + 1 0 0 -1 +$EndComp +$Comp +L power:+3V3 #PWR0139 +U 1 1 61B4297E +P 6050 2900 +F 0 "#PWR0139" H 6050 2750 50 0001 C CNN +F 1 "+3V3" H 6050 3050 50 0000 C CNN +F 2 "" H 6050 2900 50 0001 C CNN +F 3 "" H 6050 2900 50 0001 C CNN + 1 6050 2900 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C4 +U 1 1 61B42984 +P 4850 3050 +F 0 "C4" H 4750 3100 50 0000 R CNN +F 1 "10u" H 4750 3000 50 0000 R CNN +F 2 "stdpads:C_0805" H 4850 3050 50 0001 C CNN +F 3 "~" H 4850 3050 50 0001 C CNN + 1 4850 3050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4850 3200 5250 3200 +Connection ~ 5250 3200 +Wire Wire Line + 4850 3150 4850 3200 +Wire Wire Line + 4850 2900 4850 2950 +Connection ~ 4850 2900 +$Comp +L Device:C_Small C8 +U 1 1 61B4298F +P 6050 2250 +F 0 "C8" H 5950 2300 50 0000 R CNN +F 1 "10u" H 5950 2200 50 0000 R CNN +F 2 "stdpads:C_0805" H 6050 2250 50 0001 C CNN +F 3 "~" H 6050 2250 50 0001 C CNN + 1 6050 2250 + -1 0 0 -1 +$EndComp +Wire Wire Line + 5250 3200 5650 3200 +Wire Wire Line + 5650 3200 5650 3150 +Wire Wire Line + 5650 2900 5650 2950 +Connection ~ 5650 2900 +$Comp +L Device:C_Small C10 +U 1 1 61B42999 +P 6050 3050 +F 0 "C10" H 5950 3100 50 0000 R CNN +F 1 "10u" H 5950 3000 50 0000 R CNN +F 2 "stdpads:C_0805" H 6050 3050 50 0001 C CNN +F 3 "~" H 6050 3050 50 0001 C CNN + 1 6050 3050 + -1 0 0 -1 +$EndComp +Wire Wire Line + 5650 2900 6050 2900 +Wire Wire Line + 6050 2900 6050 2950 +Wire Wire Line + 6050 3150 6050 3200 +Wire Wire Line + 6050 3200 5650 3200 +Connection ~ 5650 3200 +Connection ~ 6050 2900 +$EndSCHEMATC diff --git a/RAMROM.sch b/RAMROM.sch new file mode 100644 index 0000000..393e370 --- /dev/null +++ b/RAMROM.sch @@ -0,0 +1,937 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 2 10 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Text HLabel 2700 2750 0 50 Input ~ 0 +RA[11..0] +Wire Bus Line + 2750 2750 2700 2750 +Entry Wire Line + 2750 2950 2850 2850 +Entry Wire Line + 2750 2850 2850 2750 +Wire Wire Line + 3050 2750 2850 2750 +Wire Wire Line + 3050 2850 2850 2850 +Entry Wire Line + 2750 3150 2850 3050 +Entry Wire Line + 2750 3050 2850 2950 +Wire Wire Line + 3050 2950 2850 2950 +Wire Wire Line + 3050 3050 2850 3050 +Entry Wire Line + 2750 3350 2850 3250 +Entry Wire Line + 2750 3250 2850 3150 +Wire Wire Line + 3050 3150 2850 3150 +Wire Wire Line + 3050 3250 2850 3250 +Entry Wire Line + 2750 3550 2850 3450 +Entry Wire Line + 2750 3450 2850 3350 +Wire Wire Line + 3050 3350 2850 3350 +Wire Wire Line + 3050 3450 2850 3450 +Entry Wire Line + 2750 3750 2850 3650 +Entry Wire Line + 2750 3650 2850 3550 +Wire Wire Line + 3050 3550 2850 3550 +Wire Wire Line + 3050 3650 2850 3650 +Entry Wire Line + 2750 3850 2850 3750 +Wire Wire Line + 3050 3750 2850 3750 +Text Label 3050 3750 2 50 ~ 0 +RA11 +Text Label 3050 3650 2 50 ~ 0 +RA10 +Text Label 3050 3550 2 50 ~ 0 +RA9 +Text Label 3050 3450 2 50 ~ 0 +RA8 +Text Label 3050 3350 2 50 ~ 0 +RA7 +Text Label 3050 3250 2 50 ~ 0 +RA6 +Text Label 3050 3150 2 50 ~ 0 +RA5 +Text Label 3050 3050 2 50 ~ 0 +RA4 +Text Label 3050 2950 2 50 ~ 0 +RA3 +Text Label 3050 2850 2 50 ~ 0 +RA2 +Text Label 3050 2750 2 50 ~ 0 +RA1 +$Comp +L GW_RAM:DRAM-2Mx8-SOP-28 U8 +U 1 1 6140764B +P 3450 3250 +F 0 "U8" H 3450 4000 50 0000 C CNN +F 1 "KM48C2100" V 3450 3250 50 0000 C CNN +F 2 "stdpads:SOJ-28_300mil" H 3450 2400 50 0001 C CNN +F 3 "" H 3450 2700 50 0001 C CNN + 1 3450 3250 + 1 0 0 -1 +$EndComp +Entry Wire Line + 2750 2750 2850 2650 +Wire Wire Line + 3050 2650 2850 2650 +Text Label 3050 2650 2 50 ~ 0 +RA0 +$Comp +L power:GND #PWR0133 +U 1 1 6144A3AD +P 3050 3850 +F 0 "#PWR0133" H 3050 3600 50 0001 C CNN +F 1 "GND" H 3050 3700 50 0000 C CNN +F 2 "" H 3050 3850 50 0001 C CNN +F 3 "" H 3050 3850 50 0001 C CNN + 1 3050 3850 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3850 3150 4050 3150 +Wire Wire Line + 4050 2750 3850 2750 +Wire Wire Line + 3850 3450 4050 3450 +Wire Wire Line + 3850 3350 4050 3350 +Wire Wire Line + 4050 2950 3850 2950 +Wire Wire Line + 3850 3250 4050 3250 +Wire Wire Line + 4050 3050 3850 3050 +Text Label 3850 2750 0 50 ~ 0 +D7 +Text Label 3850 3450 0 50 ~ 0 +D6 +Text Label 3850 3350 0 50 ~ 0 +D4 +Text Label 3850 2950 0 50 ~ 0 +D3 +Text Label 3850 3250 0 50 ~ 0 +D2 +Text Label 3850 3050 0 50 ~ 0 +D1 +Text Label 3850 3150 0 50 ~ 0 +D0 +Text HLabel 3850 3850 2 50 Input ~ 0 +~OE~ +Text HLabel 3850 3550 2 50 Input ~ 0 +~CAS~ +Text HLabel 3850 3650 2 50 Input ~ 0 +~RAS~ +Text HLabel 3850 3750 2 50 Input ~ 0 +L~WE~ +Entry Wire Line + 2750 4450 2850 4350 +Entry Wire Line + 2750 4350 2850 4250 +Wire Wire Line + 3050 5150 2850 5150 +Entry Wire Line + 2750 4650 2850 4550 +Entry Wire Line + 2750 4550 2850 4450 +Wire Wire Line + 3050 5250 2850 5250 +Wire Wire Line + 3050 5050 2850 5050 +Entry Wire Line + 2750 4850 2850 4750 +Entry Wire Line + 2750 4750 2850 4650 +Wire Wire Line + 3050 4950 2850 4950 +Wire Wire Line + 3050 4850 2850 4850 +Entry Wire Line + 2750 5050 2850 4950 +Entry Wire Line + 2750 4950 2850 4850 +Wire Wire Line + 3050 4750 2850 4750 +Entry Wire Line + 2750 5250 2850 5150 +Entry Wire Line + 2750 5150 2850 5050 +Wire Wire Line + 3050 4550 2850 4550 +Wire Wire Line + 3050 4350 2850 4350 +Entry Wire Line + 2750 5350 2850 5250 +Wire Wire Line + 3050 4450 2850 4450 +Text Label 3050 4450 2 50 ~ 0 +RA11 +Text Label 3050 4350 2 50 ~ 0 +RA10 +Text Label 3050 4550 2 50 ~ 0 +RA9 +Text Label 3050 4750 2 50 ~ 0 +RA7 +Text Label 3050 4850 2 50 ~ 0 +RA6 +Text Label 3050 4950 2 50 ~ 0 +RA5 +Text Label 3050 5050 2 50 ~ 0 +RA4 +Text Label 3050 5250 2 50 ~ 0 +RA3 +Text Label 3050 5150 2 50 ~ 0 +RA2 +$Comp +L GW_RAM:DRAM-2Mx8-SOP-28 U9 +U 1 1 614735EB +P 3450 4750 +F 0 "U9" H 3450 5500 50 0000 C CNN +F 1 "KM48C2100" V 3450 4750 50 0000 C CNN +F 2 "stdpads:SOJ-28_300mil" H 3450 3900 50 0001 C CNN +F 3 "" H 3450 4200 50 0001 C CNN + 1 3450 4750 + 1 0 0 -1 +$EndComp +Entry Wire Line + 2750 4250 2850 4150 +Wire Wire Line + 3050 4250 2850 4250 +Text Label 3050 4250 2 50 ~ 0 +RA0 +$Comp +L power:GND #PWR0134 +U 1 1 614735F4 +P 3050 5350 +F 0 "#PWR0134" H 3050 5100 50 0001 C CNN +F 1 "GND" H 3050 5200 50 0000 C CNN +F 2 "" H 3050 5350 50 0001 C CNN +F 3 "" H 3050 5350 50 0001 C CNN + 1 3050 5350 + 1 0 0 -1 +$EndComp +Text HLabel 3850 5350 2 50 Input ~ 0 +~OE~ +Text HLabel 3850 5050 2 50 Input ~ 0 +~CAS~ +Text HLabel 3850 5150 2 50 Input ~ 0 +~RAS~ +Text HLabel 3850 5250 2 50 Input ~ 0 +U~WE~ +Wire Wire Line + 3850 4950 4050 4950 +Wire Wire Line + 3850 4850 4050 4850 +Wire Wire Line + 3850 4750 4050 4750 +Wire Wire Line + 3850 4650 4050 4650 +Text Label 3850 4650 0 50 ~ 0 +D8 +Text Label 3850 4750 0 50 ~ 0 +D10 +Text Label 3850 4850 0 50 ~ 0 +D12 +Text Label 3850 4950 0 50 ~ 0 +D14 +Entry Wire Line + 4150 4950 4050 4850 +Entry Wire Line + 4150 5050 4050 4950 +Entry Wire Line + 4150 4750 4050 4650 +Entry Wire Line + 4150 4850 4050 4750 +Entry Wire Line + 4150 4550 4050 4450 +Entry Wire Line + 4150 4650 4050 4550 +Entry Wire Line + 4150 4350 4050 4250 +Entry Wire Line + 4150 4450 4050 4350 +Entry Wire Line + 4150 3450 4050 3350 +Entry Wire Line + 4150 3550 4050 3450 +Entry Wire Line + 4150 3250 4050 3150 +Entry Wire Line + 4150 3350 4050 3250 +Entry Wire Line + 4150 3050 4050 2950 +Entry Wire Line + 4150 3150 4050 3050 +Entry Wire Line + 4150 2850 4050 2750 +Entry Wire Line + 4150 2950 4050 2850 +Text HLabel 4200 2850 2 50 BiDi ~ 0 +D[15..0] +Wire Bus Line + 4200 2850 4150 2850 +Connection ~ 4950 4750 +Wire Wire Line + 4550 4550 4950 4550 +$Comp +L Device:C_Small C? +U 1 1 61609D38 +P 4950 4650 +AR Path="/61609D38" Ref="C?" Part="1" +AR Path="/60D70CB4/61609D38" Ref="C?" Part="1" +AR Path="/5F723900/61609D38" Ref="C13" Part="1" +F 0 "C13" H 5000 4700 50 0000 L CNN +F 1 "2u2" H 5000 4600 50 0000 L CNN +F 2 "stdpads:C_0805" H 4950 4650 50 0001 C CNN +F 3 "~" H 4950 4650 50 0001 C CNN + 1 4950 4650 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C? +U 1 1 61609D3F +P 4550 4650 +AR Path="/61609D3F" Ref="C?" Part="1" +AR Path="/60D70CB4/61609D3F" Ref="C?" Part="1" +AR Path="/5F723900/61609D3F" Ref="C12" Part="1" +F 0 "C12" H 4600 4700 50 0000 L CNN +F 1 "2u2" H 4600 4600 50 0000 L CNN +F 2 "stdpads:C_0805" H 4550 4650 50 0001 C CNN +F 3 "~" H 4550 4650 50 0001 C CNN + 1 4550 4650 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4550 4750 4950 4750 +Connection ~ 5350 4750 +Wire Wire Line + 4950 4550 5350 4550 +$Comp +L Device:C_Small C? +U 1 1 6160B1A0 +P 5350 4650 +AR Path="/6160B1A0" Ref="C?" Part="1" +AR Path="/60D70CB4/6160B1A0" Ref="C?" Part="1" +AR Path="/5F723900/6160B1A0" Ref="C14" Part="1" +F 0 "C14" H 5400 4700 50 0000 L CNN +F 1 "2u2" H 5400 4600 50 0000 L CNN +F 2 "stdpads:C_0805" H 5350 4650 50 0001 C CNN +F 3 "~" H 5350 4650 50 0001 C CNN + 1 5350 4650 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4950 4750 5350 4750 +Connection ~ 5750 4750 +Wire Wire Line + 5350 4550 5750 4550 +$Comp +L Device:C_Small C? +U 1 1 6160C7C7 +P 5750 4650 +AR Path="/6160C7C7" Ref="C?" Part="1" +AR Path="/60D70CB4/6160C7C7" Ref="C?" Part="1" +AR Path="/5F723900/6160C7C7" Ref="C15" Part="1" +F 0 "C15" H 5800 4700 50 0000 L CNN +F 1 "2u2" H 5800 4600 50 0000 L CNN +F 2 "stdpads:C_0805" H 5750 4650 50 0001 C CNN +F 3 "~" H 5750 4650 50 0001 C CNN + 1 5750 4650 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0135 +U 1 1 6160C7CD +P 5750 4750 +AR Path="/5F723900/6160C7CD" Ref="#PWR0135" Part="1" +AR Path="/60D70CB4/6160C7CD" Ref="#PWR?" Part="1" +F 0 "#PWR0135" H 5750 4500 50 0001 C CNN +F 1 "GND" H 5750 4600 50 0000 C CNN +F 2 "" H 5750 4750 50 0001 C CNN +F 3 "" H 5750 4750 50 0001 C CNN + 1 5750 4750 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5350 4750 5750 4750 +Connection ~ 4950 4550 +Connection ~ 5350 4550 +$Comp +L power:+5V #PWR? +U 1 1 61609D30 +P 4550 4550 +AR Path="/61609D30" Ref="#PWR?" Part="1" +AR Path="/60D70CB4/61609D30" Ref="#PWR?" Part="1" +AR Path="/5F723900/61609D30" Ref="#PWR0138" Part="1" +F 0 "#PWR0138" H 4550 4400 50 0001 C CNN +F 1 "+5V" H 4550 4700 50 0000 C CNN +F 2 "" H 4550 4550 50 0001 C CNN +F 3 "" H 4550 4550 50 0001 C CNN + 1 4550 4550 + 1 0 0 -1 +$EndComp +Connection ~ 4550 4550 +$Comp +L GW_RAM:Flash-512Kx8-PLCC-32 U10 +U 1 1 61871415 +P 8700 2300 +AR Path="/5F723900/61871415" Ref="U10" Part="1" +AR Path="/60D70CB4/61871415" Ref="U?" Part="1" +F 0 "U10" H 8700 3350 50 0000 C CNN +F 1 "39SF040" V 8700 2300 50 0000 C CNN +F 2 "stdpads:PLCC-32" H 8700 1250 50 0001 C CNN +F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/20005022C.pdf" H 8700 2300 50 0001 C CNN + 1 8700 2300 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0141 +U 1 1 6187141B +P 9100 3200 +AR Path="/5F723900/6187141B" Ref="#PWR0141" Part="1" +AR Path="/60D70CB4/6187141B" Ref="#PWR?" Part="1" +F 0 "#PWR0141" H 9100 2950 50 0001 C CNN +F 1 "GND" H 9100 3050 50 0000 C CNN +F 2 "" H 9100 3200 50 0001 C CNN +F 3 "" H 9100 3200 50 0001 C CNN + 1 9100 3200 + 1 0 0 -1 +$EndComp +Text HLabel 9100 2900 2 50 Input ~ 0 +~OE~ +Text HLabel 9100 2700 2 50 Input ~ 0 +ROM~CS~ +$Comp +L power:GND #PWR0142 +U 1 1 61871423 +P 9100 5400 +AR Path="/5F723900/61871423" Ref="#PWR0142" Part="1" +AR Path="/60D70CB4/61871423" Ref="#PWR?" Part="1" +F 0 "#PWR0142" H 9100 5150 50 0001 C CNN +F 1 "GND" H 9100 5250 50 0000 C CNN +F 2 "" H 9100 5400 50 0001 C CNN +F 3 "" H 9100 5400 50 0001 C CNN + 1 9100 5400 + 1 0 0 -1 +$EndComp +Text HLabel 9100 5000 2 50 Input ~ 0 +ROM~WE~ +Text HLabel 9100 4900 2 50 Input ~ 0 +ROM~CS~ +Text HLabel 9100 5100 2 50 Input ~ 0 +~OE~ +$Comp +L GW_RAM:Flash-512Kx8-PLCC-32 U11 +U 1 1 6187142D +P 8700 4500 +AR Path="/5F723900/6187142D" Ref="U11" Part="1" +AR Path="/60D70CB4/6187142D" Ref="U?" Part="1" +F 0 "U11" H 8700 5550 50 0000 C CNN +F 1 "39SF040" V 8700 4500 50 0000 C CNN +F 2 "stdpads:PLCC-32" H 8700 3450 50 0001 C CNN +F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/20005022C.pdf" H 8700 4500 50 0001 C CNN + 1 8700 4500 + 1 0 0 -1 +$EndComp +Text Label 9100 1600 0 50 ~ 0 +D0 +Text Label 9100 1700 0 50 ~ 0 +D1 +Text Label 9100 1800 0 50 ~ 0 +D2 +Text Label 9100 1900 0 50 ~ 0 +D3 +Text Label 9100 2000 0 50 ~ 0 +D4 +Text Label 9100 2100 0 50 ~ 0 +D5 +Text Label 9100 2200 0 50 ~ 0 +D6 +Text Label 9100 2300 0 50 ~ 0 +D7 +Text Label 9100 3800 0 50 ~ 0 +D8 +Text Label 9100 3900 0 50 ~ 0 +D9 +Text Label 9100 4000 0 50 ~ 0 +D10 +Text Label 9100 4100 0 50 ~ 0 +D11 +Text Label 9100 4200 0 50 ~ 0 +D12 +Text Label 9100 4300 0 50 ~ 0 +D13 +Text Label 9100 4400 0 50 ~ 0 +D14 +Text Label 9100 4500 0 50 ~ 0 +D15 +Wire Wire Line + 9100 4500 9400 4500 +Wire Wire Line + 9100 4400 9400 4400 +Wire Wire Line + 9100 4300 9400 4300 +Wire Wire Line + 9100 4200 9400 4200 +Wire Wire Line + 9100 4100 9400 4100 +Wire Wire Line + 9100 4000 9400 4000 +Wire Wire Line + 9100 3900 9400 3900 +Wire Wire Line + 9100 3800 9400 3800 +Wire Wire Line + 9100 2300 9400 2300 +Wire Wire Line + 9100 2200 9400 2200 +Wire Wire Line + 9100 2100 9400 2100 +Wire Wire Line + 9100 2000 9400 2000 +Wire Wire Line + 9100 1900 9400 1900 +Wire Wire Line + 9100 1800 9400 1800 +Wire Wire Line + 9100 1700 9400 1700 +Wire Wire Line + 9100 1600 9400 1600 +Wire Wire Line + 8300 2300 8100 2300 +Wire Wire Line + 8300 3200 8100 3200 +Wire Wire Line + 8300 2900 8100 2900 +Wire Wire Line + 8300 2500 8100 2500 +Wire Wire Line + 8300 2600 8100 2600 +Wire Wire Line + 8300 2100 8100 2100 +Wire Wire Line + 8300 3000 8100 3000 +Wire Wire Line + 8300 3100 8100 3100 +Wire Wire Line + 8300 1400 8100 1400 +Wire Wire Line + 8300 1500 8100 1500 +Wire Wire Line + 8300 1600 8100 1600 +Wire Wire Line + 8300 1700 8100 1700 +Wire Wire Line + 8300 1800 8100 1800 +Wire Wire Line + 8300 1900 8100 1900 +Wire Wire Line + 8300 2000 8100 2000 +Entry Wire Line + 8100 2900 8000 3000 +Entry Wire Line + 8100 2800 8000 2900 +Entry Wire Line + 8100 2700 8000 2800 +Entry Wire Line + 8100 2600 8000 2700 +Entry Wire Line + 8100 2500 8000 2600 +Entry Wire Line + 8100 2400 8000 2500 +Entry Wire Line + 8100 2300 8000 2400 +Entry Wire Line + 8100 2200 8000 2300 +Entry Wire Line + 8100 2100 8000 2200 +Entry Wire Line + 8100 2000 8000 2100 +Entry Wire Line + 8100 1900 8000 2000 +Entry Wire Line + 8100 1800 8000 1900 +Entry Wire Line + 8100 1700 8000 1800 +Entry Wire Line + 8100 1600 8000 1700 +Entry Wire Line + 8100 1500 8000 1600 +Entry Wire Line + 8100 1400 8000 1500 +Wire Wire Line + 8300 2800 8100 2800 +Wire Wire Line + 8300 2700 8100 2700 +Wire Wire Line + 8300 2200 8100 2200 +Entry Wire Line + 8100 3200 8000 3300 +Entry Wire Line + 8100 3100 8000 3200 +Entry Wire Line + 8100 3000 8000 3100 +Text Label 8300 2000 2 50 ~ 0 +A1 +Text Label 8300 1900 2 50 ~ 0 +A2 +Text Label 8300 1800 2 50 ~ 0 +A3 +Text Label 8300 1700 2 50 ~ 0 +A4 +Text Label 8300 1600 2 50 ~ 0 +A5 +Text Label 8300 1500 2 50 ~ 0 +A6 +Text Label 8300 1400 2 50 ~ 0 +A7 +Text Label 8300 3100 2 50 ~ 0 +A8 +Text Label 8300 3000 2 50 ~ 0 +A9 +Text Label 8300 2100 2 50 ~ 0 +A10 +Text Label 8300 2600 2 50 ~ 0 +A11 +Text Label 8300 2500 2 50 ~ 0 +A13 +Text Label 8300 2900 2 50 ~ 0 +A14 +Text Label 8300 3200 2 50 ~ 0 +A15 +Text Label 8300 2300 2 50 ~ 0 +A16 +Text Label 8300 2200 2 50 ~ 0 +A17 +Text Label 8300 2700 2 50 ~ 0 +A18 +Text Label 8300 2800 2 50 ~ 0 +A19 +Text HLabel 7950 1500 0 50 Input ~ 0 +A[23..1] +Text HLabel 9550 1700 2 50 BiDi ~ 0 +D[15..0] +Entry Wire Line + 9400 1600 9500 1700 +Entry Wire Line + 9400 1700 9500 1800 +Entry Wire Line + 9400 1800 9500 1900 +Entry Wire Line + 9400 1900 9500 2000 +Entry Wire Line + 9400 2000 9500 2100 +Entry Wire Line + 9400 2100 9500 2200 +Entry Wire Line + 9400 2200 9500 2300 +Entry Wire Line + 9400 2300 9500 2400 +Entry Wire Line + 9400 3800 9500 3900 +Entry Wire Line + 9400 3900 9500 4000 +Entry Wire Line + 9400 4000 9500 4100 +Entry Wire Line + 9400 4100 9500 4200 +Entry Wire Line + 9400 4200 9500 4300 +Entry Wire Line + 9400 4300 9500 4400 +Entry Wire Line + 9400 4400 9500 4500 +Entry Wire Line + 9400 4500 9500 4600 +Wire Bus Line + 9550 1700 9500 1700 +Wire Bus Line + 7950 1500 8000 1500 +Entry Wire Line + 8100 5100 8000 5200 +Entry Wire Line + 8100 5000 8000 5100 +Entry Wire Line + 8100 4900 8000 5000 +Entry Wire Line + 8100 4800 8000 4900 +Entry Wire Line + 8100 4700 8000 4800 +Entry Wire Line + 8100 4600 8000 4700 +Entry Wire Line + 8100 4500 8000 4600 +Entry Wire Line + 8100 4400 8000 4500 +Entry Wire Line + 8100 4300 8000 4400 +Entry Wire Line + 8100 4200 8000 4300 +Entry Wire Line + 8100 4100 8000 4200 +Entry Wire Line + 8100 4000 8000 4100 +Entry Wire Line + 8100 3900 8000 4000 +Entry Wire Line + 8100 3800 8000 3900 +Entry Wire Line + 8100 3700 8000 3800 +Entry Wire Line + 8100 3600 8000 3700 +Entry Wire Line + 8100 5400 8000 5500 +Entry Wire Line + 8100 5300 8000 5400 +Entry Wire Line + 8100 5200 8000 5300 +$Comp +L power:+5V #PWR? +U 1 1 618714D9 +P 10050 4200 +AR Path="/618714D9" Ref="#PWR?" Part="1" +AR Path="/60D70CB4/618714D9" Ref="#PWR?" Part="1" +AR Path="/5F723900/618714D9" Ref="#PWR0145" Part="1" +F 0 "#PWR0145" H 10050 4050 50 0001 C CNN +F 1 "+5V" H 10050 4350 50 0000 C CNN +F 2 "" H 10050 4200 50 0001 C CNN +F 3 "" H 10050 4200 50 0001 C CNN + 1 10050 4200 + 1 0 0 -1 +$EndComp +Connection ~ 10450 4400 +Wire Wire Line + 10050 4200 10450 4200 +$Comp +L Device:C_Small C? +U 1 1 618714E1 +P 10450 4300 +AR Path="/618714E1" Ref="C?" Part="1" +AR Path="/60D70CB4/618714E1" Ref="C?" Part="1" +AR Path="/5F723900/618714E1" Ref="C17" Part="1" +F 0 "C17" H 10500 4350 50 0000 L CNN +F 1 "2u2" H 10500 4250 50 0000 L CNN +F 2 "stdpads:C_0805" H 10450 4300 50 0001 C CNN +F 3 "~" H 10450 4300 50 0001 C CNN + 1 10450 4300 + 1 0 0 -1 +$EndComp +Connection ~ 10050 4200 +$Comp +L Device:C_Small C? +U 1 1 618714E8 +P 10050 4300 +AR Path="/618714E8" Ref="C?" Part="1" +AR Path="/60D70CB4/618714E8" Ref="C?" Part="1" +AR Path="/5F723900/618714E8" Ref="C16" Part="1" +F 0 "C16" H 10100 4350 50 0000 L CNN +F 1 "2u2" H 10100 4250 50 0000 L CNN +F 2 "stdpads:C_0805" H 10050 4300 50 0001 C CNN +F 3 "~" H 10050 4300 50 0001 C CNN + 1 10050 4300 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0150 +U 1 1 618714EE +P 10450 4400 +AR Path="/5F723900/618714EE" Ref="#PWR0150" Part="1" +AR Path="/60D70CB4/618714EE" Ref="#PWR?" Part="1" +F 0 "#PWR0150" H 10450 4150 50 0001 C CNN +F 1 "GND" H 10450 4250 50 0000 C CNN +F 2 "" H 10450 4400 50 0001 C CNN +F 3 "" H 10450 4400 50 0001 C CNN + 1 10450 4400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 10050 4400 10450 4400 +Text Label 8300 2400 2 50 ~ 0 +A12 +Wire Wire Line + 8300 2400 8100 2400 +Wire Wire Line + 8300 3600 8100 3600 +Wire Wire Line + 8300 3700 8100 3700 +Wire Wire Line + 8300 5000 8100 5000 +Wire Wire Line + 8300 3800 8100 3800 +Wire Wire Line + 8300 3900 8100 3900 +Wire Wire Line + 8300 5400 8100 5400 +Wire Wire Line + 8300 4600 8100 4600 +Wire Wire Line + 8300 4800 8100 4800 +Wire Wire Line + 8300 4300 8100 4300 +Wire Wire Line + 8300 4200 8100 4200 +Wire Wire Line + 8300 4100 8100 4100 +Wire Wire Line + 8300 5100 8100 5100 +Wire Wire Line + 8300 4000 8100 4000 +Wire Wire Line + 8300 5200 8100 5200 +Wire Wire Line + 8300 4700 8100 4700 +Wire Wire Line + 8300 4500 8100 4500 +Wire Wire Line + 8300 4400 8100 4400 +Text Label 8300 5200 2 50 ~ 0 +A1 +Text Label 8300 4000 2 50 ~ 0 +A2 +Text Label 8300 5100 2 50 ~ 0 +A3 +Text Label 8300 4100 2 50 ~ 0 +A4 +Text Label 8300 4200 2 50 ~ 0 +A5 +Text Label 8300 4300 2 50 ~ 0 +A6 +Text Label 8300 4800 2 50 ~ 0 +A7 +Text Label 8300 4600 2 50 ~ 0 +A8 +Text Label 8300 5400 2 50 ~ 0 +A9 +Text Label 8300 3900 2 50 ~ 0 +A10 +Text Label 8300 3800 2 50 ~ 0 +A11 +Text Label 8300 5000 2 50 ~ 0 +A13 +Text Label 8300 3700 2 50 ~ 0 +A14 +Text Label 8300 3600 2 50 ~ 0 +A15 +Text Label 8300 4400 2 50 ~ 0 +A17 +Text Label 8300 4500 2 50 ~ 0 +A18 +Text Label 8300 4700 2 50 ~ 0 +A19 +Text Label 8300 5300 2 50 ~ 0 +A12 +Wire Wire Line + 8300 5300 8100 5300 +Text Label 8300 4900 2 50 ~ 0 +A16 +Wire Wire Line + 8300 4900 8100 4900 +Text HLabel 9100 2800 2 50 Input ~ 0 +ROM~WE~ +Wire Wire Line + 3050 4650 2850 4650 +Text Label 3050 4650 2 50 ~ 0 +RA8 +Text Label 3050 4150 2 50 ~ 0 +RA1 +Wire Wire Line + 3050 4150 2850 4150 +Text Label 3850 2850 0 50 ~ 0 +D5 +Wire Wire Line + 4050 2850 3850 2850 +Text Label 3850 4250 0 50 ~ 0 +D15 +Text Label 3850 4350 0 50 ~ 0 +D13 +Text Label 3850 4450 0 50 ~ 0 +D11 +Text Label 3850 4550 0 50 ~ 0 +D9 +Wire Wire Line + 4050 4550 3850 4550 +Wire Wire Line + 4050 4450 3850 4450 +Wire Wire Line + 4050 4350 3850 4350 +Wire Wire Line + 3850 4250 4050 4250 +$Comp +L power:+5V #PWR0105 +U 1 1 61AAB186 +P 3850 2650 +F 0 "#PWR0105" H 3850 2500 50 0001 C CNN +F 1 "+5V" H 3850 2800 50 0000 C CNN +F 2 "" H 3850 2650 50 0001 C CNN +F 3 "" H 3850 2650 50 0001 C CNN + 1 3850 2650 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0119 +U 1 1 61AAB670 +P 3850 4150 +F 0 "#PWR0119" H 3850 4000 50 0001 C CNN +F 1 "+5V" H 3850 4300 50 0000 C CNN +F 2 "" H 3850 4150 50 0001 C CNN +F 3 "" H 3850 4150 50 0001 C CNN + 1 3850 4150 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0120 +U 1 1 61AAB93D +P 9100 1400 +F 0 "#PWR0120" H 9100 1250 50 0001 C CNN +F 1 "+5V" H 9100 1550 50 0000 C CNN +F 2 "" H 9100 1400 50 0001 C CNN +F 3 "" H 9100 1400 50 0001 C CNN + 1 9100 1400 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR? +U 1 1 61AAC271 +P 9100 3600 +AR Path="/61AAC271" Ref="#PWR?" Part="1" +AR Path="/60D70CB4/61AAC271" Ref="#PWR?" Part="1" +AR Path="/5F723900/61AAC271" Ref="#PWR0121" Part="1" +F 0 "#PWR0121" H 9100 3450 50 0001 C CNN +F 1 "+5V" H 9100 3750 50 0000 C CNN +F 2 "" H 9100 3600 50 0001 C CNN +F 3 "" H 9100 3600 50 0001 C CNN + 1 9100 3600 + 1 0 0 -1 +$EndComp +Wire Bus Line + 4150 2850 4150 5050 +Wire Bus Line + 9500 1700 9500 4600 +Wire Bus Line + 2750 2750 2750 5350 +Wire Bus Line + 8000 1500 8000 5500 +$EndSCHEMATC diff --git a/SE-030-cache.lib b/SE-030-cache.lib new file mode 100644 index 0000000..504f392 --- /dev/null +++ b/SE-030-cache.lib @@ -0,0 +1,698 @@ +EESchema-LIBRARY Version 2.4 +#encoding utf-8 +# +# CPLD_Xilinx_XC95144XL-TQ100 +# +DEF CPLD_Xilinx_XC95144XL-TQ100 U 0 20 Y Y 1 F N +F0 "U" -800 2550 50 H V C CNN +F1 "CPLD_Xilinx_XC95144XL-TQ100" -800 -2550 50 H V C CNN +F2 "Package_QFP:TQFP-100_14x14mm_P0.5mm" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + TQFP*14x14mm*P0.5mm* +$ENDFPLIST +DRAW +S -800 2500 800 -2500 1 1 10 f +X I/O/GTS3 1 -1000 1100 200 R 50 50 1 1 B +X P10 10 -1000 300 200 R 50 50 1 1 B +X GND 100 400 -2700 200 U 50 50 1 1 W +X P11 11 -1000 2400 200 R 50 50 1 1 B +X P12 12 -1000 2300 200 R 50 50 1 1 B +X P13 13 -1000 2200 200 R 50 50 1 1 B +X P14 14 -1000 2100 200 R 50 50 1 1 B +X P15 15 -1000 2000 200 R 50 50 1 1 B +X P16 16 -1000 1900 200 R 50 50 1 1 B +X P17 17 -1000 1800 200 R 50 50 1 1 B +X P18 18 -1000 1700 200 R 50 50 1 1 B +X P19 19 -1000 1600 200 R 50 50 1 1 B +X I/O/GTS4 2 -1000 1000 200 R 50 50 1 1 B +X P20 20 -1000 1500 200 R 50 50 1 1 B +X GND 21 -300 -2700 200 U 50 50 1 1 W +X I/O/GCK1 22 -1000 1400 200 R 50 50 1 1 B +X I/O/GCK2 23 -1000 100 200 R 50 50 1 1 B +X P24 24 -1000 0 200 R 50 50 1 1 B +X P25 25 -1000 -100 200 R 50 50 1 1 B +X VCCIO 26 0 2700 200 D 50 50 1 1 W +X I/O/GCK3 27 -1000 -200 200 R 50 50 1 1 B +X P28 28 -1000 -300 200 R 50 50 1 1 B +X P29 29 -1000 -400 200 R 50 50 1 1 B +X I/O/GTS1 3 -1000 900 200 R 50 50 1 1 B +X P30 30 -1000 -500 200 R 50 50 1 1 B +X GND 31 -200 -2700 200 U 50 50 1 1 W +X P32 32 -1000 -600 200 R 50 50 1 1 B +X P33 33 -1000 -700 200 R 50 50 1 1 B +X P34 34 -1000 -800 200 R 50 50 1 1 B +X P35 35 1000 2400 200 L 50 50 1 1 B +X P36 36 1000 2300 200 L 50 50 1 1 B +X P37 37 1000 2200 200 L 50 50 1 1 B +X VCCIO 38 100 2700 200 D 50 50 1 1 W +X P39 39 1000 2100 200 L 50 50 1 1 B +X I/O/GTS2 4 -1000 800 200 R 50 50 1 1 B +X P40 40 1000 2000 200 L 50 50 1 1 B +X P41 41 1000 1900 200 L 50 50 1 1 B +X P42 42 1000 1800 200 L 50 50 1 1 B +X P43 43 1000 1700 200 L 50 50 1 1 B +X GND 44 -100 -2700 200 U 50 50 1 1 W +X TDI 45 1000 -2100 200 L 50 50 1 1 I +X P46 46 1000 1600 200 L 50 50 1 1 B +X TMS 47 1000 -2200 200 L 50 50 1 1 I +X TCK 48 1000 -2300 200 L 50 50 1 1 I +X P49 49 1000 1500 200 L 50 50 1 1 B +X VCCINT 5 -300 2700 200 D 50 50 1 1 W +X P50 50 1000 100 200 L 50 50 1 1 B +X VCCIO 51 200 2700 200 D 50 50 1 1 W +X P52 52 1000 0 200 L 50 50 1 1 B +X P53 53 1000 -100 200 L 50 50 1 1 B +X P54 54 1000 -200 200 L 50 50 1 1 B +X P55 55 1000 -300 200 L 50 50 1 1 B +X P56 56 1000 -400 200 L 50 50 1 1 B +X VCCINT 57 -200 2700 200 D 50 50 1 1 W +X P58 58 1000 -500 200 L 50 50 1 1 B +X P59 59 1000 -600 200 L 50 50 1 1 B +X P6 6 -1000 700 200 R 50 50 1 1 B +X P60 60 1000 -700 200 L 50 50 1 1 B +X P61 61 1000 -800 200 L 50 50 1 1 B +X GND 62 0 -2700 200 U 50 50 1 1 W +X P63 63 1000 -1000 200 L 50 50 1 1 B +X P64 64 1000 -1100 200 L 50 50 1 1 B +X P65 65 1000 -1200 200 L 50 50 1 1 B +X P66 66 1000 -1300 200 L 50 50 1 1 B +X P67 67 1000 -1400 200 L 50 50 1 1 B +X P68 68 1000 -1500 200 L 50 50 1 1 B +X GND 69 100 -2700 200 U 50 50 1 1 W +X P7 7 -1000 600 200 R 50 50 1 1 B +X P70 70 1000 -1600 200 L 50 50 1 1 B +X P71 71 1000 -1700 200 L 50 50 1 1 B +X P72 72 1000 -1800 200 L 50 50 1 1 B +X P73 73 1000 -1900 200 L 50 50 1 1 B +X P74 74 1000 1200 200 L 50 50 1 1 B +X GND 75 200 -2700 200 U 50 50 1 1 W +X P76 76 1000 1100 200 L 50 50 1 1 B +X P77 77 1000 1000 200 L 50 50 1 1 B +X P78 78 1000 900 200 L 50 50 1 1 B +X P79 79 1000 800 200 L 50 50 1 1 B +X P8 8 -1000 500 200 R 50 50 1 1 B +X P80 80 1000 700 200 L 50 50 1 1 B +X P81 81 1000 600 200 L 50 50 1 1 B +X P82 82 1000 500 200 L 50 50 1 1 B +X TDO 83 1000 -2400 200 L 50 50 1 1 O +X GND 84 300 -2700 200 U 50 50 1 1 W +X P85 85 1000 400 200 L 50 50 1 1 B +X P86 86 1000 300 200 L 50 50 1 1 B +X P87 87 -1000 -1000 200 R 50 50 1 1 B +X VCCIO 88 300 2700 200 D 50 50 1 1 W +X P89 89 -1000 -1100 200 R 50 50 1 1 B +X P9 9 -1000 400 200 R 50 50 1 1 B +X P90 90 -1000 -1200 200 R 50 50 1 1 B +X P91 91 -1000 -1300 200 R 50 50 1 1 B +X P92 92 -1000 -1400 200 R 50 50 1 1 B +X P93 93 -1000 -1500 200 R 50 50 1 1 B +X P94 94 -1000 -1600 200 R 50 50 1 1 B +X P95 95 -1000 -1700 200 R 50 50 1 1 B +X P96 96 -1000 -1800 200 R 50 50 1 1 B +X P97 97 -1000 -1900 200 R 50 50 1 1 B +X VCCINT 98 -100 2700 200 D 50 50 1 1 W +X I/O/GSR 99 -1000 1200 200 R 50 50 1 1 B +ENDDRAW +ENDDEF +# +# CPU_NXP_68000_MC68000FN +# +DEF CPU_NXP_68000_MC68000FN U 0 30 Y Y 1 F N +F0 "U" -650 2350 50 H V C CNN +F1 "CPU_NXP_68000_MC68000FN" 500 -2350 50 H V C CNN +F2 "Package_LCC:PLCC-68" -750 2250 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + PLCC* +$ENDFPLIST +DRAW +S -700 2300 700 -2300 0 1 10 f +X D4 1 1000 -600 300 L 50 50 1 1 B +X DTACK 10 -1000 -700 300 R 50 50 1 1 I I +X BG 11 -1000 1400 300 R 50 50 1 1 O I +X BGACK 12 -1000 1500 300 R 50 50 1 1 I I +X BR 13 -1000 1300 300 R 50 50 1 1 I I +X VCC 14 -100 2600 300 D 50 50 1 1 W +X CLK 15 -1000 2200 300 R 50 50 1 1 I C +X GND 16 100 -2600 300 U 50 50 1 1 W +X GND 17 200 -2600 300 U 50 50 1 1 W +X NC 18 -700 -1800 0 R 50 50 1 1 N N +X HALT 19 -1000 -1200 300 R 50 50 1 1 B I +X D3 2 1000 -500 300 L 50 50 1 1 B +X RESET 20 -1000 -1400 300 R 50 50 1 1 I I +X VMA 21 -1000 500 300 R 50 50 1 1 O I +X E 22 -1000 400 300 R 50 50 1 1 O +X VPA 23 -1000 300 300 R 50 50 1 1 I I +X BERR 24 -1000 -500 300 R 50 50 1 1 I I +X IPL2 25 -1000 1700 300 R 50 50 1 1 I I +X IPL1 26 -1000 1800 300 R 50 50 1 1 I I +X IPL0 27 -1000 1900 300 R 50 50 1 1 I I +X FC2 28 -1000 800 300 R 50 50 1 1 O +X FC1 29 -1000 900 300 R 50 50 1 1 O +X D2 3 1000 -400 300 L 50 50 1 1 B +X FC0 30 -1000 1000 300 R 50 50 1 1 O +X NC 31 -700 -1900 0 R 50 50 1 1 N N +X A1 32 1000 2200 300 L 50 50 1 1 O +X A2 33 1000 2100 300 L 50 50 1 1 O +X A3 34 1000 2000 300 L 50 50 1 1 O +X A4 35 1000 1900 300 L 50 50 1 1 O +X A5 36 1000 1800 300 L 50 50 1 1 O +X A6 37 1000 1700 300 L 50 50 1 1 O +X A7 38 1000 1600 300 L 50 50 1 1 O +X A8 39 1000 1500 300 L 50 50 1 1 O +X D1 4 1000 -300 300 L 50 50 1 1 B +X A9 40 1000 1400 300 L 50 50 1 1 O +X A10 41 1000 1300 300 L 50 50 1 1 O +X A11 42 1000 1200 300 L 50 50 1 1 O +X A12 43 1000 1100 300 L 50 50 1 1 O +X A13 44 1000 1000 300 L 50 50 1 1 O +X A14 45 1000 900 300 L 50 50 1 1 O +X A15 46 1000 800 300 L 50 50 1 1 O +X A16 47 1000 700 300 L 50 50 1 1 O +X A17 48 1000 600 300 L 50 50 1 1 O +X A18 49 1000 500 300 L 50 50 1 1 O +X D0 5 1000 -200 300 L 50 50 1 1 B +X A19 50 1000 400 300 L 50 50 1 1 O +X A20 51 1000 300 300 L 50 50 1 1 O +X VCC 52 100 2600 300 D 50 50 1 1 W +X A21 53 1000 200 300 L 50 50 1 1 O +X A22 54 1000 100 300 L 50 50 1 1 O +X A23 55 1000 0 300 L 50 50 1 1 O +X GND 56 -100 -2600 300 U 50 50 1 1 W +X GND 57 -200 -2600 300 U 50 50 1 1 W +X D15 58 1000 -1700 300 L 50 50 1 1 B +X D14 59 1000 -1600 300 L 50 50 1 1 B +X AS 6 1000 -1900 300 L 50 50 1 1 O I +X D13 60 1000 -1500 300 L 50 50 1 1 B +X D12 61 1000 -1400 300 L 50 50 1 1 B +X D11 62 1000 -1300 300 L 50 50 1 1 B +X D10 63 1000 -1200 300 L 50 50 1 1 B +X D9 64 1000 -1100 300 L 50 50 1 1 B +X D8 65 1000 -1000 300 L 50 50 1 1 B +X D7 66 1000 -900 300 L 50 50 1 1 B +X D6 67 1000 -800 300 L 50 50 1 1 B +X D5 68 1000 -700 300 L 50 50 1 1 B +X UDS 7 1000 -2000 300 L 50 50 1 1 O I +X LDS 8 1000 -2100 300 L 50 50 1 1 O I +X R/W 9 1000 -2200 300 L 50 50 1 1 O +ENDDRAW +ENDDEF +# +# Connector_Generic_Conn_02x07_Odd_Even +# +DEF Connector_Generic_Conn_02x07_Odd_Even J 0 40 Y N 1 F N +F0 "J" 50 400 50 H V C CNN +F1 "Connector_Generic_Conn_02x07_Odd_Even" 50 -400 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_2x??_* +$ENDFPLIST +DRAW +S -50 -295 0 -305 1 1 6 N +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 205 0 195 1 1 6 N +S -50 305 0 295 1 1 6 N +S -50 350 150 -350 1 1 10 f +S 150 -295 100 -305 1 1 6 N +S 150 -195 100 -205 1 1 6 N +S 150 -95 100 -105 1 1 6 N +S 150 5 100 -5 1 1 6 N +S 150 105 100 95 1 1 6 N +S 150 205 100 195 1 1 6 N +S 150 305 100 295 1 1 6 N +X Pin_1 1 -200 300 150 R 50 50 1 1 P +X Pin_10 10 300 -100 150 L 50 50 1 1 P +X Pin_11 11 -200 -200 150 R 50 50 1 1 P +X Pin_12 12 300 -200 150 L 50 50 1 1 P +X Pin_13 13 -200 -300 150 R 50 50 1 1 P +X Pin_14 14 300 -300 150 L 50 50 1 1 P +X Pin_2 2 300 300 150 L 50 50 1 1 P +X Pin_3 3 -200 200 150 R 50 50 1 1 P +X Pin_4 4 300 200 150 L 50 50 1 1 P +X Pin_5 5 -200 100 150 R 50 50 1 1 P +X Pin_6 6 300 100 150 L 50 50 1 1 P +X Pin_7 7 -200 0 150 R 50 50 1 1 P +X Pin_8 8 300 0 150 L 50 50 1 1 P +X Pin_9 9 -200 -100 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_C_Small +# +DEF Device_C_Small C 0 10 N N 1 F N +F0 "C" 10 70 50 H V L CNN +F1 "Device_C_Small" 10 -80 50 H V L CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + C_* +$ENDFPLIST +DRAW +P 2 0 1 13 -60 -20 60 -20 N +P 2 0 1 12 -60 20 60 20 N +X ~ 1 0 100 80 D 50 50 1 1 P +X ~ 2 0 -100 80 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_R_Small +# +DEF Device_R_Small R 0 10 N N 1 F N +F0 "R" 30 20 50 H V L CNN +F1 "Device_R_Small" 30 -40 50 H V L CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + R_* +$ENDFPLIST +DRAW +S -30 70 30 -70 0 1 8 N +X ~ 1 0 100 30 D 50 50 1 1 P +X ~ 2 0 -100 30 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# GW_Connector_MacSEPDS +# +DEF GW_Connector_MacSEPDS J 0 40 Y Y 3 L N +F0 "J" 0 1800 50 H V C CNN +F1 "GW_Connector_MacSEPDS" 0 -1600 50 H V C CNN +F2 "" 0 1800 50 H I C CNN +F3 "" 0 1800 50 H I C CNN +DRAW +S 0 1750 -400 -1550 0 1 10 f +X FC2 A1 150 1650 150 L 50 50 1 1 U +X A7 A10 150 750 150 L 50 50 1 1 U +X A8 A11 150 650 150 L 50 50 1 1 U +X A9 A12 150 550 150 L 50 50 1 1 U +X A10 A13 150 450 150 L 50 50 1 1 U +X A11 A14 150 350 150 L 50 50 1 1 U +X A12 A15 150 250 150 L 50 50 1 1 U +X A13 A16 150 150 150 L 50 50 1 1 U +X A14 A17 150 50 150 L 50 50 1 1 U +X A15 A18 150 -50 150 L 50 50 1 1 U +X A16 A19 150 -150 150 L 50 50 1 1 U +X FC1 A2 150 1550 150 L 50 50 1 1 U +X A17 A20 150 -250 150 L 50 50 1 1 U +X A18 A21 150 -350 150 L 50 50 1 1 U +X A19 A22 150 -450 150 L 50 50 1 1 U +X A20 A23 150 -550 150 L 50 50 1 1 U +X A21 A24 150 -650 150 L 50 50 1 1 U +X A22 A25 150 -750 150 L 50 50 1 1 U +X A23 A26 150 -850 150 L 50 50 1 1 U +X E A27 150 -950 150 L 50 50 1 1 U +X C8M A28 150 -1050 150 L 50 50 1 1 U +X C16M A29 150 -1150 150 L 50 50 1 1 U +X FC0 A3 150 1450 150 L 50 50 1 1 U +X GND A30 150 -1250 150 L 50 50 1 1 U +X +12V A31 150 -1350 150 L 50 50 1 1 U +X +12V A32 150 -1450 150 L 50 50 1 1 U +X A1 A4 150 1350 150 L 50 50 1 1 U +X A2 A5 150 1250 150 L 50 50 1 1 U +X A3 A6 150 1150 150 L 50 50 1 1 U +X A4 A7 150 1050 150 L 50 50 1 1 U +X A5 A8 150 950 150 L 50 50 1 1 U +X A6 A9 150 850 150 L 50 50 1 1 U +X GND B1 150 1650 150 L 50 50 2 1 U +X NC B10 150 750 150 L 50 50 2 1 U +X NC B11 150 650 150 L 50 50 2 1 U +X ~HALT~ B12 150 550 150 L 50 50 2 1 U +X +5V B13 150 450 150 L 50 50 2 1 U +X +5V B14 150 350 150 L 50 50 2 1 U +X +5V B15 150 250 150 L 50 50 2 1 U +X +5V B16 150 150 150 L 50 50 2 1 U +X +5V B17 150 50 150 L 50 50 2 1 U +X ~IPL~0 B18 150 -50 150 L 50 50 2 1 U +X ~IPL~1 B19 150 -150 150 L 50 50 2 1 U +X GND B2 150 1550 150 L 50 50 2 1 U +X ~IPL~2 B20 150 -250 150 L 50 50 2 1 U +X ~BERR~ B21 150 -350 150 L 50 50 2 1 U +X NC B22 150 -450 150 L 50 50 2 1 U +X NC B23 150 -550 150 L 50 50 2 1 U +X NC B24 150 -650 150 L 50 50 2 1 U +X NC B25 150 -750 150 L 50 50 2 1 U +X NC B26 150 -850 150 L 50 50 2 1 U +X NC B27 150 -950 150 L 50 50 2 1 U +X ~EXT.DTK~ B28 150 -1050 150 L 50 50 2 1 U +X GND B29 150 -1150 150 L 50 50 2 1 U +X GND B3 150 1450 150 L 50 50 2 1 U +X +12V B30 150 -1250 150 L 50 50 2 1 U +X +12V B31 150 -1350 150 L 50 50 2 1 U +X -5V B32 150 -1450 150 L 50 50 2 1 U +X GND B4 150 1350 150 L 50 50 2 1 U +X GND B5 150 1250 150 L 50 50 2 1 U +X GND B6 150 1150 150 L 50 50 2 1 U +X GND B7 150 1050 150 L 50 50 2 1 U +X GND B8 150 950 150 L 50 50 2 1 U +X GND B9 150 850 150 L 50 50 2 1 U +X ~VPA~ C1 150 1650 150 L 50 50 3 1 U +X ~AS~ C10 150 750 150 L 50 50 3 1 U +X ~PMCYC~ C11 150 650 150 L 50 50 3 1 U +X ~RESET~ C12 150 550 150 L 50 50 3 1 U +X +5V C13 150 450 150 L 50 50 3 1 U +X D0 C14 150 350 150 L 50 50 3 1 U +X D1 C15 150 250 150 L 50 50 3 1 U +X D2 C16 150 150 150 L 50 50 3 1 U +X D3 C17 150 50 150 L 50 50 3 1 U +X D4 C18 150 -50 150 L 50 50 3 1 U +X D5 C19 150 -150 150 L 50 50 3 1 U +X ~VMA~ C2 150 1550 150 L 50 50 3 1 U +X D6 C20 150 -250 150 L 50 50 3 1 U +X D7 C21 150 -350 150 L 50 50 3 1 U +X D8 C22 150 -450 150 L 50 50 3 1 U +X D9 C23 150 -550 150 L 50 50 3 1 U +X D10 C24 150 -650 150 L 50 50 3 1 U +X D11 C25 150 -750 150 L 50 50 3 1 U +X D12 C26 150 -850 150 L 50 50 3 1 U +X D13 C27 150 -950 150 L 50 50 3 1 U +X D14 C28 150 -1050 150 L 50 50 3 1 U +X D15 C29 150 -1150 150 L 50 50 3 1 U +X ~BR~ C3 150 1450 150 L 50 50 3 1 U +X GND C30 150 -1250 150 L 50 50 3 1 U +X NC C31 150 -1350 150 L 50 50 3 1 U +X -12V C32 150 -1450 150 L 50 50 3 1 U +X ~BGACK~ C4 150 1350 150 L 50 50 3 1 U +X ~BG~ C5 150 1250 150 L 50 50 3 1 U +X ~DTACK~ C6 150 1150 150 L 50 50 3 1 U +X R~W~ C7 150 1050 150 L 50 50 3 1 U +X ~LDS~ C8 150 950 150 L 50 50 3 1 U +X ~UDS~ C9 150 850 150 L 50 50 3 1 U +ENDDRAW +ENDDEF +# +# GW_Logic_74573 +# +DEF GW_Logic_74573 U 0 40 Y Y 1 F N +F0 "U" 0 600 50 H V C CNN +F1 "GW_Logic_74573" 0 -600 50 H V C CNN +F2 "" 0 -650 50 H I C TNN +F3 "" 0 100 60 H I C CNN +DRAW +S -200 550 200 -550 0 1 10 f +X ~OE~ 1 -400 450 200 R 50 50 1 1 I +X GND 10 -400 -450 200 R 50 50 1 1 W +X ~LE~ 11 400 -450 200 L 50 50 1 1 I +X Q7 12 400 -350 200 L 50 50 1 1 T +X Q6 13 400 -250 200 L 50 50 1 1 T +X Q5 14 400 -150 200 L 50 50 1 1 T +X Q4 15 400 -50 200 L 50 50 1 1 T +X Q3 16 400 50 200 L 50 50 1 1 T +X Q2 17 400 150 200 L 50 50 1 1 T +X Q1 18 400 250 200 L 50 50 1 1 T +X Q0 19 400 350 200 L 50 50 1 1 T +X D0 2 -400 350 200 R 50 50 1 1 I +X Vcc 20 400 450 200 L 50 50 1 1 W +X D1 3 -400 250 200 R 50 50 1 1 I +X D2 4 -400 150 200 R 50 50 1 1 I +X D3 5 -400 50 200 R 50 50 1 1 I +X D4 6 -400 -50 200 R 50 50 1 1 I +X D5 7 -400 -150 200 R 50 50 1 1 I +X D6 8 -400 -250 200 R 50 50 1 1 I +X D7 9 -400 -350 200 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# GW_Logic_Oscillator_4P +# +DEF GW_Logic_Oscillator_4P U 0 40 Y Y 1 F N +F0 "U" 0 250 50 H V C CNN +F1 "GW_Logic_Oscillator_4P" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S -250 200 250 -100 0 1 10 f +X EN 1 -350 100 100 R 50 50 1 1 I +X GND 2 -350 0 100 R 50 50 1 1 W +X Output 3 350 0 100 L 50 50 1 1 O +X Vdd 4 350 100 100 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# GW_Power_AZ1117CH2 +# +DEF GW_Power_AZ1117CH2 U 0 10 Y Y 1 F N +F0 "U" -150 125 50 H V C CNN +F1 "GW_Power_AZ1117CH2" 0 125 50 H V L CNN +F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN +F3 "" 100 -250 50 H I C CNN +$FPLIST + SOT?223*TabPin2* +$ENDFPLIST +DRAW +S -200 -200 200 75 0 1 10 f +X VI 1 -300 0 100 R 50 50 1 1 W +X GND 2 0 -300 100 U 50 50 1 1 W +X VO 3 300 0 100 L 50 50 1 1 w +ENDDRAW +ENDDEF +# +# GW_RAM_DRAM-2Mx8-SOP-28 +# +DEF GW_RAM_DRAM-2Mx8-SOP-28 U 0 20 Y Y 1 F N +F0 "U" 0 750 50 H V C CNN +F1 "GW_RAM_DRAM-2Mx8-SOP-28" 0 0 50 V V C CNN +F2 "stdpads:SOP-24-26-300mil" 0 -850 50 H I C CNN +F3 "" 0 -550 50 H I C CNN +DRAW +S -300 700 300 -700 0 1 10 f +X VDD 1 400 600 100 L 50 50 1 1 W +X A0 10 -400 600 100 R 50 50 1 1 I +X A1 11 -400 500 100 R 50 50 1 1 I +X A2 12 -400 400 100 R 50 50 1 1 I +X A3 13 -400 300 100 R 50 50 1 1 I +X VDD 14 400 600 100 L 50 50 1 1 W N +X GND 15 -400 -600 100 R 50 50 1 1 W N +X A4 16 -400 200 100 R 50 50 1 1 I +X A5 17 -400 100 100 R 50 50 1 1 I +X A6 18 -400 0 100 R 50 50 1 1 I +X A7 19 -400 -100 100 R 50 50 1 1 I +X I/O0 2 400 500 100 L 50 50 1 1 B +X A8 20 -400 -200 100 R 50 50 1 1 I +X A9 21 -400 -300 100 R 50 50 1 1 I +X ~OE~ 22 400 -600 100 L 50 50 1 1 I +X ~CAS~ 23 400 -300 100 L 50 50 1 1 I +X I/O4 24 400 100 100 L 50 50 1 1 B +X I/O5 25 400 0 100 L 50 50 1 1 B +X I/O6 26 400 -100 100 L 50 50 1 1 B +X I/O7 27 400 -200 100 L 50 50 1 1 B +X GND 28 -400 -600 100 R 50 50 1 1 W +X I/O1 3 400 400 100 L 50 50 1 1 B +X I/O2 4 400 300 100 L 50 50 1 1 B +X I/O3 5 400 200 100 L 50 50 1 1 B +X ~WE~ 6 400 -500 100 L 50 50 1 1 I +X ~RAS~ 7 400 -400 100 L 50 50 1 1 I +X A11/NC 8 -400 -500 100 R 50 50 1 1 I +X A10 9 -400 -400 100 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# GW_RAM_Flash-512Kx8-PLCC-32 +# +DEF GW_RAM_Flash-512Kx8-PLCC-32 U 0 20 Y Y 1 F N +F0 "U" 0 1050 50 H V C CNN +F1 "GW_RAM_Flash-512Kx8-PLCC-32" 0 0 50 V V C CNN +F2 "stdpads:PLCC-32_SMDSocket" 0 -1050 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S -300 1000 300 -1000 0 1 10 f +X GND 16 400 -900 100 L 50 50 0 0 W +X VCC 32 400 900 100 L 50 50 0 0 W +X A18 1 -400 -900 100 R 50 50 1 1 I +X A2 10 -400 700 100 R 50 50 1 1 I +X A1 11 -400 800 100 R 50 50 1 1 I +X A0 12 -400 900 100 R 50 50 1 1 I +X D0 13 400 700 100 L 50 50 1 1 B +X D1 14 400 600 100 L 50 50 1 1 B +X D2 15 400 500 100 L 50 50 1 1 B +X D3 17 400 400 100 L 50 50 1 1 B +X D4 18 400 300 100 L 50 50 1 1 B +X D5 19 400 200 100 L 50 50 1 1 B +X A16 2 -400 -700 100 R 50 50 1 1 I +X D6 20 400 100 100 L 50 50 1 1 B +X D7 21 400 0 100 L 50 50 1 1 B +X ~CS~ 22 400 -400 100 L 50 50 1 1 I +X A10 23 -400 -100 100 R 50 50 1 1 I +X ~OE~ 24 400 -600 100 L 50 50 1 1 I +X A11 25 -400 -200 100 R 50 50 1 1 I +X A9 26 -400 0 100 R 50 50 1 1 I +X A8 27 -400 100 100 R 50 50 1 1 I +X A13 28 -400 -400 100 R 50 50 1 1 I +X A14 29 -400 -500 100 R 50 50 1 1 I +X A15 3 -400 -600 100 R 50 50 1 1 I +X A17 30 -400 -800 100 R 50 50 1 1 I +X ~WE~ 31 400 -500 100 L 50 50 1 1 I +X A12 4 -400 -300 100 R 50 50 1 1 I +X A7 5 -400 200 100 R 50 50 1 1 I +X A6 6 -400 300 100 R 50 50 1 1 I +X A5 7 -400 400 100 R 50 50 1 1 I +X A4 8 -400 500 100 R 50 50 1 1 I +X A3 9 -400 600 100 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# Mechanical_Fiducial +# +DEF Mechanical_Fiducial FID 0 20 Y Y 1 F N +F0 "FID" 0 200 50 H V C CNN +F1 "Mechanical_Fiducial" 0 125 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Fiducial* +$ENDFPLIST +DRAW +C 0 0 50 0 1 20 f +ENDDRAW +ENDDEF +# +# Mechanical_MountingHole_Pad +# +DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N +F0 "H" 0 250 50 H V C CNN +F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + MountingHole*Pad* +$ENDFPLIST +DRAW +C 0 50 50 0 1 50 N +X 1 1 0 -100 100 U 50 50 1 1 I +ENDDRAW +ENDDEF +# +# Regulator_Linear_AP1117-33 +# +DEF Regulator_Linear_AP1117-33 U 0 10 Y Y 1 F N +F0 "U" -150 125 50 H V C CNN +F1 "Regulator_Linear_AP1117-33" 0 125 50 H V L CNN +F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN +F3 "" 100 -250 50 H I C CNN +ALIAS AP1117-18 AP1117-25 AP1117-33 AP1117-50 LD1117S33TR_SOT223 LD1117S12TR_SOT223 LD1117S18TR_SOT223 LD1117S25TR_SOT223 LD1117S50TR_SOT223 NCP1117-12_SOT223 NCP1117-1.5_SOT223 NCP1117-1.8_SOT223 NCP1117-2.0_SOT223 NCP1117-2.5_SOT223 NCP1117-2.85_SOT223 NCP1117-3.3_SOT223 NCP1117-5.0_SOT223 AMS1117-1.5 AMS1117-1.8 AMS1117-2.5 AMS1117-2.85 AMS1117-3.3 AMS1117-5.0 +$FPLIST + SOT?223*TabPin2* +$ENDFPLIST +DRAW +S -200 -200 200 75 0 1 10 f +X GND 1 0 -300 100 U 50 50 1 1 W +X VO 2 300 0 100 L 50 50 1 1 w +X VI 3 -300 0 100 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +# Switch_SW_DIP_x02 +# +DEF Switch_SW_DIP_x02 SW 0 0 Y N 1 F N +F0 "SW" 0 250 50 H V C CNN +F1 "Switch_SW_DIP_x02" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + SW?DIP?x2* +$ENDFPLIST +DRAW +C -80 0 20 0 0 0 N +C -80 100 20 0 0 0 N +C 80 0 20 0 0 0 N +C 80 100 20 0 0 0 N +S -150 200 150 -100 0 1 10 f +P 2 0 0 0 -60 5 93 46 N +P 2 0 0 0 -60 105 93 146 N +X ~ 1 -300 100 200 R 50 50 1 1 P +X ~ 2 -300 0 200 R 50 50 1 1 P +X ~ 3 300 0 200 L 50 50 1 1 P +X ~ 4 300 100 200 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# power_+12V +# +DEF power_+12V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+12V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +12V 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_+3V3 +# +DEF power_+3V3 #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+3V3" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS +3.3V +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +3V3 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_+5V +# +DEF power_+5V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+5V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +5V 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_-12V +# +DEF power_-12V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 100 50 H I C CNN +F1 "power_-12V" 0 150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 50 30 50 0 100 -30 50 0 50 F +X -12V 1 0 0 0 U 50 50 0 0 W N +ENDDRAW +ENDDEF +# +# power_-5V +# +DEF power_-5V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 100 50 H I C CNN +F1 "power_-5V" 0 150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 50 30 50 0 100 -30 50 0 50 F +X -5V 1 0 0 0 U 50 50 0 0 W N +ENDDRAW +ENDDEF +# +# power_GND +# +DEF power_GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "power_GND" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +#End Library diff --git a/SE-030.kicad_pcb b/SE-030.kicad_pcb new file mode 100644 index 0000000..0b3da6e --- /dev/null +++ b/SE-030.kicad_pcb @@ -0,0 +1,27540 @@ +(kicad_pcb (version 20171130) (host pcbnew "(5.1.10-1-10_14)") + + (general + (thickness 1.6) + (drawings 32) + (tracks 3797) + (zones 0) + (modules 78) + (nets 218) + ) + + (page A4) + (layers + (0 F.Cu signal) + (1 In1.Cu signal) + (2 In2.Cu signal) + (31 B.Cu signal) + (32 B.Adhes user) + (33 F.Adhes user) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) + (44 Edge.Cuts user) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user) + (48 B.Fab user) + (49 F.Fab user) + ) + + (setup + (last_trace_width 0.15) + (user_trace_width 0.2) + (user_trace_width 0.25) + (user_trace_width 0.3) + (user_trace_width 0.35) + (user_trace_width 0.4) + (user_trace_width 0.45) + (user_trace_width 0.5) + (user_trace_width 0.6) + (user_trace_width 0.8) + (user_trace_width 1) + (user_trace_width 1.27) + (user_trace_width 1.524) + (trace_clearance 0.15) + (zone_clearance 0.1524) + (zone_45_only no) + (trace_min 0.15) + (via_size 0.5) + (via_drill 0.2) + (via_min_size 0.5) + (via_min_drill 0.2) + (user_via 0.6 0.3) + (user_via 0.8 0.4) + (user_via 1 0.5) + (user_via 1.524 0.762) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (edge_width 0.15) + (segment_width 0.1524) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.15) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 0.7874 0.7874) + (pad_drill 0) + (pad_to_mask_clearance 0.0762) + (solder_mask_min_width 0.1) + (pad_to_paste_clearance -0.0381) + (aux_axis_origin 0 0) + (visible_elements FFFFFF7F) + (pcbplotparams + (layerselection 0x010fc_ffffffff) + (usegerberextensions false) + (usegerberattributes true) + (usegerberadvancedattributes true) + (creategerberjobfile true) + (excludeedgelayer true) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 1) + (scaleselection 1) + (outputdirectory "")) + ) + + (net 0 "") + (net 1 GND) + (net 2 +5V) + (net 3 +3V3) + (net 4 -5V) + (net 5 +12V) + (net 6 -12V) + (net 7 "Net-(R1-Pad1)") + (net 8 /MC68k/CLK) + (net 9 /Control/FCLK) + (net 10 /Buf/Aout~OE~) + (net 11 /Buf/ADoutLE1) + (net 12 /Buf/ADoutLE0) + (net 13 /Buf/Dout~OE~) + (net 14 /Buf/Din~OE~) + (net 15 /Buf/DinLE) + (net 16 /Control/C16M) + (net 17 /Control/C8M) + (net 18 /Control/RA4) + (net 19 /Control/RA5) + (net 20 /Control/RA6) + (net 21 /Control/RA7) + (net 22 /Control/RA8) + (net 23 /Control/RA9) + (net 24 /Control/RA10) + (net 25 /Control/RA11) + (net 26 /Control/~RAS~) + (net 27 /Control/~CAS~) + (net 28 /Control/ROM~CS~) + (net 29 /Control/~OE~) + (net 30 /Control/L~WE~) + (net 31 /Control/U~WE~) + (net 32 /Control/Acc~UDS~) + (net 33 /Control/Acc~LDS~) + (net 34 /Control/Acc~AS~) + (net 35 /Control/Acc~DTACK) + (net 36 /Control/Acc~BERR~) + (net 37 /Control/Acc~VPA~) + (net 38 /Control/AccR~W~) + (net 39 /Control/RA0) + (net 40 /Control/RA1) + (net 41 /Control/RA2) + (net 42 /Control/RA3) + (net 43 /Control/Mac~AS~) + (net 44 /Control/Mac~VMA~) + (net 45 /Control/Mac~LDS~) + (net 46 /Control/Mac~UDS~) + (net 47 /Control/Mac~DTACK~) + (net 48 /Control/Mac~VPA~) + (net 49 /Control/Mac~BERR~) + (net 50 /PDS/E) + (net 51 /Buf/AccD13) + (net 52 /Buf/AccD15) + (net 53 /Buf/AccD12) + (net 54 /Buf/AccD14) + (net 55 /MC68k/~IPL~2) + (net 56 /Buf/AccD10) + (net 57 /Buf/AccD8) + (net 58 /Buf/AccD6) + (net 59 /Buf/AccD0) + (net 60 /Buf/AccD2) + (net 61 /Buf/AccD4) + (net 62 /Buf/AccD11) + (net 63 /Buf/AccD9) + (net 64 /Buf/AccD7) + (net 65 /Buf/AccD5) + (net 66 /Buf/AccD1) + (net 67 /Buf/AccD3) + (net 68 /PDS/A1) + (net 69 /PDS/A2) + (net 70 /PDS/A3) + (net 71 /PDS/A4) + (net 72 /PDS/A5) + (net 73 /PDS/A6) + (net 74 /PDS/A7) + (net 75 /PDS/A8) + (net 76 "Net-(U13-Pad9)") + (net 77 "Net-(U13-Pad8)") + (net 78 "Net-(U13-Pad7)") + (net 79 "Net-(U13-Pad6)") + (net 80 "Net-(U13-Pad5)") + (net 81 "Net-(U13-Pad4)") + (net 82 "Net-(U13-Pad3)") + (net 83 "Net-(U13-Pad2)") + (net 84 "Net-(U14-Pad31)") + (net 85 "Net-(U14-Pad30)") + (net 86 "Net-(U14-Pad29)") + (net 87 "Net-(U14-Pad28)") + (net 88 "Net-(U14-Pad22)") + (net 89 "Net-(U14-Pad21)") + (net 90 "Net-(U14-Pad18)") + (net 91 "Net-(U14-Pad11)") + (net 92 /PDS/A9) + (net 93 /PDS/A10) + (net 94 /PDS/A11) + (net 95 /PDS/A12) + (net 96 /PDS/A13) + (net 97 /PDS/A14) + (net 98 /PDS/A15) + (net 99 /PDS/A16) + (net 100 "Net-(U15-Pad9)") + (net 101 "Net-(U15-Pad8)") + (net 102 "Net-(U15-Pad7)") + (net 103 "Net-(U15-Pad6)") + (net 104 "Net-(U15-Pad5)") + (net 105 "Net-(U15-Pad4)") + (net 106 "Net-(U15-Pad3)") + (net 107 "Net-(U15-Pad2)") + (net 108 /PDS/A17) + (net 109 /PDS/A18) + (net 110 /PDS/A19) + (net 111 /PDS/A20) + (net 112 /PDS/A21) + (net 113 /PDS/A22) + (net 114 /PDS/A23) + (net 115 /Buf/Mac~R~W) + (net 116 /PDS/D0) + (net 117 /PDS/D1) + (net 118 /PDS/D2) + (net 119 /PDS/D3) + (net 120 /PDS/D4) + (net 121 /PDS/D5) + (net 122 /PDS/D6) + (net 123 /PDS/D7) + (net 124 "Net-(U19-Pad9)") + (net 125 "Net-(U19-Pad8)") + (net 126 "Net-(U19-Pad7)") + (net 127 "Net-(U19-Pad6)") + (net 128 "Net-(U19-Pad5)") + (net 129 "Net-(U19-Pad4)") + (net 130 "Net-(U19-Pad3)") + (net 131 "Net-(U19-Pad2)") + (net 132 /PDS/D8) + (net 133 /PDS/D9) + (net 134 /PDS/D10) + (net 135 /PDS/D11) + (net 136 /PDS/D12) + (net 137 /PDS/D13) + (net 138 /PDS/D14) + (net 139 /PDS/D15) + (net 140 "Net-(U21-Pad9)") + (net 141 "Net-(U21-Pad8)") + (net 142 "Net-(U21-Pad7)") + (net 143 "Net-(U21-Pad6)") + (net 144 "Net-(U21-Pad5)") + (net 145 "Net-(U21-Pad4)") + (net 146 "Net-(U21-Pad3)") + (net 147 "Net-(U21-Pad2)") + (net 148 "Net-(J1-PadA1)") + (net 149 "Net-(J1-PadA2)") + (net 150 "Net-(J1-PadA3)") + (net 151 "Net-(J1-PadB10)") + (net 152 "Net-(J1-PadB11)") + (net 153 "Net-(J1-PadB12)") + (net 154 "Net-(J1-PadB22)") + (net 155 "Net-(J1-PadB23)") + (net 156 "Net-(J1-PadB24)") + (net 157 "Net-(J1-PadB25)") + (net 158 "Net-(J1-PadB26)") + (net 159 "Net-(J1-PadB27)") + (net 160 "Net-(J1-PadB28)") + (net 161 "Net-(J1-PadC5)") + (net 162 "Net-(J1-PadC11)") + (net 163 "Net-(J1-PadC31)") + (net 164 /MC68k/~IPL~0) + (net 165 /MC68k/~IPL~1) + (net 166 /Control/A23) + (net 167 /Control/A22) + (net 168 /Control/A21) + (net 169 /Control/A20) + (net 170 /Control/A19) + (net 171 /Control/A18) + (net 172 /Control/A17) + (net 173 /Control/A16) + (net 174 /Control/A15) + (net 175 /Control/A14) + (net 176 /Control/A13) + (net 177 /Control/A12) + (net 178 /Control/A11) + (net 179 /Control/A10) + (net 180 /Control/A9) + (net 181 /Control/A8) + (net 182 /Control/A7) + (net 183 /Control/A6) + (net 184 /Control/A5) + (net 185 /Control/A4) + (net 186 /Control/A3) + (net 187 /Control/A2) + (net 188 /Control/A1) + (net 189 /Control/~RESET~r) + (net 190 "Net-(U16-Pad9)") + (net 191 "Net-(U16-Pad8)") + (net 192 "Net-(U16-Pad7)") + (net 193 "Net-(U16-Pad6)") + (net 194 "Net-(U16-Pad5)") + (net 195 "Net-(U16-Pad4)") + (net 196 "Net-(U16-Pad3)") + (net 197 "Net-(U16-Pad2)") + (net 198 /Control/~RESET~) + (net 199 "Net-(U1-Pad73)") + (net 200 "Net-(U1-Pad72)") + (net 201 "Net-(U1-Pad71)") + (net 202 "Net-(U1-Pad70)") + (net 203 "Net-(U1-Pad68)") + (net 204 "Net-(U1-Pad61)") + (net 205 "Net-(U1-Pad58)") + (net 206 /Control/ROM~WE~) + (net 207 "Net-(U1-Pad49)") + (net 208 "Net-(U1-Pad39)") + (net 209 /Control/SW1) + (net 210 /Clk.sch/CK20EN) + (net 211 /Control/TDI) + (net 212 /Control/TMS) + (net 213 /Control/TCK) + (net 214 /Clk.sch/CK25EN) + (net 215 /Control/TDO) + (net 216 "Net-(J2-Pad14)") + (net 217 "Net-(J2-Pad12)") + + (net_class Default "This is the default net class." + (clearance 0.15) + (trace_width 0.15) + (via_dia 0.5) + (via_drill 0.2) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net +12V) + (add_net +3V3) + (add_net +5V) + (add_net -12V) + (add_net -5V) + (add_net /Buf/ADoutLE0) + (add_net /Buf/ADoutLE1) + (add_net /Buf/AccD0) + (add_net /Buf/AccD1) + (add_net /Buf/AccD10) + (add_net /Buf/AccD11) + (add_net /Buf/AccD12) + (add_net /Buf/AccD13) + (add_net /Buf/AccD14) + (add_net /Buf/AccD15) + (add_net /Buf/AccD2) + (add_net /Buf/AccD3) + (add_net /Buf/AccD4) + (add_net /Buf/AccD5) + (add_net /Buf/AccD6) + (add_net /Buf/AccD7) + (add_net /Buf/AccD8) + (add_net /Buf/AccD9) + (add_net /Buf/Aout~OE~) + (add_net /Buf/DinLE) + (add_net /Buf/Din~OE~) + (add_net /Buf/Dout~OE~) + (add_net /Buf/Mac~R~W) + (add_net /Clk.sch/CK20EN) + (add_net /Clk.sch/CK25EN) + (add_net /Control/A1) + (add_net /Control/A10) + (add_net /Control/A11) + (add_net /Control/A12) + (add_net /Control/A13) + (add_net /Control/A14) + (add_net /Control/A15) + (add_net /Control/A16) + (add_net /Control/A17) + (add_net /Control/A18) + (add_net /Control/A19) + (add_net /Control/A2) + (add_net /Control/A20) + (add_net /Control/A21) + (add_net /Control/A22) + (add_net /Control/A23) + (add_net /Control/A3) + (add_net /Control/A4) + (add_net /Control/A5) + (add_net /Control/A6) + (add_net /Control/A7) + (add_net /Control/A8) + (add_net /Control/A9) + (add_net /Control/AccR~W~) + (add_net /Control/Acc~AS~) + (add_net /Control/Acc~BERR~) + (add_net /Control/Acc~DTACK) + (add_net /Control/Acc~LDS~) + (add_net /Control/Acc~UDS~) + (add_net /Control/Acc~VPA~) + (add_net /Control/C16M) + (add_net /Control/C8M) + (add_net /Control/FCLK) + (add_net /Control/L~WE~) + (add_net /Control/Mac~AS~) + (add_net /Control/Mac~BERR~) + (add_net /Control/Mac~DTACK~) + (add_net /Control/Mac~LDS~) + (add_net /Control/Mac~UDS~) + (add_net /Control/Mac~VMA~) + (add_net /Control/Mac~VPA~) + (add_net /Control/RA0) + (add_net /Control/RA1) + (add_net /Control/RA10) + (add_net /Control/RA11) + (add_net /Control/RA2) + (add_net /Control/RA3) + (add_net /Control/RA4) + (add_net /Control/RA5) + (add_net /Control/RA6) + (add_net /Control/RA7) + (add_net /Control/RA8) + (add_net /Control/RA9) + (add_net /Control/ROM~CS~) + (add_net /Control/ROM~WE~) + (add_net /Control/SW1) + (add_net /Control/TCK) + (add_net /Control/TDI) + (add_net /Control/TDO) + (add_net /Control/TMS) + (add_net /Control/U~WE~) + (add_net /Control/~CAS~) + (add_net /Control/~OE~) + (add_net /Control/~RAS~) + (add_net /Control/~RESET~) + (add_net /Control/~RESET~r) + (add_net /MC68k/CLK) + (add_net /MC68k/~IPL~0) + (add_net /MC68k/~IPL~1) + (add_net /MC68k/~IPL~2) + (add_net /PDS/A1) + (add_net /PDS/A10) + (add_net /PDS/A11) + (add_net /PDS/A12) + (add_net /PDS/A13) + (add_net /PDS/A14) + (add_net /PDS/A15) + (add_net /PDS/A16) + (add_net /PDS/A17) + (add_net /PDS/A18) + (add_net /PDS/A19) + (add_net /PDS/A2) + (add_net /PDS/A20) + (add_net /PDS/A21) + (add_net /PDS/A22) + (add_net /PDS/A23) + (add_net /PDS/A3) + (add_net /PDS/A4) + (add_net /PDS/A5) + (add_net /PDS/A6) + (add_net /PDS/A7) + (add_net /PDS/A8) + (add_net /PDS/A9) + (add_net /PDS/D0) + (add_net /PDS/D1) + (add_net /PDS/D10) + (add_net /PDS/D11) + (add_net /PDS/D12) + (add_net /PDS/D13) + (add_net /PDS/D14) + (add_net /PDS/D15) + (add_net /PDS/D2) + (add_net /PDS/D3) + (add_net /PDS/D4) + (add_net /PDS/D5) + (add_net /PDS/D6) + (add_net /PDS/D7) + (add_net /PDS/D8) + (add_net /PDS/D9) + (add_net /PDS/E) + (add_net GND) + (add_net "Net-(J1-PadA1)") + (add_net "Net-(J1-PadA2)") + (add_net "Net-(J1-PadA3)") + (add_net "Net-(J1-PadB10)") + (add_net "Net-(J1-PadB11)") + (add_net "Net-(J1-PadB12)") + (add_net "Net-(J1-PadB22)") + (add_net "Net-(J1-PadB23)") + (add_net "Net-(J1-PadB24)") + (add_net "Net-(J1-PadB25)") + (add_net "Net-(J1-PadB26)") + (add_net "Net-(J1-PadB27)") + (add_net "Net-(J1-PadB28)") + (add_net "Net-(J1-PadC11)") + (add_net "Net-(J1-PadC31)") + (add_net "Net-(J1-PadC5)") + (add_net "Net-(J2-Pad12)") + (add_net "Net-(J2-Pad14)") + (add_net "Net-(R1-Pad1)") + (add_net "Net-(U1-Pad39)") + (add_net "Net-(U1-Pad49)") + (add_net "Net-(U1-Pad58)") + (add_net "Net-(U1-Pad61)") + (add_net "Net-(U1-Pad68)") + (add_net "Net-(U1-Pad70)") + (add_net "Net-(U1-Pad71)") + (add_net "Net-(U1-Pad72)") + (add_net "Net-(U1-Pad73)") + (add_net "Net-(U13-Pad2)") + (add_net "Net-(U13-Pad3)") + (add_net "Net-(U13-Pad4)") + (add_net "Net-(U13-Pad5)") + (add_net "Net-(U13-Pad6)") + (add_net "Net-(U13-Pad7)") + (add_net "Net-(U13-Pad8)") + (add_net "Net-(U13-Pad9)") + (add_net "Net-(U14-Pad11)") + (add_net "Net-(U14-Pad18)") + (add_net "Net-(U14-Pad21)") + (add_net "Net-(U14-Pad22)") + (add_net "Net-(U14-Pad28)") + (add_net "Net-(U14-Pad29)") + (add_net "Net-(U14-Pad30)") + (add_net "Net-(U14-Pad31)") + (add_net "Net-(U15-Pad2)") + (add_net "Net-(U15-Pad3)") + (add_net "Net-(U15-Pad4)") + (add_net "Net-(U15-Pad5)") + (add_net "Net-(U15-Pad6)") + (add_net "Net-(U15-Pad7)") + (add_net "Net-(U15-Pad8)") + (add_net "Net-(U15-Pad9)") + (add_net "Net-(U16-Pad2)") + (add_net "Net-(U16-Pad3)") + (add_net "Net-(U16-Pad4)") + (add_net "Net-(U16-Pad5)") + (add_net "Net-(U16-Pad6)") + (add_net "Net-(U16-Pad7)") + (add_net "Net-(U16-Pad8)") + (add_net "Net-(U16-Pad9)") + (add_net "Net-(U19-Pad2)") + (add_net "Net-(U19-Pad3)") + (add_net "Net-(U19-Pad4)") + (add_net "Net-(U19-Pad5)") + (add_net "Net-(U19-Pad6)") + (add_net "Net-(U19-Pad7)") + (add_net "Net-(U19-Pad8)") + (add_net "Net-(U19-Pad9)") + (add_net "Net-(U21-Pad2)") + (add_net "Net-(U21-Pad3)") + (add_net "Net-(U21-Pad4)") + (add_net "Net-(U21-Pad5)") + (add_net "Net-(U21-Pad6)") + (add_net "Net-(U21-Pad7)") + (add_net "Net-(U21-Pad8)") + (add_net "Net-(U21-Pad9)") + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 616338E6) (tstamp 61B3C0DA) + (at 115.7995 73.914 180) + (tags capacitor) + (path /61B3A5F1/61B42999) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C10 (at 0 0 180) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 10u (at 0 0.35) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 180) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 616338E6) (tstamp 61AFEDD9) + (at 112.903 71.208 270) + (tags capacitor) + (path /61B3A5F1/61B42984) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C4 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 10u (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 616338E6) (tstamp 61B3C087) + (at 115.7995 76.327 180) + (tags capacitor) + (path /61B3A5F1/61B3EE84) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C9 (at 0 0 180) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 10u (at 0 0.35) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 180) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector:Tag-Connect_TC2070-IDC-FP_2x07_P1.27mm_Vertical (layer F.Cu) (tedit 61692927) (tstamp 61AEEA7B) + (at 113.03 49.657 45) + (descr "Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2070-IDC%20Datasheet.pdf") + (tags "tag connect programming header pogo pins") + (path /61B15767/61B2217D) + (attr virtual) + (fp_text reference J2 (at 0 5 45) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value JTAG (at 0 -4.8 45) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 2.54 0.635) (end 3.81 -0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start 1.905 0.635) (end 3.175 -0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start 0 0.635) (end 1.27 -0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start 0.635 0.635) (end 1.905 -0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start 1.27 0.635) (end 2.54 -0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start -1.905 0.635) (end -0.635 -0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start -1.27 0.635) (end 0 -0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start -0.635 0.635) (end 0.635 -0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start 3.175 0.635) (end 3.81 0) (layer Dwgs.User) (width 0.1)) + (fp_line (start -2.54 0.635) (end -1.27 -0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start -3.175 0.635) (end -1.905 -0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start -3.81 0) (end -3.175 -0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start -3.81 0.635) (end -2.54 -0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start -3.81 -0.635) (end 3.81 -0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start 3.81 -0.635) (end 3.81 0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start 3.81 0.635) (end -3.81 0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start -3.81 0.635) (end -3.81 -0.635) (layer Dwgs.User) (width 0.1)) + (fp_line (start 6.25 -4.25) (end -6.75 -4.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.75 -4.25) (end -6.75 4.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.75 4.25) (end 6.25 4.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.25 4.25) (end 6.25 -4.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.445 1.27) (end -3.81 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -4.445 0.635) (end -4.445 1.27) (layer F.SilkS) (width 0.12)) + (fp_text user KEEPOUT (at 0 0 45) (layer Cmts.User) + (effects (font (size 0.4 0.4) (thickness 0.07))) + ) + (fp_text user %R (at 0 0 45) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 3.175 2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at -5.08 2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 3.175 -2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at -5.08 -2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.08 1.016 45) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5.08 -1.016 45) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at -5.08 0 45) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask)) + (pad 14 connect circle (at -3.81 -0.635 45) (size 0.7874 0.7874) (layers F.Cu F.Mask) + (net 216 "Net-(J2-Pad14)")) + (pad 13 connect circle (at -2.54 -0.635 45) (size 0.7874 0.7874) (layers F.Cu F.Mask) + (net 1 GND)) + (pad 12 connect circle (at -1.27 -0.635 45) (size 0.7874 0.7874) (layers F.Cu F.Mask) + (net 217 "Net-(J2-Pad12)")) + (pad 11 connect circle (at 0 -0.635 45) (size 0.7874 0.7874) (layers F.Cu F.Mask) + (net 1 GND)) + (pad 10 connect circle (at 1.27 -0.635 45) (size 0.7874 0.7874) (layers F.Cu F.Mask) + (net 211 /Control/TDI)) + (pad 9 connect circle (at 2.54 -0.635 45) (size 0.7874 0.7874) (layers F.Cu F.Mask) + (net 1 GND)) + (pad 8 connect circle (at 3.81 -0.635 45) (size 0.7874 0.7874) (layers F.Cu F.Mask) + (net 215 /Control/TDO)) + (pad 7 connect circle (at 3.81 0.635 45) (size 0.7874 0.7874) (layers F.Cu F.Mask) + (net 1 GND)) + (pad 6 connect circle (at 2.54 0.635 45) (size 0.7874 0.7874) (layers F.Cu F.Mask) + (net 213 /Control/TCK)) + (pad 5 connect circle (at 1.27 0.635 45) (size 0.7874 0.7874) (layers F.Cu F.Mask) + (net 1 GND)) + (pad 4 connect circle (at 0 0.635 45) (size 0.7874 0.7874) (layers F.Cu F.Mask) + (net 212 /Control/TMS)) + (pad 3 connect circle (at -1.27 0.635 45) (size 0.7874 0.7874) (layers F.Cu F.Mask) + (net 1 GND)) + (pad 2 connect circle (at -2.54 0.635 45) (size 0.7874 0.7874) (layers F.Cu F.Mask) + (net 3 +3V3)) + (pad 1 connect circle (at -3.81 0.635 45) (size 0.7874 0.7874) (layers F.Cu F.Mask) + (net 1 GND)) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 616F14DB) + (at 197.866 121.07 90) + (tags capacitor) + (path /5F6DA71D/616DE7D1) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C19 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 10u (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 61714C70) + (at 130.95 89.4 180) + (tags capacitor) + (path /61350D21/613B713D) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C6 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 61633CD8) (tstamp 6172E7A3) + (at 113.4 79.65) + (tags capacitor) + (path /61B3A5F1/61B3DF5F) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C3 (at 0 0 180) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 10u (at 0 0.35) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 180) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 616338E8) (tstamp 6172E7D3) + (at 111.8 91.8 270) + (tags capacitor) + (path /61B3A5F1/61B3E861) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C7 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 10u (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 2 smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 616338E6) (tstamp 6172E773) + (at 118.1 91.8 270) + (tags capacitor) + (path /61B3A5F1/61B4298F) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C8 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 10u (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 2 smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:TQFP-100_14x14mm_P0.5mm (layer F.Cu) (tedit 6168C6D6) (tstamp 614A57EC) + (at 119.25 109.1 90) + (descr "TQFP, 100 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "TQFP QFP") + (path /5F723173/6318B3C7) + (solder_mask_margin 0.024) + (solder_paste_margin -0.035) + (attr smd) + (fp_text reference U1 (at 0 0) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value XC95144XL-TQ100 (at 1.05 0) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_line (start 6.4 -8.65) (end 0 -8.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.4 -7.25) (end 6.4 -8.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.25 -7.25) (end 6.4 -7.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.25 -6.4) (end 7.25 -7.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.65 -6.4) (end 7.25 -6.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.65 0) (end 8.65 -6.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.4 8.65) (end 0 8.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.4 7.25) (end 6.4 8.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.25 7.25) (end 6.4 7.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.25 6.4) (end 7.25 7.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.65 6.4) (end 7.25 6.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.65 0) (end 8.65 6.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.4 -8.65) (end 0 -8.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.4 -7.25) (end -6.4 -8.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -7.25 -7.25) (end -6.4 -7.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -7.25 -6.4) (end -7.25 -7.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -8.65 -6.4) (end -7.25 -6.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -8.65 0) (end -8.65 -6.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.4 8.65) (end 0 8.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.4 7.25) (end -6.4 8.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -7.25 7.25) (end -6.4 7.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -7.25 6.4) (end -7.25 7.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -8.65 6.4) (end -7.25 6.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -8.65 0) (end -8.65 6.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6 7) (end -7 6) (layer F.Fab) (width 0.1)) + (fp_line (start 7 7) (end -6 7) (layer F.Fab) (width 0.1)) + (fp_line (start 7 -7) (end 7 7) (layer F.Fab) (width 0.1)) + (fp_line (start -7 -7) (end 7 -7) (layer F.Fab) (width 0.1)) + (fp_line (start -7 6) (end -7 -7) (layer F.Fab) (width 0.1)) + (fp_line (start -6.41 7.11) (end -6.41 8.4) (layer F.SilkS) (width 0.12)) + (fp_line (start -7.11 7.11) (end -6.41 7.11) (layer F.SilkS) (width 0.12)) + (fp_line (start -7.11 6.41) (end -7.11 7.11) (layer F.SilkS) (width 0.12)) + (fp_line (start -7.11 -7.11) (end -6.41 -7.11) (layer F.SilkS) (width 0.12)) + (fp_line (start -7.11 -6.41) (end -7.11 -7.11) (layer F.SilkS) (width 0.12)) + (fp_line (start 7.11 7.11) (end 6.41 7.11) (layer F.SilkS) (width 0.12)) + (fp_line (start 7.11 6.41) (end 7.11 7.11) (layer F.SilkS) (width 0.12)) + (fp_line (start 7.11 -7.11) (end 6.41 -7.11) (layer F.SilkS) (width 0.12)) + (fp_line (start 7.11 -6.41) (end 7.11 -7.11) (layer F.SilkS) (width 0.12)) + (fp_text user %R (at 0 0) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 1 smd roundrect (at -6 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 189 /Control/~RESET~r)) + (pad 2 smd roundrect (at -5.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 184 /Control/A5)) + (pad 3 smd roundrect (at -5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 183 /Control/A6)) + (pad 4 smd roundrect (at -4.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 182 /Control/A7)) + (pad 5 smd roundrect (at -4 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 6 smd roundrect (at -3.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 181 /Control/A8)) + (pad 7 smd roundrect (at -3 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 180 /Control/A9)) + (pad 8 smd roundrect (at -2.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 179 /Control/A10)) + (pad 9 smd roundrect (at -2 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 178 /Control/A11)) + (pad 10 smd roundrect (at -1.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 177 /Control/A12)) + (pad 11 smd roundrect (at -1 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 176 /Control/A13)) + (pad 12 smd roundrect (at -0.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 175 /Control/A14)) + (pad 13 smd roundrect (at 0 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 174 /Control/A15)) + (pad 14 smd roundrect (at 0.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 173 /Control/A16)) + (pad 15 smd roundrect (at 1 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 172 /Control/A17)) + (pad 16 smd roundrect (at 1.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 171 /Control/A18)) + (pad 17 smd roundrect (at 2 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 170 /Control/A19)) + (pad 18 smd roundrect (at 2.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 169 /Control/A20)) + (pad 19 smd roundrect (at 3 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 168 /Control/A21)) + (pad 20 smd roundrect (at 3.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 167 /Control/A22)) + (pad 21 smd roundrect (at 4 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 22 smd roundrect (at 4.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 16 /Control/C16M)) + (pad 23 smd roundrect (at 5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 17 /Control/C8M)) + (pad 24 smd roundrect (at 5.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 166 /Control/A23)) + (pad 25 smd roundrect (at 6 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 50 /PDS/E)) + (pad 26 smd roundrect (at 7.6625 6 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 27 smd roundrect (at 7.6625 5.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 /Control/FCLK)) + (pad 28 smd roundrect (at 7.6625 5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 35 /Control/Acc~DTACK)) + (pad 29 smd roundrect (at 7.6625 4.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 38 /Control/AccR~W~)) + (pad 30 smd roundrect (at 7.6625 4 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 33 /Control/Acc~LDS~)) + (pad 31 smd roundrect (at 7.6625 3.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 32 smd roundrect (at 7.6625 3 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 34 /Control/Acc~AS~)) + (pad 33 smd roundrect (at 7.6625 2.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 32 /Control/Acc~UDS~)) + (pad 34 smd roundrect (at 7.6625 2 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 206 /Control/ROM~WE~)) + (pad 35 smd roundrect (at 7.6625 1.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 28 /Control/ROM~CS~)) + (pad 36 smd roundrect (at 7.6625 1 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 27 /Control/~CAS~)) + (pad 37 smd roundrect (at 7.6625 0.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 29 /Control/~OE~)) + (pad 38 smd roundrect (at 7.6625 0 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 39 smd roundrect (at 7.6625 -0.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 208 "Net-(U1-Pad39)")) + (pad 40 smd roundrect (at 7.6625 -1 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 18 /Control/RA4)) + (pad 41 smd roundrect (at 7.6625 -1.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 42 /Control/RA3)) + (pad 42 smd roundrect (at 7.6625 -2 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 19 /Control/RA5)) + (pad 43 smd roundrect (at 7.6625 -2.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 41 /Control/RA2)) + (pad 44 smd roundrect (at 7.6625 -3 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 45 smd roundrect (at 7.6625 -3.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 211 /Control/TDI)) + (pad 46 smd roundrect (at 7.6625 -4 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 20 /Control/RA6)) + (pad 47 smd roundrect (at 7.6625 -4.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 212 /Control/TMS)) + (pad 48 smd roundrect (at 7.6625 -5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 213 /Control/TCK)) + (pad 49 smd roundrect (at 7.6625 -5.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 207 "Net-(U1-Pad49)")) + (pad 50 smd roundrect (at 7.6625 -6 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 40 /Control/RA1)) + (pad 51 smd roundrect (at 6 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 52 smd roundrect (at 5.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 21 /Control/RA7)) + (pad 53 smd roundrect (at 5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 39 /Control/RA0)) + (pad 54 smd roundrect (at 4.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 22 /Control/RA8)) + (pad 55 smd roundrect (at 4 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 24 /Control/RA10)) + (pad 56 smd roundrect (at 3.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 23 /Control/RA9)) + (pad 57 smd roundrect (at 3 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 58 smd roundrect (at 2.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 205 "Net-(U1-Pad58)")) + (pad 59 smd roundrect (at 2 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 214 /Clk.sch/CK25EN)) + (pad 60 smd roundrect (at 1.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 209 /Control/SW1)) + (pad 61 smd roundrect (at 1 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 204 "Net-(U1-Pad61)")) + (pad 62 smd roundrect (at 0.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 63 smd roundrect (at 0 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 25 /Control/RA11)) + (pad 64 smd roundrect (at -0.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 26 /Control/~RAS~)) + (pad 65 smd roundrect (at -1 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 30 /Control/L~WE~)) + (pad 66 smd roundrect (at -1.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 31 /Control/U~WE~)) + (pad 67 smd roundrect (at -2 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 210 /Clk.sch/CK20EN)) + (pad 68 smd roundrect (at -2.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 203 "Net-(U1-Pad68)")) + (pad 69 smd roundrect (at -3 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 70 smd roundrect (at -3.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 202 "Net-(U1-Pad70)")) + (pad 71 smd roundrect (at -4 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 201 "Net-(U1-Pad71)")) + (pad 72 smd roundrect (at -4.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 200 "Net-(U1-Pad72)")) + (pad 73 smd roundrect (at -5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 199 "Net-(U1-Pad73)")) + (pad 74 smd roundrect (at -5.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 44 /Control/Mac~VMA~)) + (pad 75 smd roundrect (at -6 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 76 smd roundrect (at -7.6625 -6 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 49 /Control/Mac~BERR~)) + (pad 77 smd roundrect (at -7.6625 -5.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 48 /Control/Mac~VPA~)) + (pad 78 smd roundrect (at -7.6625 -5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 47 /Control/Mac~DTACK~)) + (pad 79 smd roundrect (at -7.6625 -4.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 45 /Control/Mac~LDS~)) + (pad 80 smd roundrect (at -7.6625 -4 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 46 /Control/Mac~UDS~)) + (pad 81 smd roundrect (at -7.6625 -3.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 43 /Control/Mac~AS~)) + (pad 82 smd roundrect (at -7.6625 -3 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 11 /Buf/ADoutLE1)) + (pad 83 smd roundrect (at -7.6625 -2.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 215 /Control/TDO)) + (pad 84 smd roundrect (at -7.6625 -2 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 85 smd roundrect (at -7.6625 -1.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 12 /Buf/ADoutLE0)) + (pad 86 smd roundrect (at -7.6625 -1 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 15 /Buf/DinLE)) + (pad 87 smd roundrect (at -7.6625 -0.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 10 /Buf/Aout~OE~)) + (pad 88 smd roundrect (at -7.6625 0 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 89 smd roundrect (at -7.6625 0.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 13 /Buf/Dout~OE~)) + (pad 90 smd roundrect (at -7.6625 1 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 14 /Buf/Din~OE~)) + (pad 91 smd roundrect (at -7.6625 1.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 198 /Control/~RESET~)) + (pad 92 smd roundrect (at -7.6625 2 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 36 /Control/Acc~BERR~)) + (pad 93 smd roundrect (at -7.6625 2.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 37 /Control/Acc~VPA~)) + (pad 94 smd roundrect (at -7.6625 3 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 188 /Control/A1)) + (pad 95 smd roundrect (at -7.6625 3.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 187 /Control/A2)) + (pad 96 smd roundrect (at -7.6625 4 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 186 /Control/A3)) + (pad 97 smd roundrect (at -7.6625 4.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 185 /Control/A4)) + (pad 98 smd roundrect (at -7.6625 5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 99 smd roundrect (at -7.6625 5.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 189 /Control/~RESET~r)) + (pad 100 smd roundrect (at -7.6625 6 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Package_QFP.3dshapes/TQFP-100_14x14mm_P0.5mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 615FF060) (tstamp 616D2DC3) + (at 193.04 51.65 270) + (tags capacitor) + (path /5F6DA71D/616DE7DB) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C22 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 10u (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 5 +12V)) + (pad 2 smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 615FF05E) (tstamp 616D9B08) + (at 195.453 51.65 270) + (tags capacitor) + (path /5F6DA71D/616DE7BE) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C20 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 10u (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 4 -5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 615FF05A) (tstamp 616D2D43) + (at 197.866 51.65 270) + (tags capacitor) + (path /5F6DA71D/616F27B1) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C24 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 10u (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 6 -12V)) + (pad 2 smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:SOT-223 (layer F.Cu) (tedit 6168CD11) (tstamp 617F24EB) + (at 118.6 66.75 225) + (descr "module CMS SOT223 4 pins") + (tags "CMS SOT") + (path /61B3A5F1/61B4296A) + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference U6 (at 0 0 315) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value AZ1117CH2-3.3 (at 1.016 0 315) (layer F.Fab) + (effects (font (size 0.381 0.381) (thickness 0.09525))) + ) + (fp_line (start -2.1 -2.15) (end -4.4 -2.15) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.1 -3.6) (end -2.1 -2.15) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.1 2.15) (end -4.4 2.15) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.1 2.15) (end -2.1 3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.91 -3.41) (end -1.91 -2.15) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.91 3.41) (end -1.91 2.15) (layer F.SilkS) (width 0.1524)) + (fp_line (start -2.1 3.6) (end 4.4 3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.4 -2.15) (end -4.4 2.15) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.4 -3.6) (end -2.1 -3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 2.35) (end 0.85 3.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.85 2.35) (end 1.85 -3.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.85 -3.41) (end -1.91 -3.41) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0.85 3.35) (end -1.85 3.35) (layer F.Fab) (width 0.1)) + (fp_line (start 4.1 3.41) (end -1.91 3.41) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1.85 -3.35) (end -1.85 -3.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.85 3.35) (end -1.85 -3.35) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0 315) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 2 smd roundrect (at -3.149999 0 45) (size 2 3.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) + (net 1 GND)) + (pad 2 smd roundrect (at 3.149999 0 45) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) + (net 1 GND)) + (pad 3 smd roundrect (at 3.15 -2.3 45) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) + (net 3 +3V3)) + (pad 1 smd roundrect (at 3.15 2.3 45) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) + (net 2 +5V)) + (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 180)) + ) + ) + + (module stdpads:SOJ-28_300mil (layer F.Cu) (tedit 60CFFD53) (tstamp 613E6B02) + (at 161.29 58.166 270) + (path /5F723900/614735EB) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference U9 (at 0 0) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value KM48C2100 (at 1.27 0) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_line (start -2.75 -9.35) (end 3.75 -9.35) (layer F.Fab) (width 0.15)) + (fp_line (start 3.75 -9.35) (end 3.75 9.35) (layer F.Fab) (width 0.15)) + (fp_line (start 3.75 9.35) (end -3.75 9.35) (layer F.Fab) (width 0.15)) + (fp_line (start -3.75 9.35) (end -3.75 -8.35) (layer F.Fab) (width 0.15)) + (fp_line (start -3.75 -8.35) (end -2.75 -9.35) (layer F.Fab) (width 0.15)) + (fp_line (start -5.08 -9.779) (end -5.08 9.779) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.08 -9.779) (end 5.08 9.779) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.08 -9.779) (end 5.08 -9.779) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.08 9.779) (end 5.08 9.779) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.875 -9.525) (end -3.875 -8.875) (layer F.SilkS) (width 0.2)) + (fp_line (start 3.875 -9.525) (end 3.875 -8.78) (layer F.SilkS) (width 0.2)) + (fp_line (start 3.875 9.525) (end 3.875 8.78) (layer F.SilkS) (width 0.2)) + (fp_line (start -3.875 9.525) (end -3.875 8.78) (layer F.SilkS) (width 0.2)) + (fp_line (start -3.875 -9.525) (end 3.875 -9.525) (layer F.SilkS) (width 0.2)) + (fp_line (start -3.875 9.525) (end 3.875 9.525) (layer F.SilkS) (width 0.2)) + (fp_line (start -3.875 -8.875) (end -4.699 -8.875) (layer F.SilkS) (width 0.2)) + (fp_text user %R (at 0 0) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 20 smd roundrect (at 3.175 1.905 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 19 /Control/RA5)) + (pad 7 smd roundrect (at -3.175 -0.635 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 26 /Control/~RAS~)) + (pad 26 smd roundrect (at 3.175 -5.715 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 53 /Buf/AccD12)) + (pad 25 smd roundrect (at 3.175 -4.445 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 56 /Buf/AccD10)) + (pad 24 smd roundrect (at 3.175 -3.175 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 57 /Buf/AccD8)) + (pad 23 smd roundrect (at 3.175 -1.905 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 27 /Control/~CAS~)) + (pad 22 smd roundrect (at 3.175 -0.635 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 29 /Control/~OE~)) + (pad 18 smd roundrect (at 3.175 4.445 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 21 /Control/RA7)) + (pad 17 smd roundrect (at 3.175 5.715 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 22 /Control/RA8)) + (pad 16 smd roundrect (at 3.175 6.985 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 23 /Control/RA9)) + (pad 15 smd roundrect (at 3.175 8.255 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 13 smd roundrect (at -3.175 6.985 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 25 /Control/RA11)) + (pad 12 smd roundrect (at -3.175 5.715 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 24 /Control/RA10)) + (pad 11 smd roundrect (at -3.175 4.445 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 39 /Control/RA0)) + (pad 10 smd roundrect (at -3.175 3.175 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 40 /Control/RA1)) + (pad 9 smd roundrect (at -3.175 1.905 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 41 /Control/RA2)) + (pad 5 smd roundrect (at -3.175 -3.175 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 63 /Buf/AccD9)) + (pad 4 smd roundrect (at -3.175 -4.445 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 62 /Buf/AccD11)) + (pad 3 smd roundrect (at -3.175 -5.715 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 51 /Buf/AccD13)) + (pad 2 smd roundrect (at -3.175 -6.985 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 52 /Buf/AccD15)) + (pad 1 smd roundrect (at -3.175 -8.255 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 21 smd roundrect (at 3.175 0.635 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 18 /Control/RA4)) + (pad 6 smd roundrect (at -3.175 -1.905 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 31 /Control/U~WE~)) + (pad 19 smd roundrect (at 3.175 3.175 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 20 /Control/RA6)) + (pad 8 smd roundrect (at -3.175 0.635 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 42 /Control/RA3)) + (pad 14 smd roundrect (at -3.175 8.255 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 27 smd roundrect (at 3.175 -6.985 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 54 /Buf/AccD14)) + (pad 28 smd roundrect (at 3.175 -8.255 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-28W_7.5x18.7mm_P1.27mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:DIN41612_R_3x32_Male_Vertical_THT (layer F.Cu) (tedit 5EAFCB80) (tstamp 613C6C18) + (at 205.74 129.54 180) + (descr "DIN41612 connector, type R, Vertical, 3 rows 32 pins wide, https://www.erni-x-press.com/de/downloads/kataloge/englische_kataloge/erni-din41612-iec60603-2-e.pdf") + (tags "DIN 41612 IEC 60603 R") + (path /5F6DA71D/5F6DD05A) + (fp_text reference J1 (at 2.54 -9.13) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value MacSEPDS (at 2.54 87.87) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.81 -3.13) (end 4.39 -3.13) (layer F.Fab) (width 0.1)) + (fp_line (start 4.39 -3.13) (end 4.39 -2.13) (layer F.Fab) (width 0.1)) + (fp_line (start 4.39 -2.13) (end 6.89 -2.13) (layer F.Fab) (width 0.1)) + (fp_line (start 6.89 -2.13) (end 6.89 80.87) (layer F.Fab) (width 0.1)) + (fp_line (start 6.89 80.87) (end 4.39 80.87) (layer F.Fab) (width 0.1)) + (fp_line (start 4.39 80.87) (end 4.39 81.87) (layer F.Fab) (width 0.1)) + (fp_line (start 4.39 81.87) (end -1.81 81.87) (layer F.Fab) (width 0.1)) + (fp_line (start -1.81 81.87) (end -1.81 -3.13) (layer F.Fab) (width 0.1)) + (fp_line (start -3.01 83.37) (end -0.76 83.37) (layer F.Fab) (width 0.1)) + (fp_line (start -0.76 83.37) (end -0.76 81.87) (layer F.Fab) (width 0.1)) + (fp_line (start 4.39 81.87) (end 5.24 81.87) (layer F.Fab) (width 0.1)) + (fp_line (start 5.24 81.87) (end 5.24 83.37) (layer F.Fab) (width 0.1)) + (fp_line (start 5.24 83.37) (end 8.09 83.37) (layer F.Fab) (width 0.1)) + (fp_line (start -3.01 -4.63) (end -0.76 -4.63) (layer F.Fab) (width 0.1)) + (fp_line (start -0.76 -4.63) (end -0.76 -3.13) (layer F.Fab) (width 0.1)) + (fp_line (start 4.39 -3.13) (end 5.24 -3.13) (layer F.Fab) (width 0.1)) + (fp_line (start 5.24 -3.13) (end 5.24 -4.63) (layer F.Fab) (width 0.1)) + (fp_line (start 5.24 -4.63) (end 8.09 -4.63) (layer F.Fab) (width 0.1)) + (fp_line (start -3.01 -8.13) (end 8.09 -8.13) (layer F.Fab) (width 0.1)) + (fp_line (start 8.09 -8.13) (end 8.09 86.87) (layer F.Fab) (width 0.1)) + (fp_line (start 8.09 86.87) (end -3.01 86.87) (layer F.Fab) (width 0.1)) + (fp_line (start -3.01 86.87) (end -3.01 -8.13) (layer F.Fab) (width 0.1)) + (fp_line (start -3.12 -8.24) (end 8.2 -8.24) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.2 -8.24) (end 8.2 86.98) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.2 86.98) (end -3.12 86.98) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.12 86.98) (end -3.12 -8.24) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.5 -8.63) (end 8.59 -8.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.59 -8.63) (end 8.59 87.37) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.59 87.37) (end -3.5 87.37) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.5 87.37) (end -3.5 -8.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.31 0) (end -3.99 -0.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.99 -0.3) (end -3.99 0.3) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.99 0.3) (end -3.31 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.01 -0.5) (end -2.31 0) (layer F.Fab) (width 0.1)) + (fp_line (start -2.31 0) (end -3.01 0.5) (layer F.Fab) (width 0.1)) + (fp_line (start -1.81 -3.131) (end 4.39 -3.131) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.39 -3.131) (end 4.39 -2.131) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.39 -2.131) (end 6.89 -2.131) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.89 -2.131) (end 6.89 80.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.89 80.87) (end 4.39 80.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.39 80.87) (end 4.39 81.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.39 81.87) (end -1.81 81.87) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.81 81.87) (end -1.81 -3.131) (layer F.SilkS) (width 0.12)) + (fp_text user %R (at 2.54 39.37) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad A1 thru_hole roundrect (at 0 0 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) (roundrect_rratio 0.16129) + (net 148 "Net-(J1-PadA1)")) + (pad A2 thru_hole circle (at 0 2.54 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 149 "Net-(J1-PadA2)")) + (pad A3 thru_hole circle (at 0 5.08 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 150 "Net-(J1-PadA3)")) + (pad A4 thru_hole circle (at 0 7.62 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 68 /PDS/A1)) + (pad A5 thru_hole circle (at 0 10.16 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 69 /PDS/A2)) + (pad A6 thru_hole circle (at 0 12.7 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 70 /PDS/A3)) + (pad A7 thru_hole circle (at 0 15.24 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 71 /PDS/A4)) + (pad A8 thru_hole circle (at 0 17.78 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 72 /PDS/A5)) + (pad A9 thru_hole circle (at 0 20.32 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 73 /PDS/A6)) + (pad A10 thru_hole circle (at 0 22.86 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 74 /PDS/A7)) + (pad A11 thru_hole circle (at 0 25.4 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 75 /PDS/A8)) + (pad A12 thru_hole circle (at 0 27.94 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 92 /PDS/A9)) + (pad A13 thru_hole circle (at 0 30.48 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 93 /PDS/A10)) + (pad A14 thru_hole circle (at 0 33.02 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 94 /PDS/A11)) + (pad A15 thru_hole circle (at 0 35.56 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 95 /PDS/A12)) + (pad A16 thru_hole circle (at 0 38.1 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 96 /PDS/A13)) + (pad A17 thru_hole circle (at 0 40.64 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 97 /PDS/A14)) + (pad A18 thru_hole circle (at 0 43.18 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 98 /PDS/A15)) + (pad A19 thru_hole circle (at 0 45.72 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 99 /PDS/A16)) + (pad A20 thru_hole circle (at 0 48.26 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 108 /PDS/A17)) + (pad A21 thru_hole circle (at 0 50.8 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 109 /PDS/A18)) + (pad A22 thru_hole circle (at 0 53.34 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 110 /PDS/A19)) + (pad A23 thru_hole circle (at 0 55.88 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 111 /PDS/A20)) + (pad A24 thru_hole circle (at 0 58.42 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 112 /PDS/A21)) + (pad A25 thru_hole circle (at 0 60.96 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 113 /PDS/A22)) + (pad A26 thru_hole circle (at 0 63.5 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 114 /PDS/A23)) + (pad A27 thru_hole circle (at 0 66.04 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 50 /PDS/E)) + (pad A28 thru_hole circle (at 0 68.58 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 17 /Control/C8M)) + (pad A29 thru_hole circle (at 0 71.12 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 16 /Control/C16M)) + (pad A30 thru_hole circle (at 0 73.66 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 1 GND)) + (pad A31 thru_hole circle (at 0 76.2 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 5 +12V)) + (pad A32 thru_hole circle (at 0 78.74 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 5 +12V)) + (pad B1 thru_hole circle (at 2.54 0 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 1 GND)) + (pad B2 thru_hole circle (at 2.54 2.54 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 1 GND)) + (pad B3 thru_hole circle (at 2.54 5.08 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 1 GND)) + (pad B4 thru_hole circle (at 2.54 7.62 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 1 GND)) + (pad B5 thru_hole circle (at 2.54 10.16 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 1 GND)) + (pad B6 thru_hole circle (at 2.54 12.7 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 1 GND)) + (pad B7 thru_hole circle (at 2.54 15.24 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 1 GND)) + (pad B8 thru_hole circle (at 2.54 17.78 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 1 GND)) + (pad B9 thru_hole circle (at 2.54 20.32 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 1 GND)) + (pad B10 thru_hole circle (at 2.54 22.86 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 151 "Net-(J1-PadB10)")) + (pad B11 thru_hole circle (at 2.54 25.4 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 152 "Net-(J1-PadB11)")) + (pad B12 thru_hole circle (at 2.54 27.94 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 153 "Net-(J1-PadB12)")) + (pad B13 thru_hole circle (at 2.54 30.48 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 2 +5V)) + (pad B14 thru_hole circle (at 2.54 33.02 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 2 +5V)) + (pad B15 thru_hole circle (at 2.54 35.56 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 2 +5V)) + (pad B16 thru_hole circle (at 2.54 38.1 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 2 +5V)) + (pad B17 thru_hole circle (at 2.54 40.64 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 2 +5V)) + (pad B18 thru_hole circle (at 2.54 43.18 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 164 /MC68k/~IPL~0)) + (pad B19 thru_hole circle (at 2.54 45.72 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 165 /MC68k/~IPL~1)) + (pad B20 thru_hole circle (at 2.54 48.26 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 55 /MC68k/~IPL~2)) + (pad B21 thru_hole circle (at 2.54 50.8 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 49 /Control/Mac~BERR~)) + (pad B22 thru_hole circle (at 2.54 53.34 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 154 "Net-(J1-PadB22)")) + (pad B23 thru_hole circle (at 2.54 55.88 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 155 "Net-(J1-PadB23)")) + (pad B24 thru_hole circle (at 2.54 58.42 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 156 "Net-(J1-PadB24)")) + (pad B25 thru_hole circle (at 2.54 60.96 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 157 "Net-(J1-PadB25)")) + (pad B26 thru_hole circle (at 2.54 63.5 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 158 "Net-(J1-PadB26)")) + (pad B27 thru_hole circle (at 2.54 66.04 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 159 "Net-(J1-PadB27)")) + (pad B28 thru_hole circle (at 2.54 68.58 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 160 "Net-(J1-PadB28)")) + (pad B29 thru_hole circle (at 2.54 71.12 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 1 GND)) + (pad B30 thru_hole circle (at 2.54 73.66 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 5 +12V)) + (pad B31 thru_hole circle (at 2.54 76.2 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 5 +12V)) + (pad B32 thru_hole circle (at 2.54 78.74 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 4 -5V)) + (pad C1 thru_hole circle (at 5.08 0 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 48 /Control/Mac~VPA~)) + (pad C2 thru_hole circle (at 5.08 2.54 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 44 /Control/Mac~VMA~)) + (pad C3 thru_hole circle (at 5.08 5.08 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 1 GND)) + (pad C4 thru_hole circle (at 5.08 7.62 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 2 +5V)) + (pad C5 thru_hole circle (at 5.08 10.16 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 161 "Net-(J1-PadC5)")) + (pad C6 thru_hole circle (at 5.08 12.7 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 47 /Control/Mac~DTACK~)) + (pad C7 thru_hole circle (at 5.08 15.24 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 115 /Buf/Mac~R~W)) + (pad C8 thru_hole circle (at 5.08 17.78 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 45 /Control/Mac~LDS~)) + (pad C9 thru_hole circle (at 5.08 20.32 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 46 /Control/Mac~UDS~)) + (pad C10 thru_hole circle (at 5.08 22.86 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 43 /Control/Mac~AS~)) + (pad C11 thru_hole circle (at 5.08 25.4 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 162 "Net-(J1-PadC11)")) + (pad C12 thru_hole circle (at 5.08 27.94 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 198 /Control/~RESET~)) + (pad C13 thru_hole circle (at 5.08 30.48 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 2 +5V)) + (pad C14 thru_hole circle (at 5.08 33.02 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 116 /PDS/D0)) + (pad C15 thru_hole circle (at 5.08 35.56 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 117 /PDS/D1)) + (pad C16 thru_hole circle (at 5.08 38.1 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 118 /PDS/D2)) + (pad C17 thru_hole circle (at 5.08 40.64 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 119 /PDS/D3)) + (pad C18 thru_hole circle (at 5.08 43.18 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 120 /PDS/D4)) + (pad C19 thru_hole circle (at 5.08 45.72 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 121 /PDS/D5)) + (pad C20 thru_hole circle (at 5.08 48.26 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 122 /PDS/D6)) + (pad C21 thru_hole circle (at 5.08 50.8 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 123 /PDS/D7)) + (pad C22 thru_hole circle (at 5.08 53.34 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 132 /PDS/D8)) + (pad C23 thru_hole circle (at 5.08 55.88 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 133 /PDS/D9)) + (pad C24 thru_hole circle (at 5.08 58.42 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 134 /PDS/D10)) + (pad C25 thru_hole circle (at 5.08 60.96 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 135 /PDS/D11)) + (pad C26 thru_hole circle (at 5.08 63.5 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 136 /PDS/D12)) + (pad C27 thru_hole circle (at 5.08 66.04 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 137 /PDS/D13)) + (pad C28 thru_hole circle (at 5.08 68.58 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 138 /PDS/D14)) + (pad C29 thru_hole circle (at 5.08 71.12 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 139 /PDS/D15)) + (pad C30 thru_hole circle (at 5.08 73.66 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 1 GND)) + (pad C31 thru_hole circle (at 5.08 76.2 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 163 "Net-(J1-PadC31)")) + (pad C32 thru_hole circle (at 5.08 78.74 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) + (net 6 -12V)) + (pad "" np_thru_hole circle (at 2.24 -5.63 180) (size 2.85 2.85) (drill 2.85) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 2.24 84.37 180) (size 2.85 2.85) (drill 2.85) (layers *.Cu *.Mask)) + (model ${KISYS3DMOD}/Connector_DIN.3dshapes/DIN41612_R_3x32_Male_Vertical_THT.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 614A573E) + (at 126 99.45) + (tags capacitor) + (path /5F723173/616131D5) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C27 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 6148BC95) + (at 179.6 98.725 270) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (path /60941922/614E82BE) + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference U2 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value 74AHCT573 (at 0 1.016 270) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 1 smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 2 smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 166 /Control/A23)) + (pad 3 smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 167 /Control/A22)) + (pad 4 smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 168 /Control/A21)) + (pad 5 smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 169 /Control/A20)) + (pad 6 smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 170 /Control/A19)) + (pad 7 smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 171 /Control/A18)) + (pad 8 smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 172 /Control/A17)) + (pad 9 smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 38 /Control/AccR~W~)) + (pad 10 smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 11 smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 11 /Buf/ADoutLE1)) + (pad 12 smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 190 "Net-(U16-Pad9)")) + (pad 13 smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 191 "Net-(U16-Pad8)")) + (pad 14 smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 192 "Net-(U16-Pad7)")) + (pad 15 smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 193 "Net-(U16-Pad6)")) + (pad 16 smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 194 "Net-(U16-Pad5)")) + (pad 17 smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 195 "Net-(U16-Pad4)")) + (pad 18 smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 196 "Net-(U16-Pad3)")) + (pad 19 smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 197 "Net-(U16-Pad2)")) + (pad 20 smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6148BC85) + (at 181.8 94.35 180) + (tags capacitor) + (path /60941922/61D7321A) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C39 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6144194C) + (at 190.3 57.95 180) + (tags capacitor) + (path /60941922/61D7322B) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C33 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6143E981) + (at 190.3 94.35 180) + (tags capacitor) + (path /60941922/6162809E) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C40 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6143E910) + (at 190.3 85.25 180) + (tags capacitor) + (path /60941922/61628094) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C38 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6143E8BF) + (at 181.8 112.55 180) + (tags capacitor) + (path /60941922/61D73231) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C43 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6143E8AF) + (at 190.3 112.55 180) + (tags capacitor) + (path /60941922/616280B5) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C44 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6143E87F) + (at 190.3 67.05 180) + (tags capacitor) + (path /60941922/61D73204) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C35 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6143E86F) + (at 181.8 67.05 180) + (tags capacitor) + (path /60941922/616280AF) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C34 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6143E83F) + (at 181.8 76.15 180) + (tags capacitor) + (path /60941922/61628088) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C36 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6143E82F) + (at 190.3 76.15 180) + (tags capacitor) + (path /60941922/61D73210) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C37 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6143E79E) + (at 181.8 103.45 180) + (tags capacitor) + (path /60941922/61D73237) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C41 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6143E80F) + (at 190.3 103.45 180) + (tags capacitor) + (path /60941922/616280BB) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C42 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 61437CD9) + (at 197.866 98.21 90) + (tags capacitor) + (path /5F6DA71D/616DE7E8) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C18 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 10u (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 613E88FA) + (at 131.572 99.021 270) + (tags capacitor) + (path /5F72F108/6161ACA7) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C1 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 10u (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 61469BB2) + (at 165.608 104.101 270) + (tags capacitor) + (path /5F72F108/6161ACA0) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C2 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 10u (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 613BADB5) + (at 179.6 71.425 270) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (path /60941922/617FCC42) + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference U24 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value 74AHCT573 (at 0 1.016 270) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 20 smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 19 smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 147 "Net-(U21-Pad2)")) + (pad 18 smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 146 "Net-(U21-Pad3)")) + (pad 17 smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 145 "Net-(U21-Pad4)")) + (pad 16 smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 144 "Net-(U21-Pad5)")) + (pad 15 smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 143 "Net-(U21-Pad6)")) + (pad 14 smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 142 "Net-(U21-Pad7)")) + (pad 13 smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 141 "Net-(U21-Pad8)")) + (pad 12 smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 140 "Net-(U21-Pad9)")) + (pad 11 smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 11 /Buf/ADoutLE1)) + (pad 10 smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 9 smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 57 /Buf/AccD8)) + (pad 8 smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 63 /Buf/AccD9)) + (pad 7 smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 56 /Buf/AccD10)) + (pad 6 smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 62 /Buf/AccD11)) + (pad 5 smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 53 /Buf/AccD12)) + (pad 4 smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 51 /Buf/AccD13)) + (pad 3 smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 54 /Buf/AccD14)) + (pad 2 smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 52 /Buf/AccD15)) + (pad 1 smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 613BAE1E) + (at 179.6 80.525 270) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (path /60941922/617FD7C9) + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference U23 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value 74AHCT573 (at 0 1.016 270) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 20 smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 19 smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 131 "Net-(U19-Pad2)")) + (pad 18 smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 130 "Net-(U19-Pad3)")) + (pad 17 smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 129 "Net-(U19-Pad4)")) + (pad 16 smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 128 "Net-(U19-Pad5)")) + (pad 15 smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 127 "Net-(U19-Pad6)")) + (pad 14 smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 126 "Net-(U19-Pad7)")) + (pad 13 smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 125 "Net-(U19-Pad8)")) + (pad 12 smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 124 "Net-(U19-Pad9)")) + (pad 11 smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 11 /Buf/ADoutLE1)) + (pad 10 smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 9 smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 59 /Buf/AccD0)) + (pad 8 smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 66 /Buf/AccD1)) + (pad 7 smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 60 /Buf/AccD2)) + (pad 6 smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 67 /Buf/AccD3)) + (pad 5 smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 61 /Buf/AccD4)) + (pad 4 smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 65 /Buf/AccD5)) + (pad 3 smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 58 /Buf/AccD6)) + (pad 2 smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 64 /Buf/AccD7)) + (pad 1 smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 613BAE87) + (at 188.1 62.325 270) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (path /60941922/609916A8) + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference U22 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value 74AHCT573 (at 0 1.016 270) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 20 smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 19 smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 52 /Buf/AccD15)) + (pad 18 smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 54 /Buf/AccD14)) + (pad 17 smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 51 /Buf/AccD13)) + (pad 16 smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 53 /Buf/AccD12)) + (pad 15 smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 62 /Buf/AccD11)) + (pad 14 smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 56 /Buf/AccD10)) + (pad 13 smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 63 /Buf/AccD9)) + (pad 12 smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 57 /Buf/AccD8)) + (pad 11 smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 15 /Buf/DinLE)) + (pad 10 smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 9 smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 132 /PDS/D8)) + (pad 8 smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 133 /PDS/D9)) + (pad 7 smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 134 /PDS/D10)) + (pad 6 smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 135 /PDS/D11)) + (pad 5 smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 136 /PDS/D12)) + (pad 4 smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 137 /PDS/D13)) + (pad 3 smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 138 /PDS/D14)) + (pad 2 smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 139 /PDS/D15)) + (pad 1 smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 14 /Buf/Din~OE~)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 6143D809) + (at 188.1 71.425 270) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (path /60941922/60991695) + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference U21 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value 74AHCT573 (at 0 1.016 270) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 20 smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 19 smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 139 /PDS/D15)) + (pad 18 smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 138 /PDS/D14)) + (pad 17 smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 137 /PDS/D13)) + (pad 16 smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 136 /PDS/D12)) + (pad 15 smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 135 /PDS/D11)) + (pad 14 smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 134 /PDS/D10)) + (pad 13 smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 133 /PDS/D9)) + (pad 12 smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 132 /PDS/D8)) + (pad 11 smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 12 /Buf/ADoutLE0)) + (pad 10 smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 9 smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 140 "Net-(U21-Pad9)")) + (pad 8 smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 141 "Net-(U21-Pad8)")) + (pad 7 smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 142 "Net-(U21-Pad7)")) + (pad 6 smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 143 "Net-(U21-Pad6)")) + (pad 5 smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 144 "Net-(U21-Pad5)")) + (pad 4 smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 145 "Net-(U21-Pad4)")) + (pad 3 smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 146 "Net-(U21-Pad3)")) + (pad 2 smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 147 "Net-(U21-Pad2)")) + (pad 1 smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 13 /Buf/Dout~OE~)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 613E49E1) + (at 188.1 89.625 270) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (path /60941922/60976B58) + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference U20 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value 74AHCT573 (at 0 1.016 270) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 20 smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 19 smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 64 /Buf/AccD7)) + (pad 18 smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 58 /Buf/AccD6)) + (pad 17 smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 65 /Buf/AccD5)) + (pad 16 smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 61 /Buf/AccD4)) + (pad 15 smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 67 /Buf/AccD3)) + (pad 14 smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 60 /Buf/AccD2)) + (pad 13 smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 66 /Buf/AccD1)) + (pad 12 smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 59 /Buf/AccD0)) + (pad 11 smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 15 /Buf/DinLE)) + (pad 10 smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 9 smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 116 /PDS/D0)) + (pad 8 smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 117 /PDS/D1)) + (pad 7 smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 118 /PDS/D2)) + (pad 6 smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 119 /PDS/D3)) + (pad 5 smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 120 /PDS/D4)) + (pad 4 smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 121 /PDS/D5)) + (pad 3 smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 122 /PDS/D6)) + (pad 2 smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 123 /PDS/D7)) + (pad 1 smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 14 /Buf/Din~OE~)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 613E4A6F) + (at 188.1 80.525 270) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (path /60941922/60976B52) + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference U19 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value 74AHCT573 (at 0 1.016 270) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 20 smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 19 smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 123 /PDS/D7)) + (pad 18 smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 122 /PDS/D6)) + (pad 17 smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 121 /PDS/D5)) + (pad 16 smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 120 /PDS/D4)) + (pad 15 smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 119 /PDS/D3)) + (pad 14 smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 118 /PDS/D2)) + (pad 13 smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 117 /PDS/D1)) + (pad 12 smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 116 /PDS/D0)) + (pad 11 smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 12 /Buf/ADoutLE0)) + (pad 10 smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 9 smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 124 "Net-(U19-Pad9)")) + (pad 8 smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 125 "Net-(U19-Pad8)")) + (pad 7 smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 126 "Net-(U19-Pad7)")) + (pad 6 smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 127 "Net-(U19-Pad6)")) + (pad 5 smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 128 "Net-(U19-Pad5)")) + (pad 4 smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 129 "Net-(U19-Pad4)")) + (pad 3 smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 130 "Net-(U19-Pad3)")) + (pad 2 smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 131 "Net-(U19-Pad2)")) + (pad 1 smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 13 /Buf/Dout~OE~)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 613BAFC2) + (at 179.6 107.825 270) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (path /60941922/6169E1CD) + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference U18 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value 74AHCT573 (at 0 1.016 270) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 20 smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 19 smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 107 "Net-(U15-Pad2)")) + (pad 18 smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 106 "Net-(U15-Pad3)")) + (pad 17 smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 105 "Net-(U15-Pad4)")) + (pad 16 smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 104 "Net-(U15-Pad5)")) + (pad 15 smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 103 "Net-(U15-Pad6)")) + (pad 14 smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 102 "Net-(U15-Pad7)")) + (pad 13 smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 101 "Net-(U15-Pad8)")) + (pad 12 smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 100 "Net-(U15-Pad9)")) + (pad 11 smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 11 /Buf/ADoutLE1)) + (pad 10 smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 9 smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 180 /Control/A9)) + (pad 8 smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 179 /Control/A10)) + (pad 7 smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 178 /Control/A11)) + (pad 6 smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 177 /Control/A12)) + (pad 5 smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 176 /Control/A13)) + (pad 4 smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 175 /Control/A14)) + (pad 3 smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 174 /Control/A15)) + (pad 2 smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 173 /Control/A16)) + (pad 1 smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 613BB02B) + (at 179.6 116.925 270) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (path /60941922/6169E1C7) + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference U17 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value 74AHCT573 (at 0 1.016 270) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 20 smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 19 smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 83 "Net-(U13-Pad2)")) + (pad 18 smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 82 "Net-(U13-Pad3)")) + (pad 17 smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 81 "Net-(U13-Pad4)")) + (pad 16 smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 80 "Net-(U13-Pad5)")) + (pad 15 smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 79 "Net-(U13-Pad6)")) + (pad 14 smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 78 "Net-(U13-Pad7)")) + (pad 13 smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 77 "Net-(U13-Pad8)")) + (pad 12 smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 76 "Net-(U13-Pad9)")) + (pad 11 smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 11 /Buf/ADoutLE1)) + (pad 10 smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 9 smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 188 /Control/A1)) + (pad 8 smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 187 /Control/A2)) + (pad 7 smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 186 /Control/A3)) + (pad 6 smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 185 /Control/A4)) + (pad 5 smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 184 /Control/A5)) + (pad 4 smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 183 /Control/A6)) + (pad 3 smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 182 /Control/A7)) + (pad 2 smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 181 /Control/A8)) + (pad 1 smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 613E4AFB) + (at 188.1 98.725 270) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (path /60941922/61398C8D) + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference U16 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value 74AHCT573 (at 0 1.016 270) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 20 smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 19 smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 114 /PDS/A23)) + (pad 18 smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 113 /PDS/A22)) + (pad 17 smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 112 /PDS/A21)) + (pad 16 smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 111 /PDS/A20)) + (pad 15 smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 110 /PDS/A19)) + (pad 14 smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 109 /PDS/A18)) + (pad 13 smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 108 /PDS/A17)) + (pad 12 smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 115 /Buf/Mac~R~W)) + (pad 11 smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 12 /Buf/ADoutLE0)) + (pad 10 smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 9 smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 190 "Net-(U16-Pad9)")) + (pad 8 smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 191 "Net-(U16-Pad8)")) + (pad 7 smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 192 "Net-(U16-Pad7)")) + (pad 6 smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 193 "Net-(U16-Pad6)")) + (pad 5 smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 194 "Net-(U16-Pad5)")) + (pad 4 smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 195 "Net-(U16-Pad4)")) + (pad 3 smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 196 "Net-(U16-Pad3)")) + (pad 2 smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 197 "Net-(U16-Pad2)")) + (pad 1 smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 10 /Buf/Aout~OE~)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 613E48C7) + (at 188.1 107.825 270) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (path /60941922/6094447D) + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference U15 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value 74AHCT573 (at 0 1.016 270) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 20 smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 19 smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 99 /PDS/A16)) + (pad 18 smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 98 /PDS/A15)) + (pad 17 smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 97 /PDS/A14)) + (pad 16 smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 96 /PDS/A13)) + (pad 15 smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 95 /PDS/A12)) + (pad 14 smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 94 /PDS/A11)) + (pad 13 smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 93 /PDS/A10)) + (pad 12 smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 92 /PDS/A9)) + (pad 11 smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 12 /Buf/ADoutLE0)) + (pad 10 smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 9 smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 100 "Net-(U15-Pad9)")) + (pad 8 smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 101 "Net-(U15-Pad8)")) + (pad 7 smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 102 "Net-(U15-Pad7)")) + (pad 6 smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 103 "Net-(U15-Pad6)")) + (pad 5 smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 104 "Net-(U15-Pad5)")) + (pad 4 smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 105 "Net-(U15-Pad4)")) + (pad 3 smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 106 "Net-(U15-Pad3)")) + (pad 2 smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 107 "Net-(U15-Pad2)")) + (pad 1 smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 10 /Buf/Aout~OE~)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 613BA5D5) + (at 188.1 116.925 270) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (path /60941922/60941F85) + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference U13 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value 74AHCT573 (at 0 1.016 270) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 20 smd roundrect (at -2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 19 smd roundrect (at -2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 75 /PDS/A8)) + (pad 18 smd roundrect (at -1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 74 /PDS/A7)) + (pad 17 smd roundrect (at -0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 73 /PDS/A6)) + (pad 16 smd roundrect (at -0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 72 /PDS/A5)) + (pad 15 smd roundrect (at 0.325 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 71 /PDS/A4)) + (pad 14 smd roundrect (at 0.975 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 70 /PDS/A3)) + (pad 13 smd roundrect (at 1.625 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 69 /PDS/A2)) + (pad 12 smd roundrect (at 2.275 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 68 /PDS/A1)) + (pad 11 smd roundrect (at 2.925 -2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 12 /Buf/ADoutLE0)) + (pad 10 smd roundrect (at 2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 9 smd roundrect (at 2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 76 "Net-(U13-Pad9)")) + (pad 8 smd roundrect (at 1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 77 "Net-(U13-Pad8)")) + (pad 7 smd roundrect (at 0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 78 "Net-(U13-Pad7)")) + (pad 6 smd roundrect (at 0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 79 "Net-(U13-Pad6)")) + (pad 5 smd roundrect (at -0.325 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 80 "Net-(U13-Pad5)")) + (pad 4 smd roundrect (at -0.975 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 81 "Net-(U13-Pad4)")) + (pad 3 smd roundrect (at -1.625 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 82 "Net-(U13-Pad3)")) + (pad 2 smd roundrect (at -2.275 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 83 "Net-(U13-Pad2)")) + (pad 1 smd roundrect (at -2.925 2.95) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 10 /Buf/Aout~OE~)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (module stdpads:SOJ-28_300mil (layer F.Cu) (tedit 60CFFD53) (tstamp 61486512) + (at 135.89 58.166 270) + (path /5F723900/6140764B) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference U8 (at 0 0) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value KM48C2100 (at 1.27 0) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_line (start -2.75 -9.35) (end 3.75 -9.35) (layer F.Fab) (width 0.15)) + (fp_line (start 3.75 -9.35) (end 3.75 9.35) (layer F.Fab) (width 0.15)) + (fp_line (start 3.75 9.35) (end -3.75 9.35) (layer F.Fab) (width 0.15)) + (fp_line (start -3.75 9.35) (end -3.75 -8.35) (layer F.Fab) (width 0.15)) + (fp_line (start -3.75 -8.35) (end -2.75 -9.35) (layer F.Fab) (width 0.15)) + (fp_line (start -5.08 -9.779) (end -5.08 9.779) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.08 -9.779) (end 5.08 9.779) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.08 -9.779) (end 5.08 -9.779) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.08 9.779) (end 5.08 9.779) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.875 -9.525) (end -3.875 -8.875) (layer F.SilkS) (width 0.2)) + (fp_line (start 3.875 -9.525) (end 3.875 -8.78) (layer F.SilkS) (width 0.2)) + (fp_line (start 3.875 9.525) (end 3.875 8.78) (layer F.SilkS) (width 0.2)) + (fp_line (start -3.875 9.525) (end -3.875 8.78) (layer F.SilkS) (width 0.2)) + (fp_line (start -3.875 -9.525) (end 3.875 -9.525) (layer F.SilkS) (width 0.2)) + (fp_line (start -3.875 9.525) (end 3.875 9.525) (layer F.SilkS) (width 0.2)) + (fp_line (start -3.875 -8.875) (end -4.699 -8.875) (layer F.SilkS) (width 0.2)) + (fp_text user %R (at 0 0) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 20 smd roundrect (at 3.175 1.905 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 22 /Control/RA8)) + (pad 7 smd roundrect (at -3.175 -0.635 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 26 /Control/~RAS~)) + (pad 26 smd roundrect (at 3.175 -5.715 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 61 /Buf/AccD4)) + (pad 25 smd roundrect (at 3.175 -4.445 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 60 /Buf/AccD2)) + (pad 24 smd roundrect (at 3.175 -3.175 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 59 /Buf/AccD0)) + (pad 23 smd roundrect (at 3.175 -1.905 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 27 /Control/~CAS~)) + (pad 22 smd roundrect (at 3.175 -0.635 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 29 /Control/~OE~)) + (pad 18 smd roundrect (at 3.175 4.445 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 20 /Control/RA6)) + (pad 17 smd roundrect (at 3.175 5.715 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 19 /Control/RA5)) + (pad 16 smd roundrect (at 3.175 6.985 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 18 /Control/RA4)) + (pad 15 smd roundrect (at 3.175 8.255 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 13 smd roundrect (at -3.175 6.985 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 42 /Control/RA3)) + (pad 12 smd roundrect (at -3.175 5.715 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 41 /Control/RA2)) + (pad 11 smd roundrect (at -3.175 4.445 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 40 /Control/RA1)) + (pad 10 smd roundrect (at -3.175 3.175 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 39 /Control/RA0)) + (pad 9 smd roundrect (at -3.175 1.905 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 24 /Control/RA10)) + (pad 5 smd roundrect (at -3.175 -3.175 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 66 /Buf/AccD1)) + (pad 4 smd roundrect (at -3.175 -4.445 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 67 /Buf/AccD3)) + (pad 3 smd roundrect (at -3.175 -5.715 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 65 /Buf/AccD5)) + (pad 2 smd roundrect (at -3.175 -6.985 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 64 /Buf/AccD7)) + (pad 1 smd roundrect (at -3.175 -8.255 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 21 smd roundrect (at 3.175 0.635 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 23 /Control/RA9)) + (pad 6 smd roundrect (at -3.175 -1.905 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 30 /Control/L~WE~)) + (pad 19 smd roundrect (at 3.175 3.175 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 21 /Control/RA7)) + (pad 8 smd roundrect (at -3.175 0.635 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 25 /Control/RA11)) + (pad 14 smd roundrect (at -3.175 8.255 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 27 smd roundrect (at 3.175 -6.985 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 58 /Buf/AccD6)) + (pad 28 smd roundrect (at 3.175 -8.255 270) (size 3.048 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-28W_7.5x18.7mm_P1.27mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 61714CA0) + (at 126.85 89.4 180) + (tags capacitor) + (path /61350D21/613B711A) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C5 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 614A570E) + (at 124.75 118.75) + (tags capacitor) + (path /5F723173/616131EB) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C29 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 614A56DE) + (at 109.6 107.35 270) + (tags capacitor) + (path /5F723173/61613208) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C31 (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 614A56AE) + (at 118.25 118.75 180) + (tags capacitor) + (path /5F723173/6161320E) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C30 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 614A567E) + (at 118.5 99.45 180) + (tags capacitor) + (path /5F723173/616131FC) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C26 (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 614A564E) + (at 128.9 113.85 270) + (tags capacitor) + (path /5F723173/616131E1) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C28 (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 614A561E) + (at 109.6 102.35 90) + (tags capacitor) + (path /5F723173/616151AF) + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference C32 (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 616F0EAF) + (at 105.283 44.958) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC47A28) + (attr smd) + (fp_text reference FID1 (at 0 0.05) (layer F.Fab) + (effects (font (size 0.381 0.381) (thickness 0.09525))) + ) + (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) + (solder_mask_margin 0.5) (clearance 0.575)) + ) + + (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 61405936) + (at 210.312 44.958) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC4921D) + (attr smd) + (fp_text reference FID2 (at 0 0.05) (layer F.Fab) + (effects (font (size 0.381 0.381) (thickness 0.09525))) + ) + (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) + (solder_mask_margin 0.5) (clearance 0.575)) + ) + + (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 61405927) + (at 210.312 135.382) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC4DBD8) + (attr smd) + (fp_text reference FID3 (at 0 0.05) (layer F.Fab) + (effects (font (size 0.381 0.381) (thickness 0.09525))) + ) + (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) + (solder_mask_margin 0.5) (clearance 0.575)) + ) + + (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 61647EC7) + (at 111.125 127.508) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC4DBDF) + (attr smd) + (fp_text reference FID4 (at 0 0.05) (layer F.Fab) + (effects (font (size 0.381 0.381) (thickness 0.09525))) + ) + (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) + (solder_mask_margin 0.5) (clearance 0.575)) + ) + + (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5E892B4B) (tstamp 61405909) + (at 105.283 42.418) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC53461) + (zone_connect 2) + (attr virtual) + (fp_text reference H1 (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.1))) + ) + (fp_text value " " (at 0 2) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (pad 1 thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) + (net 1 GND) (zone_connect 2)) + ) + + (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5E892B4B) (tstamp 614058C7) + (at 210.312 42.418) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC795A2) + (zone_connect 2) + (attr virtual) + (fp_text reference H2 (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.1))) + ) + (fp_text value " " (at 0 2) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (pad 1 thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) + (net 1 GND) (zone_connect 2)) + ) + + (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5E892B4B) (tstamp 614058B8) + (at 210.312 137.922) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC7E0B9) + (zone_connect 2) + (attr virtual) + (fp_text reference H3 (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.1))) + ) + (fp_text value " " (at 0 2) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (pad 1 thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) + (net 1 GND) (zone_connect 2)) + ) + + (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5E892B4B) (tstamp 61405819) + (at 196.469 137.922) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC7E0C0) + (zone_connect 2) + (attr virtual) + (fp_text reference H4 (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.1))) + ) + (fp_text value " " (at 0 2) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (pad 1 thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) + (net 1 GND) (zone_connect 2)) + ) + + (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5E892B4B) (tstamp 6164C50A) + (at 113.665 127.508) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5ED15A93) + (zone_connect 2) + (attr virtual) + (fp_text reference H5 (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.1))) + ) + (fp_text value " " (at 0 2) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (pad 1 thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) + (net 1 GND) (zone_connect 2)) + ) + + (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 6140B896) + (at 129.15 97.1 270) + (tags resistor) + (path /61350D21/6141A918) + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference R1 (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 22 (at 0 0.25 90) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 8 /MC68k/CLK)) + (pad 1 smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 7 "Net-(R1-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 61408FA1) + (at 127.45 97.1 270) + (tags resistor) + (path /61350D21/6141AC14) + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference R2 (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 22 (at 0 0.25 90) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 7 "Net-(R1-Pad1)")) + (pad 2 smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 /Control/FCLK)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 61408F71) + (at 106.553 113.792 270) + (tags resistor) + (path /61A87B62/61A8BBE6) + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference R3 (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 10k (at 0 0.25 90) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 2 smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 209 /Control/SW1)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 61408F41) + (at 108.077 113.792 270) + (tags resistor) + (path /61A87B62/61A8BBE0) + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference R4 (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 10k (at 0 0.25 90) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 2 smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 210 /Clk.sch/CK20EN)) + (pad 1 smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:SW_DIP_SPSTx02_Slide_DSHP02TS_P1.27mm (layer F.Cu) (tedit 606F8F23) (tstamp 6164C578) + (at 107.315 123.19 180) + (descr "SMD 8x-dip-switch SPST KingTek_DSHP08TS, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.kingtek.net.cn/pic/201601201417455112.pdf)") + (tags "SMD DIP Switch SPST Slide 7.62mm 300mil") + (path /61A87B62/61A8BBD9) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference SW1 (at 0 -1.905 180) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value OSCSEL (at 0 1.905 180) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_line (start -2.07 1.7) (end -2.07 -2.7) (layer F.Fab) (width 0.1)) + (fp_line (start -2.07 -2.7) (end 2.07 -2.7) (layer F.Fab) (width 0.1)) + (fp_line (start 2.07 -2.7) (end 2.07 2.7) (layer F.Fab) (width 0.1)) + (fp_line (start 2.07 2.7) (end -1.07 2.7) (layer F.Fab) (width 0.1)) + (fp_line (start -1.07 2.7) (end -2.07 1.7) (layer F.Fab) (width 0.1)) + (fp_line (start -1.035 1) (end -0.235 1) (layer F.Fab) (width 0.1)) + (fp_line (start -0.235 1) (end -0.235 -1) (layer F.Fab) (width 0.1)) + (fp_line (start -0.235 -1) (end -1.035 -1) (layer F.Fab) (width 0.1)) + (fp_line (start -1.035 -1) (end -1.035 1) (layer F.Fab) (width 0.1)) + (fp_line (start -0.935 1) (end -0.935 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start -0.835 1) (end -0.835 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start -0.735 1) (end -0.735 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start -0.635 1) (end -0.635 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start -0.535 1) (end -0.535 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start -0.435 1) (end -0.435 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start -0.335 1) (end -0.335 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start -1.035 0.333333) (end -0.235 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start 0.235 1) (end 1.035 1) (layer F.Fab) (width 0.1)) + (fp_line (start 1.035 1) (end 1.035 -1) (layer F.Fab) (width 0.1)) + (fp_line (start 1.035 -1) (end 0.235 -1) (layer F.Fab) (width 0.1)) + (fp_line (start 0.235 -1) (end 0.235 1) (layer F.Fab) (width 0.1)) + (fp_line (start 0.335 1) (end 0.335 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start 0.435 1) (end 0.435 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start 0.535 1) (end 0.535 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 1) (end 0.635 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start 0.735 1) (end 0.735 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start 0.835 1) (end 0.835 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start 0.935 1) (end 0.935 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start 0.235 0.333333) (end 1.035 0.333333) (layer F.Fab) (width 0.1)) + (fp_line (start 2.13 2.76) (end 2.13 -2.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.315 4.446) (end -1.315 2.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.131 2.76) (end -1.315 2.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.131 2.76) (end -2.131 -2.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.131 -2.76) (end -0.696 -2.76) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.695 2.76) (end 2.13 2.76) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.695 -2.76) (end 2.13 -2.76) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.413 4.826) (end 2.413 4.826) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.413 4.826) (end 2.413 -4.826) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.413 -4.826) (end -2.413 -4.826) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.413 -4.826) (end -2.413 4.826) (layer F.CrtYd) (width 0.05)) + (fp_text user on (at -1.5525 0.055 90) (layer F.Fab) + (effects (font (size 0.8 0.8) (thickness 0.12))) + ) + (fp_text user %R (at 0 0 180) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 4 smd roundrect (at -0.635 -3.81 270) (size 1.524 0.76) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 3 smd roundrect (at 0.635 -3.81 270) (size 1.524 0.76) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 2 smd roundrect (at 0.635 3.81 270) (size 1.524 0.76) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 209 /Control/SW1)) + (pad 1 smd roundrect (at -0.635 3.81 270) (size 1.524 0.76) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 210 /Clk.sch/CK20EN)) + (model ${KISYS3DMOD}/Button_Switch_SMD.3dshapes/SW_DIP_SPSTx02_Slide_KingTek_DSHP02TS_W7.62mm_P1.27mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (module stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm (layer F.Cu) (tedit 5F326081) (tstamp 6171389D) + (at 130.85 92.6 270) + (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package") + (tags "SMD SMT crystal") + (path /61350D21/61BF03AA) + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference U4 (at 0 0 90) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value 20M (at 0 0.75 90) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_line (start -1.6 -1.25) (end -1.6 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 1.25) (end 1.6 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 1.25) (end 1.6 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -1.25) (end -1.6 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 0.25) (end -0.6 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start -2.15 -0.85) (end -2.15 1.75) (layer F.SilkS) (width 0.1524)) + (fp_line (start -2.15 1.75) (end 1.1 1.75) (layer F.SilkS) (width 0.1524)) + (fp_line (start -2.1 -1.7) (end -2.1 1.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.1 1.7) (end 2.1 1.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.1 1.7) (end 2.1 -1.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.1 -1.7) (end -2.1 -1.7) (layer F.CrtYd) (width 0.05)) + (pad 4 smd roundrect (at -1.1 -0.85 270) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (pad 3 smd roundrect (at 1.1 -0.85 270) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 7 "Net-(R1-Pad1)")) + (pad 2 smd roundrect (at 1.1 0.85 270) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -1.1 0.85 270) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 210 /Clk.sch/CK20EN)) + (model ${KISYS3DMOD}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm (layer F.Cu) (tedit 5F326081) (tstamp 61713BFA) + (at 126.75 92.6 270) + (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package") + (tags "SMD SMT crystal") + (path /61350D21/61BF03A4) + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference U5 (at 0 0 90) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value 25M (at 0 0.75 90) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_line (start 2.1 -1.7) (end -2.1 -1.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.1 1.7) (end 2.1 -1.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.1 1.7) (end 2.1 1.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.1 -1.7) (end -2.1 1.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.15 1.75) (end 1.1 1.75) (layer F.SilkS) (width 0.1524)) + (fp_line (start -2.15 -0.85) (end -2.15 1.75) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.6 0.25) (end -0.6 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 -1.25) (end -1.6 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.6 1.25) (end 1.6 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 1.25) (end 1.6 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start -1.6 -1.25) (end -1.6 1.25) (layer F.Fab) (width 0.1)) + (pad 1 smd roundrect (at -1.1 0.85 270) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 214 /Clk.sch/CK25EN)) + (pad 2 smd roundrect (at 1.1 0.85 270) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 3 smd roundrect (at 1.1 -0.85 270) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 7 "Net-(R1-Pad1)")) + (pad 4 smd roundrect (at -1.1 -0.85 270) (size 1.4 1.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 +3V3)) + (model ${KISYS3DMOD}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:PLCC-32 (layer F.Cu) (tedit 616817CE) (tstamp 616523AC) + (at 135.89 76.2 90) + (descr "PLCC, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc0015.pdf), generated with kicad-footprint-generator ipc_plcc_jLead_generator.py") + (tags "PLCC LCC") + (path /5F723900/61871415) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference U10 (at 0 0 90) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value 39SF040 (at 0 1.27 90) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_line (start 4.37 -7.095) (end 5.825 -7.095) (layer F.SilkS) (width 0.1524)) + (fp_line (start 5.825 -7.095) (end 5.825 -5.64) (layer F.SilkS) (width 0.1524)) + (fp_line (start -4.37 7.095) (end -5.825 7.095) (layer F.SilkS) (width 0.1524)) + (fp_line (start -5.825 7.095) (end -5.825 5.64) (layer F.SilkS) (width 0.1524)) + (fp_line (start 4.37 7.095) (end 5.825 7.095) (layer F.SilkS) (width 0.1524)) + (fp_line (start 5.825 7.095) (end 5.825 5.64) (layer F.SilkS) (width 0.1524)) + (fp_line (start -4.37 -7.095) (end -4.652782 -7.095) (layer F.SilkS) (width 0.1524)) + (fp_line (start -4.652782 -7.095) (end -5.825 -5.922782) (layer F.SilkS) (width 0.1524)) + (fp_line (start -5.825 -5.922782) (end -5.825 -5.64) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0 -6.277893) (end 0.5 -6.985) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 -6.985) (end 5.715 -6.985) (layer F.Fab) (width 0.1)) + (fp_line (start 5.715 -6.985) (end 5.715 6.985) (layer F.Fab) (width 0.1)) + (fp_line (start 5.715 6.985) (end -5.715 6.985) (layer F.Fab) (width 0.1)) + (fp_line (start -5.715 6.985) (end -5.715 -5.845) (layer F.Fab) (width 0.1)) + (fp_line (start -5.715 -5.845) (end -4.575 -6.985) (layer F.Fab) (width 0.1)) + (fp_line (start -4.575 -6.985) (end -0.5 -6.985) (layer F.Fab) (width 0.1)) + (fp_line (start -0.5 -6.985) (end 0 -6.277893) (layer F.Fab) (width 0.1)) + (fp_line (start 0 -7.82) (end 4.36 -7.82) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.36 -7.82) (end 4.36 -7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.36 -7.23) (end 5.96 -7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.96 -7.23) (end 5.96 -5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.96 -5.63) (end 6.55 -5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.55 -5.63) (end 6.55 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 7.82) (end -4.36 7.82) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.36 7.82) (end -4.36 7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.36 7.23) (end -5.96 7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.96 7.23) (end -5.96 5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.96 5.63) (end -6.55 5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.55 5.63) (end -6.55 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 7.82) (end 4.36 7.82) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.36 7.82) (end 4.36 7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.36 7.23) (end 5.96 7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.96 7.23) (end 5.96 5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.96 5.63) (end 6.55 5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.55 5.63) (end 6.55 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -7.82) (end -4.36 -7.82) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.36 -7.82) (end -4.36 -7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.36 -7.23) (end -4.68 -7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.68 -7.23) (end -5.96 -5.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.96 -5.95) (end -5.96 -5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.96 -5.63) (end -6.55 -5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.55 -5.63) (end -6.55 0) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 32 smd roundrect (at 1.27 -6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 31 smd roundrect (at 2.54 -6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 206 /Control/ROM~WE~)) + (pad 30 smd roundrect (at 3.81 -6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 181 /Control/A8)) + (pad 29 smd roundrect (at 5.5625 -5.08 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 170 /Control/A19)) + (pad 28 smd roundrect (at 5.5625 -3.81 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 171 /Control/A18)) + (pad 27 smd roundrect (at 5.5625 -2.54 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 172 /Control/A17)) + (pad 26 smd roundrect (at 5.5625 -1.27 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 173 /Control/A16)) + (pad 25 smd roundrect (at 5.5625 0 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 176 /Control/A13)) + (pad 24 smd roundrect (at 5.5625 1.27 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 29 /Control/~OE~)) + (pad 23 smd roundrect (at 5.5625 2.54 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 177 /Control/A12)) + (pad 22 smd roundrect (at 5.5625 3.81 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 28 /Control/ROM~CS~)) + (pad 21 smd roundrect (at 5.5625 5.08 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 64 /Buf/AccD7)) + (pad 20 smd roundrect (at 3.81 6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 58 /Buf/AccD6)) + (pad 19 smd roundrect (at 2.54 6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 65 /Buf/AccD5)) + (pad 18 smd roundrect (at 1.27 6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 61 /Buf/AccD4)) + (pad 17 smd roundrect (at 0 6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 67 /Buf/AccD3)) + (pad 16 smd roundrect (at -1.27 6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 15 smd roundrect (at -2.54 6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 60 /Buf/AccD2)) + (pad 14 smd roundrect (at -3.81 6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 66 /Buf/AccD1)) + (pad 13 smd roundrect (at -5.5625 5.08 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 59 /Buf/AccD0)) + (pad 12 smd roundrect (at -5.5625 3.81 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 182 /Control/A7)) + (pad 11 smd roundrect (at -5.5625 2.54 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 183 /Control/A6)) + (pad 10 smd roundrect (at -5.5625 1.27 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 184 /Control/A5)) + (pad 9 smd roundrect (at -5.5625 0 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 185 /Control/A4)) + (pad 8 smd roundrect (at -5.5625 -1.27 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 186 /Control/A3)) + (pad 7 smd roundrect (at -5.5625 -2.54 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 187 /Control/A2)) + (pad 6 smd roundrect (at -5.5625 -3.81 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 188 /Control/A1)) + (pad 5 smd roundrect (at -5.5625 -5.08 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 179 /Control/A10)) + (pad 4 smd roundrect (at -3.81 -6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 178 /Control/A11)) + (pad 3 smd roundrect (at -2.54 -6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 175 /Control/A14)) + (pad 2 smd roundrect (at -1.27 -6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 180 /Control/A9)) + (pad 1 smd roundrect (at 0 -6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 174 /Control/A15)) + (model ${KIPRJMOD}/../stdpads.3dshapes/PLCC-32.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 180)) + ) + ) + + (module stdpads:PLCC-32 (layer F.Cu) (tedit 5EE29C79) (tstamp 616523FA) + (at 161.29 76.2 90) + (descr "PLCC, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc0015.pdf), generated with kicad-footprint-generator ipc_plcc_jLead_generator.py") + (tags "PLCC LCC") + (path /5F723900/6187142D) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference U11 (at 0 0 90) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value 39SF040 (at 0 1.27 90) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_line (start 4.37 -7.095) (end 5.825 -7.095) (layer F.SilkS) (width 0.1524)) + (fp_line (start 5.825 -7.095) (end 5.825 -5.64) (layer F.SilkS) (width 0.1524)) + (fp_line (start -4.37 7.095) (end -5.825 7.095) (layer F.SilkS) (width 0.1524)) + (fp_line (start -5.825 7.095) (end -5.825 5.64) (layer F.SilkS) (width 0.1524)) + (fp_line (start 4.37 7.095) (end 5.825 7.095) (layer F.SilkS) (width 0.1524)) + (fp_line (start 5.825 7.095) (end 5.825 5.64) (layer F.SilkS) (width 0.1524)) + (fp_line (start -4.37 -7.095) (end -4.652782 -7.095) (layer F.SilkS) (width 0.1524)) + (fp_line (start -4.652782 -7.095) (end -5.825 -5.922782) (layer F.SilkS) (width 0.1524)) + (fp_line (start -5.825 -5.922782) (end -5.825 -5.64) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0 -6.277893) (end 0.5 -6.985) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 -6.985) (end 5.715 -6.985) (layer F.Fab) (width 0.1)) + (fp_line (start 5.715 -6.985) (end 5.715 6.985) (layer F.Fab) (width 0.1)) + (fp_line (start 5.715 6.985) (end -5.715 6.985) (layer F.Fab) (width 0.1)) + (fp_line (start -5.715 6.985) (end -5.715 -5.845) (layer F.Fab) (width 0.1)) + (fp_line (start -5.715 -5.845) (end -4.575 -6.985) (layer F.Fab) (width 0.1)) + (fp_line (start -4.575 -6.985) (end -0.5 -6.985) (layer F.Fab) (width 0.1)) + (fp_line (start -0.5 -6.985) (end 0 -6.277893) (layer F.Fab) (width 0.1)) + (fp_line (start 0 -7.82) (end 4.36 -7.82) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.36 -7.82) (end 4.36 -7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.36 -7.23) (end 5.96 -7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.96 -7.23) (end 5.96 -5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.96 -5.63) (end 6.55 -5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.55 -5.63) (end 6.55 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 7.82) (end -4.36 7.82) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.36 7.82) (end -4.36 7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.36 7.23) (end -5.96 7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.96 7.23) (end -5.96 5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.96 5.63) (end -6.55 5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.55 5.63) (end -6.55 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 7.82) (end 4.36 7.82) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.36 7.82) (end 4.36 7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.36 7.23) (end 5.96 7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.96 7.23) (end 5.96 5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.96 5.63) (end 6.55 5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.55 5.63) (end 6.55 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -7.82) (end -4.36 -7.82) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.36 -7.82) (end -4.36 -7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.36 -7.23) (end -4.68 -7.23) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.68 -7.23) (end -5.96 -5.95) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.96 -5.95) (end -5.96 -5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.96 -5.63) (end -6.55 -5.63) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.55 -5.63) (end -6.55 0) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 32 smd roundrect (at 1.27 -6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 31 smd roundrect (at 2.54 -6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 206 /Control/ROM~WE~)) + (pad 30 smd roundrect (at 3.81 -6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 177 /Control/A12)) + (pad 29 smd roundrect (at 5.5625 -5.08 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 176 /Control/A13)) + (pad 28 smd roundrect (at 5.5625 -3.81 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 173 /Control/A16)) + (pad 27 smd roundrect (at 5.5625 -2.54 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 172 /Control/A17)) + (pad 26 smd roundrect (at 5.5625 -1.27 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 171 /Control/A18)) + (pad 25 smd roundrect (at 5.5625 0 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 170 /Control/A19)) + (pad 24 smd roundrect (at 5.5625 1.27 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 29 /Control/~OE~)) + (pad 23 smd roundrect (at 5.5625 2.54 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 181 /Control/A8)) + (pad 22 smd roundrect (at 5.5625 3.81 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 28 /Control/ROM~CS~)) + (pad 21 smd roundrect (at 5.5625 5.08 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 52 /Buf/AccD15)) + (pad 20 smd roundrect (at 3.81 6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 54 /Buf/AccD14)) + (pad 19 smd roundrect (at 2.54 6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 51 /Buf/AccD13)) + (pad 18 smd roundrect (at 1.27 6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 53 /Buf/AccD12)) + (pad 17 smd roundrect (at 0 6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 62 /Buf/AccD11)) + (pad 16 smd roundrect (at -1.27 6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 15 smd roundrect (at -2.54 6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 56 /Buf/AccD10)) + (pad 14 smd roundrect (at -3.81 6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 63 /Buf/AccD9)) + (pad 13 smd roundrect (at -5.5625 5.08 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 57 /Buf/AccD8)) + (pad 12 smd roundrect (at -5.5625 3.81 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 174 /Control/A15)) + (pad 11 smd roundrect (at -5.5625 2.54 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 175 /Control/A14)) + (pad 10 smd roundrect (at -5.5625 1.27 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 178 /Control/A11)) + (pad 9 smd roundrect (at -5.5625 0 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 179 /Control/A10)) + (pad 8 smd roundrect (at -5.5625 -1.27 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 187 /Control/A2)) + (pad 7 smd roundrect (at -5.5625 -2.54 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 185 /Control/A4)) + (pad 6 smd roundrect (at -5.5625 -3.81 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 184 /Control/A5)) + (pad 5 smd roundrect (at -5.5625 -5.08 90) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 183 /Control/A6)) + (pad 4 smd roundrect (at -3.81 -6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 182 /Control/A7)) + (pad 3 smd roundrect (at -2.54 -6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 186 /Control/A3)) + (pad 2 smd roundrect (at -1.27 -6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 188 /Control/A1)) + (pad 1 smd roundrect (at 0 -6.8375 90) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 180 /Control/A9)) + (model ${KIPRJMOD}/../stdpads.3dshapes/PLCC-32.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 180)) + ) + ) + + (module stdpads:PLCC-68 (layer F.Cu) (tedit 615FF8E3) (tstamp 61652448) + (at 148.59 103.251) + (descr "PLCC, 68 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_plcc_jLead_generator.py") + (tags "PLCC LCC") + (path /5F72F108/6187DB31) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference U14 (at 0 0) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value MC68HC000FN20 (at 0 1.27) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_line (start -12.9 -10.71) (end -12.9 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start -12.37 -10.71) (end -12.9 -10.71) (layer F.CrtYd) (width 0.05)) + (fp_line (start -12.37 -11.08) (end -12.37 -10.71) (layer F.CrtYd) (width 0.05)) + (fp_line (start -11.08 -12.37) (end -12.37 -11.08) (layer F.CrtYd) (width 0.05)) + (fp_line (start -10.71 -12.37) (end -11.08 -12.37) (layer F.CrtYd) (width 0.05)) + (fp_line (start -10.71 -12.9) (end -10.71 -12.37) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -12.9) (end -10.71 -12.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 12.9 10.71) (end 12.9 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start 12.37 10.71) (end 12.9 10.71) (layer F.CrtYd) (width 0.05)) + (fp_line (start 12.37 12.37) (end 12.37 10.71) (layer F.CrtYd) (width 0.05)) + (fp_line (start 10.71 12.37) (end 12.37 12.37) (layer F.CrtYd) (width 0.05)) + (fp_line (start 10.71 12.9) (end 10.71 12.37) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 12.9) (end 10.71 12.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start -12.9 10.71) (end -12.9 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start -12.37 10.71) (end -12.9 10.71) (layer F.CrtYd) (width 0.05)) + (fp_line (start -12.37 12.37) (end -12.37 10.71) (layer F.CrtYd) (width 0.05)) + (fp_line (start -10.71 12.37) (end -12.37 12.37) (layer F.CrtYd) (width 0.05)) + (fp_line (start -10.71 12.9) (end -10.71 12.37) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 12.9) (end -10.71 12.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start 12.9 -10.71) (end 12.9 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start 12.37 -10.71) (end 12.9 -10.71) (layer F.CrtYd) (width 0.05)) + (fp_line (start 12.37 -12.37) (end 12.37 -10.71) (layer F.CrtYd) (width 0.05)) + (fp_line (start 10.71 -12.37) (end 12.37 -12.37) (layer F.CrtYd) (width 0.05)) + (fp_line (start 10.71 -12.9) (end 10.71 -12.37) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -12.9) (end 10.71 -12.9) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.5 -12.1158) (end 0 -11.408693) (layer F.Fab) (width 0.1)) + (fp_line (start -10.9728 -12.1158) (end -0.5 -12.1158) (layer F.Fab) (width 0.1)) + (fp_line (start -12.1158 -10.9728) (end -10.9728 -12.1158) (layer F.Fab) (width 0.1)) + (fp_line (start -12.1158 12.1158) (end -12.1158 -10.9728) (layer F.Fab) (width 0.1)) + (fp_line (start 12.1158 12.1158) (end -12.1158 12.1158) (layer F.Fab) (width 0.1)) + (fp_line (start 12.1158 -12.1158) (end 12.1158 12.1158) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 -12.1158) (end 12.1158 -12.1158) (layer F.Fab) (width 0.1)) + (fp_line (start 0 -11.408693) (end 0.5 -12.1158) (layer F.Fab) (width 0.1)) + (fp_line (start -12.2258 -11.050582) (end -12.2258 -10.72) (layer F.SilkS) (width 0.12)) + (fp_line (start -11.050582 -12.2258) (end -12.2258 -11.050582) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.72 -12.2258) (end -11.050582 -12.2258) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.2258 12.2258) (end 12.2258 10.72) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.72 12.2258) (end 12.2258 12.2258) (layer F.SilkS) (width 0.12)) + (fp_line (start -12.2258 12.2258) (end -12.2258 10.72) (layer F.SilkS) (width 0.12)) + (fp_line (start -10.72 12.2258) (end -12.2258 12.2258) (layer F.SilkS) (width 0.12)) + (fp_line (start 12.2258 -12.2258) (end 12.2258 -10.72) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.72 -12.2258) (end 12.2258 -12.2258) (layer F.SilkS) (width 0.12)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 68 smd roundrect (at 1.27 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 65 /Buf/AccD5)) + (pad 67 smd roundrect (at 2.54 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 58 /Buf/AccD6)) + (pad 66 smd roundrect (at 3.81 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 64 /Buf/AccD7)) + (pad 65 smd roundrect (at 5.08 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 57 /Buf/AccD8)) + (pad 64 smd roundrect (at 6.35 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 63 /Buf/AccD9)) + (pad 63 smd roundrect (at 7.62 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 56 /Buf/AccD10)) + (pad 62 smd roundrect (at 8.89 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 62 /Buf/AccD11)) + (pad 61 smd roundrect (at 10.16 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 53 /Buf/AccD12)) + (pad 60 smd roundrect (at 11.8 -10.16) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 51 /Buf/AccD13)) + (pad 59 smd roundrect (at 11.8 -8.89) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 54 /Buf/AccD14)) + (pad 58 smd roundrect (at 11.8 -7.62) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 52 /Buf/AccD15)) + (pad 57 smd roundrect (at 11.8 -6.35) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 56 smd roundrect (at 11.8 -5.08) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 55 smd roundrect (at 11.8 -3.81) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 166 /Control/A23)) + (pad 54 smd roundrect (at 11.8 -2.54) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 167 /Control/A22)) + (pad 53 smd roundrect (at 11.8 -1.27) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 168 /Control/A21)) + (pad 52 smd roundrect (at 11.8 0) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 51 smd roundrect (at 11.8 1.27) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 169 /Control/A20)) + (pad 50 smd roundrect (at 11.8 2.54) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 170 /Control/A19)) + (pad 49 smd roundrect (at 11.8 3.81) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 171 /Control/A18)) + (pad 48 smd roundrect (at 11.8 5.08) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 172 /Control/A17)) + (pad 47 smd roundrect (at 11.8 6.35) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 173 /Control/A16)) + (pad 46 smd roundrect (at 11.8 7.62) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 174 /Control/A15)) + (pad 45 smd roundrect (at 11.8 8.89) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 175 /Control/A14)) + (pad 44 smd roundrect (at 11.8 10.16) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 176 /Control/A13)) + (pad 43 smd roundrect (at 10.16 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 177 /Control/A12)) + (pad 42 smd roundrect (at 8.89 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 178 /Control/A11)) + (pad 41 smd roundrect (at 7.62 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 179 /Control/A10)) + (pad 40 smd roundrect (at 6.35 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 180 /Control/A9)) + (pad 39 smd roundrect (at 5.08 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 181 /Control/A8)) + (pad 38 smd roundrect (at 3.81 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 182 /Control/A7)) + (pad 37 smd roundrect (at 2.54 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 183 /Control/A6)) + (pad 36 smd roundrect (at 1.27 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 184 /Control/A5)) + (pad 35 smd roundrect (at 0 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 185 /Control/A4)) + (pad 34 smd roundrect (at -1.27 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 186 /Control/A3)) + (pad 33 smd roundrect (at -2.54 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 187 /Control/A2)) + (pad 32 smd roundrect (at -3.81 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 188 /Control/A1)) + (pad 31 smd roundrect (at -5.08 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 84 "Net-(U14-Pad31)")) + (pad 30 smd roundrect (at -6.35 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 85 "Net-(U14-Pad30)")) + (pad 29 smd roundrect (at -7.62 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 86 "Net-(U14-Pad29)")) + (pad 28 smd roundrect (at -8.89 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 87 "Net-(U14-Pad28)")) + (pad 27 smd roundrect (at -10.16 11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 164 /MC68k/~IPL~0)) + (pad 26 smd roundrect (at -11.8 10.16) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 165 /MC68k/~IPL~1)) + (pad 25 smd roundrect (at -11.8 8.89) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 55 /MC68k/~IPL~2)) + (pad 24 smd roundrect (at -11.8 7.62) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 36 /Control/Acc~BERR~)) + (pad 23 smd roundrect (at -11.8 6.35) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 37 /Control/Acc~VPA~)) + (pad 22 smd roundrect (at -11.8 5.08) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 88 "Net-(U14-Pad22)")) + (pad 21 smd roundrect (at -11.8 3.81) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 89 "Net-(U14-Pad21)")) + (pad 20 smd roundrect (at -11.8 2.54) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 198 /Control/~RESET~)) + (pad 19 smd roundrect (at -11.8 1.27) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 198 /Control/~RESET~)) + (pad 18 smd roundrect (at -11.8 0) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 90 "Net-(U14-Pad18)")) + (pad 17 smd roundrect (at -11.8 -1.27) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 16 smd roundrect (at -11.8 -2.54) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 15 smd roundrect (at -11.8 -3.81) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 8 /MC68k/CLK)) + (pad 14 smd roundrect (at -11.8 -5.08) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 13 smd roundrect (at -11.8 -6.35) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 12 smd roundrect (at -11.8 -7.62) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 11 smd roundrect (at -11.8 -8.89) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 91 "Net-(U14-Pad11)")) + (pad 10 smd roundrect (at -11.8 -10.16) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 35 /Control/Acc~DTACK)) + (pad 9 smd roundrect (at -10.16 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 38 /Control/AccR~W~)) + (pad 8 smd roundrect (at -8.89 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 33 /Control/Acc~LDS~)) + (pad 7 smd roundrect (at -7.62 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 32 /Control/Acc~UDS~)) + (pad 6 smd roundrect (at -6.35 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 34 /Control/Acc~AS~)) + (pad 5 smd roundrect (at -5.08 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 59 /Buf/AccD0)) + (pad 4 smd roundrect (at -3.81 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 66 /Buf/AccD1)) + (pad 3 smd roundrect (at -2.54 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 60 /Buf/AccD2)) + (pad 2 smd roundrect (at -1.27 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 67 /Buf/AccD3)) + (pad 1 smd roundrect (at 0 -11.8) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 61 /Buf/AccD4)) + (model ${KIPRJMOD}/../stdpads.3dshapes/PLCC-68.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 180)) + ) + ) + + (module stdpads:SOT-223 (layer F.Cu) (tedit 608E59D1) (tstamp 61B0BCB7) + (at 114.95 87.6 90) + (descr "module CMS SOT223 4 pins") + (tags "CMS SOT") + (path /61B3A5F1/61B3AB93) + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference U3 (at 0 0 180) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value AZ1117CH-3.3 (at 1.016 0 180) (layer F.Fab) + (effects (font (size 0.381 0.381) (thickness 0.09525))) + ) + (fp_text user %R (at 0 0 180) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_line (start -2.1 -2.15) (end -4.4 -2.15) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.1 -3.6) (end -2.1 -2.15) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.1 2.15) (end -4.4 2.15) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.1 2.15) (end -2.1 3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.91 -3.41) (end -1.91 -2.15) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.91 3.41) (end -1.91 2.15) (layer F.SilkS) (width 0.1524)) + (fp_line (start -2.1 3.6) (end 4.4 3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.4 -2.15) (end -4.4 2.15) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.4 -3.6) (end -2.1 -3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.85 2.35) (end 0.85 3.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.85 2.35) (end 1.85 -3.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.85 -3.41) (end -1.91 -3.41) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0.85 3.35) (end -1.85 3.35) (layer F.Fab) (width 0.1)) + (fp_line (start 4.1 3.41) (end -1.91 3.41) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1.85 -3.35) (end -1.85 -3.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.85 3.35) (end -1.85 -3.35) (layer F.Fab) (width 0.1)) + (pad 1 smd roundrect (at 3.15 2.3 270) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) + (net 1 GND)) + (pad 3 smd roundrect (at 3.15 -2.3 270) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) + (net 2 +5V)) + (pad 2 smd roundrect (at 3.15 0 270) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) + (net 3 +3V3)) + (pad 2 smd roundrect (at -3.15 0 270) (size 2 3.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) + (net 3 +3V3)) + (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 180)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 61B38497) + (at 125.095 55.841 270) + (tags capacitor) + (path /5F723900/61609D3F) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C12 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (pad 2 smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 61B384A7) + (at 146.685 55.841 270) + (tags capacitor) + (path /5F723900/61609D38) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C13 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 61B384B7) + (at 150.495 55.841 270) + (tags capacitor) + (path /5F723900/6160B1A0) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C14 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 61B384C7) + (at 172.085 55.841 270) + (tags capacitor) + (path /5F723900/6160C7C7) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C15 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (pad 2 smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 61B384D7) + (at 123.952 75.78 270) + (tags capacitor) + (path /5F723900/618714E8) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C16 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (pad 2 smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (pad 1 smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 61B384E7) + (at 149.352 75.78 270) + (tags capacitor) + (path /5F723900/618714E1) + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference C17 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.35 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 +5V)) + (pad 2 smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (gr_text Warp-SE (at 181.356 43.18) (layer F.SilkS) (tstamp 61AFED7B) + (effects (font (size 1.524 1.524) (thickness 0.3))) + ) + (gr_text "Accelerator for Mac SE" (at 181.356 45.466) (layer F.SilkS) (tstamp 61AFED84) + (effects (font (size 1.016 1.016) (thickness 0.2032))) + ) + (gr_text GW (at 159.258 45.6565) (layer F.SilkS) (tstamp 61AFED2C) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify right)) + ) + (gr_text 4406A (at 159.258 45.72) (layer F.SilkS) (tstamp 61AFED81) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) + ) + (gr_text "Garrett’s Workshop" (at 161.163 42.926) (layer F.SilkS) (tstamp 61AFED7E) + (effects (font (size 1.524 1.524) (thickness 0.225))) + ) + (gr_line (start 111.0615 122.3646) (end 111.0615 122.3645) (layer F.SilkS) (width 0.35) (tstamp 6164BCAB)) + (gr_line (start 111.6965 124.14245) (end 111.6965 124.14235) (layer F.SilkS) (width 0.35) (tstamp 61643CF3)) + (gr_line (start 111.0615 124.7776) (end 111.0615 124.7775) (layer F.SilkS) (width 0.35) (tstamp 61643CF4)) + (gr_line (start 111.69655 122.3645) (end 111.69665 122.3645) (layer F.SilkS) (width 0.35) (tstamp 61643C2E)) + (gr_line (start 112.268 121.158) (end 110.49 121.158) (layer F.SilkS) (width 0.2032) (tstamp 61643C37)) + (gr_line (start 110.49 122.936) (end 110.49 121.158) (layer F.SilkS) (width 0.2032) (tstamp 61643C34)) + (gr_line (start 112.268 122.936) (end 112.268 121.158) (layer F.SilkS) (width 0.2032) (tstamp 61649142)) + (gr_line (start 110.49 122.936) (end 112.268 122.936) (layer F.SilkS) (width 0.2032) (tstamp 61643C32)) + (gr_line (start 110.49 125.349) (end 110.49 123.571) (layer F.SilkS) (width 0.2032) (tstamp 61643C31)) + (gr_line (start 112.268 125.349) (end 112.268 123.571) (layer F.SilkS) (width 0.2032) (tstamp 61643C30)) + (gr_line (start 112.268 123.571) (end 110.49 123.571) (layer F.SilkS) (width 0.2032) (tstamp 61643C2D)) + (gr_line (start 110.49 125.349) (end 112.268 125.349) (layer F.SilkS) (width 0.2032) (tstamp 61643C2C)) + (gr_text "25 MHz" (at 112.776 124.46) (layer F.SilkS) (tstamp 6164998D) + (effects (font (size 0.9 0.9) (thickness 0.2032)) (justify left)) + ) + (gr_text "20 MHz" (at 112.776 122.047) (layer F.SilkS) (tstamp 61649990) + (effects (font (size 0.9 0.9) (thickness 0.2032)) (justify left)) + ) + (gr_text "Clock\nSelect" (at 107.315 116.84) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (gr_arc (start 105.283 42.418) (end 105.283 40.386) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 616CDEDF)) + (gr_arc (start 105.283 127.508) (end 103.251 127.508) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 616CDECF)) + (gr_arc (start 192.405 131.572) (end 194.437 131.572) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 614021C3)) + (gr_arc (start 210.312 42.418) (end 212.344 42.418) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 614021BC)) + (gr_arc (start 196.469 137.922) (end 194.437 137.922) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 614021B2)) + (gr_arc (start 210.312 137.922) (end 210.312 139.954) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 614021AC)) + (gr_line (start 210.312 40.386) (end 105.283 40.386) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 103.251 127.508) (end 103.251 42.418) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 192.405 129.54) (end 105.283 129.54) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 194.437 137.922) (end 194.437 131.572) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 210.312 139.954) (end 196.469 139.954) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 212.344 42.418) (end 212.344 137.922) (layer Edge.Cuts) (width 0.15)) + + (via (at 107.061 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA04)) + (via (at 112.141 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA07)) + (via (at 158.877 96.901) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 160.2625 96.901) (end 158.877 96.901) (width 0.6) (layer F.Cu) (net 1)) + (via (at 158.877 98.171) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 160.2625 98.171) (end 158.877 98.171) (width 0.6) (layer F.Cu) (net 1)) + (via (at 161.671 98.171) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 160.2625 98.171) (end 161.671 98.171) (width 0.6) (layer F.Cu) (net 1)) + (via (at 161.671 96.901) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 160.2625 96.901) (end 161.671 96.901) (width 0.6) (layer F.Cu) (net 1)) + (via (at 135.509 100.711) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 136.9175 100.711) (end 135.509 100.711) (width 0.6) (layer F.Cu) (net 1)) + (via (at 135.509 101.981) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 136.9175 101.981) (end 135.509 101.981) (width 0.6) (layer F.Cu) (net 1)) + (via (at 138.303 100.711) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 136.9175 100.711) (end 138.303 100.711) (width 0.6) (layer F.Cu) (net 1)) + (via (at 138.303 101.981) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 136.9175 101.981) (end 138.303 101.981) (width 0.6) (layer F.Cu) (net 1)) + (via (at 186.25 65.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (segment (start 185.15 65.25) (end 186.25 65.25) (width 0.45) (layer F.Cu) (net 1)) + (via (at 184.05 65.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (segment (start 185.15 65.25) (end 184.05 65.25) (width 0.45) (layer F.Cu) (net 1)) + (via (at 185.15 65.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (segment (start 185.15 65.25) (end 185.15 65.85) (width 0.45) (layer F.Cu) (net 1)) + (via (at 185.15 74.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DADD)) + (segment (start 185.15 74.35) (end 185.15 74.95) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DADE)) + (segment (start 185.15 74.35) (end 186.25 74.35) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DADF)) + (via (at 186.25 74.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DAE0)) + (segment (start 185.15 74.35) (end 184.05 74.35) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DAE1)) + (via (at 184.05 74.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DAE2)) + (via (at 184.05 83.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DAE9)) + (via (at 186.25 83.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DAEA)) + (segment (start 185.15 83.45) (end 184.05 83.45) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DAEB)) + (segment (start 185.15 83.45) (end 185.15 84.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DAEC)) + (via (at 185.15 84.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DAED)) + (segment (start 185.15 83.45) (end 186.25 83.45) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DAEE)) + (segment (start 185.15 92.55) (end 185.15 93.15) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DAF5)) + (via (at 186.25 92.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DAF6)) + (via (at 184.05 92.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DAF7)) + (via (at 185.15 93.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DAF8)) + (segment (start 185.15 92.55) (end 184.05 92.55) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DAF9)) + (segment (start 185.15 92.55) (end 186.25 92.55) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DAFA)) + (segment (start 185.15 101.65) (end 184.05 101.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB01)) + (via (at 184.05 101.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB02)) + (segment (start 185.15 101.65) (end 186.25 101.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB03)) + (via (at 186.25 101.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB04)) + (via (at 185.15 102.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB05)) + (segment (start 185.15 101.65) (end 185.15 102.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB06)) + (via (at 185.15 111.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB40)) + (segment (start 185.15 110.75) (end 186.25 110.75) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB34)) + (via (at 186.25 110.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB43)) + (via (at 184.05 110.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB3A)) + (segment (start 185.15 110.75) (end 184.05 110.75) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB3D)) + (segment (start 185.15 110.75) (end 185.15 111.35) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB37)) + (via (at 186.25 119.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB19)) + (via (at 185.15 120.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB1A)) + (segment (start 185.15 119.85) (end 184.05 119.85) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB1B)) + (via (at 184.05 119.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB1C)) + (segment (start 185.15 119.85) (end 185.15 120.45) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB1D)) + (segment (start 185.15 119.85) (end 186.25 119.85) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB1E)) + (via (at 175.55 74.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB49)) + (via (at 177.75 74.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB4A)) + (segment (start 176.65 74.35) (end 175.55 74.35) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB4B)) + (segment (start 176.65 74.35) (end 176.65 74.95) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB4C)) + (via (at 176.65 74.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB4D)) + (segment (start 176.65 74.35) (end 177.75 74.35) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB4E)) + (segment (start 176.65 83.45) (end 176.65 84.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB4F)) + (via (at 177.75 83.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB50)) + (via (at 175.55 83.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB51)) + (via (at 176.65 84.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB52)) + (segment (start 176.65 83.45) (end 175.55 83.45) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB53)) + (segment (start 176.65 83.45) (end 177.75 83.45) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB54)) + (via (at 177.75 110.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB65)) + (via (at 176.65 111.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB66)) + (segment (start 176.65 110.75) (end 175.55 110.75) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB67)) + (via (at 175.55 110.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB68)) + (segment (start 176.65 110.75) (end 176.65 111.35) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB69)) + (segment (start 176.65 110.75) (end 177.75 110.75) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB6A)) + (segment (start 176.65 119.85) (end 177.75 119.85) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB6B)) + (segment (start 176.65 119.85) (end 176.65 120.45) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB6C)) + (via (at 176.65 120.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB6D)) + (segment (start 176.65 119.85) (end 175.55 119.85) (width 0.45) (layer F.Cu) (net 1) (tstamp 6143DB6E)) + (via (at 177.75 119.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB6F)) + (via (at 175.55 119.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6143DB70)) + (via (at 188.7 57.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 189.55 57.95) (end 188.7 57.95) (width 0.6) (layer F.Cu) (net 1)) + (via (at 188.7 76.15) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 61443308)) + (segment (start 189.55 76.15) (end 188.7 76.15) (width 0.6) (layer F.Cu) (net 1) (tstamp 6144330B)) + (via (at 188.7 94.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 61443327)) + (segment (start 189.55 94.35) (end 188.7 94.35) (width 0.6) (layer F.Cu) (net 1) (tstamp 61443328)) + (via (at 180.2 112.55) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 61443346)) + (segment (start 181.05 112.55) (end 180.2 112.55) (width 0.6) (layer F.Cu) (net 1) (tstamp 61443348)) + (via (at 188.7 112.55) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 6144334A)) + (segment (start 189.55 112.55) (end 188.7 112.55) (width 0.6) (layer F.Cu) (net 1) (tstamp 6144334B)) + (via (at 188.7 103.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 61443352)) + (via (at 180.2 103.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 61443353)) + (segment (start 181.05 103.45) (end 180.2 103.45) (width 0.6) (layer F.Cu) (net 1) (tstamp 61443354)) + (segment (start 189.55 103.45) (end 188.7 103.45) (width 0.6) (layer F.Cu) (net 1) (tstamp 61443355)) + (via (at 181.2 111.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (segment (start 181.05 111.85) (end 181.2 111.7) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 181.05 112.55) (end 181.05 111.85) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 189.55 112.55) (end 189.55 111.85) (width 0.5) (layer F.Cu) (net 1) (tstamp 614435CA)) + (segment (start 189.55 111.85) (end 189.7 111.7) (width 0.5) (layer F.Cu) (net 1) (tstamp 614435CE)) + (via (at 189.7 111.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614435CF)) + (segment (start 181.05 103.45) (end 181.05 102.75) (width 0.5) (layer F.Cu) (net 1) (tstamp 614435D6)) + (segment (start 181.05 102.75) (end 181.2 102.6) (width 0.5) (layer F.Cu) (net 1) (tstamp 614435DA)) + (via (at 181.2 102.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614435DB)) + (via (at 189.7 102.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614435DC)) + (segment (start 189.55 102.75) (end 189.7 102.6) (width 0.5) (layer F.Cu) (net 1) (tstamp 614435DD)) + (segment (start 189.55 103.45) (end 189.55 102.75) (width 0.5) (layer F.Cu) (net 1) (tstamp 614435E0)) + (via (at 189.7 93.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614435F3)) + (segment (start 189.55 94.35) (end 189.55 93.65) (width 0.5) (layer F.Cu) (net 1) (tstamp 614435F5)) + (segment (start 189.55 93.65) (end 189.7 93.5) (width 0.5) (layer F.Cu) (net 1) (tstamp 614435F7)) + (segment (start 189.55 84.55) (end 189.7 84.4) (width 0.5) (layer F.Cu) (net 1) (tstamp 61443601)) + (segment (start 189.55 85.25) (end 189.55 84.55) (width 0.5) (layer F.Cu) (net 1) (tstamp 61443602)) + (via (at 189.7 84.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61443604)) + (segment (start 181.05 66.35) (end 181.2 66.2) (width 0.5) (layer F.Cu) (net 1) (tstamp 6144360E)) + (via (at 189.7 66.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6144360F)) + (segment (start 189.55 67.05) (end 189.55 66.35) (width 0.5) (layer F.Cu) (net 1) (tstamp 61443611)) + (segment (start 181.05 67.05) (end 181.05 66.35) (width 0.5) (layer F.Cu) (net 1) (tstamp 61443615)) + (via (at 181.2 66.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61443616)) + (segment (start 189.55 66.35) (end 189.7 66.2) (width 0.5) (layer F.Cu) (net 1) (tstamp 6144361A)) + (via (at 189.7 75.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6144361F)) + (segment (start 189.55 75.45) (end 189.7 75.3) (width 0.5) (layer F.Cu) (net 1) (tstamp 61443620)) + (segment (start 189.55 76.15) (end 189.55 75.45) (width 0.5) (layer F.Cu) (net 1) (tstamp 61443623)) + (segment (start 189.55 57.95) (end 189.55 57.25) (width 0.5) (layer F.Cu) (net 1) (tstamp 61443640)) + (via (at 189.7 57.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61443641)) + (segment (start 189.55 57.25) (end 189.7 57.1) (width 0.5) (layer F.Cu) (net 1) (tstamp 61443643)) + (via (at 175.55 68.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (segment (start 176.65 68.5) (end 175.55 68.5) (width 0.45) (layer F.Cu) (net 1)) + (via (at 176.65 67.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (segment (start 176.65 68.5) (end 176.65 67.9) (width 0.45) (layer F.Cu) (net 1)) + (via (at 176.65 77) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (segment (start 176.65 77.6) (end 176.65 77) (width 0.45) (layer F.Cu) (net 1)) + (via (at 175.55 77.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (segment (start 176.65 77.6) (end 175.55 77.6) (width 0.45) (layer F.Cu) (net 1)) + (via (at 141.5415 77.47) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 142.7275 77.47) (end 141.5415 77.47) (width 0.6) (layer F.Cu) (net 1)) + (via (at 143.891 77.47) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 142.7275 77.47) (end 143.891 77.47) (width 0.6) (layer F.Cu) (net 1)) + (via (at 166.9415 77.47) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 168.1275 77.47) (end 166.9415 77.47) (width 0.6) (layer F.Cu) (net 1)) + (via (at 169.291 77.47) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 168.1275 77.47) (end 169.291 77.47) (width 0.6) (layer F.Cu) (net 1)) + (via (at 199.009 97.536) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 198.833 97.36) (end 199.009 97.536) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 197.866 97.36) (end 198.833 97.36) (width 0.6) (layer F.Cu) (net 1)) + (via (at 196.723 97.536) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 196.899 97.36) (end 196.723 97.536) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 197.866 97.36) (end 196.899 97.36) (width 0.6) (layer F.Cu) (net 1)) + (via (at 197.866 96.393) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 197.866 97.36) (end 197.866 96.393) (width 0.6) (layer F.Cu) (net 1)) + (via (at 166.751 104.775) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 166.575 104.951) (end 166.751 104.775) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 165.608 104.951) (end 166.575 104.951) (width 0.6) (layer F.Cu) (net 1)) + (via (at 164.465 104.775) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 164.641 104.951) (end 164.465 104.775) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 165.608 104.951) (end 164.641 104.951) (width 0.6) (layer F.Cu) (net 1)) + (via (at 165.608 105.918) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 165.608 104.951) (end 165.608 105.918) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 176.65 101.65) (end 176.65 102.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 6148BC6E)) + (segment (start 176.65 101.65) (end 175.55 101.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 6148BC6F)) + (segment (start 176.65 101.65) (end 177.75 101.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 6148BC70)) + (via (at 177.75 101.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6148BC71)) + (via (at 175.55 101.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6148BC72)) + (via (at 176.65 102.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6148BC73)) + (segment (start 181.05 94.35) (end 180.2 94.35) (width 0.6) (layer F.Cu) (net 1) (tstamp 6148BC7B)) + (via (at 180.2 94.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 6148BC7C)) + (segment (start 181.05 93.65) (end 181.2 93.5) (width 0.5) (layer F.Cu) (net 1) (tstamp 6148BC7D)) + (segment (start 181.05 94.35) (end 181.05 93.65) (width 0.5) (layer F.Cu) (net 1) (tstamp 6148BC81)) + (via (at 181.2 93.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6148BC82)) + (via (at 181.2 67.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (segment (start 181.05 67.75) (end 181.2 67.9) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 181.05 67.05) (end 181.05 67.75) (width 0.5) (layer F.Cu) (net 1)) + (via (at 181.2 77) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (segment (start 181.05 76.85) (end 181.2 77) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 181.05 76.15) (end 181.05 76.85) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 181.05 76.15) (end 181.05 75.5) (width 0.15) (layer F.Cu) (net 1)) + (via (at 181.6 75.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (segment (start 181.35 75.2) (end 181.6 75.2) (width 0.15) (layer F.Cu) (net 1)) + (segment (start 181.05 75.5) (end 181.35 75.2) (width 0.15) (layer F.Cu) (net 1)) + (segment (start 181.05 76.15) (end 181.187139 76.012861) (width 0.15) (layer F.Cu) (net 1)) + (segment (start 181.187139 76.012861) (end 181.187139 75.512861) (width 0.15) (layer F.Cu) (net 1)) + (segment (start 181.5 75.2) (end 181.6 75.2) (width 0.15) (layer F.Cu) (net 1)) + (segment (start 181.187139 75.512861) (end 181.5 75.2) (width 0.15) (layer F.Cu) (net 1)) + (segment (start 180.962139 75.562139) (end 181.05 75.474278) (width 0.15) (layer F.Cu) (net 1)) + (segment (start 181.05 75.474278) (end 181.05 76.15) (width 0.15) (layer F.Cu) (net 1)) + (segment (start 180.962139 76.062139) (end 180.962139 75.562139) (width 0.15) (layer F.Cu) (net 1)) + (segment (start 181.05 76.15) (end 180.962139 76.062139) (width 0.15) (layer F.Cu) (net 1)) + (via (at 127.635 59.3725) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 127.635 61.341) (end 127.635 59.3725) (width 0.6) (layer F.Cu) (net 1)) + (via (at 127.635 63.3095) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 127.635 61.341) (end 127.635 63.3095) (width 0.6) (layer F.Cu) (net 1)) + (via (at 126.873 61.341) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 127.635 61.341) (end 126.873 61.341) (width 0.6) (layer F.Cu) (net 1)) + (via (at 144.907 61.341) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 614B1A74)) + (segment (start 144.145 61.341) (end 144.145 63.3095) (width 0.6) (layer F.Cu) (net 1) (tstamp 614B1A79)) + (via (at 144.145 63.3095) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 614B1A7A)) + (via (at 144.145 59.3725) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 614B1A7B)) + (segment (start 144.145 61.341) (end 144.145 59.3725) (width 0.6) (layer F.Cu) (net 1) (tstamp 614B1A7C)) + (segment (start 144.145 61.341) (end 144.907 61.341) (width 0.6) (layer F.Cu) (net 1) (tstamp 614B1A7F)) + (segment (start 153.035 61.341) (end 152.273 61.341) (width 0.6) (layer F.Cu) (net 1) (tstamp 614B1FDF)) + (via (at 152.273 61.341) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 614B1FE0)) + (via (at 153.035 63.3095) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 614B1FE1)) + (segment (start 153.035 61.341) (end 153.035 63.3095) (width 0.6) (layer F.Cu) (net 1) (tstamp 614B1FE2)) + (segment (start 153.035 61.341) (end 153.035 59.3725) (width 0.6) (layer F.Cu) (net 1) (tstamp 614B1FE7)) + (via (at 153.035 59.3725) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 614B1FE8)) + (segment (start 169.545 61.341) (end 170.307 61.341) (width 0.6) (layer F.Cu) (net 1) (tstamp 614B1FE9)) + (segment (start 169.545 61.341) (end 169.545 59.3725) (width 0.6) (layer F.Cu) (net 1) (tstamp 614B1FEB)) + (via (at 169.545 63.3095) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 614B1FED)) + (via (at 170.307 61.341) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 614B1FEF)) + (segment (start 169.545 61.341) (end 169.545 63.3095) (width 0.6) (layer F.Cu) (net 1) (tstamp 614B1FF2)) + (via (at 169.545 59.3725) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 614B1FF3)) + (via (at 147.828 56.515) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 147.652 56.691) (end 147.828 56.515) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 146.685 56.691) (end 147.652 56.691) (width 0.6) (layer F.Cu) (net 1)) + (via (at 149.352 56.515) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 149.528 56.691) (end 149.352 56.515) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 150.495 56.691) (end 149.528 56.691) (width 0.6) (layer F.Cu) (net 1)) + (via (at 150.495 57.658) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 150.495 56.691) (end 150.495 57.658) (width 0.6) (layer F.Cu) (net 1)) + (via (at 146.685 57.658) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 146.685 56.691) (end 146.685 57.658) (width 0.6) (layer F.Cu) (net 1)) + (via (at 151.638 56.515) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 151.462 56.691) (end 151.638 56.515) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 150.495 56.691) (end 151.462 56.691) (width 0.6) (layer F.Cu) (net 1)) + (via (at 145.542 56.515) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 145.718 56.691) (end 145.542 56.515) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 146.685 56.691) (end 145.718 56.691) (width 0.6) (layer F.Cu) (net 1)) + (via (at 125.25 117.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C99)) + (segment (start 125.25 116.7625) (end 125.25 117.9) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C93)) + (segment (start 125.5 118.75) (end 125.5 118.05) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5C90)) + (segment (start 125.35 117.9) (end 125.25 117.9) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5C8D)) + (segment (start 125.5 118.05) (end 125.35 117.9) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5C96)) + (via (at 117.25 117.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C87)) + (segment (start 117.25 116.7625) (end 117.25 117.9) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C84)) + (segment (start 117.35 117.9) (end 117.25 117.9) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5C81)) + (segment (start 117.5 118.05) (end 117.35 117.9) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5C8A)) + (segment (start 117.5 118.75) (end 117.5 118.05) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5C7B)) + (via (at 117.25 115.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C78)) + (segment (start 117.25 116.7625) (end 117.25 115.65) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C75)) + (via (at 110.45 115.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C6C)) + (segment (start 111.5875 115.1) (end 110.45 115.1) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C72)) + (via (at 111.6 115.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C66)) + (segment (start 111.5875 115.6375) (end 111.6 115.65) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C63)) + (segment (start 111.5875 115.1) (end 111.5875 115.6375) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C69)) + (via (at 112.7 112.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C5D)) + (segment (start 111.5875 112.1) (end 112.7 112.1) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C5A)) + (via (at 110.45 112.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C60)) + (segment (start 111.5875 112.1) (end 110.45 112.1) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C54)) + (via (at 112.7 108.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C51)) + (segment (start 111.5875 108.6) (end 112.7 108.6) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C57)) + (via (at 110.45 108.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C4B)) + (segment (start 111.5875 108.6) (end 110.45 108.6) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C48)) + (segment (start 109.6 108.1) (end 110.3 108.1) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5C4E)) + (segment (start 110.45 108.25) (end 110.45 108.6) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5C42)) + (segment (start 110.3 108.1) (end 110.45 108.25) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5C3F)) + (via (at 125.25 115.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C3C)) + (segment (start 125.25 116.7625) (end 125.25 115.65) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C30)) + (via (at 125.8 105.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C2D)) + (segment (start 126.9125 105.1) (end 125.8 105.1) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C33)) + (via (at 128.05 105.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C27)) + (segment (start 126.9125 105.1) (end 128.05 105.1) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C24)) + (via (at 122.75 102.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C2A)) + (segment (start 122.75 101.4375) (end 122.75 102.55) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C1E)) + (segment (start 122.75 100.3) (end 122.75 101.4375) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C1B)) + (via (at 122.75 100.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C21)) + (via (at 116.25 102.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C15)) + (segment (start 116.25 101.4375) (end 116.25 102.55) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C12)) + (via (at 116.25 100.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C18)) + (segment (start 116.25 101.4375) (end 116.25 100.3) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C0C)) + (via (at 128.9 115.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C09)) + (segment (start 128.9 114.6) (end 128.9 115.4) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5C0F)) + (via (at 125.35 119.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C00)) + (segment (start 125.5 119.45) (end 125.35 119.6) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5BEE)) + (segment (start 125.5 118.75) (end 125.5 119.45) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5BF1)) + (via (at 116.7 118.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5B55)) + (segment (start 117.5 118.75) (end 116.7 118.75) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B52)) + (segment (start 117.5 119.45) (end 117.65 119.6) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B4F)) + (segment (start 117.5 118.75) (end 117.5 119.45) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B4C)) + (via (at 117.65 119.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5B49)) + (segment (start 128.9 114.6) (end 128.2 114.6) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B3D)) + (segment (start 128.05 114.45) (end 128.05 114.35) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B3A)) + (via (at 128.05 114.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5B37)) + (segment (start 128.2 114.6) (end 128.05 114.45) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B34)) + (via (at 126.6 98.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5B31)) + (segment (start 126.75 98.75) (end 126.6 98.6) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B2E)) + (segment (start 126.75 99.45) (end 126.75 98.75) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B2B)) + (via (at 126.6 100.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5B28)) + (segment (start 126.75 100.15) (end 126.6 100.3) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B25)) + (segment (start 126.75 99.45) (end 126.75 100.15) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B22)) + (via (at 127.55 99.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5B1F)) + (segment (start 126.75 99.45) (end 127.55 99.45) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B1C)) + (segment (start 117.75 99.45) (end 117.75 100.15) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B19)) + (segment (start 117.9 100.3) (end 118 100.3) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B16)) + (via (at 118 100.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5B13)) + (segment (start 117.75 100.15) (end 117.9 100.3) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B10)) + (via (at 117.9 98.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5B0D)) + (segment (start 117.75 98.75) (end 117.9 98.6) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B0A)) + (segment (start 117.75 99.45) (end 117.75 98.75) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5B07)) + (via (at 110.45 101.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5AFE)) + (segment (start 110.3 101.6) (end 110.45 101.75) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5AFB)) + (segment (start 109.6 101.6) (end 110.3 101.6) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5AF8)) + (via (at 109.6 100.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5AF5)) + (segment (start 109.6 101.6) (end 109.6 100.8) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5AF2)) + (via (at 108.75 101.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5AEF)) + (segment (start 108.9 101.6) (end 108.75 101.75) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5AEC)) + (segment (start 109.6 101.6) (end 108.9 101.6) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5AE9)) + (via (at 109.45 108.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5AE6)) + (segment (start 109.6 108.75) (end 109.45 108.9) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5AE3)) + (segment (start 109.6 108.1) (end 109.6 108.75) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5AE0)) + (via (at 108.75 107.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5ADD)) + (segment (start 108.9 108.1) (end 108.75 107.95) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5ADA)) + (segment (start 109.6 108.1) (end 108.9 108.1) (width 0.5) (layer F.Cu) (net 1) (tstamp 614A5AD7)) + (via (at 198.882 94.0435) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6157529A)) + (via (at 154.94 98.933) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A116C)) + (via (at 156.21 98.933) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1170)) + (via (at 151.13 98.933) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A117A)) + (via (at 149.86 98.933) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A117B)) + (via (at 148.59 98.933) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A117F)) + (via (at 144.78 98.933) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1182)) + (via (at 143.51 98.933) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1187)) + (via (at 154.94 93.98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1192)) + (via (at 144.78 93.98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1193)) + (via (at 157.48 93.98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1195)) + (via (at 148.59 93.98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1196)) + (via (at 146.05 93.98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1197)) + (via (at 149.86 93.98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1198)) + (via (at 151.13 93.98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1199)) + (via (at 143.51 93.98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A119A)) + (via (at 153.67 93.98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A119B)) + (via (at 142.24 93.98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A119C)) + (via (at 152.4 93.98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A119D)) + (segment (start 130.605 99.871) (end 130.429 99.695) (width 0.6) (layer F.Cu) (net 1)) + (via (at 130.429 99.695) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 131.572 99.871) (end 130.605 99.871) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 132.539 99.871) (end 132.715 99.695) (width 0.6) (layer F.Cu) (net 1)) + (via (at 132.715 99.695) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 131.572 99.871) (end 132.539 99.871) (width 0.6) (layer F.Cu) (net 1)) + (via (at 131.572 100.838) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 131.572 99.871) (end 131.572 100.838) (width 0.6) (layer F.Cu) (net 1)) + (via (at 143.764 113.284) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614789C3)) + (via (at 147.955 116.332) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6147950A)) + (via (at 146.685 116.332) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6147950C)) + (via (at 149.225 116.332) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6147950E)) + (via (at 150.495 116.332) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61479510)) + (via (at 151.765 116.332) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61479515)) + (via (at 153.035 116.332) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61479517)) + (via (at 154.305 116.332) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61479519)) + (via (at 155.575 116.332) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6147951B)) + (via (at 156.845 116.332) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6147958B)) + (via (at 158.115 116.332) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61480223)) + (segment (start 111.5875 115.1) (end 112.7 115.1) (width 0.3) (layer F.Cu) (net 1) (tstamp 614A5C6F)) + (via (at 112.7 115.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614A5C7E)) + (via (at 129.05 104.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614B7066)) + (via (at 128.15 103.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614B706A)) + (via (at 148.209 76.454) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 148.385 76.63) (end 148.209 76.454) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 149.352 76.63) (end 148.385 76.63) (width 0.6) (layer F.Cu) (net 1)) + (via (at 150.495 76.454) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 150.319 76.63) (end 150.495 76.454) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 149.352 76.63) (end 150.319 76.63) (width 0.6) (layer F.Cu) (net 1)) + (via (at 123.952 77.597) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 123.952 76.63) (end 123.952 77.597) (width 0.6) (layer F.Cu) (net 1)) + (via (at 132.207 64.389) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616229F9)) + (via (at 146.685 70.6755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6162C59D)) + (segment (start 129.65 114.6) (end 128.9 114.6) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 129.8 114.45) (end 129.65 114.6) (width 0.5) (layer F.Cu) (net 1)) + (via (at 129.8 114.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (via (at 126.15 116.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B4E8AD)) + (via (at 133.8 49.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616CC70F)) + (via (at 199.0725 52.2605) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 198.833 52.5) (end 199.0725 52.2605) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 197.866 52.5) (end 198.833 52.5) (width 0.8) (layer F.Cu) (net 1)) + (via (at 196.6595 52.2605) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 196.899 52.5) (end 196.6595 52.2605) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 197.866 52.5) (end 196.899 52.5) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 194.486 52.5) (end 194.2465 52.2605) (width 0.8) (layer F.Cu) (net 1) (tstamp 616D9D7A)) + (segment (start 195.453 52.5) (end 194.486 52.5) (width 0.8) (layer F.Cu) (net 1) (tstamp 616D9D7B)) + (via (at 194.2465 52.2605) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 616D9D7C)) + (segment (start 196.42 52.5) (end 196.6595 52.2605) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 195.453 52.5) (end 196.42 52.5) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 194.007 52.5) (end 194.2465 52.2605) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 193.04 52.5) (end 194.007 52.5) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 192.073 52.5) (end 191.8335 52.2605) (width 0.8) (layer F.Cu) (net 1) (tstamp 616DC443)) + (via (at 191.8335 52.2605) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 616DC444)) + (segment (start 193.04 52.5) (end 192.073 52.5) (width 0.8) (layer F.Cu) (net 1) (tstamp 616DC445)) + (via (at 193.04 53.5305) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 193.04 52.5) (end 193.04 53.5305) (width 0.8) (layer F.Cu) (net 1)) + (via (at 195.453 53.5305) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 195.453 52.5) (end 195.453 53.5305) (width 0.8) (layer F.Cu) (net 1)) + (via (at 197.866 53.5305) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 197.866 52.5) (end 197.866 53.5305) (width 0.8) (layer F.Cu) (net 1)) + (via (at 123.8885 56.4515) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 124.128 56.691) (end 123.8885 56.4515) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 125.095 56.691) (end 124.128 56.691) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 117.75 99.45) (end 117.115698 99.45) (width 0.35) (layer F.Cu) (net 1)) + (via (at 116.85 99.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (segment (start 116.85 99.184302) (end 116.85 99.05) (width 0.35) (layer F.Cu) (net 1)) + (segment (start 117.115698 99.45) (end 116.85 99.184302) (width 0.35) (layer F.Cu) (net 1)) + (via (at 121.25 98.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61641132)) + (via (at 120.74 97.48) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616419F5)) + (via (at 108.45 109.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61647117)) + (via (at 107.35 109.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616479D2)) + (via (at 106.25 109.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61648283)) + (via (at 107.25 104.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616486EC)) + (via (at 109.9 105.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616486F8)) + (via (at 113 92.65) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 6172E8C3)) + (segment (start 111.8 92.65) (end 113 92.65) (width 0.8) (layer F.Cu) (net 1) (tstamp 6172E8B4)) + (via (at 116.9 92.65) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 6172E8BD)) + (segment (start 118.1 92.65) (end 116.9 92.65) (width 0.8) (layer F.Cu) (net 1) (tstamp 6172E8B7)) + (via (at 111.8 93.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 6172E8C0)) + (segment (start 111.8 92.65) (end 111.8 93.7) (width 0.8) (layer F.Cu) (net 1) (tstamp 6172E8A5)) + (via (at 118.1 93.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 6172E89F)) + (segment (start 118.1 92.65) (end 118.1 93.7) (width 0.8) (layer F.Cu) (net 1) (tstamp 6172E8A2)) + (via (at 117.25 86.35) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 6172E8B1)) + (segment (start 117.25 84.45) (end 117.25 86.35) (width 1.27) (layer F.Cu) (net 1) (tstamp 6172E8AE)) + (via (at 117.25 82.55) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 6172E8AB)) + (segment (start 117.25 84.45) (end 117.25 82.55) (width 1.27) (layer F.Cu) (net 1) (tstamp 6172E8A8)) + (via (at 115.4 79.65) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1) (tstamp 6172E8BA)) + (segment (start 114.25 79.65) (end 115.4 79.65) (width 1) (layer F.Cu) (net 1) (tstamp 6172E899)) + (via (at 114.15 80.95) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1) (tstamp 6172E89C)) + (segment (start 114.25 80.85) (end 114.15 80.95) (width 1) (layer F.Cu) (net 1) (tstamp 6172E8C6)) + (segment (start 114.25 79.65) (end 114.25 80.85) (width 1) (layer F.Cu) (net 1) (tstamp 6172E8D2)) + (segment (start 114.25 78.45) (end 114.15 78.35) (width 1) (layer F.Cu) (net 1) (tstamp 6172E8CF)) + (segment (start 114.25 79.65) (end 114.25 78.45) (width 1) (layer F.Cu) (net 1) (tstamp 6172E8CC)) + (via (at 114.15 78.35) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1) (tstamp 6172E8C9)) + (via (at 120.45 96.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6163987D)) + (via (at 153.67 98.933) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1176)) + (via (at 173.228 56.515) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 173.052 56.691) (end 173.228 56.515) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 172.085 56.691) (end 173.052 56.691) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 171.118 56.691) (end 170.942 56.515) (width 0.6) (layer F.Cu) (net 1)) + (via (at 170.942 56.515) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 172.085 56.691) (end 171.118 56.691) (width 0.6) (layer F.Cu) (net 1)) + (via (at 172.085 57.658) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 172.085 56.691) (end 172.085 57.658) (width 0.6) (layer F.Cu) (net 1)) + (via (at 145.415 81.407) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616D8D00)) + (via (at 142.5575 81.5975) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616D8D10)) + (via (at 146.685 81.407) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616D9F03)) + (via (at 147.955 81.407) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616D9F05)) + (via (at 150.495 81.407) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616D9F07)) + (via (at 151.765 82.1055) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616D9F09)) + (via (at 152.3365 80.7085) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616D9F0B)) + (via (at 152.019 74.2188) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616D9F13)) + (via (at 149.225 81.407) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616D9F2E)) + (via (at 147.32 93.98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1194)) + (via (at 146.05 98.933) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1183)) + (via (at 142.24 98.933) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1186)) + (via (at 157.48 98.933) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A118B)) + (via (at 142.113 111.76) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 614D9FB4)) + (via (at 140.462 120.015) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616DD7F9)) + (via (at 138.938 120.015) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616DDD05)) + (via (at 137.414 120.015) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616DE1FF)) + (via (at 140.7795 75.438) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616EA37B)) + (via (at 143.891 75.565) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616ED9DA)) + (via (at 139.7 87.757) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616F0251)) + (via (at 140.97 88.138) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616F0259)) + (via (at 142.24 89.154) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 616F04F1)) + (via (at 126.25 90.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 61714CC4)) + (segment (start 126.1 90.15) (end 126.25 90.3) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 126.1 89.4) (end 126.1 90.15) (width 0.6) (layer F.Cu) (net 1) (tstamp 61714CC1)) + (via (at 130.35 90.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 61714CCA)) + (segment (start 130.2 90.15) (end 130.35 90.3) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 130.2 89.4) (end 130.2 90.15) (width 0.6) (layer F.Cu) (net 1) (tstamp 61714CC7)) + (via (at 130.4 88.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 130.2 88.7) (end 130.4 88.5) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 130.2 89.4) (end 130.2 88.7) (width 0.6) (layer F.Cu) (net 1)) + (via (at 126.3 88.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 126.1 88.7) (end 126.3 88.5) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 126.1 89.4) (end 126.1 88.7) (width 0.6) (layer F.Cu) (net 1)) + (via (at 125.25 89.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 126.1 89.4) (end 125.25 89.4) (width 0.6) (layer F.Cu) (net 1)) + (via (at 129 93.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 130 93.7) (end 129 93.7) (width 0.6) (layer F.Cu) (net 1)) + (via (at 130 94.8) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 130 93.7) (end 130 94.8) (width 0.6) (layer F.Cu) (net 1)) + (via (at 145.415 116.332) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61737B02)) + (via (at 165.862 72.517) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6173FEBC)) + (via (at 164.592 72.644) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61741487)) + (via (at 162.179 72.7075) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61741FA0)) + (via (at 163.449 72.898) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61742A96)) + (via (at 165.7985 76.327) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61742F9A)) + (via (at 170.815 82.1055) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61744F36)) + (via (at 171.577 82.7405) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6174542E)) + (via (at 172.339 83.3755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61745E1D)) + (via (at 158.9405 89.408) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6174630F)) + (via (at 173.101 84.0105) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61746807)) + (via (at 162.2425 92.0115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6174720F)) + (via (at 139.7 66.4845) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6174721D)) + (via (at 139.7 69.4055) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6174722C)) + (via (at 138.43 69.4055) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61747234)) + (via (at 137.16 69.4055) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61747236)) + (via (at 135.89 69.4055) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61747238)) + (via (at 138.43 67.1195) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61747241)) + (via (at 137.16 67.7545) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61747243)) + (via (at 135.89 68.3895) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61747245)) + (via (at 134.62 69.4055) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 617479D6)) + (via (at 132.08 69.4055) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 617483BB)) + (via (at 131.953 68.3895) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 617488AD)) + (via (at 165.1 67.5005) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61748DAD)) + (via (at 165.1 69.4055) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61748DB6)) + (via (at 163.83 69.4055) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61748DB8)) + (via (at 162.56 69.4055) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61748DBA)) + (via (at 161.417 69.4055) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61748DBC)) + (via (at 163.83 68.2625) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61748DCC)) + (via (at 139.065 75.311) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61748E2F)) + (via (at 145.669 74.2315) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61749ACE)) + (via (at 145.0975 77.216) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61749AD6)) + (via (at 145.415 88.2015) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6174C2D1)) + (via (at 146.685 86.9315) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6174C2DD)) + (via (at 147.955 85.6615) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6174C2EC)) + (via (at 149.225 84.3915) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6174C2FB)) + (via (at 150.495 83.693) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6174C30C)) + (via (at 151.765 83.693) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6174C31A)) + (via (at 144.145 89.154) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6174C333)) + (via (at 147.32 98.933) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A117E)) + (via (at 156.21 93.98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1191)) + (via (at 152.4 98.933) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 615A1177)) + (segment (start 198.82 120.22) (end 199.1 120.5) (width 0.8) (layer F.Cu) (net 1)) + (via (at 199.1 120.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 197.866 120.22) (end 198.82 120.22) (width 0.8) (layer F.Cu) (net 1)) + (via (at 124.9 93.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 125.9 93.7) (end 124.9 93.7) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 125.9 93.7) (end 125.9 94.8) (width 0.6) (layer F.Cu) (net 1)) + (via (at 125.9 94.8) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (via (at 126.35 118.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (segment (start 126.25 118.75) (end 126.35 118.65) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 125.5 118.75) (end 126.25 118.75) (width 0.5) (layer F.Cu) (net 1)) + (via (at 124.4 99.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 617C4518)) + (via (at 122 118.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 617CC8F2)) + (via (at 120.5 118.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 617CCDEA)) + (via (at 119.3 119.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (via (at 120 119) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 617D047E)) + (via (at 112.35 117.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 617D7666)) + (via (at 112.8 119.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 617D7668)) + (via (at 114.15 119.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 617D9DFA)) + (via (at 114.45 120.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 617E05EC)) + (via (at 116.078 120.3325) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 617E4B43)) + (via (at 117.475 123.19) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 617E5041)) + (via (at 115.951 123.19) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 617E5546)) + (via (at 115.05 70.3) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1)) + (segment (start 116.372614 68.977386) (end 115.05 70.3) (width 1.27) (layer F.Cu) (net 1)) + (via (at 117.7 67.65) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1)) + (segment (start 116.372614 68.977386) (end 117.7 67.65) (width 1.27) (layer F.Cu) (net 1)) + (via (at 119.5 65.85) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1)) + (segment (start 120.827386 64.522614) (end 119.5 65.85) (width 1.524) (layer F.Cu) (net 1)) + (via (at 122.15 63.2) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1)) + (segment (start 120.827386 64.522614) (end 122.15 63.2) (width 1.27) (layer F.Cu) (net 1)) + (via (at 122.85 66.55) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1)) + (segment (start 117.7 67.65) (end 119.5 65.85) (width 1.524) (layer F.Cu) (net 1)) + (via (at 123.7 64.65) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 617F53A2)) + (via (at 118.8 62.5) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1)) + (via (at 120.7 61.65) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 617F589E)) + (via (at 114.935 49.784) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 114.935 49.765949) (end 114.935 49.784) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 114.377038 49.207987) (end 114.935 49.765949) (width 0.6) (layer F.Cu) (net 1)) + (via (at 113.157 51.562) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 112.599038 51.004038) (end 113.157 51.562) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 112.580987 51.004038) (end 112.599038 51.004038) (width 0.6) (layer F.Cu) (net 1)) + (via (at 112.014 48.641) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 112.580987 49.207987) (end 112.014 48.641) (width 0.6) (layer F.Cu) (net 1)) + (via (at 110.236 50.419) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 110.236 50.455102) (end 110.236 50.419) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 110.784936 51.004038) (end 110.236 50.455102) (width 0.6) (layer F.Cu) (net 1)) + (via (at 111.379 53.34) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 110.83909 52.80009) (end 111.379 53.34) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 110.784936 52.80009) (end 110.83909 52.80009) (width 0.6) (layer F.Cu) (net 1)) + (via (at 117.1575 45.5295) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) + (segment (start 116.17309 46.51391) (end 117.1575 45.5295) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 116.17309 47.411936) (end 116.17309 46.51391) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 114.377038 47.411936) (end 114.354474 47.4345) (width 0.45) (layer F.Cu) (net 1)) + (segment (start 114.354474 47.4345) (end 113.284 47.4345) (width 0.45) (layer F.Cu) (net 1)) + (via (at 117.221 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA0B)) + (via (at 122.301 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA0C)) + (via (at 132.461 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA0F)) + (via (at 127.381 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA10)) + (via (at 142.621 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA13)) + (via (at 137.541 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA14)) + (via (at 147.701 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA17)) + (via (at 157.861 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA1B)) + (via (at 162.941 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA1C)) + (via (at 152.781 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA1D)) + (via (at 168.021 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA1E)) + (via (at 183.261 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA23)) + (via (at 188.341 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA24)) + (via (at 178.181 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA25)) + (via (at 173.101 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA26)) + (via (at 198.501 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA2B)) + (via (at 203.581 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA2C)) + (via (at 193.421 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDA2D)) + (via (at 211.5185 49.53) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF31)) + (via (at 211.5185 43.815) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF32)) + (via (at 211.5185 59.69) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF37)) + (via (at 211.5185 54.61) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF38)) + (via (at 211.5185 69.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF43)) + (via (at 211.5185 64.77) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF44)) + (via (at 211.5185 80.01) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF47)) + (via (at 211.5185 74.93) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF48)) + (via (at 211.5185 85.09) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF4B)) + (via (at 211.5185 90.17) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF4C)) + (via (at 211.5185 100.33) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF4F)) + (via (at 211.5185 95.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF50)) + (via (at 211.5185 105.41) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF53)) + (via (at 211.5185 110.49) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF54)) + (via (at 211.5185 120.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF57)) + (via (at 211.5185 115.57) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF58)) + (via (at 211.5185 125.73) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF73)) + (via (at 211.5185 130.81) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFDF74)) + (via (at 211.5185 136.525) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFE1F9)) + (via (at 208.534 139.1285) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFE9A9)) + (via (at 203.3905 139.1285) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFE9AB)) + (via (at 198.247 139.1285) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFE9AD)) + (via (at 208.661 41.2115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61AFE9C5)) + (via (at 195.2625 136.525) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B0ADD0)) + (via (at 195.2625 131.445) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B0ADD4)) + (via (at 193.421 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B0ADDB)) + (via (at 198.6915 85.1535) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B15B83)) + (via (at 199.009 86.868) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B1635B)) + (via (at 198.374 82.9945) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B16412)) + (via (at 198.0565 80.772) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B1641A)) + (via (at 197.85 78.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B16422)) + (via (at 194.15 74.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B1E09B)) + (via (at 194.85 70.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B1E0A3)) + (via (at 196.8 68.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B1E0A5)) + (via (at 198.6 65.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B1E0A7)) + (via (at 198.85 63.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B1E0A9)) + (via (at 198.55 62.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B1E0AB)) + (via (at 196.596 102.2985) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B34801)) + (via (at 196.9135 104.3305) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B34809)) + (via (at 197.4 75.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B3481E)) + (via (at 197.104 74.168) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B34833)) + (via (at 196.7865 71.882) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B34D51)) + (via (at 125.095 76.6445) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 125.0805 76.63) (end 125.095 76.6445) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 123.952 76.63) (end 125.0805 76.63) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 122.8235 76.63) (end 122.809 76.6445) (width 0.6) (layer F.Cu) (net 1)) + (via (at 122.809 76.6445) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 123.952 76.63) (end 122.8235 76.63) (width 0.6) (layer F.Cu) (net 1)) + (segment (start 149.352 76.63) (end 149.352 77.343) (width 0.6) (layer F.Cu) (net 1)) + (via (at 104.0765 69.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F07)) + (via (at 104.0765 64.77) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F08)) + (via (at 104.0765 90.17) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F09)) + (via (at 104.0765 74.93) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F0A)) + (via (at 104.0765 80.01) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F0B)) + (via (at 104.0765 110.49) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F0C)) + (via (at 104.0765 115.57) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F0D)) + (via (at 104.0765 120.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F0E)) + (via (at 104.0765 85.09) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F0F)) + (via (at 104.0765 105.41) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F10)) + (via (at 104.0765 125.73) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F13)) + (via (at 104.0765 95.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F14)) + (via (at 104.0765 59.69) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F15)) + (via (at 104.0765 49.53) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F16)) + (via (at 104.0765 54.61) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F17)) + (via (at 104.0765 100.33) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F18)) + (via (at 104.0765 43.815) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B38F19)) + (via (at 107.061 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B39449)) + (via (at 112.141 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B39459)) + (via (at 117.221 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B3945D)) + (via (at 122.301 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B3945E)) + (via (at 127.381 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B39461)) + (via (at 132.461 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B39462)) + (via (at 137.541 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B39465)) + (via (at 142.621 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B39466)) + (via (at 147.701 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B39469)) + (via (at 152.781 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B3946A)) + (via (at 157.861 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B39471)) + (via (at 162.941 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B39472)) + (via (at 168.021 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B39473)) + (via (at 173.101 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B39474)) + (via (at 178.181 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B39479)) + (via (at 183.261 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B3947A)) + (via (at 188.341 128.7145) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B3947B)) + (via (at 111.6965 71.8185) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 111.936 72.058) (end 111.6965 71.8185) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 112.903 72.058) (end 111.936 72.058) (width 0.8) (layer F.Cu) (net 1)) + (via (at 114.1095 71.8185) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 113.87 72.058) (end 114.1095 71.8185) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 112.903 72.058) (end 113.87 72.058) (width 0.8) (layer F.Cu) (net 1)) + (via (at 115.189 72.7075) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 61B3C0FE)) + (segment (start 114.9495 72.947) (end 115.189 72.7075) (width 0.8) (layer F.Cu) (net 1) (tstamp 61B3C0FB)) + (segment (start 114.9495 73.914) (end 114.9495 72.947) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 114.9495 74.881) (end 115.189 75.1205) (width 0.8) (layer F.Cu) (net 1)) + (via (at 115.189 75.1205) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 114.9495 73.914) (end 114.9495 74.881) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 114.9495 75.36) (end 115.189 75.1205) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 114.9495 76.327) (end 114.9495 75.36) (width 0.8) (layer F.Cu) (net 1)) + (via (at 113.919 76.327) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 114.9495 76.327) (end 113.919 76.327) (width 0.8) (layer F.Cu) (net 1)) + (via (at 113.919 73.914) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 114.9495 73.914) (end 113.919 73.914) (width 0.8) (layer F.Cu) (net 1)) + (segment (start 126.0375 116.7625) (end 125.25 116.7625) (width 0.3) (layer F.Cu) (net 1)) + (segment (start 126.15 116.65) (end 126.0375 116.7625) (width 0.3) (layer F.Cu) (net 1)) + (via (at 165.354 108.585) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B5F609)) + (via (at 163.1315 105.283) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B5F60B)) + (via (at 163.8935 106.553) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B5F619)) + (via (at 164.211 108.331) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B6053A)) + (via (at 161.671 108.966) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B60A3F)) + (via (at 166.5605 110.998) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B60A60)) + (via (at 163.068 101.2825) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B60A68)) + (via (at 161.671 111.506) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B60A6A)) + (via (at 161.671 110.236) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B60A7E)) + (via (at 161.7345 112.649) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B60A83)) + (via (at 161.671 107.696) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B60A92)) + (via (at 161.671 106.426) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B60A96)) + (via (at 161.671 105.156) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B60A98)) + (via (at 161.671 101.346) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B60A9A)) + (via (at 161.671 100.076) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B60AA2)) + (via (at 161.671 94.996) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B60AA4)) + (via (at 161.671 93.726) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B60AAC)) + (via (at 168.656 81.3435) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B60FC9)) + (via (at 167.64 81.8515) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B60FDC)) + (via (at 158.9405 75.692) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 61B615D2)) + (segment (start 200.66 99.06) (end 203.2 99.06) (width 1.27) (layer F.Cu) (net 2)) + (via (at 191.05 104.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2)) + (segment (start 191.05 104.9) (end 191.05 104.3) (width 0.45) (layer F.Cu) (net 2)) + (via (at 192.15 104.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2)) + (segment (start 191.05 104.9) (end 192.15 104.9) (width 0.45) (layer F.Cu) (net 2)) + (via (at 189.95 104.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2)) + (segment (start 191.05 104.9) (end 189.95 104.9) (width 0.45) (layer F.Cu) (net 2)) + (segment (start 182.55 77.6) (end 183.65 77.6) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D183)) + (via (at 183.65 77.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D184)) + (via (at 192.15 59.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D693)) + (segment (start 191.05 59.4) (end 192.15 59.4) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D694)) + (segment (start 191.05 86.7) (end 192.15 86.7) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D697)) + (via (at 192.15 86.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D698)) + (via (at 189.95 95.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D69F)) + (segment (start 191.05 95.8) (end 189.95 95.8) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6A0)) + (segment (start 191.05 95.8) (end 191.05 95.2) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6A1)) + (via (at 191.05 95.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D6A2)) + (segment (start 191.05 86.7) (end 191.05 86.1) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6A7)) + (segment (start 191.05 86.7) (end 189.95 86.7) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6A8)) + (via (at 189.95 86.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D6A9)) + (via (at 191.05 86.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D6AA)) + (via (at 191.05 77) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D6AF)) + (segment (start 191.05 77.6) (end 191.05 77) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6B0)) + (via (at 189.95 77.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D6B1)) + (segment (start 191.05 77.6) (end 189.95 77.6) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6B2)) + (via (at 191.05 67.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D6B8)) + (segment (start 191.05 68.5) (end 191.05 67.9) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6BA)) + (segment (start 191.05 59.4) (end 189.95 59.4) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6BF)) + (segment (start 191.05 59.4) (end 191.05 58.8) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6C0)) + (via (at 191.05 58.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D6C1)) + (via (at 189.95 59.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D6C2)) + (via (at 189.95 114) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D6EB)) + (segment (start 191.05 114) (end 192.15 114) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6EC)) + (via (at 192.15 114) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D6ED)) + (segment (start 191.05 114) (end 189.95 114) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6EE)) + (segment (start 191.05 114) (end 191.05 113.4) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6EF)) + (via (at 191.05 113.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D6F0)) + (via (at 182.55 113.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D6F7)) + (via (at 183.65 114) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D6F8)) + (via (at 181.45 114) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D6F9)) + (segment (start 182.55 114) (end 183.65 114) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6FA)) + (segment (start 182.55 114) (end 181.45 114) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6FB)) + (segment (start 182.55 114) (end 182.55 113.4) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6FC)) + (via (at 181.45 104.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D727)) + (via (at 183.65 104.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D728)) + (segment (start 182.55 104.9) (end 182.55 104.3) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D729)) + (segment (start 182.55 104.9) (end 181.45 104.9) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D72A)) + (segment (start 182.55 104.9) (end 183.65 104.9) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D72B)) + (via (at 182.55 104.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D72C)) + (segment (start 182.55 68.5) (end 182.55 67.9) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D740)) + (via (at 182.55 77) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D742)) + (via (at 182.55 67.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D743)) + (segment (start 182.55 77.6) (end 182.55 77) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D746)) + (segment (start 191.05 103.45) (end 191.05 104.3) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 182.55 104.3) (end 182.55 103.45) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 182.55 112.55) (end 182.55 113.4) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 191.05 112.55) (end 191.05 113.4) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 191.05 95.2) (end 191.05 94.35) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 191.05 85.25) (end 191.05 86.1) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 191.05 76.15) (end 191.05 77) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 182.55 76.15) (end 182.55 77) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 182.55 67.05) (end 182.55 67.9) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 191.05 67.05) (end 191.05 67.9) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 191.05 58.8) (end 191.05 57.95) (width 0.6) (layer F.Cu) (net 2)) + (via (at 191.9 57.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 191.05 57.95) (end 191.9 57.95) (width 0.6) (layer F.Cu) (net 2)) + (via (at 191.9 67.05) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 614432D2)) + (segment (start 191.05 67.05) (end 191.9 67.05) (width 0.6) (layer F.Cu) (net 2) (tstamp 614432D3)) + (via (at 183.4 67.05) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 614432EB)) + (segment (start 182.55 67.05) (end 183.4 67.05) (width 0.6) (layer F.Cu) (net 2) (tstamp 614432EC)) + (segment (start 182.55 76.15) (end 183.4 76.15) (width 0.6) (layer F.Cu) (net 2) (tstamp 61443304)) + (via (at 191.9 76.15) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 61443305)) + (segment (start 191.05 76.15) (end 191.9 76.15) (width 0.6) (layer F.Cu) (net 2) (tstamp 61443306)) + (via (at 183.4 76.15) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 61443307)) + (segment (start 191.05 94.35) (end 191.9 94.35) (width 0.6) (layer F.Cu) (net 2) (tstamp 61443325)) + (via (at 191.9 94.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 61443326)) + (via (at 191.9 85.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 61443329)) + (segment (start 191.05 85.25) (end 191.9 85.25) (width 0.6) (layer F.Cu) (net 2) (tstamp 6144332A)) + (segment (start 191.05 112.55) (end 191.9 112.55) (width 0.6) (layer F.Cu) (net 2) (tstamp 61443347)) + (via (at 191.9 112.55) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 61443349)) + (via (at 183.4 112.55) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 6144334C)) + (segment (start 182.55 112.55) (end 183.4 112.55) (width 0.6) (layer F.Cu) (net 2) (tstamp 6144334D)) + (segment (start 182.55 103.45) (end 183.4 103.45) (width 0.6) (layer F.Cu) (net 2) (tstamp 6144334E)) + (via (at 191.9 103.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 6144334F)) + (segment (start 191.05 103.45) (end 191.9 103.45) (width 0.6) (layer F.Cu) (net 2) (tstamp 61443350)) + (via (at 183.4 103.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 61443351)) + (via (at 182.4 111.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2)) + (segment (start 182.55 111.85) (end 182.4 111.7) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 182.55 112.55) (end 182.55 111.85) (width 0.5) (layer F.Cu) (net 2)) + (via (at 190.9 111.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 614435CB)) + (segment (start 191.05 112.55) (end 191.05 111.85) (width 0.5) (layer F.Cu) (net 2) (tstamp 614435CC)) + (segment (start 191.05 111.85) (end 190.9 111.7) (width 0.5) (layer F.Cu) (net 2) (tstamp 614435CD)) + (via (at 182.4 102.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 614435D7)) + (segment (start 182.55 103.45) (end 182.55 102.75) (width 0.5) (layer F.Cu) (net 2) (tstamp 614435D8)) + (segment (start 182.55 102.75) (end 182.4 102.6) (width 0.5) (layer F.Cu) (net 2) (tstamp 614435D9)) + (segment (start 191.05 102.75) (end 190.9 102.6) (width 0.5) (layer F.Cu) (net 2) (tstamp 614435DE)) + (via (at 190.9 102.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 614435DF)) + (segment (start 191.05 103.45) (end 191.05 102.75) (width 0.5) (layer F.Cu) (net 2) (tstamp 614435E1)) + (segment (start 191.05 94.35) (end 191.05 93.65) (width 0.5) (layer F.Cu) (net 2) (tstamp 614435F4)) + (via (at 190.9 93.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 614435F6)) + (segment (start 191.05 93.65) (end 190.9 93.5) (width 0.5) (layer F.Cu) (net 2) (tstamp 614435F8)) + (segment (start 191.05 84.55) (end 190.9 84.4) (width 0.5) (layer F.Cu) (net 2) (tstamp 61443603)) + (segment (start 191.05 85.25) (end 191.05 84.55) (width 0.5) (layer F.Cu) (net 2) (tstamp 61443605)) + (via (at 190.9 84.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 61443606)) + (segment (start 191.05 67.05) (end 191.05 66.35) (width 0.5) (layer F.Cu) (net 2) (tstamp 61443610)) + (via (at 182.4 66.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 61443612)) + (segment (start 182.55 66.35) (end 182.4 66.2) (width 0.5) (layer F.Cu) (net 2) (tstamp 61443613)) + (segment (start 182.55 67.05) (end 182.55 66.35) (width 0.5) (layer F.Cu) (net 2) (tstamp 61443614)) + (via (at 190.9 66.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 61443619)) + (segment (start 191.05 66.35) (end 190.9 66.2) (width 0.5) (layer F.Cu) (net 2) (tstamp 6144361B)) + (segment (start 191.05 75.45) (end 190.9 75.3) (width 0.5) (layer F.Cu) (net 2) (tstamp 61443621)) + (via (at 190.9 75.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 61443622)) + (segment (start 191.05 76.15) (end 191.05 75.45) (width 0.5) (layer F.Cu) (net 2) (tstamp 61443624)) + (via (at 190.9 57.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6144363F)) + (segment (start 191.05 57.95) (end 191.05 57.25) (width 0.5) (layer F.Cu) (net 2) (tstamp 61443642)) + (segment (start 191.05 57.25) (end 190.9 57.1) (width 0.5) (layer F.Cu) (net 2) (tstamp 61443644)) + (via (at 183.65 68.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2)) + (segment (start 182.55 68.5) (end 183.65 68.5) (width 0.45) (layer F.Cu) (net 2)) + (via (at 189.95 68.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6143D6B9)) + (segment (start 191.05 68.5) (end 189.95 68.5) (width 0.45) (layer F.Cu) (net 2) (tstamp 6143D6B7)) + (segment (start 166.5605 103.251) (end 166.751 103.4415) (width 0.6) (layer F.Cu) (net 2)) + (via (at 166.751 103.4415) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 165.608 103.251) (end 166.5605 103.251) (width 0.6) (layer F.Cu) (net 2)) + (via (at 165.608 102.2985) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 165.608 103.251) (end 165.608 102.2985) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 182.55 94.35) (end 183.4 94.35) (width 0.6) (layer F.Cu) (net 2) (tstamp 6148BC74)) + (via (at 182.55 95.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6148BC75)) + (segment (start 182.55 95.8) (end 182.55 95.2) (width 0.45) (layer F.Cu) (net 2) (tstamp 6148BC76)) + (segment (start 182.55 95.8) (end 181.45 95.8) (width 0.45) (layer F.Cu) (net 2) (tstamp 6148BC77)) + (via (at 183.65 95.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6148BC78)) + (segment (start 182.55 95.8) (end 183.65 95.8) (width 0.45) (layer F.Cu) (net 2) (tstamp 6148BC79)) + (via (at 183.4 94.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 6148BC7A)) + (via (at 182.4 93.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6148BC7E)) + (segment (start 182.55 93.65) (end 182.4 93.5) (width 0.5) (layer F.Cu) (net 2) (tstamp 6148BC7F)) + (segment (start 182.55 94.35) (end 182.55 93.65) (width 0.5) (layer F.Cu) (net 2) (tstamp 6148BC80)) + (segment (start 182.55 95.2) (end 182.55 94.35) (width 0.6) (layer F.Cu) (net 2) (tstamp 6148BC83)) + (via (at 181.45 95.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2) (tstamp 6148BC84)) + (via (at 182.6 75.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2)) + (segment (start 182.55 75.35) (end 182.6 75.3) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 182.55 76.15) (end 182.55 75.35) (width 0.5) (layer F.Cu) (net 2)) + (via (at 149.352 55.1815) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 149.5425 54.991) (end 149.352 55.1815) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 150.495 54.991) (end 149.5425 54.991) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 147.6375 54.991) (end 147.828 55.1815) (width 0.6) (layer F.Cu) (net 2)) + (via (at 147.828 55.1815) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 146.685 54.991) (end 147.6375 54.991) (width 0.6) (layer F.Cu) (net 2)) + (via (at 146.685 54.0385) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 146.685 54.991) (end 146.685 54.0385) (width 0.6) (layer F.Cu) (net 2)) + (via (at 150.495 54.0385) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 150.495 54.991) (end 150.495 54.0385) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 200.79 99.06) (end 200.8 99.05) (width 1) (layer F.Cu) (net 2)) + (segment (start 197.866 99.06) (end 200.79 99.06) (width 1) (layer F.Cu) (net 2)) + (via (at 131.572 97.2185) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 131.572 98.171) (end 131.572 97.2185) (width 0.6) (layer F.Cu) (net 2)) + (via (at 130.429 98.3615) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 130.6195 98.171) (end 130.429 98.3615) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 131.572 98.171) (end 130.6195 98.171) (width 0.6) (layer F.Cu) (net 2)) + (via (at 135.4455 98.171) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 136.9175 98.171) (end 135.4455 98.171) (width 0.6) (layer F.Cu) (net 2)) + (via (at 138.43 98.171) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 136.9175 98.171) (end 138.43 98.171) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 160.2625 103.251) (end 158.877 103.251) (width 0.6) (layer F.Cu) (net 2)) + (via (at 158.877 103.251) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (via (at 161.7345 103.251) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 160.2625 103.251) (end 161.7345 103.251) (width 0.6) (layer F.Cu) (net 2)) + (via (at 164.4015 103.251) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 165.608 103.251) (end 164.4015 103.251) (width 0.8) (layer F.Cu) (net 2)) + (segment (start 161.7345 103.251) (end 164.4015 103.251) (width 0.8) (layer F.Cu) (net 2)) + (via (at 132.7785 98.171) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 131.572 98.171) (end 132.7785 98.171) (width 0.8) (layer F.Cu) (net 2)) + (segment (start 135.4455 98.171) (end 132.7785 98.171) (width 0.8) (layer F.Cu) (net 2)) + (via (at 148.209 75.1205) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 148.3995 74.93) (end 148.209 75.1205) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 149.352 74.93) (end 148.3995 74.93) (width 0.6) (layer F.Cu) (net 2)) + (via (at 153.289 74.93) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 154.4525 74.93) (end 153.289 74.93) (width 0.6) (layer F.Cu) (net 2)) + (via (at 155.6385 74.93) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 154.4525 74.93) (end 155.6385 74.93) (width 0.6) (layer F.Cu) (net 2)) + (via (at 150.495 74.93) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 149.352 74.93) (end 150.495 74.93) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 150.495 74.93) (end 153.289 74.93) (width 0.6) (layer F.Cu) (net 2)) + (via (at 130.2385 74.93) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 129.0525 74.93) (end 130.2385 74.93) (width 0.6) (layer F.Cu) (net 2)) + (via (at 127.889 74.93) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 129.0525 74.93) (end 127.889 74.93) (width 0.6) (layer F.Cu) (net 2)) + (via (at 122.809 75.1205) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 122.9995 74.93) (end 122.809 75.1205) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 123.952 74.93) (end 122.9995 74.93) (width 0.6) (layer F.Cu) (net 2)) + (via (at 123.952 73.9775) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 123.952 74.93) (end 123.952 73.9775) (width 0.6) (layer F.Cu) (net 2)) + (via (at 125.095 74.93) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 123.952 74.93) (end 125.095 74.93) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 125.095 74.93) (end 127.889 74.93) (width 0.6) (layer F.Cu) (net 2)) + (via (at 123.8885 55.245) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 124.1425 54.991) (end 123.8885 55.245) (width 0.8) (layer F.Cu) (net 2)) + (segment (start 125.095 54.991) (end 124.1425 54.991) (width 0.8) (layer F.Cu) (net 2)) + (via (at 112.65 82.55) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 2) (tstamp 6172E84B)) + (segment (start 112.65 84.45) (end 112.65 82.55) (width 1.27) (layer F.Cu) (net 2) (tstamp 6172E848)) + (via (at 112.65 86.35) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 2) (tstamp 6172E851)) + (segment (start 112.65 84.45) (end 112.65 86.35) (width 1.27) (layer F.Cu) (net 2) (tstamp 6172E845)) + (segment (start 112.55 78.45) (end 112.65 78.35) (width 1) (layer F.Cu) (net 2) (tstamp 6172E84E)) + (segment (start 112.55 79.65) (end 112.55 78.45) (width 1) (layer F.Cu) (net 2) (tstamp 6172E854)) + (via (at 112.65 78.35) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 2) (tstamp 6172E85D)) + (via (at 111.4 79.65) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 2) (tstamp 6172E87E)) + (segment (start 112.55 79.65) (end 111.4 79.65) (width 1) (layer F.Cu) (net 2) (tstamp 6172E875)) + (via (at 112.65 80.95) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 2) (tstamp 6172E86C)) + (segment (start 112.55 80.85) (end 112.65 80.95) (width 1) (layer F.Cu) (net 2) (tstamp 6172E869)) + (segment (start 112.55 79.65) (end 112.55 80.85) (width 1) (layer F.Cu) (net 2) (tstamp 6172E857)) + (segment (start 112.65 82.55) (end 112.65 80.95) (width 1) (layer F.Cu) (net 2) (tstamp 6172E872)) + (segment (start 144.145 54.991) (end 144.907 54.991) (width 0.6) (layer F.Cu) (net 2) (tstamp 614B1A75)) + (via (at 144.907 54.991) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 614B1A76)) + (via (at 144.145 53.0225) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 614B1A77)) + (segment (start 144.145 54.991) (end 144.145 53.0225) (width 0.6) (layer F.Cu) (net 2) (tstamp 614B1A78)) + (segment (start 144.145 54.991) (end 144.145 56.9595) (width 0.6) (layer F.Cu) (net 2) (tstamp 614B1A7D)) + (via (at 144.145 56.9595) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 614B1A7E)) + (via (at 127.635 56.9595) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 127.635 54.991) (end 127.635 56.9595) (width 0.6) (layer F.Cu) (net 2)) + (via (at 127.635 53.0225) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 127.635 54.991) (end 127.635 53.0225) (width 0.6) (layer F.Cu) (net 2)) + (via (at 126.873 54.991) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 127.635 54.991) (end 126.873 54.991) (width 0.6) (layer F.Cu) (net 2)) + (via (at 152.273 54.991) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 614B1FDE)) + (segment (start 153.035 54.991) (end 153.035 53.0225) (width 0.6) (layer F.Cu) (net 2) (tstamp 614B1FE3)) + (via (at 153.035 53.0225) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 614B1FE4)) + (via (at 153.035 56.9595) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 614B1FE5)) + (segment (start 153.035 54.991) (end 153.035 56.9595) (width 0.6) (layer F.Cu) (net 2) (tstamp 614B1FE6)) + (segment (start 169.545 54.991) (end 170.307 54.991) (width 0.6) (layer F.Cu) (net 2) (tstamp 614B1FEA)) + (via (at 169.545 53.0225) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 614B1FEC)) + (via (at 170.307 54.991) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 614B1FEE)) + (segment (start 169.545 54.991) (end 169.545 56.9595) (width 0.6) (layer F.Cu) (net 2) (tstamp 614B1FF0)) + (via (at 169.545 56.9595) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 614B1FF1)) + (segment (start 169.545 54.991) (end 169.545 53.0225) (width 0.6) (layer F.Cu) (net 2) (tstamp 614B1FF4)) + (segment (start 172.085 54.991) (end 170.307 54.991) (width 0.6) (layer F.Cu) (net 2)) + (via (at 172.085 54.0385) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 172.085 54.991) (end 172.085 54.0385) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 173.0375 54.991) (end 173.228 55.1815) (width 0.6) (layer F.Cu) (net 2)) + (via (at 173.228 55.1815) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 172.085 54.991) (end 173.0375 54.991) (width 0.6) (layer F.Cu) (net 2)) + (segment (start 197.866 121.92) (end 200.66 121.92) (width 1) (layer F.Cu) (net 2)) + (segment (start 136.9175 96.901) (end 138.557 96.901) (width 0.15) (layer F.Cu) (net 2)) + (via (at 138.557 96.901) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2)) + (segment (start 136.9175 95.631) (end 138.557 95.631) (width 0.15) (layer F.Cu) (net 2)) + (via (at 138.557 95.631) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 2)) + (segment (start 144.907 54.991) (end 146.685 54.991) (width 0.8) (layer F.Cu) (net 2)) + (segment (start 153.035 54.991) (end 152.273 54.991) (width 0.6) (layer F.Cu) (net 2) (tstamp 614B1FF5)) + (segment (start 150.495 54.991) (end 152.273 54.991) (width 0.8) (layer F.Cu) (net 2)) + (segment (start 125.095 54.991) (end 126.873 54.991) (width 0.8) (layer F.Cu) (net 2)) + (via (at 113.4 68.7) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 2)) + (segment (start 113.4 68.697309) (end 113.4 68.7) (width 1.27) (layer F.Cu) (net 2)) + (segment (start 114.746268 67.351041) (end 113.4 68.697309) (width 1.27) (layer F.Cu) (net 2)) + (via (at 116.05 66.05) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 2)) + (segment (start 116.047309 66.05) (end 116.05 66.05) (width 1.27) (layer F.Cu) (net 2)) + (segment (start 114.746268 67.351041) (end 116.047309 66.05) (width 1.27) (layer F.Cu) (net 2)) + (segment (start 112.903 69.197) (end 113.4 68.7) (width 1) (layer F.Cu) (net 2)) + (segment (start 112.903 70.358) (end 112.903 69.197) (width 1) (layer F.Cu) (net 2)) + (via (at 108.077 112.268) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 3)) + (segment (start 108.077 112.992) (end 108.077 112.268) (width 0.5) (layer F.Cu) (net 3)) + (via (at 106.553 112.268) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 3)) + (segment (start 106.553 112.992) (end 106.553 112.268) (width 0.5) (layer F.Cu) (net 3)) + (segment (start 124.25 116.7625) (end 124.25 117.9) (width 0.3) (layer F.Cu) (net 3) (tstamp 614A5BEB)) + (segment (start 124 118.75) (end 124 118.05) (width 0.5) (layer F.Cu) (net 3) (tstamp 614A5BE2)) + (segment (start 124.15 117.9) (end 124.25 117.9) (width 0.5) (layer F.Cu) (net 3) (tstamp 614A5BDF)) + (segment (start 124 118.05) (end 124.15 117.9) (width 0.5) (layer F.Cu) (net 3) (tstamp 614A5BDC)) + (segment (start 119.25 116.7625) (end 119.25 117.9) (width 0.3) (layer F.Cu) (net 3) (tstamp 614A5BD6)) + (segment (start 126.9125 113.1) (end 128.05 113.1) (width 0.3) (layer F.Cu) (net 3) (tstamp 614A5BD0)) + (segment (start 125.25 101.4375) (end 125.25 100.3) (width 0.3) (layer F.Cu) (net 3) (tstamp 614A5BCA)) + (segment (start 119.25 101.4375) (end 119.25 100.3) (width 0.3) (layer F.Cu) (net 3) (tstamp 614A5BC4)) + (segment (start 111.5875 103.1) (end 110.45 103.1) (width 0.3) (layer F.Cu) (net 3) (tstamp 614A5BBE)) + (segment (start 111.5875 106.1) (end 110.45 106.1) (width 0.3) (layer F.Cu) (net 3) (tstamp 614A5BB8)) + (segment (start 119.15 117.9) (end 119.25 117.9) (width 0.5) (layer F.Cu) (net 3) (tstamp 614A5BB5)) + (segment (start 119 118.05) (end 119.15 117.9) (width 0.5) (layer F.Cu) (net 3) (tstamp 614A5BB2)) + (segment (start 119 118.75) (end 119 118.05) (width 0.5) (layer F.Cu) (net 3) (tstamp 614A5BAF)) + (segment (start 119.25 116.7625) (end 119.25 115.65) (width 0.3) (layer F.Cu) (net 3) (tstamp 614A5BA9)) + (segment (start 109.6 106.6) (end 110.3 106.6) (width 0.5) (layer F.Cu) (net 3) (tstamp 614A5BA6)) + (segment (start 110.45 106.45) (end 110.45 106.1) (width 0.5) (layer F.Cu) (net 3) (tstamp 614A5BA3)) + (segment (start 110.3 106.6) (end 110.45 106.45) (width 0.5) (layer F.Cu) (net 3) (tstamp 614A5BA0)) + (segment (start 111.5875 106.1) (end 112.7 106.1) (width 0.3) (layer F.Cu) (net 3) (tstamp 614A5B9A)) + (segment (start 111.5875 103.1) (end 112.7 103.1) (width 0.3) (layer F.Cu) (net 3) (tstamp 614A5B94)) + (segment (start 126.9125 113.1) (end 125.8 113.1) (width 0.3) (layer F.Cu) (net 3) (tstamp 614A5B85)) + (segment (start 125.25 101.4375) (end 125.25 102.55) (width 0.3) (layer F.Cu) (net 3) (tstamp 614A5B76)) + (segment (start 119.25 101.4375) (end 119.25 102.55) (width 0.3) (layer F.Cu) (net 3) (tstamp 614A5B70)) + (segment (start 125.25 99.45) (end 125.25 100.3) (width 0.5) (layer F.Cu) (net 3) (tstamp 614A5CE1)) + (segment (start 109.6 103.1) (end 110.45 103.1) (width 0.5) (layer F.Cu) (net 3) (tstamp 614A5CBD)) + (segment (start 124.25 116.7625) (end 124.25 115.65) (width 0.3) (layer F.Cu) (net 3) (tstamp 614A594E)) + (segment (start 128.9 113.1) (end 128.05 113.1) (width 0.5) (layer F.Cu) (net 3) (tstamp 614A5B6D)) + (segment (start 119.25 99.45) (end 119.25 98.5) (width 0.8) (layer F.Cu) (net 3)) + (segment (start 118.1 90.95) (end 115.15 90.95) (width 1) (layer F.Cu) (net 3) (tstamp 6172E863)) + (segment (start 111.8 90.95) (end 114.75 90.95) (width 1) (layer F.Cu) (net 3) (tstamp 6172E884)) + (segment (start 114.95 90.75) (end 115.55 91.35) (width 1.524) (layer F.Cu) (net 3) (tstamp 6172E893)) + (segment (start 115.55 91.35) (end 115.55 92.65) (width 1.524) (layer F.Cu) (net 3) (tstamp 6172E887)) + (segment (start 114.95 90.75) (end 114.35 91.35) (width 1.524) (layer F.Cu) (net 3) (tstamp 6172E88D)) + (segment (start 114.35 91.35) (end 114.35 92.65) (width 1.524) (layer F.Cu) (net 3) (tstamp 6172E878)) + (segment (start 114.35 90.15) (end 114.35 88.85) (width 1.524) (layer F.Cu) (net 3) (tstamp 6172E85A)) + (segment (start 114.95 90.75) (end 115.55 90.15) (width 1.524) (layer F.Cu) (net 3) (tstamp 6172E896)) + (segment (start 114.95 90.75) (end 114.35 90.15) (width 1.524) (layer F.Cu) (net 3) (tstamp 6172E890)) + (segment (start 115.55 90.15) (end 115.55 88.85) (width 1.524) (layer F.Cu) (net 3) (tstamp 6172E881)) + (segment (start 119.25 99.45) (end 119.25 100.15) (width 0.8) (layer F.Cu) (net 3)) + (segment (start 119.25 100.15) (end 119.25 100.3) (width 0.3) (layer F.Cu) (net 3)) + (segment (start 114.95 84.45) (end 114.95 86.35) (width 1.27) (layer F.Cu) (net 3) (tstamp 6172E860)) + (segment (start 114.95 84.45) (end 114.95 82.55) (width 1.27) (layer F.Cu) (net 3) (tstamp 6172E866)) + (segment (start 113.4 81.05) (end 113.4 78.25) (width 0.2) (layer F.Cu) (net 3) (tstamp 6172E86F)) + (segment (start 131.7 90.35) (end 131.7 91.5) (width 0.6) (layer F.Cu) (net 3)) + (via (at 131.7 90.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 3)) + (segment (start 127.6 90.35) (end 127.6 91.5) (width 0.6) (layer F.Cu) (net 3)) + (via (at 127.6 90.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 3)) + (segment (start 127.6 89.4) (end 127.6 90.35) (width 0.6) (layer F.Cu) (net 3)) + (segment (start 131.7 89.4) (end 131.7 90.35) (width 0.6) (layer F.Cu) (net 3)) + (via (at 131.5 88.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 3)) + (segment (start 131.7 88.7) (end 131.5 88.5) (width 0.6) (layer F.Cu) (net 3)) + (segment (start 131.7 89.4) (end 131.7 88.7) (width 0.6) (layer F.Cu) (net 3)) + (segment (start 127.6 88.7) (end 127.4 88.5) (width 0.6) (layer F.Cu) (net 3)) + (via (at 127.4 88.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 3)) + (segment (start 127.6 89.4) (end 127.6 88.7) (width 0.6) (layer F.Cu) (net 3)) + (via (at 132.55 89.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 3)) + (segment (start 131.7 89.4) (end 132.55 89.4) (width 0.6) (layer F.Cu) (net 3)) + (via (at 132.7 91.5) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 3)) + (segment (start 131.7 91.5) (end 132.7 91.5) (width 0.6) (layer F.Cu) (net 3)) + (via (at 128.45 89.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 3)) + (segment (start 127.6 89.4) (end 128.45 89.4) (width 0.6) (layer F.Cu) (net 3)) + (segment (start 127.6 88.7) (end 127.6 90.35) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 127.4 88.5) (end 127.6 88.7) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 128.45 89.5) (end 128.45 89.4) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 127.6 90.35) (end 128.45 89.5) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 127.55 88.5) (end 128.45 89.4) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 127.4 88.5) (end 127.55 88.5) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 127.4 90.15) (end 127.6 90.35) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 127.4 88.5) (end 127.4 90.15) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 128.45 89.4) (end 127.9 89.4) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 131.5 90.15) (end 131.7 90.35) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 131.5 88.5) (end 131.5 90.15) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 131.7 88.7) (end 131.5 88.5) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 131.7 90.35) (end 131.7 88.7) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 131.65 88.5) (end 132.55 89.4) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 131.5 88.5) (end 131.65 88.5) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 132.55 89.5) (end 131.7 90.35) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 132.55 89.4) (end 132.55 89.5) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 132.55 89.4) (end 132 89.4) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 130.6 89.4) (end 131.5 88.5) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 131.55 90.35) (end 131.7 90.35) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 130.6 89.4) (end 131.55 90.35) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 130.6 89.4) (end 131.2 89.4) (width 0.6) (layer B.Cu) (net 3)) + (via (at 123.7 85.35) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 3)) + (segment (start 128.45 89.4) (end 132 89.4) (width 0.8) (layer B.Cu) (net 3)) + (segment (start 124.35 85.35) (end 125.15 85.35) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 129.25 89.4) (end 132 89.4) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 125.15 85.35) (end 129.2 89.4) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 127.4 88.35) (end 126.35 87.3) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 127.4 90.15) (end 127.4 88.35) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 126.35 87.3) (end 128.45 89.4) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 132.7 89.55) (end 131.65 88.5) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 132.7 91.5) (end 132.7 89.55) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 132.7 91.5) (end 130.6 89.4) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 132.7 91.5) (end 132.3 91.1) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 132.3 89.65) (end 132.55 89.4) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 132.3 91.1) (end 132.3 89.65) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 123.7 85.35) (end 124.35 85.35) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 116.652691 71.95) (end 116.65 71.95) (width 1.27) (layer F.Cu) (net 3)) + (segment (start 117.998959 70.603732) (end 116.652691 71.95) (width 1.27) (layer F.Cu) (net 3)) + (segment (start 119.3 69.302691) (end 119.3 69.3) (width 1.27) (layer F.Cu) (net 3)) + (segment (start 117.998959 70.603732) (end 119.3 69.302691) (width 1.27) (layer F.Cu) (net 3)) + (via (at 112.268 52.451) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 3)) + (segment (start 111.719064 51.902064) (end 112.268 52.451) (width 0.6) (layer F.Cu) (net 3)) + (segment (start 111.682962 51.902064) (end 111.719064 51.902064) (width 0.6) (layer F.Cu) (net 3)) + (segment (start 112.268 52.451) (end 112.268 50.419) (width 0.2) (layer B.Cu) (net 3)) + (via (at 108.75 106.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 3)) + (segment (start 109.6 106.6) (end 108.75 106.6) (width 0.5) (layer F.Cu) (net 3)) + (segment (start 108.75 106.6) (end 107.9 107.45) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 107.9 107.45) (end 107.9 110.921) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 106.553 112.268) (end 108.077 112.268) (width 0.5) (layer B.Cu) (net 3)) + (segment (start 107.418 112.268) (end 108.077 112.268) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 106.9855 111.8355) (end 107.418 112.268) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 106.9855 111.8355) (end 106.553 112.268) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 107.1105 111.7105) (end 107.1105 111.9605) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 107.9 110.921) (end 107.1105 111.7105) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 107.1105 111.7105) (end 106.9855 111.8355) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 107.9 112.091) (end 108.077 112.268) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 107.9 110.921) (end 107.9 112.091) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 107.9 111.171) (end 107.1105 111.9605) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 107.9 110.921) (end 107.9 111.171) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 107.9 111.5) (end 107.275 112.125) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 107.9 111.171) (end 107.9 111.5) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 107.275 112.125) (end 107.418 112.268) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 107.1105 111.9605) (end 107.275 112.125) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 108.077 112.268) (end 107.968 112.268) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 107.5355 111.8355) (end 106.9855 111.8355) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 107.968 112.268) (end 107.5355 111.8355) (width 0.3) (layer B.Cu) (net 3)) + (segment (start 107.7695 111.9605) (end 107.1105 111.9605) (width 0.5) (layer B.Cu) (net 3)) + (segment (start 108.077 112.268) (end 107.7695 111.9605) (width 0.5) (layer B.Cu) (net 3)) + (segment (start 116.6495 71.953191) (end 116.652691 71.95) (width 0.6) (layer F.Cu) (net 3)) + (segment (start 117.998959 70.603732) (end 118.745 71.349773) (width 0.6) (layer F.Cu) (net 3)) + (segment (start 117.998959 70.603732) (end 117.308727 69.9135) (width 0.6) (layer F.Cu) (net 3)) + (segment (start 123.7 85.35) (end 123.85 85.2) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 125 85.2) (end 125.15 85.35) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 123.85 85.2) (end 125 85.2) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 123.7 85.35) (end 123.85 85.5) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 124.7 85.5) (end 125 85.2) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 123.85 85.5) (end 124.7 85.5) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 127.4 88.4) (end 127.4 88.5) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 124.35 85.35) (end 127.4 88.4) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 123.7 85.35) (end 124.85 85.35) (width 1) (layer B.Cu) (net 3)) + (segment (start 116.6495 71.953191) (end 116.6495 73.914) (width 0.8) (layer F.Cu) (net 3)) + (segment (start 116.6495 73.914) (end 116.6495 76.327) (width 0.8) (layer F.Cu) (net 3)) + (segment (start 116.6495 76.327) (end 116.6495 77.216) (width 0.8) (layer F.Cu) (net 3)) + (via (at 117.6655 73.914) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 116.6495 73.914) (end 117.6655 73.914) (width 0.8) (layer F.Cu) (net 3)) + (segment (start 116.6495 76.327) (end 117.348 76.327) (width 0.8) (layer F.Cu) (net 3)) + (segment (start 117.6655 70.231) (end 117.6655 73.914) (width 0.35) (layer B.Cu) (net 3)) + (segment (start 114.046 66.6115) (end 117.6655 70.231) (width 0.35) (layer B.Cu) (net 3)) + (segment (start 118.618 60.198) (end 114.046 64.77) (width 0.35) (layer B.Cu) (net 3)) + (segment (start 118.618 48.4505) (end 118.618 60.198) (width 0.35) (layer B.Cu) (net 3)) + (segment (start 114.046 64.77) (end 114.046 66.6115) (width 0.35) (layer B.Cu) (net 3)) + (segment (start 117.7925 47.625) (end 118.618 48.4505) (width 0.35) (layer B.Cu) (net 3)) + (segment (start 115.062 47.625) (end 117.7925 47.625) (width 0.35) (layer B.Cu) (net 3)) + (segment (start 112.268 50.419) (end 115.062 47.625) (width 0.35) (layer B.Cu) (net 3)) + (segment (start 196.342 48.895) (end 195.453 49.784) (width 1) (layer F.Cu) (net 4)) + (segment (start 195.453 49.784) (end 195.453 50.8) (width 1) (layer F.Cu) (net 4)) + (segment (start 203.2 50.8) (end 201.295 48.895) (width 1) (layer F.Cu) (net 4)) + (segment (start 201.295 48.895) (end 196.342 48.895) (width 1) (layer F.Cu) (net 4)) + (segment (start 203.2 55.88) (end 203.2 53.34) (width 1.524) (layer F.Cu) (net 5)) + (segment (start 203.2 53.34) (end 205.74 53.34) (width 1.524) (layer F.Cu) (net 5)) + (segment (start 205.74 53.34) (end 205.74 50.8) (width 1.524) (layer F.Cu) (net 5)) + (segment (start 202.184 47.244) (end 205.74 50.8) (width 1) (layer F.Cu) (net 5)) + (segment (start 195.58 47.244) (end 202.184 47.244) (width 1) (layer F.Cu) (net 5)) + (segment (start 193.04 49.784) (end 195.58 47.244) (width 1) (layer F.Cu) (net 5)) + (segment (start 193.04 50.8) (end 193.04 49.784) (width 1) (layer F.Cu) (net 5)) + (segment (start 197.866 50.8) (end 200.66 50.8) (width 1) (layer F.Cu) (net 6)) + (segment (start 127.6 94.75) (end 127.6 93.7) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 128.2 95.35) (end 127.6 94.75) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 128.3 95.45) (end 128.4 95.35) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 128.3 95.45) (end 128.3 95.35) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 128.3 95.45) (end 128.2 95.35) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 128.4 96.3) (end 129.15 96.3) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 128.3 96.2) (end 128.4 96.3) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 128.3 96.3) (end 128.3 96.2) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 128.3 96.3) (end 128.4 96.3) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 128.3 96.2) (end 128.3 95.45) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 128.3 96.2) (end 128.2 96.3) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 128.2 96.3) (end 128.3 96.3) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 127.45 96.3) (end 128.2 96.3) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 131.1 95.35) (end 128.4 95.35) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 131.7 94.75) (end 131.1 95.35) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 131.7 93.7) (end 131.7 94.75) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 128.4 95.35) (end 128.25 95.35) (width 0.15) (layer F.Cu) (net 7)) + (segment (start 134.112 99.441) (end 136.9175 99.441) (width 0.15) (layer F.Cu) (net 8)) + (segment (start 132.1435 101.4095) (end 134.112 99.441) (width 0.15) (layer F.Cu) (net 8)) + (segment (start 131.0595 101.4095) (end 132.1435 101.4095) (width 0.15) (layer F.Cu) (net 8)) + (segment (start 129.15 99.5) (end 131.0595 101.4095) (width 0.15) (layer F.Cu) (net 8)) + (segment (start 129.15 97.9) (end 129.15 99.5) (width 0.15) (layer F.Cu) (net 8)) + (segment (start 124.5 99.9) (end 124.75 100.15) (width 0.15) (layer F.Cu) (net 9)) + (segment (start 127.45 97.9) (end 125.2 97.9) (width 0.15) (layer F.Cu) (net 9)) + (segment (start 125.2 97.9) (end 123.9 99.2) (width 0.15) (layer F.Cu) (net 9)) + (segment (start 124.2 99.9) (end 124.5 99.9) (width 0.15) (layer F.Cu) (net 9)) + (segment (start 123.9 99.6) (end 124.2 99.9) (width 0.15) (layer F.Cu) (net 9)) + (segment (start 124.75 100.15) (end 124.75 101.4375) (width 0.15) (layer F.Cu) (net 9)) + (segment (start 123.9 99.2) (end 123.9 99.6) (width 0.15) (layer F.Cu) (net 9)) + (segment (start 185.15 114) (end 186.35 114) (width 0.15) (layer F.Cu) (net 10)) + (segment (start 186.35 114) (end 186.75 113.6) (width 0.15) (layer F.Cu) (net 10)) + (segment (start 186.35 104.9) (end 185.15 104.9) (width 0.15) (layer F.Cu) (net 10)) + (segment (start 186.75 105.3) (end 186.35 104.9) (width 0.15) (layer F.Cu) (net 10)) + (segment (start 186.35 104.9) (end 186.75 104.5) (width 0.15) (layer F.Cu) (net 10)) + (segment (start 186.75 104.5) (end 186.75 96.35) (width 0.15) (layer F.Cu) (net 10)) + (segment (start 186.2 95.8) (end 185.15 95.8) (width 0.15) (layer F.Cu) (net 10)) + (segment (start 186.75 96.35) (end 186.2 95.8) (width 0.15) (layer F.Cu) (net 10)) + (segment (start 186.75 111.7) (end 186.75 111.65) (width 0.15) (layer F.Cu) (net 10)) + (via (at 186.75 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 10)) + (segment (start 186.75 113.6) (end 186.75 111.7) (width 0.15) (layer F.Cu) (net 10)) + (segment (start 186.75 111.7) (end 186.75 105.3) (width 0.15) (layer F.Cu) (net 10)) + (segment (start 118.75 114.35) (end 118.75 116.7625) (width 0.15) (layer F.Cu) (net 10) (tstamp 614A599C)) + (segment (start 118.55 114.15) (end 118.75 114.35) (width 0.15) (layer F.Cu) (net 10) (tstamp 614A5996)) + (via (at 118.55 114.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 10) (tstamp 614A599F)) + (segment (start 181.56 116.84) (end 186.75 111.65) (width 0.15) (layer B.Cu) (net 10)) + (segment (start 165.735 116.84) (end 181.56 116.84) (width 0.15) (layer B.Cu) (net 10)) + (segment (start 160.782 121.793) (end 165.735 116.84) (width 0.15) (layer B.Cu) (net 10)) + (segment (start 122.093 121.793) (end 160.782 121.793) (width 0.15) (layer B.Cu) (net 10)) + (segment (start 118.75 118.45) (end 122.093 121.793) (width 0.15) (layer B.Cu) (net 10)) + (segment (start 118.75 114.35) (end 118.75 118.45) (width 0.15) (layer B.Cu) (net 10)) + (segment (start 118.55 114.15) (end 118.75 114.35) (width 0.15) (layer B.Cu) (net 10)) + (segment (start 182.55 74.35) (end 183.45 74.35) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 183.45 74.35) (end 183.55 74.45) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 183.55 74.45) (end 183.55 74.55) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 183.55 74.55) (end 184.4 75.4) (width 0.15) (layer F.Cu) (net 11)) + (via (at 184.4 76.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 11)) + (segment (start 184.4 75.4) (end 184.4 76.3) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 182.55 101.65) (end 180.2 101.65) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 180.2 101.65) (end 179.4 102.45) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 182.55 110.75) (end 180.2 110.75) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 179.4 109.95) (end 179.4 102.45) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 180.2 110.75) (end 179.4 109.95) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 179.25 111.7) (end 180.2 110.75) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 179.25 118.45) (end 179.25 111.7) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 180.5 119.7) (end 179.25 118.45) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 181.35 119.7) (end 180.5 119.7) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 181.5 119.85) (end 182.55 119.85) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 181.35 119.7) (end 181.5 119.85) (width 0.15) (layer F.Cu) (net 11)) + (via (at 181.35 119.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 11)) + (via (at 116.25 115.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 11) (tstamp 614A59D5)) + (segment (start 116.25 116.7625) (end 116.25 115.65) (width 0.15) (layer F.Cu) (net 11) (tstamp 614A59DB)) + (segment (start 115.85 119.406) (end 115.85 117.1625) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 115.85 117.1625) (end 116.25 116.7625) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 161.925 123.698) (end 120.142 123.698) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 120.142 123.698) (end 115.85 119.406) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 166.497 119.126) (end 161.925 123.698) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 180.776 119.126) (end 166.497 119.126) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 116.25 116.7625) (end 116.25 115.65) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 181.35 119.7) (end 180.776 119.126) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 181.6 83.45) (end 182.55 83.45) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 181.4 83.65) (end 181.6 83.45) (width 0.15) (layer F.Cu) (net 11)) + (via (at 181.4 83.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 11)) + (segment (start 184 76.7) (end 184.4 76.3) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 182.95 76.5) (end 183.15 76.7) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 178.3 76.5) (end 182.95 76.5) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 183.15 76.7) (end 184 76.7) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 176.9 77.9) (end 178.3 76.5) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 177.55 83.95) (end 176.9 83.3) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 177.95 83.95) (end 177.55 83.95) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 176.9 83.3) (end 176.9 77.9) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 178.25 83.65) (end 177.95 83.95) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 181.4 83.65) (end 178.25 83.65) (width 0.15) (layer B.Cu) (net 11)) + (segment (start 179.65 101.1) (end 180.2 101.65) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 179.65 85.4) (end 179.65 101.1) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 181.4 83.65) (end 179.65 85.4) (width 0.15) (layer F.Cu) (net 11)) + (segment (start 191.05 74.35) (end 189.95 74.35) (width 0.15) (layer F.Cu) (net 12)) + (via (at 189.95 74.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 12)) + (via (at 189.95 83.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 12)) + (segment (start 191.05 83.45) (end 189.95 83.45) (width 0.15) (layer F.Cu) (net 12)) + (segment (start 188.15 75.525) (end 189.325 74.35) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 188.15 79.45) (end 188.15 75.525) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 189.95 81.25) (end 188.15 79.45) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 189.325 74.35) (end 189.95 74.35) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 189.95 83.45) (end 189.95 81.25) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 187.8 117.7) (end 187.8 112.5) (width 0.15) (layer F.Cu) (net 12)) + (segment (start 189.55 110.75) (end 191.05 110.75) (width 0.15) (layer F.Cu) (net 12)) + (segment (start 187.8 112.5) (end 189.55 110.75) (width 0.15) (layer F.Cu) (net 12)) + (segment (start 189.55 110.75) (end 188.05 109.25) (width 0.15) (layer F.Cu) (net 12)) + (segment (start 188.05 109.25) (end 188.05 103.05) (width 0.15) (layer F.Cu) (net 12)) + (segment (start 189.45 101.65) (end 191.05 101.65) (width 0.15) (layer F.Cu) (net 12)) + (segment (start 188.05 103.05) (end 189.45 101.65) (width 0.15) (layer F.Cu) (net 12)) + (via (at 189.45 101.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 12)) + (segment (start 189.45 101.65) (end 189.45 101.95) (width 0.15) (layer B.Cu) (net 12)) + (via (at 189.75 119.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 12)) + (segment (start 189.95 119.85) (end 189.75 119.65) (width 0.15) (layer F.Cu) (net 12)) + (segment (start 191.05 119.85) (end 189.95 119.85) (width 0.15) (layer F.Cu) (net 12)) + (segment (start 189.75 119.65) (end 187.8 117.7) (width 0.15) (layer F.Cu) (net 12)) + (via (at 117.75 114.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 12) (tstamp 614A59E1)) + (segment (start 117.75 116.7625) (end 117.75 114.75) (width 0.15) (layer F.Cu) (net 12) (tstamp 614A59DE)) + (segment (start 166.243 118.364) (end 188.464 118.364) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 188.464 118.364) (end 189.75 119.65) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 118.05 120.1) (end 121.013 123.063) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 121.013 123.063) (end 161.544 123.063) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 117.75 118.65) (end 117.15 119.25) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 117.45 120.1) (end 118.05 120.1) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 161.544 123.063) (end 166.243 118.364) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 117.15 119.8) (end 117.45 120.1) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 117.15 119.25) (end 117.15 119.8) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 117.75 114.75) (end 117.75 118.65) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 193.8 90.85) (end 193.8 85.85) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 192.6 92.05) (end 193.8 90.85) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 191.4 83.45) (end 189.95 83.45) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 193.8 85.85) (end 191.4 83.45) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 191.95 92.05) (end 192.6 92.05) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 190.55 92.7) (end 191.3 92.7) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 191.3 92.7) (end 191.95 92.05) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 189.45 101.65) (end 188.15 100.35) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 190.25 93) (end 190.55 92.7) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 189.3 93) (end 190.25 93) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 188.15 94.15) (end 189.3 93) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 188.15 100.35) (end 188.15 94.15) (width 0.15) (layer B.Cu) (net 12)) + (segment (start 185.15 68.5) (end 186.05 68.5) (width 0.15) (layer F.Cu) (net 13)) + (segment (start 186.05 68.5) (end 186.45 68.9) (width 0.15) (layer F.Cu) (net 13)) + (segment (start 186.45 68.9) (end 186.45 72.6) (width 0.15) (layer F.Cu) (net 13)) + (segment (start 186.45 72.6) (end 187.3 73.45) (width 0.15) (layer F.Cu) (net 13)) + (segment (start 187.3 73.45) (end 187.3 76.7) (width 0.15) (layer F.Cu) (net 13)) + (segment (start 186.4 77.6) (end 187.3 76.7) (width 0.15) (layer F.Cu) (net 13)) + (segment (start 185.15 77.6) (end 186.4 77.6) (width 0.15) (layer F.Cu) (net 13)) + (via (at 184.75 67.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 13)) + (segment (start 185.15 67.65) (end 184.75 67.25) (width 0.15) (layer F.Cu) (net 13)) + (segment (start 185.15 68.5) (end 185.15 67.65) (width 0.15) (layer F.Cu) (net 13)) + (segment (start 119.95 114.15) (end 119.75 114.35) (width 0.15) (layer F.Cu) (net 13) (tstamp 614A59E7)) + (segment (start 119.75 114.35) (end 119.75 116.7625) (width 0.15) (layer F.Cu) (net 13) (tstamp 614A59EA)) + (via (at 119.95 114.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 13) (tstamp 614A59ED)) + (segment (start 122.858 121.158) (end 119.75 118.05) (width 0.15) (layer B.Cu) (net 13)) + (segment (start 160.401 121.158) (end 122.858 121.158) (width 0.15) (layer B.Cu) (net 13)) + (segment (start 165.481 116.078) (end 160.401 121.158) (width 0.15) (layer B.Cu) (net 13)) + (segment (start 175.895 116.078) (end 165.481 116.078) (width 0.15) (layer B.Cu) (net 13)) + (segment (start 185.7 106.273) (end 175.895 116.078) (width 0.15) (layer B.Cu) (net 13)) + (segment (start 185.7 86.9) (end 185.7 106.273) (width 0.15) (layer B.Cu) (net 13)) + (segment (start 183.45 84.65) (end 185.7 86.9) (width 0.15) (layer B.Cu) (net 13)) + (segment (start 119.75 118.05) (end 119.75 114.35) (width 0.15) (layer B.Cu) (net 13)) + (segment (start 178.05 65.45) (end 176.1 67.4) (width 0.15) (layer B.Cu) (net 13)) + (segment (start 176.1 67.4) (end 176.1 84.25) (width 0.15) (layer B.Cu) (net 13)) + (segment (start 176.5 84.65) (end 183.45 84.65) (width 0.15) (layer B.Cu) (net 13)) + (segment (start 176.1 84.25) (end 176.5 84.65) (width 0.15) (layer B.Cu) (net 13)) + (segment (start 119.75 114.35) (end 119.95 114.15) (width 0.15) (layer B.Cu) (net 13)) + (segment (start 182.95 65.45) (end 178.05 65.45) (width 0.15) (layer B.Cu) (net 13)) + (segment (start 184.75 67.25) (end 182.95 65.45) (width 0.15) (layer B.Cu) (net 13)) + (via (at 186.25 59.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 14)) + (segment (start 185.15 59.4) (end 186.25 59.4) (width 0.15) (layer F.Cu) (net 14)) + (via (at 184.05 86.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 14)) + (segment (start 184.05 86.7) (end 185.15 86.7) (width 0.15) (layer F.Cu) (net 14)) + (segment (start 120.25 116.7625) (end 120.25 115.65) (width 0.15) (layer F.Cu) (net 14) (tstamp 614A5A7D)) + (via (at 120.25 115.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 14) (tstamp 614A5A86)) + (segment (start 184.05 90.55) (end 184.05 86.7) (width 0.15) (layer B.Cu) (net 14)) + (segment (start 173.99 115.316) (end 184.6 104.706) (width 0.15) (layer B.Cu) (net 14)) + (segment (start 184.6 91.1) (end 184.05 90.55) (width 0.15) (layer B.Cu) (net 14)) + (segment (start 184.6 104.706) (end 184.6 91.1) (width 0.15) (layer B.Cu) (net 14)) + (segment (start 120.25 117.05) (end 123.723 120.523) (width 0.15) (layer B.Cu) (net 14)) + (segment (start 165.227 115.316) (end 173.99 115.316) (width 0.15) (layer B.Cu) (net 14)) + (segment (start 160.02 120.523) (end 165.227 115.316) (width 0.15) (layer B.Cu) (net 14)) + (segment (start 123.723 120.523) (end 160.02 120.523) (width 0.15) (layer B.Cu) (net 14)) + (segment (start 120.25 115.65) (end 120.25 117.05) (width 0.15) (layer B.Cu) (net 14)) + (segment (start 182.7 85.35) (end 184.05 86.7) (width 0.15) (layer B.Cu) (net 14)) + (segment (start 175.05 84.15) (end 176.25 85.35) (width 0.15) (layer B.Cu) (net 14)) + (segment (start 176.25 85.35) (end 182.7 85.35) (width 0.15) (layer B.Cu) (net 14)) + (segment (start 175.05 66.8) (end 175.05 84.15) (width 0.15) (layer B.Cu) (net 14)) + (segment (start 182.45 59.4) (end 175.05 66.8) (width 0.15) (layer B.Cu) (net 14)) + (segment (start 186.25 59.4) (end 182.45 59.4) (width 0.15) (layer B.Cu) (net 14)) + (via (at 192.15 65.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 15)) + (segment (start 191.05 65.25) (end 192.15 65.25) (width 0.15) (layer F.Cu) (net 15)) + (segment (start 191.05 92.55) (end 192.15 92.55) (width 0.15) (layer F.Cu) (net 15) (tstamp 614A2790)) + (via (at 192.15 92.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 15) (tstamp 614A2793)) + (via (at 118.25 115.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 15) (tstamp 614A59A5)) + (segment (start 118.25 116.7625) (end 118.25 115.65) (width 0.15) (layer F.Cu) (net 15) (tstamp 614A59A2)) + (segment (start 121.328 122.428) (end 118.25 119.35) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 161.163 122.428) (end 121.328 122.428) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 165.989 117.602) (end 161.163 122.428) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 193 103.25) (end 193 106.75) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 190.4 94.1) (end 189.1 95.4) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 189.1 99.35) (end 193 103.25) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 191.875 92.55) (end 191.425 93) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 190.4 93.3) (end 190.4 94.1) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 192.15 92.55) (end 191.875 92.55) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 191.425 93) (end 190.7 93) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 189.1 95.4) (end 189.1 99.35) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 182.148 117.602) (end 165.989 117.602) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 193 106.75) (end 182.148 117.602) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 118.25 119.35) (end 118.25 115.65) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 190.7 93) (end 190.4 93.3) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 192.75 92.35) (end 192.35 92.35) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 192.35 92.35) (end 192.15 92.55) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 194.1 91) (end 192.75 92.35) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 191.5 66.55) (end 190.5 67.55) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 194.1 85.7) (end 194.1 91) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 191.6 75.5) (end 190.5 76.6) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 190.5 76.6) (end 190.5 82.1) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 192.15 65.25) (end 191.5 65.9) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 191.6 73.65) (end 191.6 75.5) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 190.5 82.1) (end 194.1 85.7) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 190.5 67.55) (end 190.5 72.55) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 190.5 72.55) (end 191.6 73.65) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 191.5 65.9) (end 191.5 66.55) (width 0.15) (layer B.Cu) (net 15)) + (segment (start 128.25 104.6) (end 126.9125 104.6) (width 0.15) (layer F.Cu) (net 16)) + (segment (start 128.75 105.1) (end 128.25 104.6) (width 0.15) (layer F.Cu) (net 16)) + (segment (start 129.2 105.1) (end 128.75 105.1) (width 0.15) (layer F.Cu) (net 16)) + (segment (start 130.048 105.948) (end 129.2 105.1) (width 0.15) (layer F.Cu) (net 16)) + (segment (start 130.048 113.411) (end 130.048 105.948) (width 0.15) (layer F.Cu) (net 16)) + (segment (start 144.399 127.762) (end 130.048 113.411) (width 0.15) (layer F.Cu) (net 16)) + (segment (start 194.31 127.762) (end 144.399 127.762) (width 0.15) (layer F.Cu) (net 16)) + (segment (start 199.1995 132.6515) (end 194.31 127.762) (width 0.15) (layer F.Cu) (net 16)) + (segment (start 207.8355 132.6515) (end 199.1995 132.6515) (width 0.15) (layer F.Cu) (net 16)) + (segment (start 209.042 131.445) (end 207.8355 132.6515) (width 0.15) (layer F.Cu) (net 16)) + (segment (start 209.042 61.722) (end 209.042 131.445) (width 0.15) (layer F.Cu) (net 16)) + (segment (start 205.74 58.42) (end 209.042 61.722) (width 0.15) (layer F.Cu) (net 16)) + (segment (start 208.28 63.5) (end 205.74 60.96) (width 0.15) (layer F.Cu) (net 17)) + (segment (start 208.28 131.191) (end 208.28 63.5) (width 0.15) (layer F.Cu) (net 17)) + (segment (start 199.5805 132.0165) (end 207.4545 132.0165) (width 0.15) (layer F.Cu) (net 17)) + (segment (start 194.564 127) (end 199.5805 132.0165) (width 0.15) (layer F.Cu) (net 17)) + (segment (start 126.9125 104.1) (end 129.25 104.1) (width 0.15) (layer F.Cu) (net 17)) + (segment (start 130.81 112.649) (end 145.161 127) (width 0.15) (layer F.Cu) (net 17)) + (segment (start 130.81 105.66) (end 130.81 112.649) (width 0.15) (layer F.Cu) (net 17)) + (segment (start 129.25 104.1) (end 130.81 105.66) (width 0.15) (layer F.Cu) (net 17)) + (segment (start 207.4545 132.0165) (end 208.28 131.191) (width 0.15) (layer F.Cu) (net 17)) + (segment (start 145.161 127) (end 194.564 127) (width 0.15) (layer F.Cu) (net 17)) + (via (at 128.905 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 18)) + (segment (start 128.905 61.341) (end 128.905 59.436) (width 0.15) (layer F.Cu) (net 18)) + (via (at 118.25 102.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 18)) + (segment (start 118.25 101.4375) (end 118.25 102.55) (width 0.15) (layer F.Cu) (net 18)) + (segment (start 128.27 58.801) (end 128.905 59.436) (width 0.15) (layer F.Cu) (net 18)) + (segment (start 128.27 52.832) (end 128.27 58.801) (width 0.15) (layer F.Cu) (net 18)) + (segment (start 127.889 52.451) (end 128.27 52.832) (width 0.15) (layer F.Cu) (net 18)) + (segment (start 125.095 52.451) (end 127.889 52.451) (width 0.15) (layer F.Cu) (net 18)) + (segment (start 110.05 67.496) (end 125.095 52.451) (width 0.15) (layer F.Cu) (net 18)) + (segment (start 110.05 95.1) (end 110.05 67.496) (width 0.15) (layer F.Cu) (net 18)) + (segment (start 113.15 98.2) (end 110.05 95.1) (width 0.15) (layer F.Cu) (net 18)) + (segment (start 113.65 98.2) (end 113.15 98.2) (width 0.15) (layer F.Cu) (net 18)) + (segment (start 114.15 98.7) (end 113.65 98.2) (width 0.15) (layer F.Cu) (net 18)) + (segment (start 114.45 98.7) (end 114.15 98.7) (width 0.15) (layer F.Cu) (net 18)) + (via (at 114.45 98.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 18)) + (segment (start 115.1 99.35) (end 116.45 99.35) (width 0.15) (layer B.Cu) (net 18)) + (segment (start 116.45 99.35) (end 117.05 99.95) (width 0.15) (layer B.Cu) (net 18)) + (segment (start 117.05 101.35) (end 118.25 102.55) (width 0.15) (layer B.Cu) (net 18)) + (segment (start 114.45 98.7) (end 115.1 99.35) (width 0.15) (layer B.Cu) (net 18)) + (segment (start 117.05 99.95) (end 117.05 101.35) (width 0.15) (layer B.Cu) (net 18)) + (via (at 160.655 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 18) (tstamp 614B1FA4)) + (segment (start 160.655 61.341) (end 160.655 59.436) (width 0.15) (layer F.Cu) (net 18) (tstamp 614B1FAA)) + (segment (start 160.655 59.436) (end 160.655 59.563) (width 0.15) (layer B.Cu) (net 18)) + (segment (start 160.655 59.563) (end 154.432 65.786) (width 0.15) (layer B.Cu) (net 18)) + (segment (start 135.255 65.786) (end 154.432 65.786) (width 0.15) (layer B.Cu) (net 18)) + (segment (start 128.905 59.436) (end 135.255 65.786) (width 0.15) (layer B.Cu) (net 18)) + (via (at 130.175 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 19)) + (segment (start 130.175 61.341) (end 130.175 59.436) (width 0.15) (layer F.Cu) (net 19)) + (segment (start 129.54 58.801) (end 130.175 59.436) (width 0.15) (layer F.Cu) (net 19)) + (segment (start 129.54 53.0225) (end 129.54 58.801) (width 0.15) (layer F.Cu) (net 19)) + (segment (start 128.3335 51.816) (end 129.54 53.0225) (width 0.15) (layer F.Cu) (net 19)) + (segment (start 124.841 51.816) (end 128.3335 51.816) (width 0.15) (layer F.Cu) (net 19)) + (segment (start 109.45 67.207) (end 124.841 51.816) (width 0.15) (layer F.Cu) (net 19)) + (segment (start 109.45 95.4) (end 109.45 67.207) (width 0.15) (layer F.Cu) (net 19)) + (segment (start 113.25 99.2) (end 109.45 95.4) (width 0.15) (layer F.Cu) (net 19)) + (segment (start 114.8 99.2) (end 113.25 99.2) (width 0.15) (layer F.Cu) (net 19)) + (segment (start 115.1 99.5) (end 114.8 99.2) (width 0.15) (layer F.Cu) (net 19)) + (segment (start 116.6 99.5) (end 115.1 99.5) (width 0.15) (layer F.Cu) (net 19)) + (segment (start 117.05 100.35) (end 117.05 99.95) (width 0.15) (layer F.Cu) (net 19)) + (segment (start 117.05 99.95) (end 116.6 99.5) (width 0.15) (layer F.Cu) (net 19)) + (segment (start 117.25 100.55) (end 117.05 100.35) (width 0.15) (layer F.Cu) (net 19)) + (segment (start 117.25 101.4375) (end 117.25 100.55) (width 0.15) (layer F.Cu) (net 19)) + (via (at 159.385 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 19) (tstamp 614B1FA0)) + (segment (start 159.385 61.341) (end 159.385 59.436) (width 0.15) (layer F.Cu) (net 19) (tstamp 614B1FA1)) + (segment (start 159.385 59.436) (end 159.385 59.817) (width 0.15) (layer B.Cu) (net 19)) + (segment (start 159.385 59.817) (end 154.051 65.151) (width 0.15) (layer B.Cu) (net 19)) + (segment (start 135.89 65.151) (end 154.051 65.151) (width 0.15) (layer B.Cu) (net 19)) + (segment (start 130.175 59.436) (end 135.89 65.151) (width 0.15) (layer B.Cu) (net 19)) + (via (at 131.445 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 20)) + (segment (start 131.445 61.341) (end 131.445 59.436) (width 0.15) (layer F.Cu) (net 20)) + (segment (start 115.25 100.55) (end 115.25 101.4375) (width 0.15) (layer F.Cu) (net 20)) + (segment (start 108.85 95.7) (end 112.95 99.8) (width 0.15) (layer F.Cu) (net 20)) + (segment (start 108.85 66.918) (end 108.85 95.7) (width 0.15) (layer F.Cu) (net 20)) + (segment (start 114.5 99.8) (end 115.25 100.55) (width 0.15) (layer F.Cu) (net 20)) + (segment (start 112.95 99.8) (end 114.5 99.8) (width 0.15) (layer F.Cu) (net 20)) + (segment (start 124.587 51.181) (end 108.85 66.918) (width 0.15) (layer F.Cu) (net 20)) + (segment (start 128.9685 51.181) (end 124.587 51.181) (width 0.15) (layer F.Cu) (net 20)) + (segment (start 130.81 53.0225) (end 128.9685 51.181) (width 0.15) (layer F.Cu) (net 20)) + (segment (start 130.81 58.801) (end 130.81 53.0225) (width 0.15) (layer F.Cu) (net 20)) + (segment (start 131.445 59.436) (end 130.81 58.801) (width 0.15) (layer F.Cu) (net 20)) + (segment (start 158.115 61.341) (end 158.115 59.436) (width 0.15) (layer F.Cu) (net 20) (tstamp 614B1FA5)) + (via (at 158.115 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 20) (tstamp 614B1FA8)) + (segment (start 158.115 59.436) (end 158.115 60.071) (width 0.15) (layer B.Cu) (net 20)) + (segment (start 158.115 60.071) (end 153.67 64.516) (width 0.15) (layer B.Cu) (net 20)) + (segment (start 136.525 64.516) (end 153.67 64.516) (width 0.15) (layer B.Cu) (net 20)) + (segment (start 131.445 59.436) (end 136.525 64.516) (width 0.15) (layer B.Cu) (net 20)) + (via (at 156.845 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 21) (tstamp 614B1F9E)) + (segment (start 156.845 61.341) (end 156.845 59.436) (width 0.15) (layer F.Cu) (net 21) (tstamp 614B1F9F)) + (segment (start 156.845 59.436) (end 156.845 60.325) (width 0.15) (layer B.Cu) (net 21)) + (segment (start 156.845 60.325) (end 153.289 63.881) (width 0.15) (layer B.Cu) (net 21)) + (via (at 132.715 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 21)) + (segment (start 132.715 61.341) (end 132.715 59.436) (width 0.15) (layer F.Cu) (net 21)) + (segment (start 110.5 103.8) (end 109 103.8) (width 0.15) (layer F.Cu) (net 21)) + (segment (start 109 103.8) (end 108.25 103.05) (width 0.15) (layer F.Cu) (net 21)) + (segment (start 110.7 103.6) (end 110.5 103.8) (width 0.15) (layer F.Cu) (net 21)) + (segment (start 111.5875 103.6) (end 110.7 103.6) (width 0.15) (layer F.Cu) (net 21)) + (segment (start 108.25 66.629) (end 108.25 103.05) (width 0.15) (layer F.Cu) (net 21)) + (segment (start 124.333 50.546) (end 108.25 66.629) (width 0.15) (layer F.Cu) (net 21)) + (segment (start 129.6035 50.546) (end 124.333 50.546) (width 0.15) (layer F.Cu) (net 21)) + (segment (start 132.08 53.0225) (end 129.6035 50.546) (width 0.15) (layer F.Cu) (net 21)) + (segment (start 132.08 58.801) (end 132.08 53.0225) (width 0.15) (layer F.Cu) (net 21)) + (segment (start 132.715 59.436) (end 132.08 58.801) (width 0.15) (layer F.Cu) (net 21)) + (segment (start 137.16 63.881) (end 153.289 63.881) (width 0.15) (layer B.Cu) (net 21)) + (segment (start 132.715 59.436) (end 137.16 63.881) (width 0.15) (layer B.Cu) (net 21)) + (segment (start 155.575 61.341) (end 155.575 59.436) (width 0.15) (layer F.Cu) (net 22) (tstamp 614B1FB6)) + (via (at 155.575 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 22) (tstamp 614B1FB7)) + (via (at 133.985 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 22)) + (segment (start 133.985 61.341) (end 133.985 59.436) (width 0.15) (layer F.Cu) (net 22)) + (segment (start 111.5875 104.6) (end 110.7 104.6) (width 0.15) (layer F.Cu) (net 22)) + (segment (start 110.7 104.6) (end 110.5 104.4) (width 0.15) (layer F.Cu) (net 22)) + (segment (start 110.5 104.4) (end 108.7 104.4) (width 0.15) (layer F.Cu) (net 22)) + (segment (start 108.7 104.4) (end 107.65 103.35) (width 0.15) (layer F.Cu) (net 22)) + (segment (start 107.65 66.34) (end 107.65 103.35) (width 0.15) (layer F.Cu) (net 22)) + (segment (start 124.079 49.911) (end 107.65 66.34) (width 0.15) (layer F.Cu) (net 22)) + (segment (start 130.2385 49.911) (end 124.079 49.911) (width 0.15) (layer F.Cu) (net 22)) + (segment (start 133.35 53.0225) (end 130.2385 49.911) (width 0.15) (layer F.Cu) (net 22)) + (segment (start 133.35 58.801) (end 133.35 53.0225) (width 0.15) (layer F.Cu) (net 22)) + (segment (start 133.985 59.436) (end 133.35 58.801) (width 0.15) (layer F.Cu) (net 22)) + (segment (start 152.781 62.23) (end 155.575 59.436) (width 0.15) (layer B.Cu) (net 22)) + (segment (start 136.652 62.23) (end 152.781 62.23) (width 0.15) (layer B.Cu) (net 22)) + (segment (start 133.985 59.563) (end 136.652 62.23) (width 0.15) (layer B.Cu) (net 22)) + (segment (start 133.985 59.436) (end 133.985 59.563) (width 0.15) (layer B.Cu) (net 22)) + (segment (start 154.305 61.341) (end 154.305 59.436) (width 0.15) (layer F.Cu) (net 23) (tstamp 614B1FA6)) + (via (at 154.305 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 23) (tstamp 614B1FAB)) + (via (at 135.255 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 23)) + (segment (start 135.255 61.341) (end 135.255 59.436) (width 0.15) (layer F.Cu) (net 23)) + (segment (start 111.5875 105.6) (end 110.7 105.6) (width 0.15) (layer F.Cu) (net 23)) + (segment (start 110.7 105.6) (end 110.1 105) (width 0.15) (layer F.Cu) (net 23)) + (segment (start 108.4 105) (end 107.05 103.65) (width 0.15) (layer F.Cu) (net 23)) + (segment (start 110.1 105) (end 108.4 105) (width 0.15) (layer F.Cu) (net 23)) + (segment (start 107.05 66.051) (end 107.05 103.65) (width 0.15) (layer F.Cu) (net 23)) + (segment (start 123.825 49.276) (end 107.05 66.051) (width 0.15) (layer F.Cu) (net 23)) + (segment (start 134.62 53.0225) (end 130.8735 49.276) (width 0.15) (layer F.Cu) (net 23)) + (segment (start 134.62 58.801) (end 134.62 53.0225) (width 0.15) (layer F.Cu) (net 23)) + (segment (start 130.8735 49.276) (end 123.825 49.276) (width 0.15) (layer F.Cu) (net 23)) + (segment (start 135.255 59.436) (end 134.62 58.801) (width 0.15) (layer F.Cu) (net 23)) + (segment (start 152.9715 60.7695) (end 154.305 59.436) (width 0.15) (layer B.Cu) (net 23)) + (segment (start 135.255 59.817) (end 136.2075 60.7695) (width 0.15) (layer B.Cu) (net 23)) + (segment (start 136.2075 60.7695) (end 152.9715 60.7695) (width 0.15) (layer B.Cu) (net 23)) + (segment (start 135.255 59.436) (end 135.255 59.817) (width 0.15) (layer B.Cu) (net 23)) + (via (at 133.985 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 24)) + (segment (start 133.985 54.991) (end 133.985 56.896) (width 0.15) (layer F.Cu) (net 24)) + (segment (start 111.5875 105.1) (end 110.7 105.1) (width 0.15) (layer F.Cu) (net 24)) + (segment (start 110.7 105.1) (end 110.3 104.7) (width 0.15) (layer F.Cu) (net 24)) + (segment (start 110.3 104.7) (end 108.55 104.7) (width 0.15) (layer F.Cu) (net 24)) + (segment (start 108.55 104.7) (end 107.35 103.5) (width 0.15) (layer F.Cu) (net 24)) + (segment (start 130.556 49.5935) (end 123.952 49.5935) (width 0.15) (layer F.Cu) (net 24)) + (segment (start 123.952 49.5935) (end 107.35 66.1955) (width 0.15) (layer F.Cu) (net 24)) + (segment (start 133.985 53.0225) (end 130.556 49.5935) (width 0.15) (layer F.Cu) (net 24)) + (segment (start 107.35 66.1955) (end 107.35 103.5) (width 0.15) (layer F.Cu) (net 24)) + (segment (start 133.985 54.991) (end 133.985 53.0225) (width 0.15) (layer F.Cu) (net 24)) + (segment (start 155.575 54.991) (end 155.575 56.896) (width 0.15) (layer F.Cu) (net 24) (tstamp 614B1FB8)) + (via (at 155.575 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 24) (tstamp 614B1FB9)) + (segment (start 154.94 57.531) (end 155.575 56.896) (width 0.15) (layer B.Cu) (net 24)) + (segment (start 154.94 59.563) (end 154.94 57.531) (width 0.15) (layer B.Cu) (net 24)) + (segment (start 152.5905 61.9125) (end 154.94 59.563) (width 0.15) (layer B.Cu) (net 24)) + (segment (start 136.8425 61.9125) (end 152.5905 61.9125) (width 0.15) (layer B.Cu) (net 24)) + (segment (start 134.62 59.69) (end 136.8425 61.9125) (width 0.15) (layer B.Cu) (net 24)) + (segment (start 134.62 57.531) (end 134.62 59.69) (width 0.15) (layer B.Cu) (net 24)) + (segment (start 133.985 56.896) (end 134.62 57.531) (width 0.15) (layer B.Cu) (net 24)) + (via (at 135.255 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 25)) + (segment (start 135.255 54.991) (end 135.255 56.896) (width 0.15) (layer F.Cu) (net 25)) + (segment (start 106.75 65.9065) (end 106.75 106.9) (width 0.15) (layer F.Cu) (net 25)) + (segment (start 135.255 54.991) (end 135.255 53.0225) (width 0.15) (layer F.Cu) (net 25)) + (segment (start 131.191 48.9585) (end 123.698 48.9585) (width 0.15) (layer F.Cu) (net 25)) + (segment (start 123.698 48.9585) (end 106.75 65.9065) (width 0.15) (layer F.Cu) (net 25)) + (segment (start 110.25 109.5) (end 109.35 109.5) (width 0.15) (layer F.Cu) (net 25)) + (segment (start 110.65 109.1) (end 110.25 109.5) (width 0.15) (layer F.Cu) (net 25)) + (segment (start 109.35 109.5) (end 106.75 106.9) (width 0.15) (layer F.Cu) (net 25)) + (segment (start 111.5875 109.1) (end 110.65 109.1) (width 0.15) (layer F.Cu) (net 25)) + (segment (start 135.255 53.0225) (end 131.191 48.9585) (width 0.15) (layer F.Cu) (net 25)) + (segment (start 154.305 54.991) (end 154.305 56.896) (width 0.15) (layer F.Cu) (net 25) (tstamp 614B1FAE)) + (via (at 154.305 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 25) (tstamp 614B1FAF)) + (segment (start 153.67 59.563) (end 153.67 57.531) (width 0.15) (layer B.Cu) (net 25)) + (segment (start 135.255 56.896) (end 135.763 57.404) (width 0.15) (layer B.Cu) (net 25)) + (segment (start 135.763 59.817) (end 136.398 60.452) (width 0.15) (layer B.Cu) (net 25)) + (segment (start 152.781 60.452) (end 153.67 59.563) (width 0.15) (layer B.Cu) (net 25)) + (segment (start 153.67 57.531) (end 154.305 56.896) (width 0.15) (layer B.Cu) (net 25)) + (segment (start 135.763 57.404) (end 135.763 59.817) (width 0.15) (layer B.Cu) (net 25)) + (segment (start 136.398 60.452) (end 152.781 60.452) (width 0.15) (layer B.Cu) (net 25)) + (via (at 136.525 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 26)) + (segment (start 136.525 54.991) (end 136.525 56.896) (width 0.15) (layer F.Cu) (net 26)) + (segment (start 136.525 54.991) (end 136.525 52.959) (width 0.15) (layer F.Cu) (net 26)) + (segment (start 136.525 53.848) (end 136.525 56.896) (width 0.15) (layer B.Cu) (net 26)) + (segment (start 158.75 49.911) (end 140.462 49.911) (width 0.15) (layer B.Cu) (net 26)) + (segment (start 140.462 49.911) (end 136.525 53.848) (width 0.15) (layer B.Cu) (net 26)) + (segment (start 161.925 53.086) (end 158.75 49.911) (width 0.15) (layer B.Cu) (net 26)) + (segment (start 161.925 54.991) (end 161.925 53.086) (width 0.15) (layer F.Cu) (net 26)) + (via (at 161.925 53.086) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 26)) + (segment (start 136.525 52.959) (end 135.382 51.816) (width 0.15) (layer F.Cu) (net 26)) + (segment (start 110.5 109.8) (end 108.25 109.8) (width 0.15) (layer F.Cu) (net 26)) + (segment (start 106.05 65.4) (end 123.19 48.26) (width 0.15) (layer F.Cu) (net 26)) + (segment (start 123.19 48.26) (end 131.826 48.26) (width 0.15) (layer F.Cu) (net 26)) + (segment (start 131.826 48.26) (end 135.382 51.816) (width 0.15) (layer F.Cu) (net 26)) + (segment (start 106.05 107.6) (end 106.05 65.4) (width 0.15) (layer F.Cu) (net 26)) + (segment (start 110.7 109.6) (end 110.5 109.8) (width 0.15) (layer F.Cu) (net 26)) + (segment (start 108.25 109.8) (end 106.05 107.6) (width 0.15) (layer F.Cu) (net 26)) + (segment (start 111.5875 109.6) (end 110.7 109.6) (width 0.15) (layer F.Cu) (net 26)) + (via (at 137.795 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 27)) + (segment (start 137.795 61.341) (end 137.795 59.436) (width 0.15) (layer F.Cu) (net 27)) + (segment (start 139.319 57.912) (end 137.795 59.436) (width 0.15) (layer B.Cu) (net 27)) + (segment (start 139.319 55.499) (end 139.319 57.912) (width 0.15) (layer B.Cu) (net 27)) + (via (at 163.195 57.912) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 27)) + (segment (start 142.875 51.943) (end 139.319 55.499) (width 0.15) (layer B.Cu) (net 27)) + (segment (start 163.195 57.912) (end 157.226 51.943) (width 0.15) (layer B.Cu) (net 27)) + (segment (start 157.226 51.943) (end 142.875 51.943) (width 0.15) (layer B.Cu) (net 27)) + (segment (start 163.195 61.341) (end 163.195 57.912) (width 0.15) (layer F.Cu) (net 27)) + (segment (start 137.795 63.246) (end 137.795 61.341) (width 0.15) (layer F.Cu) (net 27)) + (segment (start 132.1435 68.8975) (end 137.795 63.246) (width 0.15) (layer F.Cu) (net 27)) + (segment (start 120.523 73.727) (end 125.3525 68.8975) (width 0.15) (layer F.Cu) (net 27)) + (segment (start 120.523 77.123) (end 120.523 73.727) (width 0.15) (layer F.Cu) (net 27)) + (segment (start 125.15 81.75) (end 120.523 77.123) (width 0.15) (layer F.Cu) (net 27)) + (segment (start 125.15 85.95) (end 125.15 81.75) (width 0.15) (layer F.Cu) (net 27)) + (segment (start 122.65 88.45) (end 125.15 85.95) (width 0.15) (layer F.Cu) (net 27)) + (segment (start 122.65 94.85) (end 122.65 88.45) (width 0.15) (layer F.Cu) (net 27)) + (segment (start 120.25 97.25) (end 122.65 94.85) (width 0.15) (layer F.Cu) (net 27)) + (segment (start 125.3525 68.8975) (end 132.1435 68.8975) (width 0.15) (layer F.Cu) (net 27)) + (segment (start 120.25 101.4375) (end 120.25 97.25) (width 0.15) (layer F.Cu) (net 27)) + (via (at 165.1 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 28)) + (segment (start 165.1 70.6375) (end 165.1 71.755) (width 0.15) (layer F.Cu) (net 28)) + (via (at 139.7 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 28)) + (segment (start 139.7 70.6375) (end 139.7 71.755) (width 0.15) (layer F.Cu) (net 28)) + (segment (start 164.592 71.247) (end 165.1 71.755) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 163.6395 71.247) (end 164.592 71.247) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 163.322 71.5645) (end 163.6395 71.247) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 163.322 71.755) (end 163.322 71.5645) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 162.814 72.263) (end 163.322 71.755) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 162.433 72.263) (end 162.814 72.263) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 162.1155 71.9455) (end 162.433 72.263) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 162.1155 71.34225) (end 162.1155 71.9455) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 160.94075 70.1675) (end 162.1155 71.34225) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 141.2875 70.1675) (end 160.94075 70.1675) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 139.7 71.755) (end 141.2875 70.1675) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 139.192 71.247) (end 139.7 71.755) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 137.795 71.628) (end 138.176 71.247) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 136.906 72.263) (end 137.541 72.263) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 137.795 72.009) (end 137.795 71.628) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 136.525 71.882) (end 136.906 72.263) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 138.176 71.247) (end 139.192 71.247) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 136.525 71.1835) (end 136.525 71.882) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 128.319 70.231) (end 135.5725 70.231) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 135.5725 70.231) (end 136.525 71.1835) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 137.541 72.263) (end 137.795 72.009) (width 0.15) (layer B.Cu) (net 28)) + (via (at 127.6 71.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 28)) + (segment (start 127.7 70.85) (end 128.319 70.231) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 127.7 70.95) (end 127.7 70.85) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 127.6 71.05) (end 127.7 70.95) (width 0.15) (layer B.Cu) (net 28)) + (segment (start 120.75 98.15) (end 120.75 101.4375) (width 0.15) (layer F.Cu) (net 28)) + (segment (start 123.35 88.75) (end 123.35 95.55) (width 0.15) (layer F.Cu) (net 28)) + (segment (start 125.85 86.25) (end 123.35 88.75) (width 0.15) (layer F.Cu) (net 28)) + (segment (start 123.35 95.55) (end 120.75 98.15) (width 0.15) (layer F.Cu) (net 28)) + (segment (start 121.2215 76.8715) (end 125.85 81.5) (width 0.15) (layer F.Cu) (net 28)) + (segment (start 121.2215 74.1285) (end 121.2215 76.8715) (width 0.15) (layer F.Cu) (net 28)) + (segment (start 124.3 71.05) (end 121.2215 74.1285) (width 0.15) (layer F.Cu) (net 28)) + (segment (start 125.85 81.5) (end 125.85 86.25) (width 0.15) (layer F.Cu) (net 28)) + (segment (start 127.6 71.05) (end 124.3 71.05) (width 0.15) (layer F.Cu) (net 28)) + (via (at 161.925 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 29) (tstamp 614B1FB1)) + (segment (start 161.925 61.341) (end 161.925 59.436) (width 0.15) (layer F.Cu) (net 29) (tstamp 614B1FB2)) + (segment (start 136.652 59.436) (end 136.525 59.563) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 136.525 59.563) (end 136.525 61.341) (width 0.15) (layer F.Cu) (net 29)) + (via (at 136.652 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 29)) + (via (at 137.16 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 29)) + (segment (start 137.16 70.6375) (end 137.16 71.755) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 162.56 71.6915) (end 162.6235 71.755) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 162.56 70.6375) (end 162.56 71.6915) (width 0.15) (layer F.Cu) (net 29)) + (via (at 162.6235 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 29)) + (segment (start 162.433 71.5645) (end 162.6235 71.755) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 162.433 71.13275) (end 162.433 71.5645) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 161.15025 69.85) (end 162.433 71.13275) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 141.1605 69.85) (end 161.15025 69.85) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 140.081 70.9295) (end 141.1605 69.85) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 137.9855 70.9295) (end 140.081 70.9295) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 137.16 71.755) (end 137.9855 70.9295) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 162.8775 71.755) (end 162.6235 71.755) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 163.0045 71.628) (end 162.8775 71.755) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 163.0045 71.4375) (end 163.0045 71.628) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 163.195 71.247) (end 163.0045 71.4375) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 163.195 69.469) (end 163.195 71.247) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 161.925 68.199) (end 163.195 69.469) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 161.925 59.436) (end 161.925 68.199) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 164.211 58.293) (end 163.068 59.436) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 137.795 53.721) (end 140.462 51.054) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 140.462 51.054) (end 157.988 51.054) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 164.211 57.277) (end 164.211 58.293) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 136.652 58.9915) (end 137.795 57.8485) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 157.988 51.054) (end 164.211 57.277) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 163.068 59.436) (end 161.925 59.436) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 137.795 57.8485) (end 137.795 53.721) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 136.652 59.436) (end 136.652 58.9915) (width 0.15) (layer B.Cu) (net 29)) + (segment (start 136.525 63.246) (end 136.525 61.341) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 131.8895 67.8815) (end 136.525 63.246) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 125.3185 67.8815) (end 131.8895 67.8815) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 119.8245 73.3755) (end 125.3185 67.8815) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 119.8245 77.3745) (end 119.8245 73.3755) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 124.45 82) (end 119.8245 77.3745) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 124.45 85.65) (end 124.45 82) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 121.95 94.15) (end 121.95 88.15) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 119.95 96.15) (end 121.95 94.15) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 121.95 88.15) (end 124.45 85.65) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 119.75 101.4375) (end 119.75 100.55) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 119.95 100.35) (end 119.95 96.15) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 119.75 100.55) (end 119.95 100.35) (width 0.15) (layer F.Cu) (net 29)) + (segment (start 137.795 54.991) (end 137.795 52.197) (width 0.15) (layer F.Cu) (net 30)) + (segment (start 133.1595 47.5615) (end 137.795 52.197) (width 0.15) (layer F.Cu) (net 30)) + (segment (start 122.6185 47.5615) (end 133.1595 47.5615) (width 0.15) (layer F.Cu) (net 30)) + (segment (start 105.35 64.83) (end 122.6185 47.5615) (width 0.15) (layer F.Cu) (net 30)) + (segment (start 105.35 108.275) (end 105.35 64.83) (width 0.15) (layer F.Cu) (net 30)) + (segment (start 107.175 110.1) (end 105.35 108.275) (width 0.15) (layer F.Cu) (net 30)) + (segment (start 111.5875 110.1) (end 107.175 110.1) (width 0.15) (layer F.Cu) (net 30)) + (segment (start 163.195 54.991) (end 163.195 53.086) (width 0.15) (layer F.Cu) (net 31)) + (via (at 163.195 53.086) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 31)) + (segment (start 139.954 48.514) (end 158.623 48.514) (width 0.15) (layer B.Cu) (net 31)) + (segment (start 158.623 48.514) (end 163.195 53.086) (width 0.15) (layer B.Cu) (net 31)) + (segment (start 137.8585 50.6095) (end 139.954 48.514) (width 0.15) (layer B.Cu) (net 31)) + (via (at 137.8585 50.6095) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 31)) + (segment (start 104.65 64.387) (end 122.174 46.863) (width 0.15) (layer F.Cu) (net 31)) + (segment (start 104.65 109) (end 104.65 64.387) (width 0.15) (layer F.Cu) (net 31)) + (segment (start 110.5 110.4) (end 106.05 110.4) (width 0.15) (layer F.Cu) (net 31)) + (segment (start 122.174 46.863) (end 134.112 46.863) (width 0.15) (layer F.Cu) (net 31)) + (segment (start 106.05 110.4) (end 104.65 109) (width 0.15) (layer F.Cu) (net 31)) + (segment (start 134.112 46.863) (end 137.8585 50.6095) (width 0.15) (layer F.Cu) (net 31)) + (segment (start 110.7 110.6) (end 110.5 110.4) (width 0.15) (layer F.Cu) (net 31)) + (segment (start 111.5875 110.6) (end 110.7 110.6) (width 0.15) (layer F.Cu) (net 31)) + (via (at 140.97 90.17) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 32)) + (segment (start 140.97 91.5785) (end 140.97 90.17) (width 0.15) (layer F.Cu) (net 32)) + (via (at 121.75 100.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 32)) + (segment (start 121.75 101.4375) (end 121.75 100.3) (width 0.15) (layer F.Cu) (net 32)) + (segment (start 139.8 89) (end 140.97 90.17) (width 0.15) (layer B.Cu) (net 32)) + (segment (start 137.95 89) (end 139.8 89) (width 0.15) (layer B.Cu) (net 32)) + (segment (start 135.65 91.3) (end 137.95 89) (width 0.15) (layer B.Cu) (net 32)) + (segment (start 134.7 91.3) (end 135.65 91.3) (width 0.15) (layer B.Cu) (net 32)) + (segment (start 133.75 92.25) (end 134.7 91.3) (width 0.15) (layer B.Cu) (net 32)) + (segment (start 133.75 95.25) (end 133.75 92.25) (width 0.15) (layer B.Cu) (net 32)) + (segment (start 132.7 96.3) (end 133.75 95.25) (width 0.15) (layer B.Cu) (net 32)) + (segment (start 129.5 96.3) (end 132.7 96.3) (width 0.15) (layer B.Cu) (net 32)) + (segment (start 127.7 98.1) (end 129.5 96.3) (width 0.15) (layer B.Cu) (net 32)) + (segment (start 124.25 98.1) (end 127.7 98.1) (width 0.15) (layer B.Cu) (net 32)) + (segment (start 123.553002 98.796998) (end 124.25 98.1) (width 0.15) (layer B.Cu) (net 32)) + (segment (start 123.553002 98.8) (end 123.553002 98.796998) (width 0.15) (layer B.Cu) (net 32)) + (segment (start 122.053002 100.3) (end 123.553002 98.8) (width 0.15) (layer B.Cu) (net 32)) + (segment (start 121.75 100.3) (end 122.053002 100.3) (width 0.15) (layer B.Cu) (net 32)) + (via (at 123.25 103.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 33) (tstamp 614A5A89)) + (segment (start 123.25 101.4375) (end 123.25 103.45) (width 0.15) (layer F.Cu) (net 33) (tstamp 614A5A8C)) + (via (at 139.7 90.17) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 33)) + (segment (start 139.7 91.5785) (end 139.7 90.17) (width 0.15) (layer F.Cu) (net 33)) + (segment (start 138.83 89.3) (end 139.7 90.17) (width 0.15) (layer B.Cu) (net 33)) + (segment (start 135.8 91.6) (end 138.1 89.3) (width 0.15) (layer B.Cu) (net 33)) + (segment (start 134.05 92.4) (end 134.85 91.6) (width 0.15) (layer B.Cu) (net 33)) + (segment (start 134.05 95.4) (end 134.05 92.4) (width 0.15) (layer B.Cu) (net 33)) + (segment (start 132.9335 100.2665) (end 133.8 99.4) (width 0.15) (layer B.Cu) (net 33)) + (segment (start 134.85 91.6) (end 135.8 91.6) (width 0.15) (layer B.Cu) (net 33)) + (segment (start 127.762 100.2665) (end 132.9335 100.2665) (width 0.15) (layer B.Cu) (net 33)) + (segment (start 138.1 89.3) (end 138.83 89.3) (width 0.15) (layer B.Cu) (net 33)) + (segment (start 127.1905 100.838) (end 127.762 100.2665) (width 0.15) (layer B.Cu) (net 33)) + (segment (start 122.95 103.45) (end 122.25 102.75) (width 0.15) (layer B.Cu) (net 33)) + (segment (start 122.25 102.3495) (end 123.7615 100.838) (width 0.15) (layer B.Cu) (net 33)) + (segment (start 133.8 95.65) (end 134.05 95.4) (width 0.15) (layer B.Cu) (net 33)) + (segment (start 133.8 99.4) (end 133.8 95.65) (width 0.15) (layer B.Cu) (net 33)) + (segment (start 123.7615 100.838) (end 127.1905 100.838) (width 0.15) (layer B.Cu) (net 33)) + (segment (start 122.25 102.75) (end 122.25 102.3495) (width 0.15) (layer B.Cu) (net 33)) + (segment (start 123.25 103.45) (end 122.95 103.45) (width 0.15) (layer B.Cu) (net 33)) + (via (at 122.25 99.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 34)) + (segment (start 122.25 101.4375) (end 122.25 99.4) (width 0.15) (layer F.Cu) (net 34)) + (via (at 142.24 90.17) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 34)) + (segment (start 142.24 91.5785) (end 142.24 90.17) (width 0.15) (layer F.Cu) (net 34)) + (segment (start 124.1 97.8) (end 122.5 99.4) (width 0.15) (layer B.Cu) (net 34)) + (segment (start 127.55 97.8) (end 124.1 97.8) (width 0.15) (layer B.Cu) (net 34)) + (segment (start 129.35 96) (end 127.55 97.8) (width 0.15) (layer B.Cu) (net 34)) + (segment (start 132.55 96) (end 129.35 96) (width 0.15) (layer B.Cu) (net 34)) + (segment (start 122.5 99.4) (end 122.25 99.4) (width 0.15) (layer B.Cu) (net 34)) + (segment (start 133.45 95.1) (end 132.55 96) (width 0.15) (layer B.Cu) (net 34)) + (segment (start 133.45 92.1) (end 133.45 95.1) (width 0.15) (layer B.Cu) (net 34)) + (segment (start 134.55 91) (end 133.45 92.1) (width 0.15) (layer B.Cu) (net 34)) + (segment (start 135.52325 91) (end 134.55 91) (width 0.15) (layer B.Cu) (net 34)) + (segment (start 140.77 88.7) (end 137.82325 88.7) (width 0.15) (layer B.Cu) (net 34)) + (segment (start 137.82325 88.7) (end 135.52325 91) (width 0.15) (layer B.Cu) (net 34)) + (segment (start 142.24 90.17) (end 140.77 88.7) (width 0.15) (layer B.Cu) (net 34)) + (via (at 124.25 103.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 35) (tstamp 614A5ACB)) + (segment (start 124.25 101.4375) (end 124.25 103.45) (width 0.15) (layer F.Cu) (net 35) (tstamp 614A5AC5)) + (segment (start 135.509 93.091) (end 136.9175 93.091) (width 0.15) (layer F.Cu) (net 35)) + (via (at 135.509 93.091) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 35)) + (segment (start 125.5 102.2) (end 124.25 103.45) (width 0.15) (layer B.Cu) (net 35)) + (segment (start 133.25 102.2) (end 125.5 102.2) (width 0.15) (layer B.Cu) (net 35)) + (segment (start 136.45 94.032) (end 136.45 99) (width 0.15) (layer B.Cu) (net 35)) + (segment (start 136.45 99) (end 133.25 102.2) (width 0.15) (layer B.Cu) (net 35)) + (segment (start 135.509 93.091) (end 136.45 94.032) (width 0.15) (layer B.Cu) (net 35)) + (segment (start 138.43 110.871) (end 136.9175 110.871) (width 0.15) (layer F.Cu) (net 36)) + (segment (start 139.7 112.141) (end 138.43 110.871) (width 0.15) (layer F.Cu) (net 36)) + (via (at 139.7 112.141) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 36)) + (via (at 121.25 115.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 36) (tstamp 614A5933)) + (segment (start 121.25 116.7625) (end 121.25 115.65) (width 0.15) (layer F.Cu) (net 36) (tstamp 614A5939)) + (segment (start 121.25 116.2) (end 121.25 115.65) (width 0.15) (layer B.Cu) (net 36)) + (segment (start 123.75 118.7) (end 121.25 116.2) (width 0.15) (layer B.Cu) (net 36)) + (segment (start 126.15 118.15) (end 125.6 118.7) (width 0.15) (layer B.Cu) (net 36)) + (segment (start 125.6 118.7) (end 123.75 118.7) (width 0.15) (layer B.Cu) (net 36)) + (segment (start 133.691 118.15) (end 126.15 118.15) (width 0.15) (layer B.Cu) (net 36)) + (segment (start 139.7 112.141) (end 133.691 118.15) (width 0.15) (layer B.Cu) (net 36)) + (via (at 121.75 114.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 614A592D)) + (segment (start 121.75 116.7625) (end 121.75 114.75) (width 0.15) (layer F.Cu) (net 37) (tstamp 614A5936)) + (segment (start 135.509 109.601) (end 136.9175 109.601) (width 0.15) (layer F.Cu) (net 37)) + (via (at 133.9 112.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) + (segment (start 133.9 112.65) (end 133.9 111.21) (width 0.15) (layer F.Cu) (net 37)) + (segment (start 133.9 111.21) (end 135.509 109.601) (width 0.15) (layer F.Cu) (net 37)) + (segment (start 121.75 116.25) (end 121.75 114.75) (width 0.15) (layer B.Cu) (net 37)) + (segment (start 123.9 118.4) (end 121.75 116.25) (width 0.15) (layer B.Cu) (net 37)) + (segment (start 125.45 118.4) (end 123.9 118.4) (width 0.15) (layer B.Cu) (net 37)) + (segment (start 126.05 117.8) (end 125.45 118.4) (width 0.15) (layer B.Cu) (net 37)) + (segment (start 128.75 117.8) (end 126.05 117.8) (width 0.15) (layer B.Cu) (net 37)) + (segment (start 133.9 112.65) (end 128.75 117.8) (width 0.15) (layer B.Cu) (net 37)) + (via (at 123.75 102.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 38) (tstamp 614A5AC8)) + (segment (start 123.75 101.4375) (end 123.75 102.55) (width 0.15) (layer F.Cu) (net 38) (tstamp 614A5ACE)) + (via (at 138.43 90.17) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 38)) + (segment (start 138.43 91.5785) (end 138.43 90.17) (width 0.15) (layer F.Cu) (net 38)) + (via (at 174.55 101) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 38)) + (segment (start 176.65 101) (end 174.55 101) (width 0.15) (layer F.Cu) (net 38)) + (segment (start 164.609 91.059) (end 174.55 101) (width 0.15) (layer B.Cu) (net 38)) + (segment (start 139.2555 91.059) (end 164.609 91.059) (width 0.15) (layer B.Cu) (net 38)) + (segment (start 138.43 90.2335) (end 139.2555 91.059) (width 0.15) (layer B.Cu) (net 38)) + (segment (start 138.43 90.17) (end 138.43 90.2335) (width 0.15) (layer B.Cu) (net 38)) + (segment (start 124.827 101.473) (end 123.75 102.55) (width 0.15) (layer B.Cu) (net 38)) + (segment (start 132.9055 101.473) (end 124.827 101.473) (width 0.15) (layer B.Cu) (net 38)) + (segment (start 134.45 99.9285) (end 132.9055 101.473) (width 0.15) (layer B.Cu) (net 38)) + (segment (start 134.45 95.95) (end 134.45 99.9285) (width 0.15) (layer B.Cu) (net 38)) + (segment (start 134.7 95.7) (end 134.45 95.95) (width 0.15) (layer B.Cu) (net 38)) + (segment (start 134.7 92.7) (end 134.7 95.7) (width 0.15) (layer B.Cu) (net 38)) + (segment (start 135.15 92.25) (end 134.7 92.7) (width 0.15) (layer B.Cu) (net 38)) + (segment (start 136.35 92.25) (end 135.15 92.25) (width 0.15) (layer B.Cu) (net 38)) + (segment (start 138.43 90.17) (end 136.35 92.25) (width 0.15) (layer B.Cu) (net 38)) + (segment (start 111.5875 104.1) (end 108.85 104.1) (width 0.15) (layer F.Cu) (net 39)) + (segment (start 108.85 104.1) (end 107.95 103.2) (width 0.15) (layer F.Cu) (net 39)) + (via (at 132.715 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 39)) + (segment (start 132.715 54.991) (end 132.715 56.896) (width 0.15) (layer F.Cu) (net 39)) + (segment (start 124.206 50.2285) (end 107.95 66.4845) (width 0.15) (layer F.Cu) (net 39)) + (segment (start 107.95 66.4845) (end 107.95 103.2) (width 0.15) (layer F.Cu) (net 39)) + (segment (start 129.921 50.2285) (end 124.206 50.2285) (width 0.15) (layer F.Cu) (net 39)) + (segment (start 132.715 53.0225) (end 129.921 50.2285) (width 0.15) (layer F.Cu) (net 39)) + (segment (start 132.715 54.991) (end 132.715 53.0225) (width 0.15) (layer F.Cu) (net 39)) + (segment (start 156.845 54.991) (end 156.845 56.896) (width 0.15) (layer F.Cu) (net 39) (tstamp 614B1FAC)) + (via (at 156.845 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 39) (tstamp 614B1FAD)) + (segment (start 153.2255 62.5475) (end 156.21 59.563) (width 0.15) (layer B.Cu) (net 39)) + (segment (start 156.21 57.531) (end 156.845 56.896) (width 0.15) (layer B.Cu) (net 39)) + (segment (start 136.3345 62.5475) (end 153.2255 62.5475) (width 0.15) (layer B.Cu) (net 39)) + (segment (start 132.715 56.896) (end 133.35 57.531) (width 0.15) (layer B.Cu) (net 39)) + (segment (start 133.35 59.563) (end 136.3345 62.5475) (width 0.15) (layer B.Cu) (net 39)) + (segment (start 156.21 59.563) (end 156.21 57.531) (width 0.15) (layer B.Cu) (net 39)) + (segment (start 133.35 57.531) (end 133.35 59.563) (width 0.15) (layer B.Cu) (net 39)) + (via (at 158.115 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 40) (tstamp 614B1F9C)) + (segment (start 158.115 54.991) (end 158.115 56.896) (width 0.15) (layer F.Cu) (net 40) (tstamp 614B1F9D)) + (segment (start 158.115 56.896) (end 157.48 57.531) (width 0.15) (layer B.Cu) (net 40)) + (segment (start 157.48 57.531) (end 157.48 60.198) (width 0.15) (layer B.Cu) (net 40)) + (segment (start 157.48 60.198) (end 153.4795 64.1985) (width 0.15) (layer B.Cu) (net 40)) + (segment (start 113.25 101.4375) (end 113.25 100.55) (width 0.15) (layer F.Cu) (net 40)) + (segment (start 113.25 100.55) (end 108.55 95.85) (width 0.15) (layer F.Cu) (net 40)) + (via (at 131.445 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 40)) + (segment (start 131.445 54.991) (end 131.445 56.896) (width 0.15) (layer F.Cu) (net 40)) + (segment (start 124.46 50.8635) (end 108.55 66.7735) (width 0.15) (layer F.Cu) (net 40)) + (segment (start 129.286 50.8635) (end 124.46 50.8635) (width 0.15) (layer F.Cu) (net 40)) + (segment (start 108.55 66.7735) (end 108.55 95.85) (width 0.15) (layer F.Cu) (net 40)) + (segment (start 131.445 53.0225) (end 129.286 50.8635) (width 0.15) (layer F.Cu) (net 40)) + (segment (start 131.445 54.991) (end 131.445 53.0225) (width 0.15) (layer F.Cu) (net 40)) + (segment (start 136.7155 64.1985) (end 153.4795 64.1985) (width 0.15) (layer B.Cu) (net 40)) + (segment (start 132.08 59.563) (end 136.7155 64.1985) (width 0.15) (layer B.Cu) (net 40)) + (segment (start 132.08 57.531) (end 132.08 59.563) (width 0.15) (layer B.Cu) (net 40)) + (segment (start 131.445 56.896) (end 132.08 57.531) (width 0.15) (layer B.Cu) (net 40)) + (via (at 159.385 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 41) (tstamp 614B1FBA)) + (segment (start 159.385 54.991) (end 159.385 56.896) (width 0.15) (layer F.Cu) (net 41) (tstamp 614B1FBB)) + (segment (start 159.385 56.896) (end 158.75 57.531) (width 0.15) (layer B.Cu) (net 41)) + (segment (start 158.75 57.531) (end 158.75 59.944) (width 0.15) (layer B.Cu) (net 41)) + (segment (start 158.75 59.944) (end 153.8605 64.8335) (width 0.15) (layer B.Cu) (net 41)) + (via (at 130.175 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 41)) + (segment (start 130.175 54.991) (end 130.175 56.896) (width 0.15) (layer F.Cu) (net 41)) + (segment (start 130.175 53.0225) (end 130.175 54.991) (width 0.15) (layer F.Cu) (net 41)) + (segment (start 128.651 51.4985) (end 130.175 53.0225) (width 0.15) (layer F.Cu) (net 41)) + (segment (start 124.714 51.4985) (end 128.651 51.4985) (width 0.15) (layer F.Cu) (net 41)) + (segment (start 109.15 67.0625) (end 124.714 51.4985) (width 0.15) (layer F.Cu) (net 41)) + (segment (start 109.15 95.55) (end 109.15 67.0625) (width 0.15) (layer F.Cu) (net 41)) + (segment (start 113.1 99.5) (end 109.15 95.55) (width 0.15) (layer F.Cu) (net 41)) + (segment (start 114.65 99.5) (end 113.1 99.5) (width 0.15) (layer F.Cu) (net 41)) + (segment (start 114.95 99.8) (end 114.65 99.5) (width 0.15) (layer F.Cu) (net 41)) + (segment (start 116.45 99.8) (end 114.95 99.8) (width 0.15) (layer F.Cu) (net 41)) + (segment (start 116.75 100.1) (end 116.45 99.8) (width 0.15) (layer F.Cu) (net 41)) + (segment (start 116.75 101.4375) (end 116.75 100.1) (width 0.15) (layer F.Cu) (net 41)) + (segment (start 136.0805 64.8335) (end 153.8605 64.8335) (width 0.15) (layer B.Cu) (net 41)) + (segment (start 130.81 57.531) (end 130.81 59.563) (width 0.15) (layer B.Cu) (net 41)) + (segment (start 130.81 59.563) (end 136.0805 64.8335) (width 0.15) (layer B.Cu) (net 41)) + (segment (start 130.175 56.896) (end 130.81 57.531) (width 0.15) (layer B.Cu) (net 41)) + (segment (start 160.655 54.991) (end 160.655 56.896) (width 0.15) (layer F.Cu) (net 42) (tstamp 614B1FA2)) + (via (at 160.655 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 42) (tstamp 614B1FA3)) + (segment (start 160.655 56.896) (end 160.02 57.531) (width 0.15) (layer B.Cu) (net 42)) + (segment (start 160.02 57.531) (end 160.02 59.69) (width 0.15) (layer B.Cu) (net 42)) + (segment (start 160.02 59.69) (end 154.2415 65.4685) (width 0.15) (layer B.Cu) (net 42)) + (via (at 128.905 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 42)) + (segment (start 128.905 54.991) (end 128.905 56.896) (width 0.15) (layer F.Cu) (net 42)) + (via (at 117.75 103.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 42)) + (segment (start 117.75 101.4375) (end 117.75 103.45) (width 0.15) (layer F.Cu) (net 42)) + (segment (start 128.905 53.0225) (end 128.905 54.991) (width 0.15) (layer F.Cu) (net 42)) + (segment (start 128.016 52.1335) (end 128.905 53.0225) (width 0.15) (layer F.Cu) (net 42)) + (segment (start 124.968 52.1335) (end 128.016 52.1335) (width 0.15) (layer F.Cu) (net 42)) + (segment (start 109.75 67.3515) (end 124.968 52.1335) (width 0.15) (layer F.Cu) (net 42)) + (segment (start 109.75 95.25) (end 109.75 67.3515) (width 0.15) (layer F.Cu) (net 42)) + (segment (start 113.2 98.7) (end 109.75 95.25) (width 0.15) (layer F.Cu) (net 42)) + (segment (start 113.45 98.7) (end 113.2 98.7) (width 0.15) (layer F.Cu) (net 42)) + (via (at 113.45 98.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 42)) + (segment (start 114.25 99.2) (end 114.5 99.2) (width 0.15) (layer B.Cu) (net 42)) + (segment (start 114.5 99.2) (end 114.95 99.65) (width 0.15) (layer B.Cu) (net 42)) + (segment (start 114.95 99.65) (end 116.3 99.65) (width 0.15) (layer B.Cu) (net 42)) + (segment (start 116.3 99.65) (end 116.75 100.1) (width 0.15) (layer B.Cu) (net 42)) + (segment (start 116.75 102.45) (end 117.75 103.45) (width 0.15) (layer B.Cu) (net 42)) + (segment (start 113.75 98.7) (end 114.25 99.2) (width 0.15) (layer B.Cu) (net 42)) + (segment (start 116.75 100.1) (end 116.75 102.45) (width 0.15) (layer B.Cu) (net 42)) + (segment (start 113.45 98.7) (end 113.75 98.7) (width 0.15) (layer B.Cu) (net 42)) + (segment (start 129.54 59.563) (end 135.4455 65.4685) (width 0.15) (layer B.Cu) (net 42)) + (segment (start 129.54 57.531) (end 129.54 59.563) (width 0.15) (layer B.Cu) (net 42)) + (segment (start 135.4455 65.4685) (end 154.2415 65.4685) (width 0.15) (layer B.Cu) (net 42)) + (segment (start 128.905 56.896) (end 129.54 57.531) (width 0.15) (layer B.Cu) (net 42)) + (via (at 115.75 114.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 43) (tstamp 614A59D8)) + (segment (start 115.75 116.7625) (end 115.75 114.75) (width 0.15) (layer F.Cu) (net 43) (tstamp 614A59D2)) + (segment (start 197.612 116.332) (end 197.612 109.728) (width 0.15) (layer B.Cu) (net 43)) + (segment (start 174.752 121.285) (end 192.659 121.285) (width 0.15) (layer B.Cu) (net 43)) + (segment (start 174.117 120.65) (end 174.752 121.285) (width 0.15) (layer B.Cu) (net 43)) + (segment (start 192.659 121.285) (end 197.612 116.332) (width 0.15) (layer B.Cu) (net 43)) + (segment (start 167.513 120.65) (end 174.117 120.65) (width 0.15) (layer B.Cu) (net 43)) + (segment (start 115.55 120.503) (end 119.38 124.333) (width 0.15) (layer B.Cu) (net 43)) + (segment (start 163.83 124.333) (end 167.513 120.65) (width 0.15) (layer B.Cu) (net 43)) + (segment (start 115.55 116.05) (end 115.55 120.503) (width 0.15) (layer B.Cu) (net 43)) + (segment (start 119.38 124.333) (end 163.83 124.333) (width 0.15) (layer B.Cu) (net 43)) + (segment (start 115.75 115.85) (end 115.55 116.05) (width 0.15) (layer B.Cu) (net 43)) + (segment (start 197.612 109.728) (end 200.66 106.68) (width 0.15) (layer B.Cu) (net 43)) + (segment (start 115.75 114.75) (end 115.75 115.85) (width 0.15) (layer B.Cu) (net 43)) + (via (at 113.6 114.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 44) (tstamp 614A59F0)) + (segment (start 111.5875 114.6) (end 113.6 114.6) (width 0.15) (layer F.Cu) (net 44) (tstamp 614A59E4)) + (segment (start 197.993 124.333) (end 200.66 127) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 173.736 124.333) (end 197.993 124.333) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 173.101 123.698) (end 173.736 124.333) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 168.529 123.698) (end 173.101 123.698) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 165.354 126.873) (end 168.529 123.698) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 116.713 126.873) (end 165.354 126.873) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 113.59 123.75) (end 116.713 126.873) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 113.59 123.74) (end 113.59 123.75) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 113.15 123.3) (end 113.59 123.74) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 113.15 119.8) (end 113.15 123.3) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 113.95 119) (end 113.15 119.8) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 113.95 117.55) (end 113.95 119) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 113.2 115) (end 113.2 115.85) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 113.6 117.2) (end 113.95 117.55) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 113.6 116.25) (end 113.6 117.2) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 113.2 115.85) (end 113.6 116.25) (width 0.15) (layer B.Cu) (net 44)) + (segment (start 113.6 114.6) (end 113.2 115) (width 0.15) (layer B.Cu) (net 44)) + (via (at 114.75 114.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 45) (tstamp 614A5978)) + (segment (start 114.75 116.7625) (end 114.75 114.75) (width 0.15) (layer F.Cu) (net 45) (tstamp 614A596F)) + (segment (start 199.136 113.284) (end 200.66 111.76) (width 0.15) (layer B.Cu) (net 45)) + (segment (start 174.244 122.809) (end 193.45275 122.809) (width 0.15) (layer B.Cu) (net 45)) + (segment (start 199.136 117.12575) (end 199.136 113.284) (width 0.15) (layer B.Cu) (net 45)) + (segment (start 193.45275 122.809) (end 199.136 117.12575) (width 0.15) (layer B.Cu) (net 45)) + (segment (start 173.609 122.174) (end 174.244 122.809) (width 0.15) (layer B.Cu) (net 45)) + (segment (start 164.592 125.603) (end 168.021 122.174) (width 0.15) (layer B.Cu) (net 45)) + (segment (start 117.221 125.603) (end 164.592 125.603) (width 0.15) (layer B.Cu) (net 45)) + (segment (start 114.95 116.05) (end 114.95 123.332) (width 0.15) (layer B.Cu) (net 45)) + (segment (start 168.021 122.174) (end 173.609 122.174) (width 0.15) (layer B.Cu) (net 45)) + (segment (start 114.95 123.332) (end 117.221 125.603) (width 0.15) (layer B.Cu) (net 45)) + (segment (start 114.75 115.85) (end 114.95 116.05) (width 0.15) (layer B.Cu) (net 45)) + (segment (start 114.75 114.75) (end 114.75 115.85) (width 0.15) (layer B.Cu) (net 45)) + (segment (start 115.25 116.7625) (end 115.25 115.65) (width 0.15) (layer F.Cu) (net 46) (tstamp 614A5972)) + (via (at 115.25 115.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 46) (tstamp 614A5975)) + (segment (start 115.25 121.727) (end 115.25 115.65) (width 0.15) (layer B.Cu) (net 46)) + (segment (start 118.491 124.968) (end 115.25 121.727) (width 0.15) (layer B.Cu) (net 46)) + (segment (start 164.211 124.968) (end 118.491 124.968) (width 0.15) (layer B.Cu) (net 46)) + (segment (start 167.767 121.412) (end 164.211 124.968) (width 0.15) (layer B.Cu) (net 46)) + (segment (start 173.863 121.412) (end 167.767 121.412) (width 0.15) (layer B.Cu) (net 46)) + (segment (start 174.498 122.047) (end 173.863 121.412) (width 0.15) (layer B.Cu) (net 46)) + (segment (start 193.04 122.047) (end 174.498 122.047) (width 0.15) (layer B.Cu) (net 46)) + (segment (start 198.374 116.713) (end 193.04 122.047) (width 0.15) (layer B.Cu) (net 46)) + (segment (start 198.374 111.506) (end 198.374 116.713) (width 0.15) (layer B.Cu) (net 46)) + (segment (start 200.66 109.22) (end 198.374 111.506) (width 0.15) (layer B.Cu) (net 46)) + (via (at 114.25 115.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 47) (tstamp 614A5AD1)) + (segment (start 114.25 116.7625) (end 114.25 115.65) (width 0.15) (layer F.Cu) (net 47) (tstamp 614A5AD4)) + (segment (start 114.65 119.7) (end 114.65 116.25) (width 0.15) (layer B.Cu) (net 47)) + (segment (start 173.355 122.936) (end 168.275 122.936) (width 0.15) (layer B.Cu) (net 47)) + (segment (start 113.95 120.4) (end 114.65 119.7) (width 0.15) (layer B.Cu) (net 47)) + (segment (start 173.99 123.571) (end 173.355 122.936) (width 0.15) (layer B.Cu) (net 47)) + (segment (start 114.65 116.25) (end 114.25 115.85) (width 0.15) (layer B.Cu) (net 47)) + (segment (start 164.973 126.238) (end 116.967 126.238) (width 0.15) (layer B.Cu) (net 47)) + (segment (start 168.275 122.936) (end 164.973 126.238) (width 0.15) (layer B.Cu) (net 47)) + (segment (start 116.967 126.238) (end 113.95 123.221) (width 0.15) (layer B.Cu) (net 47)) + (segment (start 200.66 116.84) (end 193.929 123.571) (width 0.15) (layer B.Cu) (net 47)) + (segment (start 114.25 115.85) (end 114.25 115.65) (width 0.15) (layer B.Cu) (net 47)) + (segment (start 193.929 123.571) (end 173.99 123.571) (width 0.15) (layer B.Cu) (net 47)) + (segment (start 113.95 123.221) (end 113.95 120.4) (width 0.15) (layer B.Cu) (net 47)) + (segment (start 113.75 117.8) (end 113.75 116.7625) (width 0.15) (layer F.Cu) (net 48)) + (segment (start 113.1 118.45) (end 113.75 117.8) (width 0.15) (layer F.Cu) (net 48)) + (via (at 113.1 118.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 48)) + (segment (start 196.215 125.095) (end 200.66 129.54) (width 0.15) (layer B.Cu) (net 48)) + (segment (start 173.482 125.095) (end 196.215 125.095) (width 0.15) (layer B.Cu) (net 48)) + (segment (start 172.847 124.46) (end 173.482 125.095) (width 0.15) (layer B.Cu) (net 48)) + (segment (start 168.783 124.46) (end 172.847 124.46) (width 0.15) (layer B.Cu) (net 48)) + (segment (start 165.735 127.508) (end 168.783 124.46) (width 0.15) (layer B.Cu) (net 48)) + (segment (start 116.459 127.508) (end 165.735 127.508) (width 0.15) (layer B.Cu) (net 48)) + (segment (start 113.651 124.7) (end 116.459 127.508) (width 0.15) (layer B.Cu) (net 48)) + (segment (start 113.65 124.7) (end 113.651 124.7) (width 0.15) (layer B.Cu) (net 48)) + (segment (start 112.3 123.35) (end 113.65 124.7) (width 0.15) (layer B.Cu) (net 48)) + (segment (start 112.3 119.25) (end 112.3 123.35) (width 0.15) (layer B.Cu) (net 48)) + (segment (start 113.1 118.45) (end 112.3 119.25) (width 0.15) (layer B.Cu) (net 48)) + (via (at 112.7 116.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) + (segment (start 112.7125 116.7625) (end 112.7 116.75) (width 0.15) (layer F.Cu) (net 49)) + (segment (start 113.25 116.7625) (end 112.7125 116.7625) (width 0.15) (layer F.Cu) (net 49)) + (segment (start 206.629 77.47) (end 204.47 77.47) (width 0.15) (layer B.Cu) (net 49)) + (segment (start 210.312 81.153) (end 206.629 77.47) (width 0.15) (layer B.Cu) (net 49)) + (segment (start 210.312 130.683) (end 210.312 81.153) (width 0.15) (layer B.Cu) (net 49)) + (segment (start 113.75 125.7) (end 113.762 125.7) (width 0.15) (layer B.Cu) (net 49)) + (segment (start 208.026 132.969) (end 210.312 130.683) (width 0.15) (layer B.Cu) (net 49)) + (segment (start 194.183 128.143) (end 199.009 132.969) (width 0.15) (layer B.Cu) (net 49)) + (segment (start 116.205 128.143) (end 194.183 128.143) (width 0.15) (layer B.Cu) (net 49)) + (segment (start 204.47 77.47) (end 203.2 78.74) (width 0.15) (layer B.Cu) (net 49)) + (segment (start 111.45 123.4) (end 113.75 125.7) (width 0.15) (layer B.Cu) (net 49)) + (segment (start 113.762 125.7) (end 116.205 128.143) (width 0.15) (layer B.Cu) (net 49)) + (segment (start 111.45 118) (end 111.45 123.4) (width 0.15) (layer B.Cu) (net 49)) + (segment (start 199.009 132.969) (end 208.026 132.969) (width 0.15) (layer B.Cu) (net 49)) + (segment (start 112.7 116.75) (end 111.45 118) (width 0.15) (layer B.Cu) (net 49)) + (segment (start 129.2 103.1) (end 126.9125 103.1) (width 0.15) (layer F.Cu) (net 50)) + (segment (start 131.572 105.472) (end 129.2 103.1) (width 0.15) (layer F.Cu) (net 50)) + (segment (start 131.572 111.887) (end 131.572 105.472) (width 0.15) (layer F.Cu) (net 50)) + (segment (start 145.923 126.238) (end 131.572 111.887) (width 0.15) (layer F.Cu) (net 50)) + (segment (start 194.818 126.238) (end 145.923 126.238) (width 0.15) (layer F.Cu) (net 50)) + (segment (start 199.9615 131.3815) (end 194.818 126.238) (width 0.15) (layer F.Cu) (net 50)) + (segment (start 207.0735 131.3815) (end 199.9615 131.3815) (width 0.15) (layer F.Cu) (net 50)) + (segment (start 207.518 130.937) (end 207.0735 131.3815) (width 0.15) (layer F.Cu) (net 50)) + (segment (start 207.518 65.278) (end 207.518 130.937) (width 0.15) (layer F.Cu) (net 50)) + (segment (start 205.74 63.5) (end 207.518 65.278) (width 0.15) (layer F.Cu) (net 50)) + (via (at 192.15 61.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 51) (tstamp 6147DBC4)) + (segment (start 191.05 61.35) (end 192.15 61.35) (width 0.15) (layer F.Cu) (net 51) (tstamp 6147DBC5)) + (via (at 177.75 70.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 51)) + (segment (start 176.65 70.45) (end 177.75 70.45) (width 0.15) (layer F.Cu) (net 51)) + (segment (start 184.2 70.45) (end 177.75 70.45) (width 0.15) (layer B.Cu) (net 51)) + (segment (start 187.5 67.15) (end 184.2 70.45) (width 0.15) (layer B.Cu) (net 51)) + (segment (start 187.5 63.75) (end 187.5 67.15) (width 0.15) (layer B.Cu) (net 51)) + (segment (start 189.9 61.35) (end 187.5 63.75) (width 0.15) (layer B.Cu) (net 51)) + (segment (start 192.15 61.35) (end 189.9 61.35) (width 0.15) (layer B.Cu) (net 51)) + (via (at 167.005 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 51)) + (segment (start 167.005 54.991) (end 167.005 56.896) (width 0.15) (layer F.Cu) (net 51)) + (segment (start 167.005 58.674) (end 167.005 56.896) (width 0.15) (layer F.Cu) (net 51)) + (segment (start 167.64 59.309) (end 167.005 58.674) (width 0.15) (layer F.Cu) (net 51)) + (segment (start 167.64 64.262) (end 167.64 59.309) (width 0.15) (layer F.Cu) (net 51)) + (segment (start 164.465 67.437) (end 167.64 64.262) (width 0.15) (layer F.Cu) (net 51)) + (segment (start 164.465 71.8185) (end 164.465 67.437) (width 0.15) (layer F.Cu) (net 51)) + (segment (start 166.3065 73.66) (end 164.465 71.8185) (width 0.15) (layer F.Cu) (net 51)) + (segment (start 168.1275 73.66) (end 166.3065 73.66) (width 0.15) (layer F.Cu) (net 51)) + (segment (start 161.925 93.091) (end 160.39 93.091) (width 0.15) (layer F.Cu) (net 51)) + (segment (start 172.085 82.931) (end 161.925 93.091) (width 0.15) (layer F.Cu) (net 51)) + (segment (start 172.085 76.454) (end 172.085 82.931) (width 0.15) (layer F.Cu) (net 51)) + (segment (start 169.291 73.66) (end 172.085 76.454) (width 0.15) (layer F.Cu) (net 51)) + (segment (start 168.1275 73.66) (end 169.291 73.66) (width 0.15) (layer F.Cu) (net 51)) + (segment (start 176.65 70.45) (end 173.4 70.45) (width 0.15) (layer F.Cu) (net 51)) + (via (at 173.4 70.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 51)) + (segment (start 167.64 57.531) (end 167.005 56.896) (width 0.15) (layer B.Cu) (net 51)) + (segment (start 167.64 64.74) (end 167.64 57.531) (width 0.15) (layer B.Cu) (net 51)) + (segment (start 173.35 70.45) (end 167.64 64.74) (width 0.15) (layer B.Cu) (net 51)) + (segment (start 173.4 70.45) (end 173.35 70.45) (width 0.15) (layer B.Cu) (net 51)) + (segment (start 192.95 60.05) (end 191.05 60.05) (width 0.15) (layer F.Cu) (net 52)) + (via (at 192.95 60.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 52)) + (via (at 177.75 69.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 52)) + (segment (start 176.65 69.15) (end 177.75 69.15) (width 0.15) (layer F.Cu) (net 52)) + (segment (start 189.75 60.2) (end 192.8 60.2) (width 0.15) (layer B.Cu) (net 52)) + (segment (start 192.8 60.2) (end 192.95 60.05) (width 0.15) (layer B.Cu) (net 52)) + (segment (start 184.45 69.3) (end 186.9 66.85) (width 0.15) (layer B.Cu) (net 52)) + (segment (start 186.9 66.85) (end 186.9 63.05) (width 0.15) (layer B.Cu) (net 52)) + (segment (start 186.9 63.05) (end 189.75 60.2) (width 0.15) (layer B.Cu) (net 52)) + (segment (start 177.9 69.3) (end 184.45 69.3) (width 0.15) (layer B.Cu) (net 52)) + (segment (start 177.75 69.15) (end 177.9 69.3) (width 0.15) (layer B.Cu) (net 52)) + (via (at 168.275 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 52)) + (segment (start 168.275 56.896) (end 168.275 54.991) (width 0.15) (layer F.Cu) (net 52)) + (segment (start 168.275 58.674) (end 168.275 56.896) (width 0.15) (layer F.Cu) (net 52)) + (segment (start 168.91 59.309) (end 168.275 58.674) (width 0.15) (layer F.Cu) (net 52)) + (segment (start 168.91 64.262) (end 168.91 59.309) (width 0.15) (layer F.Cu) (net 52)) + (segment (start 166.37 66.802) (end 168.91 64.262) (width 0.15) (layer F.Cu) (net 52)) + (segment (start 166.37 70.6375) (end 166.37 66.802) (width 0.15) (layer F.Cu) (net 52)) + (segment (start 173.609 74.93) (end 169.3165 70.6375) (width 0.15) (layer F.Cu) (net 52)) + (segment (start 173.609 84.201) (end 173.609 74.93) (width 0.15) (layer F.Cu) (net 52)) + (segment (start 162.179 95.631) (end 173.609 84.201) (width 0.15) (layer F.Cu) (net 52)) + (segment (start 169.3165 70.6375) (end 166.37 70.6375) (width 0.15) (layer F.Cu) (net 52)) + (segment (start 160.39 95.631) (end 162.179 95.631) (width 0.15) (layer F.Cu) (net 52)) + (segment (start 176.65 69.15) (end 173.4 69.15) (width 0.15) (layer F.Cu) (net 52)) + (via (at 173.4 69.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 52)) + (segment (start 168.91 57.531) (end 168.275 56.896) (width 0.15) (layer B.Cu) (net 52)) + (segment (start 168.91 64.71) (end 168.91 57.531) (width 0.15) (layer B.Cu) (net 52)) + (segment (start 173.35 69.15) (end 168.91 64.71) (width 0.15) (layer B.Cu) (net 52)) + (segment (start 173.4 69.15) (end 173.35 69.15) (width 0.15) (layer B.Cu) (net 52)) + (segment (start 191.05 62) (end 189.95 62) (width 0.15) (layer F.Cu) (net 53) (tstamp 6147DBC6)) + (via (at 189.95 62) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 53) (tstamp 6147DBC7)) + (via (at 178.55 71.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 53)) + (segment (start 176.65 71.1) (end 178.55 71.1) (width 0.15) (layer F.Cu) (net 53)) + (segment (start 189.9 62) (end 189.95 62) (width 0.15) (layer B.Cu) (net 53)) + (segment (start 187.8 64.1) (end 189.9 62) (width 0.15) (layer B.Cu) (net 53)) + (segment (start 187.8 67.3) (end 187.8 64.1) (width 0.15) (layer B.Cu) (net 53)) + (segment (start 184 71.1) (end 187.8 67.3) (width 0.15) (layer B.Cu) (net 53)) + (segment (start 178.55 71.1) (end 184 71.1) (width 0.15) (layer B.Cu) (net 53)) + (segment (start 167.005 61.341) (end 167.005 59.436) (width 0.15) (layer F.Cu) (net 53)) + (via (at 167.005 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 53)) + (segment (start 176.65 71.1) (end 174.2 71.1) (width 0.15) (layer F.Cu) (net 53) (tstamp 6170C32A)) + (via (at 174.2 71.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 53) (tstamp 6170C32C)) + (segment (start 173.35 71.1) (end 174.2 71.1) (width 0.15) (layer B.Cu) (net 53)) + (segment (start 167.005 64.755) (end 173.35 71.1) (width 0.15) (layer B.Cu) (net 53)) + (segment (start 167.005 59.436) (end 167.005 64.755) (width 0.15) (layer B.Cu) (net 53)) + (segment (start 158.8775 91.451) (end 162.168 91.451) (width 0.15) (layer F.Cu) (net 53)) + (segment (start 169.2275 74.93) (end 168.1275 74.93) (width 0.15) (layer F.Cu) (net 53)) + (segment (start 171.323 77.0255) (end 169.2275 74.93) (width 0.15) (layer F.Cu) (net 53)) + (segment (start 162.168 91.451) (end 171.323 82.296) (width 0.15) (layer F.Cu) (net 53)) + (segment (start 171.323 82.296) (end 171.323 77.0255) (width 0.15) (layer F.Cu) (net 53)) + (segment (start 158.75 91.5785) (end 158.8775 91.451) (width 0.15) (layer F.Cu) (net 53)) + (segment (start 167.005 64.262) (end 167.005 63.246) (width 0.15) (layer F.Cu) (net 53)) + (segment (start 167.005 63.246) (end 167.005 61.341) (width 0.15) (layer F.Cu) (net 53)) + (segment (start 163.195 68.072) (end 167.005 64.262) (width 0.15) (layer F.Cu) (net 53)) + (segment (start 163.195 71.9455) (end 163.195 68.072) (width 0.15) (layer F.Cu) (net 53)) + (segment (start 166.1795 74.93) (end 163.195 71.9455) (width 0.15) (layer F.Cu) (net 53)) + (segment (start 168.1275 74.93) (end 166.1795 74.93) (width 0.15) (layer F.Cu) (net 53)) + (segment (start 191.05 60.7) (end 189.95 60.7) (width 0.15) (layer F.Cu) (net 54) (tstamp 6147DBD0)) + (via (at 189.95 60.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 54) (tstamp 6147DBD3)) + (via (at 178.55 69.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 54)) + (segment (start 176.65 69.8) (end 178.55 69.8) (width 0.15) (layer F.Cu) (net 54)) + (segment (start 189.9 60.7) (end 189.95 60.7) (width 0.15) (layer B.Cu) (net 54)) + (segment (start 184.4 69.8) (end 187.2 67) (width 0.15) (layer B.Cu) (net 54)) + (segment (start 187.2 63.4) (end 189.9 60.7) (width 0.15) (layer B.Cu) (net 54)) + (segment (start 187.2 67) (end 187.2 63.4) (width 0.15) (layer B.Cu) (net 54)) + (segment (start 178.55 69.8) (end 184.4 69.8) (width 0.15) (layer B.Cu) (net 54)) + (via (at 168.275 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 54)) + (segment (start 168.275 61.341) (end 168.275 59.436) (width 0.15) (layer F.Cu) (net 54)) + (via (at 174.2 69.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 54) (tstamp 6170C32D)) + (segment (start 176.65 69.8) (end 174.2 69.8) (width 0.15) (layer F.Cu) (net 54) (tstamp 6170C32E)) + (segment (start 172.847 83.566) (end 172.847 75.946) (width 0.15) (layer F.Cu) (net 54)) + (segment (start 172.847 75.946) (end 169.291 72.39) (width 0.15) (layer F.Cu) (net 54)) + (segment (start 162.052 94.361) (end 172.847 83.566) (width 0.15) (layer F.Cu) (net 54)) + (segment (start 169.291 72.39) (end 168.1275 72.39) (width 0.15) (layer F.Cu) (net 54)) + (segment (start 160.2625 94.361) (end 162.052 94.361) (width 0.15) (layer F.Cu) (net 54)) + (segment (start 168.275 64.725) (end 168.275 59.436) (width 0.15) (layer B.Cu) (net 54)) + (segment (start 173.35 69.8) (end 168.275 64.725) (width 0.15) (layer B.Cu) (net 54)) + (segment (start 174.2 69.8) (end 173.35 69.8) (width 0.15) (layer B.Cu) (net 54)) + (segment (start 168.275 63.246) (end 168.275 61.341) (width 0.15) (layer F.Cu) (net 54)) + (segment (start 168.275 64.262) (end 168.275 63.246) (width 0.15) (layer F.Cu) (net 54)) + (segment (start 165.735 71.6915) (end 165.735 66.802) (width 0.15) (layer F.Cu) (net 54)) + (segment (start 168.1275 72.39) (end 166.4335 72.39) (width 0.15) (layer F.Cu) (net 54)) + (segment (start 166.4335 72.39) (end 165.735 71.6915) (width 0.15) (layer F.Cu) (net 54)) + (segment (start 165.735 66.802) (end 168.275 64.262) (width 0.15) (layer F.Cu) (net 54)) + (via (at 169.164 125.349) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 55)) + (segment (start 204.47 80.01) (end 203.2 81.28) (width 0.15) (layer B.Cu) (net 55)) + (segment (start 206.756 80.01) (end 204.47 80.01) (width 0.15) (layer B.Cu) (net 55)) + (segment (start 209.423 82.677) (end 206.756 80.01) (width 0.15) (layer B.Cu) (net 55)) + (segment (start 207.645 132.334) (end 209.423 130.556) (width 0.15) (layer B.Cu) (net 55)) + (segment (start 171.196 127.381) (end 194.437 127.381) (width 0.15) (layer B.Cu) (net 55)) + (segment (start 209.423 130.556) (end 209.423 82.677) (width 0.15) (layer B.Cu) (net 55)) + (segment (start 199.39 132.334) (end 207.645 132.334) (width 0.15) (layer B.Cu) (net 55)) + (segment (start 194.437 127.381) (end 199.39 132.334) (width 0.15) (layer B.Cu) (net 55)) + (segment (start 169.164 125.349) (end 171.196 127.381) (width 0.15) (layer B.Cu) (net 55)) + (segment (start 135.509 112.141) (end 136.9175 112.141) (width 0.15) (layer F.Cu) (net 55)) + (segment (start 135.128 113.919) (end 135.128 112.522) (width 0.15) (layer F.Cu) (net 55)) + (segment (start 135.128 112.522) (end 135.509 112.141) (width 0.15) (layer F.Cu) (net 55)) + (segment (start 146.3675 125.1585) (end 135.128 113.919) (width 0.15) (layer F.Cu) (net 55)) + (segment (start 168.9735 125.1585) (end 146.3675 125.1585) (width 0.15) (layer F.Cu) (net 55)) + (segment (start 169.164 125.349) (end 168.9735 125.1585) (width 0.15) (layer F.Cu) (net 55)) + (via (at 189.95 63.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 56) (tstamp 6147DBBA)) + (segment (start 191.05 63.3) (end 189.95 63.3) (width 0.15) (layer F.Cu) (net 56) (tstamp 6147DBBB)) + (segment (start 176.65 72.4) (end 178.55 72.4) (width 0.15) (layer F.Cu) (net 56) (tstamp 614916F1)) + (via (at 178.55 72.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 56) (tstamp 614916F2)) + (segment (start 189.9 63.3) (end 189.95 63.3) (width 0.15) (layer B.Cu) (net 56)) + (segment (start 188.4 67.6) (end 188.4 64.8) (width 0.15) (layer B.Cu) (net 56)) + (segment (start 188.4 64.8) (end 189.9 63.3) (width 0.15) (layer B.Cu) (net 56)) + (segment (start 183.6 72.4) (end 188.4 67.6) (width 0.15) (layer B.Cu) (net 56)) + (segment (start 178.55 72.4) (end 183.6 72.4) (width 0.15) (layer B.Cu) (net 56)) + (segment (start 168.1275 78.74) (end 167.386 78.74) (width 0.15) (layer F.Cu) (net 56)) + (segment (start 169.799 81.407) (end 163.068 88.138) (width 0.15) (layer F.Cu) (net 56)) + (segment (start 163.068 88.138) (end 158.242 88.138) (width 0.15) (layer F.Cu) (net 56)) + (segment (start 168.1275 78.74) (end 169.291 78.74) (width 0.15) (layer F.Cu) (net 56)) + (segment (start 169.799 79.248) (end 169.799 81.407) (width 0.15) (layer F.Cu) (net 56)) + (segment (start 156.21 90.17) (end 156.21 91.5785) (width 0.15) (layer F.Cu) (net 56)) + (segment (start 158.242 88.138) (end 156.21 90.17) (width 0.15) (layer F.Cu) (net 56)) + (segment (start 169.291 78.74) (end 169.799 79.248) (width 0.15) (layer F.Cu) (net 56)) + (segment (start 165.735 61.341) (end 165.735 59.436) (width 0.15) (layer F.Cu) (net 56)) + (via (at 165.735 59.436) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 56)) + (segment (start 176.65 72.4) (end 174.2 72.4) (width 0.15) (layer F.Cu) (net 56) (tstamp 6170C31E)) + (via (at 174.2 72.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 56) (tstamp 6170C31F)) + (segment (start 173.35 72.4) (end 174.2 72.4) (width 0.15) (layer B.Cu) (net 56)) + (segment (start 165.735 64.785) (end 173.35 72.4) (width 0.15) (layer B.Cu) (net 56)) + (segment (start 165.735 59.436) (end 165.735 64.785) (width 0.15) (layer B.Cu) (net 56)) + (segment (start 165.735 63.246) (end 165.735 61.341) (width 0.15) (layer F.Cu) (net 56)) + (segment (start 168.1275 78.74) (end 167.005 78.74) (width 0.15) (layer F.Cu) (net 56)) + (segment (start 165.735 64.262) (end 165.735 63.246) (width 0.15) (layer F.Cu) (net 56)) + (segment (start 160.655 69.342) (end 165.735 64.262) (width 0.15) (layer F.Cu) (net 56)) + (segment (start 160.655 72.39) (end 160.655 69.342) (width 0.15) (layer F.Cu) (net 56)) + (segment (start 167.005 78.74) (end 160.655 72.39) (width 0.15) (layer F.Cu) (net 56)) + (segment (start 164.465 61.341) (end 164.465 63.246) (width 0.15) (layer F.Cu) (net 57)) + (via (at 164.465 63.246) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 57)) + (via (at 189.95 64.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 57)) + (segment (start 191.05 64.6) (end 189.95 64.6) (width 0.15) (layer F.Cu) (net 57)) + (segment (start 176.65 73.7) (end 178.55 73.7) (width 0.15) (layer F.Cu) (net 57) (tstamp 614916FB)) + (via (at 178.55 73.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 57) (tstamp 614916FE)) + (segment (start 189 65.5) (end 189 67.9) (width 0.15) (layer B.Cu) (net 57)) + (segment (start 189 67.9) (end 183.2 73.7) (width 0.15) (layer B.Cu) (net 57)) + (segment (start 189.9 64.6) (end 189 65.5) (width 0.15) (layer B.Cu) (net 57)) + (segment (start 189.95 64.6) (end 189.9 64.6) (width 0.15) (layer B.Cu) (net 57)) + (segment (start 183.2 73.7) (end 178.55 73.7) (width 0.15) (layer B.Cu) (net 57)) + (segment (start 166.37 82.804) (end 166.37 81.7625) (width 0.15) (layer F.Cu) (net 57)) + (segment (start 162.56 86.614) (end 166.37 82.804) (width 0.15) (layer F.Cu) (net 57)) + (segment (start 153.72 91.6275) (end 153.67 91.5775) (width 0.15) (layer F.Cu) (net 57)) + (segment (start 157.226 86.614) (end 162.56 86.614) (width 0.15) (layer F.Cu) (net 57)) + (segment (start 153.67 90.17) (end 157.226 86.614) (width 0.15) (layer F.Cu) (net 57)) + (segment (start 153.67 91.5775) (end 153.67 90.17) (width 0.15) (layer F.Cu) (net 57)) + (via (at 174.2 73.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 57)) + (segment (start 176.65 73.7) (end 174.2 73.7) (width 0.15) (layer F.Cu) (net 57)) + (segment (start 173.35 73.7) (end 174.2 73.7) (width 0.15) (layer B.Cu) (net 57)) + (segment (start 164.465 64.815) (end 173.35 73.7) (width 0.15) (layer B.Cu) (net 57)) + (segment (start 164.465 63.246) (end 164.465 64.815) (width 0.15) (layer B.Cu) (net 57)) + (segment (start 164.465 64.262) (end 164.465 63.246) (width 0.15) (layer F.Cu) (net 57)) + (segment (start 159.893 68.834) (end 164.465 64.262) (width 0.15) (layer F.Cu) (net 57)) + (segment (start 166.37 81.7625) (end 166.37 80.645) (width 0.15) (layer F.Cu) (net 57)) + (segment (start 158.877 68.834) (end 159.893 68.834) (width 0.15) (layer F.Cu) (net 57)) + (segment (start 158.115 69.596) (end 158.877 68.834) (width 0.15) (layer F.Cu) (net 57)) + (segment (start 158.115 72.39) (end 158.115 69.596) (width 0.15) (layer F.Cu) (net 57)) + (segment (start 166.37 80.645) (end 158.115 72.39) (width 0.15) (layer F.Cu) (net 57)) + (segment (start 189.9 88) (end 189.95 88) (width 0.15) (layer B.Cu) (net 58) (tstamp 614A2782)) + (via (at 189.95 88) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 58) (tstamp 614A2791)) + (segment (start 191.05 88) (end 189.95 88) (width 0.15) (layer F.Cu) (net 58) (tstamp 614A2794)) + (via (at 178.55 78.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 58) (tstamp 614A1CBE)) + (segment (start 176.65 78.9) (end 178.55 78.9) (width 0.15) (layer F.Cu) (net 58) (tstamp 614A1CBF)) + (segment (start 142.875 63.246) (end 140.335 65.786) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 142.875 61.341) (end 142.875 63.246) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 142.875 59.563) (end 142.875 61.341) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 142.24 58.928) (end 142.875 59.563) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 143.764 51.689) (end 142.24 53.213) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 171.5135 51.689) (end 143.764 51.689) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 178.55 58.7255) (end 171.5135 51.689) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 179.45 69.55) (end 178.55 68.65) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 179.45 73.95) (end 179.45 69.55) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 178.55 68.65) (end 178.55 58.7255) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 142.24 53.213) (end 142.24 58.928) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 178.55 74.85) (end 179.45 73.95) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 178.55 78.9) (end 178.55 74.85) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 140.335 71.755) (end 140.335 65.786) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 140.97 72.39) (end 140.335 71.755) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 142.7275 72.39) (end 140.97 72.39) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 151.13 81.2165) (end 151.13 91.5785) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 144.3355 74.422) (end 151.13 81.2165) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 144.3355 72.9615) (end 144.3355 74.422) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 143.764 72.39) (end 144.3355 72.9615) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 142.7275 72.39) (end 143.764 72.39) (width 0.15) (layer F.Cu) (net 58)) + (segment (start 189.55 88) (end 189.95 88) (width 0.15) (layer B.Cu) (net 58)) + (segment (start 188.7 87.15) (end 189.55 88) (width 0.15) (layer B.Cu) (net 58)) + (segment (start 188.7 82.5) (end 188.7 87.15) (width 0.15) (layer B.Cu) (net 58)) + (segment (start 185.1 78.9) (end 188.7 82.5) (width 0.15) (layer B.Cu) (net 58)) + (segment (start 178.55 78.9) (end 185.1 78.9) (width 0.15) (layer B.Cu) (net 58)) + (segment (start 189.95 91.9) (end 189.9 91.9) (width 0.15) (layer B.Cu) (net 59) (tstamp 614A277D)) + (via (at 189.95 91.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 59) (tstamp 614A278F)) + (segment (start 191.05 91.9) (end 189.95 91.9) (width 0.15) (layer F.Cu) (net 59) (tstamp 614A2792)) + (segment (start 176.65 82.8) (end 178.55 82.8) (width 0.15) (layer F.Cu) (net 59) (tstamp 614A1CBC)) + (via (at 178.55 82.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 59) (tstamp 614A1CBD)) + (segment (start 181.25 68.65) (end 180.35 67.75) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 181.25 74.85) (end 181.25 68.65) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 180.35 75.75) (end 181.25 74.85) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 186.9 83.4) (end 186.3 82.8) (width 0.15) (layer B.Cu) (net 59)) + (segment (start 186.9 88.85) (end 186.9 83.4) (width 0.15) (layer B.Cu) (net 59)) + (segment (start 186.3 82.8) (end 178.55 82.8) (width 0.15) (layer B.Cu) (net 59)) + (segment (start 189.95 91.9) (end 186.9 88.85) (width 0.15) (layer B.Cu) (net 59)) + (segment (start 178.55 82.8) (end 180.55 80.8) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 180.55 80.8) (end 180.55 77.95) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 180.55 77.95) (end 180.35 77.75) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 180.35 77.75) (end 180.35 75.75) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 139.065 63.246) (end 132.715 69.596) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 139.065 61.341) (end 139.065 63.246) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 139.065 59.563) (end 138.43 58.928) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 138.43 53.213) (end 141.859 49.784) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 138.43 58.928) (end 138.43 53.213) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 139.065 61.341) (end 139.065 59.563) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 132.715 72.39) (end 132.715 69.596) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 140.97 80.645) (end 132.715 72.39) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 140.97 81.7625) (end 140.97 80.645) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 180.35 67.75) (end 180.35 57.8585) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 172.2755 49.784) (end 141.859 49.784) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 180.35 57.8585) (end 172.2755 49.784) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 140.97 81.7625) (end 140.97 82.931) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 140.97 82.931) (end 143.56 85.521) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 143.56 85.521) (end 143.56 91.6275) (width 0.15) (layer F.Cu) (net 59)) + (segment (start 191.05 90.6) (end 189.95 90.6) (width 0.15) (layer F.Cu) (net 60) (tstamp 614A2780)) + (via (at 189.95 90.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 60) (tstamp 614A2781)) + (segment (start 189.9 90.6) (end 189.95 90.6) (width 0.15) (layer B.Cu) (net 60) (tstamp 614A2783)) + (segment (start 176.65 81.5) (end 178.55 81.5) (width 0.15) (layer F.Cu) (net 60) (tstamp 614A1CC2)) + (via (at 178.55 81.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 60) (tstamp 614A1CC5)) + (segment (start 185.9 81.5) (end 178.55 81.5) (width 0.15) (layer B.Cu) (net 60)) + (segment (start 187.5 83.1) (end 185.9 81.5) (width 0.15) (layer B.Cu) (net 60)) + (segment (start 187.5 88.15) (end 187.5 83.1) (width 0.15) (layer B.Cu) (net 60)) + (segment (start 189.95 90.6) (end 187.5 88.15) (width 0.15) (layer B.Cu) (net 60)) + (segment (start 146.05 80.899) (end 146.05 91.5785) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 143.891 78.74) (end 146.05 80.899) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 142.7275 78.74) (end 143.891 78.74) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 179.95 80.1) (end 178.55 81.5) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 179.75 78.05) (end 179.95 78.25) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 179.95 78.25) (end 179.95 80.1) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 179.75 75.45) (end 179.75 78.05) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 180.65 74.55) (end 179.75 75.45) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 180.65 68.95) (end 180.65 74.55) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 179.75 68.05) (end 180.65 68.95) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 179.75 58.1475) (end 179.75 68.05) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 172.0215 50.419) (end 179.75 58.1475) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 139.7 53.213) (end 142.494 50.419) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 139.7 58.928) (end 139.7 53.213) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 140.335 61.341) (end 140.335 59.563) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 140.335 59.563) (end 139.7 58.928) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 142.494 50.419) (end 172.0215 50.419) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 141.605 78.74) (end 135.255 72.39) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 140.335 61.341) (end 140.335 63.246) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 140.335 63.246) (end 135.255 68.326) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 142.7275 78.74) (end 141.605 78.74) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 135.255 72.39) (end 135.255 68.326) (width 0.15) (layer F.Cu) (net 60)) + (segment (start 141.605 63.246) (end 137.795 67.056) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 141.605 61.341) (end 141.605 63.246) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 189.9 89.3) (end 189.95 89.3) (width 0.15) (layer B.Cu) (net 61) (tstamp 614A277C)) + (segment (start 191.05 89.3) (end 189.95 89.3) (width 0.15) (layer F.Cu) (net 61) (tstamp 614A2785)) + (via (at 189.95 89.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 61) (tstamp 614A2788)) + (segment (start 176.65 80.2) (end 178.55 80.2) (width 0.15) (layer F.Cu) (net 61) (tstamp 614A1CC0)) + (via (at 178.55 80.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 61) (tstamp 614A1CC1)) + (segment (start 188.1 82.8) (end 185.5 80.2) (width 0.15) (layer B.Cu) (net 61)) + (segment (start 188.1 87.45) (end 188.1 82.8) (width 0.15) (layer B.Cu) (net 61)) + (segment (start 185.5 80.2) (end 178.55 80.2) (width 0.15) (layer B.Cu) (net 61)) + (segment (start 189.95 89.3) (end 188.1 87.45) (width 0.15) (layer B.Cu) (net 61)) + (segment (start 140.843 74.93) (end 137.795 71.882) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 137.795 71.882) (end 137.795 67.056) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 142.7275 74.93) (end 140.843 74.93) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 179.35 79.4) (end 178.55 80.2) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 179.35 78.55) (end 179.35 79.4) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 179.15 78.35) (end 179.35 78.55) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 143.129 51.054) (end 171.7675 51.054) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 140.97 58.928) (end 140.97 53.213) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 179.15 75.15) (end 179.15 78.35) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 180.05 69.25) (end 180.05 74.25) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 179.15 68.35) (end 180.05 69.25) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 180.05 74.25) (end 179.15 75.15) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 179.15 58.4365) (end 179.15 68.35) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 141.605 61.341) (end 141.605 59.563) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 141.605 59.563) (end 140.97 58.928) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 171.7675 51.054) (end 179.15 58.4365) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 140.97 53.213) (end 143.129 51.054) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 148.59 79.5655) (end 148.59 91.5785) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 143.9545 74.93) (end 148.59 79.5655) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 142.7275 74.93) (end 143.9545 74.93) (width 0.15) (layer F.Cu) (net 61)) + (segment (start 191.05 62.65) (end 192.15 62.65) (width 0.15) (layer F.Cu) (net 62) (tstamp 6147DBB8)) + (via (at 192.15 62.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 62) (tstamp 6147DBB9)) + (via (at 177.75 71.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 62) (tstamp 614916EF)) + (segment (start 176.65 71.75) (end 177.75 71.75) (width 0.15) (layer F.Cu) (net 62) (tstamp 614916F0)) + (segment (start 183.8 71.75) (end 177.75 71.75) (width 0.15) (layer B.Cu) (net 62)) + (segment (start 188.1 67.45) (end 183.8 71.75) (width 0.15) (layer B.Cu) (net 62)) + (segment (start 188.1 64.45) (end 188.1 67.45) (width 0.15) (layer B.Cu) (net 62)) + (segment (start 189.9 62.65) (end 188.1 64.45) (width 0.15) (layer B.Cu) (net 62)) + (segment (start 192.15 62.65) (end 189.9 62.65) (width 0.15) (layer B.Cu) (net 62)) + (via (at 165.735 56.896) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 62)) + (segment (start 165.735 54.991) (end 165.735 56.896) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 169.291 76.2) (end 168.1275 76.2) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 170.561 77.47) (end 169.291 76.2) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 170.561 81.661) (end 170.561 77.47) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 158.75 88.9) (end 163.322 88.9) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 157.48 91.5785) (end 157.48 90.17) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 157.48 90.17) (end 158.75 88.9) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 163.322 88.9) (end 170.561 81.661) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 166.40175 76.2) (end 168.1275 76.2) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 166.02075 75.819) (end 166.40175 76.2) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 165.6715 75.819) (end 166.02075 75.819) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 162.687 72.8345) (end 165.6715 75.819) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 162.687 72.517) (end 162.687 72.8345) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 161.925 71.755) (end 162.687 72.517) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 165.735 56.896) (end 165.735 58.674) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 161.925 68.707) (end 161.925 71.755) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 166.37 59.309) (end 166.37 64.262) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 165.735 58.674) (end 166.37 59.309) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 166.37 64.262) (end 161.925 68.707) (width 0.15) (layer F.Cu) (net 62)) + (segment (start 176.65 71.75) (end 173.4 71.75) (width 0.15) (layer F.Cu) (net 62)) + (via (at 173.4 71.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 62)) + (segment (start 166.37 64.77) (end 166.37 57.531) (width 0.15) (layer B.Cu) (net 62)) + (segment (start 166.37 57.531) (end 165.735 56.896) (width 0.15) (layer B.Cu) (net 62)) + (segment (start 173.35 71.75) (end 166.37 64.77) (width 0.15) (layer B.Cu) (net 62)) + (segment (start 173.4 71.75) (end 173.35 71.75) (width 0.15) (layer B.Cu) (net 62)) + (via (at 192.15 63.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 63)) + (segment (start 191.05 63.95) (end 192.15 63.95) (width 0.15) (layer F.Cu) (net 63)) + (segment (start 176.65 73.05) (end 177.75 73.05) (width 0.15) (layer F.Cu) (net 63) (tstamp 614916FC)) + (via (at 177.75 73.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 63) (tstamp 614916FD)) + (segment (start 189.9 63.95) (end 188.7 65.15) (width 0.15) (layer B.Cu) (net 63)) + (segment (start 183.4 73.05) (end 177.75 73.05) (width 0.15) (layer B.Cu) (net 63)) + (segment (start 188.7 67.75) (end 183.4 73.05) (width 0.15) (layer B.Cu) (net 63)) + (segment (start 192.15 63.95) (end 189.9 63.95) (width 0.15) (layer B.Cu) (net 63)) + (segment (start 188.7 65.15) (end 188.7 67.75) (width 0.15) (layer B.Cu) (net 63)) + (segment (start 154.94 90.17) (end 154.94 91.5785) (width 0.15) (layer F.Cu) (net 63)) + (segment (start 157.734 87.376) (end 154.94 90.17) (width 0.15) (layer F.Cu) (net 63)) + (segment (start 162.814 87.376) (end 157.734 87.376) (width 0.15) (layer F.Cu) (net 63)) + (segment (start 168.1275 82.0625) (end 162.814 87.376) (width 0.15) (layer F.Cu) (net 63)) + (segment (start 168.1275 80.01) (end 168.1275 82.0625) (width 0.15) (layer F.Cu) (net 63)) + (segment (start 176.65 73.05) (end 173.4 73.05) (width 0.15) (layer F.Cu) (net 63)) + (via (at 173.4 73.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 63)) + (segment (start 173.35 73.05) (end 173.4 73.05) (width 0.15) (layer B.Cu) (net 63)) + (segment (start 165.1 64.8) (end 173.35 73.05) (width 0.15) (layer B.Cu) (net 63)) + (segment (start 165.1 64.135) (end 165.1 64.8) (width 0.15) (layer B.Cu) (net 63)) + (segment (start 167.132 80.01) (end 168.1275 80.01) (width 0.15) (layer F.Cu) (net 63)) + (segment (start 159.385 72.263) (end 167.132 80.01) (width 0.15) (layer F.Cu) (net 63)) + (segment (start 159.385 69.5325) (end 159.385 72.263) (width 0.15) (layer F.Cu) (net 63)) + (segment (start 159.766 69.1515) (end 159.385 69.5325) (width 0.15) (layer F.Cu) (net 63)) + (segment (start 160.2105 69.1515) (end 159.766 69.1515) (width 0.15) (layer F.Cu) (net 63)) + (segment (start 165.1 64.262) (end 160.2105 69.1515) (width 0.15) (layer F.Cu) (net 63)) + (segment (start 165.1 64.135) (end 165.1 64.262) (width 0.15) (layer F.Cu) (net 63)) + (segment (start 164.465 58.928) (end 164.465 54.991) (width 0.15) (layer F.Cu) (net 63)) + (segment (start 165.1 59.563) (end 164.465 58.928) (width 0.15) (layer F.Cu) (net 63)) + (segment (start 165.1 64.135) (end 165.1 59.563) (width 0.15) (layer F.Cu) (net 63)) + (via (at 165.1 64.135) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 63)) + (segment (start 142.875 58.928) (end 143.51 59.563) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 143.51 63.246) (end 140.97 65.786) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 143.51 59.563) (end 143.51 63.246) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 142.875 54.991) (end 142.875 58.928) (width 0.15) (layer F.Cu) (net 64)) + (via (at 192.95 87.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 64) (tstamp 614A2795)) + (segment (start 192.95 87.35) (end 191.05 87.35) (width 0.15) (layer F.Cu) (net 64) (tstamp 614A2796)) + (via (at 177.75 78.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 64) (tstamp 614A1CB6)) + (segment (start 176.65 78.25) (end 177.75 78.25) (width 0.15) (layer F.Cu) (net 64) (tstamp 614A1CB7)) + (segment (start 178.25 74.7) (end 178.25 77.75) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 179.15 69.7) (end 179.15 73.8) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 178.25 68.8) (end 179.15 69.7) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 178.25 58.87) (end 178.25 68.8) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 171.3865 52.0065) (end 178.25 58.87) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 144.0815 52.0065) (end 171.3865 52.0065) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 178.25 77.75) (end 177.75 78.25) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 142.875 53.213) (end 144.0815 52.0065) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 179.15 73.8) (end 178.25 74.7) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 142.875 54.991) (end 142.875 53.213) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 140.97 70.6375) (end 140.97 65.786) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 142.5195 70.6375) (end 140.97 70.6375) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 144.653 72.771) (end 142.5195 70.6375) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 144.653 74.295) (end 144.653 72.771) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 152.45 82.092) (end 144.653 74.295) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 152.45 91.6275) (end 152.45 82.092) (width 0.15) (layer F.Cu) (net 64)) + (segment (start 185.05 78.4) (end 177.9 78.4) (width 0.15) (layer B.Cu) (net 64)) + (segment (start 192.95 87.35) (end 192.8 87.5) (width 0.15) (layer B.Cu) (net 64)) + (segment (start 189 82.35) (end 185.05 78.4) (width 0.15) (layer B.Cu) (net 64)) + (segment (start 177.9 78.4) (end 177.75 78.25) (width 0.15) (layer B.Cu) (net 64)) + (segment (start 189 87) (end 189 82.35) (width 0.15) (layer B.Cu) (net 64)) + (segment (start 189.5 87.5) (end 189 87) (width 0.15) (layer B.Cu) (net 64)) + (segment (start 192.8 87.5) (end 189.5 87.5) (width 0.15) (layer B.Cu) (net 64)) + (segment (start 191.05 88.65) (end 192.15 88.65) (width 0.15) (layer F.Cu) (net 65) (tstamp 614A2786)) + (via (at 192.15 88.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 65) (tstamp 614A2787)) + (via (at 177.75 79.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 65) (tstamp 614A1CB9)) + (segment (start 176.65 79.55) (end 177.75 79.55) (width 0.15) (layer F.Cu) (net 65) (tstamp 614A1CBA)) + (segment (start 142.24 63.246) (end 139.065 66.421) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 142.24 59.563) (end 142.24 63.246) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 141.605 58.928) (end 142.24 59.563) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 141.605 54.991) (end 141.605 58.928) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 178.6 79.55) (end 177.75 79.55) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 179.05 79.1) (end 178.6 79.55) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 179.75 69.4) (end 179.75 74.1) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 178.85 75) (end 178.85 78.5) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 178.85 78.5) (end 179.05 78.7) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 179.05 78.7) (end 179.05 79.1) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 179.75 74.1) (end 178.85 75) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 178.85 68.5) (end 179.75 69.4) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 141.605 53.213) (end 143.4465 51.3715) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 171.6405 51.3715) (end 178.85 58.581) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 143.4465 51.3715) (end 171.6405 51.3715) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 178.85 58.581) (end 178.85 68.5) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 141.605 54.991) (end 141.605 53.213) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 139.065 71.882) (end 139.065 66.421) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 140.843 73.66) (end 139.065 71.882) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 142.7275 73.66) (end 140.843 73.66) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 144.018 73.914) (end 143.764 73.66) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 143.764 73.66) (end 142.7275 73.66) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 144.018 74.549) (end 144.018 73.914) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 149.86 80.391) (end 144.018 74.549) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 149.86 91.5785) (end 149.86 80.391) (width 0.15) (layer F.Cu) (net 65)) + (segment (start 189.75 88.65) (end 192.15 88.65) (width 0.15) (layer B.Cu) (net 65)) + (segment (start 188.4 87.3) (end 189.75 88.65) (width 0.15) (layer B.Cu) (net 65)) + (segment (start 188.4 82.65) (end 188.4 87.3) (width 0.15) (layer B.Cu) (net 65)) + (segment (start 185.3 79.55) (end 188.4 82.65) (width 0.15) (layer B.Cu) (net 65)) + (segment (start 177.75 79.55) (end 185.3 79.55) (width 0.15) (layer B.Cu) (net 65)) + (via (at 192.15 91.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 66) (tstamp 614A278A)) + (segment (start 176.65 82.15) (end 177.75 82.15) (width 0.15) (layer F.Cu) (net 66) (tstamp 614A1CB8)) + (via (at 177.75 82.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 66) (tstamp 614A1CBB)) + (segment (start 180.95 68.8) (end 180.05 67.9) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 180.95 74.7) (end 180.95 68.8) (width 0.15) (layer F.Cu) (net 66) (tstamp 61B0EDC5)) + (segment (start 180.05 75.6) (end 180.95 74.7) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 180.05 75.6) (end 180.05 77.9) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 180.05 77.9) (end 180.25 78.1) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 180.25 78.1) (end 180.25 80.5) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 178.6 82.15) (end 177.75 82.15) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 180.25 80.5) (end 178.6 82.15) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 139.7 63.246) (end 133.985 68.961) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 139.7 59.563) (end 139.7 63.246) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 139.065 58.928) (end 139.7 59.563) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 139.065 54.991) (end 139.065 58.928) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 133.985 72.39) (end 133.985 68.961) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 141.605 80.01) (end 133.985 72.39) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 142.7275 80.01) (end 141.605 80.01) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 143.891 80.01) (end 142.7275 80.01) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 144.78 80.899) (end 143.891 80.01) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 144.78 91.5785) (end 144.78 80.899) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 180.05 58.003) (end 180.05 67.9) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 172.1485 50.1015) (end 180.05 58.003) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 139.065 53.213) (end 142.1765 50.1015) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 142.1765 50.1015) (end 172.1485 50.1015) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 139.065 54.991) (end 139.065 53.213) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 192.1 91.25) (end 192.15 91.2) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 191.05 91.25) (end 192.1 91.25) (width 0.15) (layer F.Cu) (net 66)) + (segment (start 189.85 91.2) (end 192.15 91.2) (width 0.15) (layer B.Cu) (net 66)) + (segment (start 187.2 88.55) (end 189.85 91.2) (width 0.15) (layer B.Cu) (net 66)) + (segment (start 187.2 83.25) (end 187.2 88.55) (width 0.15) (layer B.Cu) (net 66)) + (segment (start 186.1 82.15) (end 187.2 83.25) (width 0.15) (layer B.Cu) (net 66)) + (segment (start 177.75 82.15) (end 186.1 82.15) (width 0.15) (layer B.Cu) (net 66)) + (via (at 192.15 89.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 67) (tstamp 614A277E)) + (segment (start 191.05 89.95) (end 192.15 89.95) (width 0.15) (layer F.Cu) (net 67) (tstamp 614A277F)) + (segment (start 176.65 80.85) (end 177.75 80.85) (width 0.15) (layer F.Cu) (net 67) (tstamp 614A1CC3)) + (via (at 177.75 80.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 67) (tstamp 614A1CC4)) + (segment (start 189.9 89.95) (end 192.15 89.95) (width 0.15) (layer B.Cu) (net 67)) + (segment (start 187.8 87.85) (end 189.9 89.95) (width 0.15) (layer B.Cu) (net 67)) + (segment (start 187.8 82.95) (end 187.8 87.85) (width 0.15) (layer B.Cu) (net 67)) + (segment (start 185.7 80.85) (end 187.8 82.95) (width 0.15) (layer B.Cu) (net 67)) + (segment (start 177.75 80.85) (end 185.7 80.85) (width 0.15) (layer B.Cu) (net 67)) + (segment (start 142.8115 50.7365) (end 171.8945 50.7365) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 171.8945 50.7365) (end 179.45 58.292) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 140.335 53.213) (end 142.8115 50.7365) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 140.335 54.991) (end 140.335 53.213) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 179.45 68.2) (end 179.45 58.292) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 180.35 69.1) (end 179.45 68.2) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 180.35 74.4) (end 180.35 69.1) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 179.45 75.3) (end 180.35 74.4) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 179.45 78.2) (end 179.45 75.3) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 179.65 78.4) (end 179.45 78.2) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 177.75 80.85) (end 178.6 80.85) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 178.6 80.85) (end 179.65 79.8) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 179.65 79.8) (end 179.65 78.4) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 140.97 63.246) (end 136.525 67.691) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 140.335 54.991) (end 140.335 58.928) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 140.97 59.563) (end 140.97 63.246) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 140.335 58.928) (end 140.97 59.563) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 136.525 71.882) (end 136.525 67.691) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 140.843 76.2) (end 136.525 71.882) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 142.7275 76.2) (end 140.843 76.2) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 147.32 80.645) (end 147.32 91.5785) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 147.32 78.74) (end 147.32 80.645) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 144.78 76.2) (end 147.32 78.74) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 142.7275 76.2) (end 144.78 76.2) (width 0.15) (layer F.Cu) (net 67)) + (segment (start 195.961 123.19) (end 191.971 119.2) (width 0.15) (layer F.Cu) (net 68)) + (segment (start 204.47 123.19) (end 195.961 123.19) (width 0.15) (layer F.Cu) (net 68)) + (segment (start 191.971 119.2) (end 191.05 119.2) (width 0.15) (layer F.Cu) (net 68)) + (segment (start 205.74 121.92) (end 204.47 123.19) (width 0.15) (layer F.Cu) (net 68)) + (segment (start 198.306 118.55) (end 191.05 118.55) (width 0.15) (layer F.Cu) (net 69)) + (segment (start 200.406 120.65) (end 198.306 118.55) (width 0.15) (layer F.Cu) (net 69)) + (segment (start 204.47 120.65) (end 200.406 120.65) (width 0.15) (layer F.Cu) (net 69)) + (segment (start 205.74 119.38) (end 204.47 120.65) (width 0.15) (layer F.Cu) (net 69)) + (segment (start 204.47 118.11) (end 199.39 118.11) (width 0.15) (layer F.Cu) (net 70)) + (segment (start 205.74 116.84) (end 204.47 118.11) (width 0.15) (layer F.Cu) (net 70)) + (segment (start 191.05 117.9) (end 191.95 117.9) (width 0.15) (layer F.Cu) (net 70)) + (segment (start 191.95 117.9) (end 192.3 118.25) (width 0.15) (layer F.Cu) (net 70)) + (segment (start 199.25 118.25) (end 199.39 118.11) (width 0.15) (layer F.Cu) (net 70)) + (segment (start 192.3 118.25) (end 199.25 118.25) (width 0.15) (layer F.Cu) (net 70)) + (segment (start 191.05 117.25) (end 191.95 117.25) (width 0.15) (layer F.Cu) (net 71)) + (segment (start 197.01 117.95) (end 199.39 115.57) (width 0.15) (layer F.Cu) (net 71)) + (segment (start 204.47 115.57) (end 205.74 114.3) (width 0.15) (layer F.Cu) (net 71)) + (segment (start 192.65 117.95) (end 197.01 117.95) (width 0.15) (layer F.Cu) (net 71)) + (segment (start 199.39 115.57) (end 204.47 115.57) (width 0.15) (layer F.Cu) (net 71)) + (segment (start 191.95 117.25) (end 192.65 117.95) (width 0.15) (layer F.Cu) (net 71)) + (segment (start 199.136 113.284) (end 199.136 115.364) (width 0.15) (layer F.Cu) (net 72)) + (segment (start 199.39 113.03) (end 199.136 113.284) (width 0.15) (layer F.Cu) (net 72)) + (segment (start 193 117.65) (end 191.95 116.6) (width 0.15) (layer F.Cu) (net 72)) + (segment (start 204.47 113.03) (end 199.39 113.03) (width 0.15) (layer F.Cu) (net 72)) + (segment (start 191.95 116.6) (end 191.05 116.6) (width 0.15) (layer F.Cu) (net 72)) + (segment (start 196.85 117.65) (end 193 117.65) (width 0.15) (layer F.Cu) (net 72)) + (segment (start 199.136 115.364) (end 196.85 117.65) (width 0.15) (layer F.Cu) (net 72)) + (segment (start 205.74 111.76) (end 204.47 113.03) (width 0.15) (layer F.Cu) (net 72)) + (segment (start 204.47 110.49) (end 205.74 109.22) (width 0.15) (layer F.Cu) (net 73)) + (segment (start 199.39 110.49) (end 204.47 110.49) (width 0.15) (layer F.Cu) (net 73)) + (segment (start 198.8185 111.0615) (end 199.39 110.49) (width 0.15) (layer F.Cu) (net 73)) + (segment (start 191.05 115.95) (end 191.95 115.95) (width 0.15) (layer F.Cu) (net 73)) + (segment (start 198.8185 115.2315) (end 198.8185 111.0615) (width 0.15) (layer F.Cu) (net 73)) + (segment (start 196.7 117.35) (end 198.8185 115.2315) (width 0.15) (layer F.Cu) (net 73)) + (segment (start 193.35 117.35) (end 196.7 117.35) (width 0.15) (layer F.Cu) (net 73)) + (segment (start 191.95 115.95) (end 193.35 117.35) (width 0.15) (layer F.Cu) (net 73)) + (segment (start 191.95 115.3) (end 191.05 115.3) (width 0.15) (layer F.Cu) (net 74)) + (segment (start 193.7 117.05) (end 191.95 115.3) (width 0.15) (layer F.Cu) (net 74)) + (segment (start 196.55 117.05) (end 193.7 117.05) (width 0.15) (layer F.Cu) (net 74)) + (segment (start 198.501 115.099) (end 196.55 117.05) (width 0.15) (layer F.Cu) (net 74)) + (segment (start 198.501 108.799) (end 198.501 115.099) (width 0.15) (layer F.Cu) (net 74)) + (segment (start 199.35 107.95) (end 198.501 108.799) (width 0.15) (layer F.Cu) (net 74)) + (segment (start 204.47 107.95) (end 199.35 107.95) (width 0.15) (layer F.Cu) (net 74)) + (segment (start 205.74 106.68) (end 204.47 107.95) (width 0.15) (layer F.Cu) (net 74)) + (segment (start 204.47 105.41) (end 205.74 104.14) (width 0.15) (layer F.Cu) (net 75)) + (segment (start 199.39 105.41) (end 204.47 105.41) (width 0.15) (layer F.Cu) (net 75)) + (segment (start 198.1835 106.6165) (end 199.39 105.41) (width 0.15) (layer F.Cu) (net 75)) + (segment (start 191.95 114.65) (end 194.05 116.75) (width 0.15) (layer F.Cu) (net 75)) + (segment (start 198.1835 114.9665) (end 198.1835 106.6165) (width 0.15) (layer F.Cu) (net 75)) + (segment (start 196.4 116.75) (end 198.1835 114.9665) (width 0.15) (layer F.Cu) (net 75)) + (segment (start 194.05 116.75) (end 196.4 116.75) (width 0.15) (layer F.Cu) (net 75)) + (segment (start 191.05 114.65) (end 191.95 114.65) (width 0.15) (layer F.Cu) (net 75)) + (segment (start 185.15 119.2) (end 182.55 119.2) (width 0.15) (layer F.Cu) (net 76) (tstamp 6143E730)) + (segment (start 182.55 118.55) (end 185.15 118.55) (width 0.15) (layer F.Cu) (net 77) (tstamp 6143E72D)) + (segment (start 185.15 117.9) (end 182.55 117.9) (width 0.15) (layer F.Cu) (net 78) (tstamp 6143E733)) + (segment (start 182.55 117.25) (end 185.15 117.25) (width 0.15) (layer F.Cu) (net 79) (tstamp 6143E72E)) + (segment (start 185.15 116.6) (end 182.55 116.6) (width 0.15) (layer F.Cu) (net 80) (tstamp 6143E731)) + (segment (start 182.55 115.95) (end 185.15 115.95) (width 0.15) (layer F.Cu) (net 81) (tstamp 6143E732)) + (segment (start 185.15 115.3) (end 182.55 115.3) (width 0.15) (layer F.Cu) (net 82) (tstamp 6143E72F)) + (segment (start 182.55 114.65) (end 185.15 114.65) (width 0.15) (layer F.Cu) (net 83) (tstamp 6143E734)) + (segment (start 199.18 102.87) (end 204.47 102.87) (width 0.15) (layer F.Cu) (net 92)) + (segment (start 204.47 102.87) (end 205.74 101.6) (width 0.15) (layer F.Cu) (net 92)) + (segment (start 191.95 110.1) (end 199.18 102.87) (width 0.15) (layer F.Cu) (net 92)) + (segment (start 191.05 110.1) (end 191.95 110.1) (width 0.15) (layer F.Cu) (net 92)) + (segment (start 205.74 99.06) (end 204.47 100.33) (width 0.15) (layer F.Cu) (net 93) (tstamp 613CD506)) + (segment (start 204.47 100.33) (end 199.39 100.33) (width 0.15) (layer F.Cu) (net 93) (tstamp 613CD50B)) + (segment (start 199.39 100.33) (end 196.35 103.37) (width 0.15) (layer F.Cu) (net 93)) + (segment (start 196.35 103.37) (end 196.35 105.05) (width 0.15) (layer F.Cu) (net 93)) + (segment (start 191.95 109.45) (end 191.05 109.45) (width 0.15) (layer F.Cu) (net 93)) + (segment (start 196.35 105.05) (end 191.95 109.45) (width 0.15) (layer F.Cu) (net 93)) + (segment (start 198.247 95.631) (end 197.485 95.631) (width 0.15) (layer F.Cu) (net 94)) + (segment (start 200.406 97.79) (end 198.247 95.631) (width 0.15) (layer F.Cu) (net 94)) + (segment (start 204.47 97.79) (end 200.406 97.79) (width 0.15) (layer F.Cu) (net 94)) + (segment (start 205.74 96.52) (end 204.47 97.79) (width 0.15) (layer F.Cu) (net 94)) + (segment (start 191.05 108.8) (end 191.95 108.8) (width 0.15) (layer F.Cu) (net 94)) + (segment (start 191.95 108.8) (end 196.05 104.7) (width 0.15) (layer F.Cu) (net 94)) + (segment (start 196.05 97.066) (end 197.485 95.631) (width 0.15) (layer F.Cu) (net 94)) + (segment (start 196.05 104.7) (end 196.05 97.066) (width 0.15) (layer F.Cu) (net 94)) + (segment (start 204.47 95.25) (end 197.4215 95.25) (width 0.15) (layer F.Cu) (net 95)) + (segment (start 205.74 93.98) (end 204.47 95.25) (width 0.15) (layer F.Cu) (net 95)) + (segment (start 197.4215 95.25) (end 195.75 96.9215) (width 0.15) (layer F.Cu) (net 95)) + (segment (start 195.75 96.9215) (end 195.75 104.35) (width 0.15) (layer F.Cu) (net 95)) + (segment (start 191.95 108.15) (end 191.05 108.15) (width 0.15) (layer F.Cu) (net 95)) + (segment (start 195.75 104.35) (end 191.95 108.15) (width 0.15) (layer F.Cu) (net 95)) + (segment (start 204.47 92.71) (end 199.517 92.71) (width 0.15) (layer F.Cu) (net 96)) + (segment (start 205.74 91.44) (end 204.47 92.71) (width 0.15) (layer F.Cu) (net 96)) + (segment (start 191.05 107.5) (end 191.95 107.5) (width 0.15) (layer F.Cu) (net 96)) + (segment (start 191.95 107.5) (end 195.45 104) (width 0.15) (layer F.Cu) (net 96)) + (segment (start 195.45 96.777) (end 199.517 92.71) (width 0.15) (layer F.Cu) (net 96)) + (segment (start 195.45 104) (end 195.45 96.777) (width 0.15) (layer F.Cu) (net 96)) + (segment (start 199.517 90.17) (end 199.136 90.551) (width 0.15) (layer F.Cu) (net 97)) + (segment (start 204.47 90.17) (end 199.517 90.17) (width 0.15) (layer F.Cu) (net 97)) + (segment (start 199.136 90.551) (end 199.136 92.6465) (width 0.15) (layer F.Cu) (net 97)) + (segment (start 205.74 88.9) (end 204.47 90.17) (width 0.15) (layer F.Cu) (net 97)) + (segment (start 199.136 92.6465) (end 195.15 96.6325) (width 0.15) (layer F.Cu) (net 97)) + (segment (start 195.15 96.6325) (end 195.15 103.65) (width 0.15) (layer F.Cu) (net 97)) + (segment (start 191.95 106.85) (end 191.05 106.85) (width 0.15) (layer F.Cu) (net 97)) + (segment (start 195.15 103.65) (end 191.95 106.85) (width 0.15) (layer F.Cu) (net 97)) + (segment (start 198.8185 88.3285) (end 198.8185 92.5195) (width 0.15) (layer F.Cu) (net 98)) + (segment (start 199.517 87.63) (end 198.8185 88.3285) (width 0.15) (layer F.Cu) (net 98)) + (segment (start 204.47 87.63) (end 199.517 87.63) (width 0.15) (layer F.Cu) (net 98)) + (segment (start 205.74 86.36) (end 204.47 87.63) (width 0.15) (layer F.Cu) (net 98)) + (segment (start 198.8185 92.5195) (end 194.85 96.488) (width 0.15) (layer F.Cu) (net 98)) + (segment (start 191.05 106.2) (end 192 106.2) (width 0.15) (layer F.Cu) (net 98)) + (segment (start 194.85 103.35) (end 194.85 96.488) (width 0.15) (layer F.Cu) (net 98)) + (segment (start 192 106.2) (end 194.85 103.35) (width 0.15) (layer F.Cu) (net 98)) + (segment (start 204.47 85.09) (end 205.74 83.82) (width 0.15) (layer F.Cu) (net 99)) + (segment (start 199.517 85.09) (end 204.47 85.09) (width 0.15) (layer F.Cu) (net 99)) + (segment (start 198.501 86.106) (end 199.517 85.09) (width 0.15) (layer F.Cu) (net 99)) + (segment (start 198.501 92.3925) (end 198.501 86.106) (width 0.15) (layer F.Cu) (net 99)) + (segment (start 194.55 103.2) (end 194.55 96.3435) (width 0.15) (layer F.Cu) (net 99)) + (segment (start 194.55 96.3435) (end 198.501 92.3925) (width 0.15) (layer F.Cu) (net 99)) + (segment (start 192.2 105.55) (end 194.55 103.2) (width 0.15) (layer F.Cu) (net 99)) + (segment (start 191.05 105.55) (end 192.2 105.55) (width 0.15) (layer F.Cu) (net 99)) + (segment (start 185.15 110.1) (end 182.55 110.1) (width 0.15) (layer F.Cu) (net 100) (tstamp 6143E727)) + (segment (start 182.55 109.45) (end 185.15 109.45) (width 0.15) (layer F.Cu) (net 101) (tstamp 6143E725)) + (segment (start 185.15 108.8) (end 182.55 108.8) (width 0.15) (layer F.Cu) (net 102) (tstamp 6143E728)) + (segment (start 182.55 108.15) (end 185.15 108.15) (width 0.15) (layer F.Cu) (net 103) (tstamp 6143E726)) + (segment (start 185.15 107.5) (end 182.55 107.5) (width 0.15) (layer F.Cu) (net 104) (tstamp 6143E729)) + (segment (start 182.55 106.85) (end 185.15 106.85) (width 0.15) (layer F.Cu) (net 105) (tstamp 6143E72C)) + (segment (start 185.15 106.2) (end 182.55 106.2) (width 0.15) (layer F.Cu) (net 106) (tstamp 6143E72B)) + (segment (start 182.55 105.55) (end 185.15 105.55) (width 0.15) (layer F.Cu) (net 107) (tstamp 6143E72A)) + (segment (start 198.1835 92.2655) (end 194.2465 96.2025) (width 0.15) (layer F.Cu) (net 108)) + (segment (start 198.1835 83.8835) (end 198.1835 92.2655) (width 0.15) (layer F.Cu) (net 108)) + (segment (start 199.517 82.55) (end 198.1835 83.8835) (width 0.15) (layer F.Cu) (net 108)) + (segment (start 204.47 82.55) (end 199.517 82.55) (width 0.15) (layer F.Cu) (net 108)) + (segment (start 205.74 81.28) (end 204.47 82.55) (width 0.15) (layer F.Cu) (net 108)) + (segment (start 191.05 100.35) (end 191.95 100.35) (width 0.15) (layer F.Cu) (net 108)) + (segment (start 194.2465 98.0535) (end 194.2465 96.2025) (width 0.15) (layer F.Cu) (net 108)) + (segment (start 191.95 100.35) (end 194.2465 98.0535) (width 0.15) (layer F.Cu) (net 108)) + (segment (start 205.74 78.74) (end 204.47 80.01) (width 0.15) (layer F.Cu) (net 109)) + (segment (start 199.517 80.01) (end 197.866 81.661) (width 0.15) (layer F.Cu) (net 109)) + (segment (start 197.866 92.1385) (end 193.929 96.0755) (width 0.15) (layer F.Cu) (net 109)) + (segment (start 197.866 81.661) (end 197.866 92.1385) (width 0.15) (layer F.Cu) (net 109)) + (segment (start 204.47 80.01) (end 199.517 80.01) (width 0.15) (layer F.Cu) (net 109)) + (segment (start 191.05 99.7) (end 191.95 99.7) (width 0.15) (layer F.Cu) (net 109)) + (segment (start 193.929 97.721) (end 193.929 96.0755) (width 0.15) (layer F.Cu) (net 109)) + (segment (start 191.95 99.7) (end 193.929 97.721) (width 0.15) (layer F.Cu) (net 109)) + (segment (start 197.5485 79.4385) (end 197.5485 92.0115) (width 0.15) (layer F.Cu) (net 110)) + (segment (start 205.74 76.2) (end 204.47 77.47) (width 0.15) (layer F.Cu) (net 110)) + (segment (start 204.47 77.47) (end 199.517 77.47) (width 0.15) (layer F.Cu) (net 110)) + (segment (start 197.5485 92.0115) (end 193.6115 95.9485) (width 0.15) (layer F.Cu) (net 110)) + (segment (start 199.517 77.47) (end 197.5485 79.4385) (width 0.15) (layer F.Cu) (net 110)) + (segment (start 191.05 99.05) (end 191.95 99.05) (width 0.15) (layer F.Cu) (net 110)) + (segment (start 193.6115 97.3885) (end 193.6115 95.9485) (width 0.15) (layer F.Cu) (net 110)) + (segment (start 191.95 99.05) (end 193.6115 97.3885) (width 0.15) (layer F.Cu) (net 110)) + (segment (start 204.47 74.93) (end 199.517 74.93) (width 0.15) (layer F.Cu) (net 111)) + (segment (start 205.74 73.66) (end 204.47 74.93) (width 0.15) (layer F.Cu) (net 111)) + (segment (start 199.517 74.93) (end 197.231 77.216) (width 0.15) (layer F.Cu) (net 111)) + (segment (start 197.231 77.216) (end 197.231 91.8845) (width 0.15) (layer F.Cu) (net 111)) + (segment (start 197.231 91.8845) (end 193.294 95.8215) (width 0.15) (layer F.Cu) (net 111)) + (segment (start 191.05 98.4) (end 191.95 98.4) (width 0.15) (layer F.Cu) (net 111)) + (segment (start 193.294 97.056) (end 193.294 95.8215) (width 0.15) (layer F.Cu) (net 111)) + (segment (start 191.95 98.4) (end 193.294 97.056) (width 0.15) (layer F.Cu) (net 111)) + (segment (start 191.95 97.75) (end 191.05 97.75) (width 0.15) (layer F.Cu) (net 112)) + (segment (start 192.9765 96.7235) (end 191.95 97.75) (width 0.15) (layer F.Cu) (net 112)) + (segment (start 196.9135 75.057) (end 196.9135 91.7575) (width 0.15) (layer F.Cu) (net 112)) + (segment (start 196.9135 91.7575) (end 192.9765 95.6945) (width 0.15) (layer F.Cu) (net 112)) + (segment (start 199.5805 72.39) (end 196.9135 75.057) (width 0.15) (layer F.Cu) (net 112)) + (segment (start 192.9765 95.6945) (end 192.9765 96.7235) (width 0.15) (layer F.Cu) (net 112)) + (segment (start 204.47 72.39) (end 199.5805 72.39) (width 0.15) (layer F.Cu) (net 112)) + (segment (start 205.74 71.12) (end 204.47 72.39) (width 0.15) (layer F.Cu) (net 112)) + (segment (start 196.596 91.6305) (end 192.659 95.5675) (width 0.15) (layer F.Cu) (net 113)) + (segment (start 205.74 68.58) (end 204.47 69.85) (width 0.15) (layer F.Cu) (net 113)) + (segment (start 199.517 69.85) (end 196.596 72.771) (width 0.15) (layer F.Cu) (net 113)) + (segment (start 196.596 72.771) (end 196.596 91.6305) (width 0.15) (layer F.Cu) (net 113)) + (segment (start 204.47 69.85) (end 199.517 69.85) (width 0.15) (layer F.Cu) (net 113)) + (segment (start 191.05 97.1) (end 191.95 97.1) (width 0.15) (layer F.Cu) (net 113)) + (segment (start 192.659 96.391) (end 192.659 95.5675) (width 0.15) (layer F.Cu) (net 113)) + (segment (start 191.95 97.1) (end 192.659 96.391) (width 0.15) (layer F.Cu) (net 113)) + (segment (start 205.74 66.04) (end 204.47 67.31) (width 0.15) (layer F.Cu) (net 114) (tstamp 613CD551)) + (segment (start 204.47 67.31) (end 199.39 67.31) (width 0.15) (layer F.Cu) (net 114) (tstamp 613CD553)) + (segment (start 199.39 67.31) (end 196.2785 70.4215) (width 0.15) (layer F.Cu) (net 114)) + (segment (start 196.2785 70.4215) (end 196.2785 91.5035) (width 0.15) (layer F.Cu) (net 114)) + (segment (start 196.2785 91.5035) (end 192.3415 95.4405) (width 0.15) (layer F.Cu) (net 114)) + (segment (start 191.05 96.45) (end 191.95 96.45) (width 0.15) (layer F.Cu) (net 114)) + (segment (start 192.3415 96.0585) (end 192.3415 95.4405) (width 0.15) (layer F.Cu) (net 114)) + (segment (start 191.95 96.45) (end 192.3415 96.0585) (width 0.15) (layer F.Cu) (net 114)) + (via (at 192.15 101) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 115)) + (segment (start 191.05 101) (end 192.15 101) (width 0.15) (layer F.Cu) (net 115)) + (segment (start 203.835 115.57) (end 201.93 115.57) (width 0.15) (layer B.Cu) (net 115)) + (segment (start 204.47 100.965) (end 204.47 114.935) (width 0.15) (layer B.Cu) (net 115)) + (segment (start 203.835 100.33) (end 204.47 100.965) (width 0.15) (layer B.Cu) (net 115)) + (segment (start 201.93 115.57) (end 200.66 114.3) (width 0.15) (layer B.Cu) (net 115)) + (segment (start 204.47 114.935) (end 203.835 115.57) (width 0.15) (layer B.Cu) (net 115)) + (segment (start 192.82 100.33) (end 203.835 100.33) (width 0.15) (layer B.Cu) (net 115)) + (segment (start 192.15 101) (end 192.82 100.33) (width 0.15) (layer B.Cu) (net 115)) + (via (at 192.95 82.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 116)) + (segment (start 191.05 82.8) (end 192.95 82.8) (width 0.15) (layer F.Cu) (net 116)) + (segment (start 186.05 91.95) (end 185.15 91.95) (width 0.15) (layer F.Cu) (net 116)) + (segment (start 188.85 89.15) (end 186.05 91.95) (width 0.15) (layer F.Cu) (net 116)) + (segment (start 188.85 83.85) (end 188.85 89.15) (width 0.15) (layer F.Cu) (net 116)) + (segment (start 189.85 82.85) (end 188.85 83.85) (width 0.15) (layer F.Cu) (net 116)) + (segment (start 191.05 82.85) (end 189.85 82.85) (width 0.15) (layer F.Cu) (net 116)) + (segment (start 193 82.8) (end 192.95 82.8) (width 0.15) (layer B.Cu) (net 116)) + (segment (start 197.4 87.2) (end 193 82.8) (width 0.15) (layer B.Cu) (net 116)) + (segment (start 197.4 93.26) (end 197.4 87.2) (width 0.15) (layer B.Cu) (net 116)) + (segment (start 200.66 96.52) (end 197.4 93.26) (width 0.15) (layer B.Cu) (net 116)) + (segment (start 191.05 82.15) (end 192.15 82.15) (width 0.15) (layer F.Cu) (net 117) (tstamp 61520F23)) + (via (at 192.15 82.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 117) (tstamp 61520F24)) + (segment (start 190.05 82.2) (end 191.05 82.2) (width 0.15) (layer F.Cu) (net 117)) + (segment (start 188.55 83.7) (end 190.05 82.2) (width 0.15) (layer F.Cu) (net 117)) + (segment (start 188.55 88.8) (end 188.55 83.7) (width 0.15) (layer F.Cu) (net 117)) + (segment (start 186.05 91.3) (end 188.55 88.8) (width 0.15) (layer F.Cu) (net 117)) + (segment (start 185.15 91.3) (end 186.05 91.3) (width 0.15) (layer F.Cu) (net 117)) + (segment (start 197.7 91.02) (end 200.66 93.98) (width 0.15) (layer B.Cu) (net 117)) + (segment (start 193 82.15) (end 197.7 86.85) (width 0.15) (layer B.Cu) (net 117)) + (segment (start 197.7 86.85) (end 197.7 91.02) (width 0.15) (layer B.Cu) (net 117)) + (segment (start 192.15 82.15) (end 193 82.15) (width 0.15) (layer B.Cu) (net 117)) + (segment (start 190.15 81.55) (end 188.25 83.45) (width 0.15) (layer F.Cu) (net 118) (tstamp 6148419E)) + (segment (start 188.25 88.45) (end 186.05 90.65) (width 0.15) (layer F.Cu) (net 118) (tstamp 6148419F)) + (segment (start 191.05 81.55) (end 190.15 81.55) (width 0.15) (layer F.Cu) (net 118) (tstamp 614841A0)) + (segment (start 186.05 90.65) (end 185.15 90.65) (width 0.15) (layer F.Cu) (net 118) (tstamp 614841A1)) + (segment (start 188.25 83.45) (end 188.25 88.45) (width 0.15) (layer F.Cu) (net 118) (tstamp 614841A2)) + (segment (start 191.05 81.5) (end 192.95 81.5) (width 0.15) (layer F.Cu) (net 118) (tstamp 61520F21)) + (via (at 192.95 81.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 118) (tstamp 61520F22)) + (segment (start 198 88.78) (end 200.66 91.44) (width 0.15) (layer B.Cu) (net 118)) + (segment (start 198 86.5) (end 198 88.78) (width 0.15) (layer B.Cu) (net 118)) + (segment (start 193 81.5) (end 198 86.5) (width 0.15) (layer B.Cu) (net 118)) + (segment (start 192.95 81.5) (end 193 81.5) (width 0.15) (layer B.Cu) (net 118)) + (segment (start 190.15 80.9) (end 191.05 80.9) (width 0.15) (layer F.Cu) (net 119) (tstamp 61484185)) + (segment (start 185.15 90) (end 186.1 90) (width 0.15) (layer F.Cu) (net 119) (tstamp 61484186)) + (segment (start 187.95 88.15) (end 187.95 83.1) (width 0.15) (layer F.Cu) (net 119) (tstamp 61484187)) + (segment (start 186.1 90) (end 187.95 88.15) (width 0.15) (layer F.Cu) (net 119) (tstamp 61484188)) + (segment (start 187.95 83.1) (end 190.15 80.9) (width 0.15) (layer F.Cu) (net 119) (tstamp 61484189)) + (via (at 192.15 80.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 119) (tstamp 61520F2E)) + (segment (start 191.05 80.85) (end 192.15 80.85) (width 0.15) (layer F.Cu) (net 119) (tstamp 61520F2F)) + (segment (start 200.3425 88.9) (end 200.66 88.9) (width 0.15) (layer B.Cu) (net 119)) + (segment (start 198.35 86.9075) (end 200.3425 88.9) (width 0.15) (layer B.Cu) (net 119)) + (segment (start 198.35 86.2) (end 198.35 86.9075) (width 0.15) (layer B.Cu) (net 119)) + (segment (start 193 80.85) (end 198.35 86.2) (width 0.15) (layer B.Cu) (net 119)) + (segment (start 192.15 80.85) (end 193 80.85) (width 0.15) (layer B.Cu) (net 119)) + (segment (start 191.05 80.25) (end 190.15 80.25) (width 0.15) (layer F.Cu) (net 120) (tstamp 614841A3)) + (segment (start 186.05 89.35) (end 185.15 89.35) (width 0.15) (layer F.Cu) (net 120) (tstamp 614841A4)) + (segment (start 187.65 87.75) (end 186.05 89.35) (width 0.15) (layer F.Cu) (net 120) (tstamp 614841A5)) + (segment (start 187.65 82.75) (end 187.65 87.75) (width 0.15) (layer F.Cu) (net 120) (tstamp 614841A6)) + (segment (start 190.15 80.25) (end 187.65 82.75) (width 0.15) (layer F.Cu) (net 120) (tstamp 614841A7)) + (segment (start 191.05 80.2) (end 192.95 80.2) (width 0.15) (layer F.Cu) (net 120) (tstamp 61520F2D)) + (via (at 192.95 80.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 120) (tstamp 61520F30)) + (segment (start 199.16 86.36) (end 200.66 86.36) (width 0.15) (layer B.Cu) (net 120)) + (segment (start 193 80.2) (end 199.16 86.36) (width 0.15) (layer B.Cu) (net 120)) + (segment (start 192.95 80.2) (end 193 80.2) (width 0.15) (layer B.Cu) (net 120)) + (segment (start 187.35 82.4) (end 190.15 79.6) (width 0.15) (layer F.Cu) (net 121) (tstamp 614841A8)) + (segment (start 185.15 88.7) (end 186.05 88.7) (width 0.15) (layer F.Cu) (net 121) (tstamp 614841A9)) + (segment (start 190.15 79.6) (end 191.05 79.6) (width 0.15) (layer F.Cu) (net 121) (tstamp 614841AA)) + (segment (start 187.35 87.4) (end 187.35 82.4) (width 0.15) (layer F.Cu) (net 121) (tstamp 614841AB)) + (segment (start 186.05 88.7) (end 187.35 87.4) (width 0.15) (layer F.Cu) (net 121) (tstamp 614841AC)) + (segment (start 191.05 79.55) (end 192.15 79.55) (width 0.15) (layer F.Cu) (net 121) (tstamp 61520F3B)) + (via (at 192.15 79.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 121) (tstamp 61520F3C)) + (segment (start 197.27 83.82) (end 200.66 83.82) (width 0.15) (layer B.Cu) (net 121)) + (segment (start 193 79.55) (end 197.27 83.82) (width 0.15) (layer B.Cu) (net 121)) + (segment (start 192.15 79.55) (end 193 79.55) (width 0.15) (layer B.Cu) (net 121)) + (segment (start 187.05 87.1) (end 186.1 88.05) (width 0.15) (layer F.Cu) (net 122) (tstamp 61484194)) + (segment (start 191.05 78.95) (end 190.15 78.95) (width 0.15) (layer F.Cu) (net 122) (tstamp 61484195)) + (segment (start 190.15 78.95) (end 187.05 82.05) (width 0.15) (layer F.Cu) (net 122) (tstamp 61484196)) + (segment (start 187.05 82.05) (end 187.05 87.1) (width 0.15) (layer F.Cu) (net 122) (tstamp 61484197)) + (segment (start 186.1 88.05) (end 185.15 88.05) (width 0.15) (layer F.Cu) (net 122) (tstamp 61484198)) + (via (at 192.95 78.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 122) (tstamp 615653B9)) + (segment (start 191.05 78.9) (end 192.95 78.9) (width 0.15) (layer F.Cu) (net 122) (tstamp 61520F3A)) + (segment (start 195.38 81.28) (end 200.66 81.28) (width 0.15) (layer B.Cu) (net 122)) + (segment (start 193 78.9) (end 195.38 81.28) (width 0.15) (layer B.Cu) (net 122)) + (segment (start 192.95 78.9) (end 193 78.9) (width 0.15) (layer B.Cu) (net 122)) + (segment (start 186.3 87.4) (end 186.75 86.95) (width 0.15) (layer F.Cu) (net 123) (tstamp 6148418F)) + (segment (start 186.75 86.95) (end 186.75 81.7) (width 0.15) (layer F.Cu) (net 123) (tstamp 61484190)) + (segment (start 186.75 81.7) (end 190.15 78.3) (width 0.15) (layer F.Cu) (net 123) (tstamp 61484191)) + (segment (start 185.15 87.4) (end 186.3 87.4) (width 0.15) (layer F.Cu) (net 123) (tstamp 61484192)) + (segment (start 190.15 78.3) (end 191.05 78.3) (width 0.15) (layer F.Cu) (net 123) (tstamp 61484193)) + (via (at 192.15 78.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 123)) + (segment (start 191.05 78.25) (end 192.15 78.25) (width 0.15) (layer F.Cu) (net 123)) + (segment (start 193.49 78.74) (end 200.66 78.74) (width 0.15) (layer B.Cu) (net 123)) + (segment (start 193 78.25) (end 193.49 78.74) (width 0.15) (layer B.Cu) (net 123)) + (segment (start 192.15 78.25) (end 193 78.25) (width 0.15) (layer B.Cu) (net 123)) + (segment (start 185.15 82.8) (end 182.55 82.8) (width 0.15) (layer F.Cu) (net 124) (tstamp 6143E70F)) + (segment (start 182.55 82.15) (end 185.15 82.15) (width 0.15) (layer F.Cu) (net 125) (tstamp 6143E70D)) + (segment (start 185.15 81.5) (end 182.55 81.5) (width 0.15) (layer F.Cu) (net 126) (tstamp 6143E710)) + (segment (start 182.55 80.85) (end 185.15 80.85) (width 0.15) (layer F.Cu) (net 127) (tstamp 6143E70E)) + (segment (start 185.15 80.2) (end 182.55 80.2) (width 0.15) (layer F.Cu) (net 128) (tstamp 6143E711)) + (segment (start 182.55 79.55) (end 185.15 79.55) (width 0.15) (layer F.Cu) (net 129) (tstamp 6143E714)) + (segment (start 185.15 78.9) (end 182.55 78.9) (width 0.15) (layer F.Cu) (net 130) (tstamp 6143E713)) + (segment (start 182.55 78.25) (end 185.15 78.25) (width 0.15) (layer F.Cu) (net 131) (tstamp 6143E712)) + (segment (start 190.15 73.7) (end 191.05 73.7) (width 0.15) (layer F.Cu) (net 132)) + (segment (start 186.75 70.3) (end 190.15 73.7) (width 0.15) (layer F.Cu) (net 132)) + (segment (start 186.75 65.05) (end 186.75 70.3) (width 0.15) (layer F.Cu) (net 132)) + (segment (start 186.3 64.6) (end 186.75 65.05) (width 0.15) (layer F.Cu) (net 132)) + (segment (start 185.15 64.6) (end 186.3 64.6) (width 0.15) (layer F.Cu) (net 132)) + (segment (start 191.05 73.7) (end 192.95 73.7) (width 0.15) (layer F.Cu) (net 132) (tstamp 615211C4)) + (via (at 192.95 73.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 132) (tstamp 615211C5)) + (segment (start 195.5 76.2) (end 200.66 76.2) (width 0.15) (layer B.Cu) (net 132)) + (segment (start 193 73.7) (end 195.5 76.2) (width 0.15) (layer B.Cu) (net 132)) + (segment (start 192.95 73.7) (end 193 73.7) (width 0.15) (layer B.Cu) (net 132)) + (segment (start 186.1 63.95) (end 185.15 63.95) (width 0.15) (layer F.Cu) (net 133)) + (segment (start 187.05 64.9) (end 186.1 63.95) (width 0.15) (layer F.Cu) (net 133)) + (segment (start 187.05 69.95) (end 187.05 64.9) (width 0.15) (layer F.Cu) (net 133)) + (segment (start 190.15 73.05) (end 187.05 69.95) (width 0.15) (layer F.Cu) (net 133)) + (segment (start 191.05 73.05) (end 190.15 73.05) (width 0.15) (layer F.Cu) (net 133)) + (via (at 192.15 73.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 133) (tstamp 615211C8)) + (segment (start 191.05 73.05) (end 192.15 73.05) (width 0.15) (layer F.Cu) (net 133) (tstamp 615211C9)) + (segment (start 193 73.05) (end 192.15 73.05) (width 0.15) (layer B.Cu) (net 133)) + (segment (start 193.61 73.66) (end 193 73.05) (width 0.15) (layer B.Cu) (net 133)) + (segment (start 200.66 73.66) (end 193.61 73.66) (width 0.15) (layer B.Cu) (net 133)) + (segment (start 190.15 72.4) (end 191.05 72.4) (width 0.15) (layer F.Cu) (net 134)) + (segment (start 187.35 69.6) (end 190.15 72.4) (width 0.15) (layer F.Cu) (net 134)) + (segment (start 187.35 64.6) (end 187.35 69.6) (width 0.15) (layer F.Cu) (net 134)) + (segment (start 186.05 63.3) (end 187.35 64.6) (width 0.15) (layer F.Cu) (net 134)) + (segment (start 185.15 63.3) (end 186.05 63.3) (width 0.15) (layer F.Cu) (net 134)) + (segment (start 191.05 72.4) (end 192.95 72.4) (width 0.15) (layer F.Cu) (net 134) (tstamp 615211C7)) + (via (at 192.95 72.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 134) (tstamp 615211CA)) + (segment (start 194.23 71.12) (end 192.95 72.4) (width 0.15) (layer B.Cu) (net 134)) + (segment (start 200.66 71.12) (end 194.23 71.12) (width 0.15) (layer B.Cu) (net 134)) + (segment (start 186.05 62.65) (end 185.15 62.65) (width 0.15) (layer F.Cu) (net 135)) + (segment (start 187.65 64.25) (end 186.05 62.65) (width 0.15) (layer F.Cu) (net 135)) + (segment (start 187.65 69.25) (end 187.65 64.25) (width 0.15) (layer F.Cu) (net 135)) + (segment (start 190.15 71.75) (end 187.65 69.25) (width 0.15) (layer F.Cu) (net 135)) + (segment (start 191.05 71.75) (end 190.15 71.75) (width 0.15) (layer F.Cu) (net 135)) + (segment (start 191.05 71.75) (end 192.15 71.75) (width 0.15) (layer F.Cu) (net 135) (tstamp 615211CC)) + (via (at 192.15 71.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 135) (tstamp 615211CD)) + (segment (start 196.17 68.58) (end 200.66 68.58) (width 0.15) (layer B.Cu) (net 135)) + (segment (start 193 71.75) (end 196.17 68.58) (width 0.15) (layer B.Cu) (net 135)) + (segment (start 192.15 71.75) (end 193 71.75) (width 0.15) (layer B.Cu) (net 135)) + (segment (start 190.15 71.1) (end 191.05 71.1) (width 0.15) (layer F.Cu) (net 136)) + (segment (start 187.95 68.9) (end 190.15 71.1) (width 0.15) (layer F.Cu) (net 136)) + (segment (start 187.95 63.85) (end 187.95 68.9) (width 0.15) (layer F.Cu) (net 136) (tstamp 6152EA29)) + (segment (start 186.1 62) (end 187.95 63.85) (width 0.15) (layer F.Cu) (net 136)) + (segment (start 185.15 62) (end 186.1 62) (width 0.15) (layer F.Cu) (net 136)) + (via (at 192.95 71.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 136) (tstamp 615211C6)) + (segment (start 191.05 71.1) (end 192.95 71.1) (width 0.15) (layer F.Cu) (net 136) (tstamp 615211CB)) + (segment (start 193 71.1) (end 192.95 71.1) (width 0.15) (layer B.Cu) (net 136)) + (segment (start 198.06 66.04) (end 193 71.1) (width 0.15) (layer B.Cu) (net 136)) + (segment (start 200.66 66.04) (end 198.06 66.04) (width 0.15) (layer B.Cu) (net 136)) + (segment (start 188.25 63.55) (end 186.05 61.35) (width 0.15) (layer F.Cu) (net 137)) + (segment (start 188.25 68.55) (end 188.25 63.55) (width 0.15) (layer F.Cu) (net 137)) + (segment (start 186.05 61.35) (end 185.15 61.35) (width 0.15) (layer F.Cu) (net 137)) + (segment (start 190.15 70.45) (end 188.25 68.55) (width 0.15) (layer F.Cu) (net 137)) + (segment (start 191.05 70.45) (end 190.15 70.45) (width 0.15) (layer F.Cu) (net 137)) + (segment (start 191.05 70.45) (end 192.15 70.45) (width 0.15) (layer F.Cu) (net 137) (tstamp 615211C2)) + (via (at 192.15 70.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 137) (tstamp 615211C3)) + (segment (start 193 70.45) (end 199.95 63.5) (width 0.15) (layer B.Cu) (net 137)) + (segment (start 199.95 63.5) (end 200.66 63.5) (width 0.15) (layer B.Cu) (net 137)) + (segment (start 192.15 70.45) (end 193 70.45) (width 0.15) (layer B.Cu) (net 137)) + (segment (start 190.15 69.8) (end 191.05 69.8) (width 0.15) (layer F.Cu) (net 138)) + (segment (start 188.55 68.2) (end 190.15 69.8) (width 0.15) (layer F.Cu) (net 138)) + (segment (start 188.55 63.2) (end 188.55 68.2) (width 0.15) (layer F.Cu) (net 138)) + (segment (start 186.05 60.7) (end 188.55 63.2) (width 0.15) (layer F.Cu) (net 138)) + (segment (start 185.15 60.7) (end 186.05 60.7) (width 0.15) (layer F.Cu) (net 138)) + (segment (start 191.05 69.8) (end 192.95 69.8) (width 0.15) (layer F.Cu) (net 138) (tstamp 615211C0)) + (via (at 192.95 69.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 138) (tstamp 615211C1)) + (segment (start 193 69.8) (end 192.95 69.8) (width 0.15) (layer B.Cu) (net 138)) + (segment (start 198.35 64.45) (end 193 69.8) (width 0.15) (layer B.Cu) (net 138)) + (segment (start 198.35 63.27) (end 198.35 64.45) (width 0.15) (layer B.Cu) (net 138)) + (segment (start 200.66 60.96) (end 198.35 63.27) (width 0.15) (layer B.Cu) (net 138)) + (segment (start 188.85 62.85) (end 186.05 60.05) (width 0.15) (layer F.Cu) (net 139)) + (segment (start 189.927 69.15) (end 188.85 68.073) (width 0.15) (layer F.Cu) (net 139)) + (segment (start 186.05 60.05) (end 185.15 60.05) (width 0.15) (layer F.Cu) (net 139)) + (segment (start 188.85 68.073) (end 188.85 62.85) (width 0.15) (layer F.Cu) (net 139)) + (segment (start 191.05 69.15) (end 189.927 69.15) (width 0.15) (layer F.Cu) (net 139)) + (via (at 192.15 69.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 139) (tstamp 615211BE)) + (segment (start 191.05 69.15) (end 192.15 69.15) (width 0.15) (layer F.Cu) (net 139) (tstamp 615211BF)) + (segment (start 193 69.15) (end 192.15 69.15) (width 0.15) (layer B.Cu) (net 139)) + (segment (start 198.05 64.1) (end 193 69.15) (width 0.15) (layer B.Cu) (net 139)) + (segment (start 198.05 61.03) (end 198.05 64.1) (width 0.15) (layer B.Cu) (net 139)) + (segment (start 200.66 58.42) (end 198.05 61.03) (width 0.15) (layer B.Cu) (net 139)) + (segment (start 185.15 73.7) (end 182.55 73.7) (width 0.15) (layer F.Cu) (net 140) (tstamp 6143E701)) + (segment (start 182.55 73.05) (end 185.15 73.05) (width 0.15) (layer F.Cu) (net 141) (tstamp 6143E704)) + (segment (start 185.15 72.4) (end 182.55 72.4) (width 0.15) (layer F.Cu) (net 142) (tstamp 6143E703)) + (segment (start 182.55 71.75) (end 185.15 71.75) (width 0.15) (layer F.Cu) (net 143) (tstamp 6143E702)) + (segment (start 185.15 71.1) (end 182.55 71.1) (width 0.15) (layer F.Cu) (net 144)) + (segment (start 182.55 70.45) (end 185.15 70.45) (width 0.15) (layer F.Cu) (net 145)) + (segment (start 185.15 69.8) (end 182.55 69.8) (width 0.15) (layer F.Cu) (net 146)) + (segment (start 182.55 69.15) (end 185.15 69.15) (width 0.15) (layer F.Cu) (net 147)) + (via (at 172.466 125.349) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 164)) + (segment (start 194.945 125.857) (end 200.152 131.064) (width 0.15) (layer B.Cu) (net 164)) + (segment (start 172.466 125.349) (end 172.974 125.857) (width 0.15) (layer B.Cu) (net 164)) + (segment (start 206.883 85.09) (end 204.47 85.09) (width 0.15) (layer B.Cu) (net 164)) + (segment (start 207.899 130.048) (end 207.899 86.106) (width 0.15) (layer B.Cu) (net 164)) + (segment (start 204.47 85.09) (end 203.2 86.36) (width 0.15) (layer B.Cu) (net 164)) + (segment (start 207.899 86.106) (end 206.883 85.09) (width 0.15) (layer B.Cu) (net 164)) + (segment (start 200.152 131.064) (end 206.883 131.064) (width 0.15) (layer B.Cu) (net 164)) + (segment (start 172.974 125.857) (end 194.945 125.857) (width 0.15) (layer B.Cu) (net 164)) + (segment (start 206.883 131.064) (end 207.899 130.048) (width 0.15) (layer B.Cu) (net 164)) + (segment (start 138.43 116.332) (end 138.43 115.051) (width 0.15) (layer F.Cu) (net 164)) + (segment (start 146.6215 124.5235) (end 138.43 116.332) (width 0.15) (layer F.Cu) (net 164)) + (segment (start 171.6405 124.5235) (end 146.6215 124.5235) (width 0.15) (layer F.Cu) (net 164)) + (segment (start 172.466 125.349) (end 171.6405 124.5235) (width 0.15) (layer F.Cu) (net 164)) + (via (at 170.815 125.349) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 165)) + (segment (start 208.661 130.302) (end 208.661 84.201) (width 0.15) (layer B.Cu) (net 165)) + (segment (start 207.264 131.699) (end 208.661 130.302) (width 0.15) (layer B.Cu) (net 165)) + (segment (start 208.661 84.201) (end 207.01 82.55) (width 0.15) (layer B.Cu) (net 165)) + (segment (start 194.691 126.619) (end 199.771 131.699) (width 0.15) (layer B.Cu) (net 165)) + (segment (start 207.01 82.55) (end 204.47 82.55) (width 0.15) (layer B.Cu) (net 165)) + (segment (start 199.771 131.699) (end 207.264 131.699) (width 0.15) (layer B.Cu) (net 165)) + (segment (start 172.085 126.619) (end 194.691 126.619) (width 0.15) (layer B.Cu) (net 165)) + (segment (start 204.47 82.55) (end 203.2 83.82) (width 0.15) (layer B.Cu) (net 165)) + (segment (start 170.815 125.349) (end 172.085 126.619) (width 0.15) (layer B.Cu) (net 165)) + (segment (start 170.307 124.841) (end 170.815 125.349) (width 0.15) (layer F.Cu) (net 165)) + (segment (start 146.4945 124.841) (end 170.307 124.841) (width 0.15) (layer F.Cu) (net 165)) + (segment (start 136.79 115.1365) (end 146.4945 124.841) (width 0.15) (layer F.Cu) (net 165)) + (segment (start 136.79 113.411) (end 136.79 115.1365) (width 0.15) (layer F.Cu) (net 165)) + (via (at 125.8 103.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 166) (tstamp 614A5AAD)) + (segment (start 126.9125 103.6) (end 125.8 103.6) (width 0.15) (layer F.Cu) (net 166) (tstamp 614A5AAA)) + (via (at 158.877 99.441) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 166)) + (segment (start 160.2625 99.441) (end 158.877 99.441) (width 0.15) (layer F.Cu) (net 166)) + (segment (start 129.15 103.1) (end 126.3 103.1) (width 0.15) (layer B.Cu) (net 166)) + (segment (start 130.317 104.267) (end 129.15 103.1) (width 0.15) (layer B.Cu) (net 166)) + (segment (start 154.051 104.267) (end 130.317 104.267) (width 0.15) (layer B.Cu) (net 166)) + (segment (start 126.3 103.1) (end 125.8 103.6) (width 0.15) (layer B.Cu) (net 166)) + (segment (start 158.877 99.441) (end 154.051 104.267) (width 0.15) (layer B.Cu) (net 166)) + (segment (start 165.805 96.45) (end 176.65 96.45) (width 0.15) (layer F.Cu) (net 166)) + (segment (start 162.765 99.49) (end 165.805 96.45) (width 0.15) (layer F.Cu) (net 166)) + (segment (start 160.3125 99.49) (end 162.765 99.49) (width 0.15) (layer F.Cu) (net 166)) + (via (at 158.877 100.711) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 167)) + (segment (start 160.2625 100.711) (end 158.877 100.711) (width 0.15) (layer F.Cu) (net 167)) + (via (at 124.9 105.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 167) (tstamp 614A5A14)) + (segment (start 126.9125 105.6) (end 124.9 105.6) (width 0.15) (layer F.Cu) (net 167) (tstamp 614A5A11)) + (segment (start 167.06 97.1) (end 176.65 97.1) (width 0.15) (layer F.Cu) (net 167)) + (segment (start 163.4 100.76) (end 167.06 97.1) (width 0.15) (layer F.Cu) (net 167)) + (segment (start 160.3125 100.76) (end 163.4 100.76) (width 0.15) (layer F.Cu) (net 167)) + (segment (start 126 105.6) (end 124.9 105.6) (width 0.15) (layer B.Cu) (net 167)) + (segment (start 127.4 104.2) (end 126 105.6) (width 0.15) (layer B.Cu) (net 167)) + (segment (start 128.25 104.2) (end 127.4 104.2) (width 0.15) (layer B.Cu) (net 167)) + (segment (start 128.65 103.8) (end 128.25 104.2) (width 0.15) (layer B.Cu) (net 167)) + (segment (start 129.4 103.8) (end 128.65 103.8) (width 0.15) (layer B.Cu) (net 167)) + (segment (start 155.0035 104.5845) (end 130.1845 104.5845) (width 0.15) (layer B.Cu) (net 167)) + (segment (start 130.1845 104.5845) (end 129.4 103.8) (width 0.15) (layer B.Cu) (net 167)) + (segment (start 158.877 100.711) (end 155.0035 104.5845) (width 0.15) (layer B.Cu) (net 167)) + (via (at 158.877 101.981) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 168)) + (via (at 125.8 106.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 168) (tstamp 614A5A0B)) + (segment (start 126.9125 106.1) (end 125.8 106.1) (width 0.15) (layer F.Cu) (net 168) (tstamp 614A5A0E)) + (segment (start 163.068 101.981) (end 167.299 97.75) (width 0.15) (layer F.Cu) (net 168)) + (segment (start 167.299 97.75) (end 176.65 97.75) (width 0.15) (layer F.Cu) (net 168)) + (segment (start 158.877 101.981) (end 163.068 101.981) (width 0.15) (layer F.Cu) (net 168)) + (segment (start 125.95 106.1) (end 125.8 106.1) (width 0.15) (layer B.Cu) (net 168)) + (segment (start 127.55 104.5) (end 125.95 106.1) (width 0.15) (layer B.Cu) (net 168)) + (segment (start 128.45 104.5) (end 127.55 104.5) (width 0.15) (layer B.Cu) (net 168)) + (segment (start 128.85 104.1) (end 128.45 104.5) (width 0.15) (layer B.Cu) (net 168)) + (segment (start 129.25 104.1) (end 128.85 104.1) (width 0.15) (layer B.Cu) (net 168)) + (segment (start 130.052 104.902) (end 129.25 104.1) (width 0.15) (layer B.Cu) (net 168)) + (segment (start 155.829 104.902) (end 130.052 104.902) (width 0.15) (layer B.Cu) (net 168)) + (segment (start 158.75 101.981) (end 155.829 104.902) (width 0.15) (layer B.Cu) (net 168)) + (segment (start 158.877 101.981) (end 158.75 101.981) (width 0.15) (layer B.Cu) (net 168)) + (via (at 158.877 104.521) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 169)) + (segment (start 160.528 104.521) (end 158.877 104.521) (width 0.15) (layer F.Cu) (net 169)) + (via (at 124.9 106.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 169) (tstamp 614A5A7A)) + (segment (start 126.9125 106.6) (end 124.9 106.6) (width 0.15) (layer F.Cu) (net 169) (tstamp 614A5A80)) + (segment (start 158.1785 105.2195) (end 158.877 104.521) (width 0.15) (layer B.Cu) (net 169)) + (segment (start 128.7305 105.2195) (end 158.1785 105.2195) (width 0.15) (layer B.Cu) (net 169)) + (segment (start 127.35 106.6) (end 128.7305 105.2195) (width 0.15) (layer B.Cu) (net 169)) + (segment (start 124.9 106.6) (end 127.35 106.6) (width 0.15) (layer B.Cu) (net 169)) + (segment (start 174.4 98.4) (end 176.65 98.4) (width 0.15) (layer F.Cu) (net 169)) + (segment (start 166.247 106.553) (end 174.4 98.4) (width 0.15) (layer F.Cu) (net 169)) + (segment (start 165.1 106.553) (end 166.247 106.553) (width 0.15) (layer F.Cu) (net 169)) + (segment (start 163.068 104.521) (end 165.1 106.553) (width 0.15) (layer F.Cu) (net 169)) + (segment (start 160.2625 104.521) (end 163.068 104.521) (width 0.15) (layer F.Cu) (net 169)) + (via (at 158.877 105.791) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 170)) + (via (at 125.8 107.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 170) (tstamp 614A5A05)) + (segment (start 126.9125 107.1) (end 125.8 107.1) (width 0.15) (layer F.Cu) (net 170) (tstamp 614A59FF)) + (segment (start 161.29 70.6375) (end 161.29 71.755) (width 0.15) (layer F.Cu) (net 170)) + (via (at 161.29 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 170)) + (via (at 130.81 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 170)) + (segment (start 130.81 70.6375) (end 130.81 71.755) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 132.9055 73.8505) (end 130.81 71.755) (width 0.15) (layer B.Cu) (net 170)) + (segment (start 141.859 72.771) (end 140.7795 73.8505) (width 0.15) (layer B.Cu) (net 170)) + (segment (start 159.639 73.406) (end 153.7335 73.406) (width 0.15) (layer B.Cu) (net 170)) + (segment (start 153.0985 72.771) (end 141.859 72.771) (width 0.15) (layer B.Cu) (net 170)) + (segment (start 140.7795 73.8505) (end 132.9055 73.8505) (width 0.15) (layer B.Cu) (net 170)) + (segment (start 153.7335 73.406) (end 153.0985 72.771) (width 0.15) (layer B.Cu) (net 170)) + (segment (start 161.29 71.755) (end 159.639 73.406) (width 0.15) (layer B.Cu) (net 170)) + (segment (start 158.496 88.519) (end 156.845 90.17) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 163.195 88.519) (end 158.496 88.519) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 170.18 77.597) (end 170.18 81.534) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 170.18 81.534) (end 163.195 88.519) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 169.418 76.835) (end 170.18 77.597) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 156.845 103.759) (end 158.877 105.791) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 156.845 90.17) (end 156.845 103.759) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 161.29 72.517) (end 165.608 76.835) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 165.608 76.835) (end 169.418 76.835) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 161.29 71.755) (end 161.29 72.517) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 127.3 107.1) (end 125.8 107.1) (width 0.15) (layer B.Cu) (net 170)) + (segment (start 128.863 105.537) (end 127.3 107.1) (width 0.15) (layer B.Cu) (net 170)) + (segment (start 158.623 105.537) (end 128.863 105.537) (width 0.15) (layer B.Cu) (net 170)) + (segment (start 158.877 105.791) (end 158.623 105.537) (width 0.15) (layer B.Cu) (net 170)) + (segment (start 176.65 99.05) (end 174.2 99.05) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 163.83 105.791) (end 160.2625 105.791) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 160.2625 105.791) (end 158.877 105.791) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 164.939 106.9) (end 163.83 105.791) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 166.35 106.9) (end 164.939 106.9) (width 0.15) (layer F.Cu) (net 170)) + (segment (start 174.2 99.05) (end 166.35 106.9) (width 0.15) (layer F.Cu) (net 170)) + (via (at 158.877 107.061) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 171)) + (via (at 124.9 107.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 171) (tstamp 614A5A26)) + (segment (start 126.9125 107.6) (end 124.9 107.6) (width 0.15) (layer F.Cu) (net 171) (tstamp 614A5A29)) + (via (at 160.02 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 171)) + (segment (start 160.02 70.6375) (end 160.02 71.755) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 160.02 72.39) (end 160.02 71.755) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 167.005 79.375) (end 160.02 72.39) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 169.418 79.629) (end 169.164 79.375) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 169.418 81.28) (end 169.418 79.629) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 169.164 79.375) (end 167.005 79.375) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 155.575 103.759) (end 155.575 90.17) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 157.988 87.757) (end 162.941 87.757) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 155.575 90.17) (end 157.988 87.757) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 162.941 87.757) (end 169.418 81.28) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 158.877 107.061) (end 155.575 103.759) (width 0.15) (layer F.Cu) (net 171)) + (via (at 132.08 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 171)) + (segment (start 132.08 70.6375) (end 132.08 71.755) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 158.6865 73.0885) (end 160.02 71.755) (width 0.15) (layer B.Cu) (net 171)) + (segment (start 153.8605 73.0885) (end 158.6865 73.0885) (width 0.15) (layer B.Cu) (net 171)) + (segment (start 153.2255 72.4535) (end 153.8605 73.0885) (width 0.15) (layer B.Cu) (net 171)) + (segment (start 141.732 72.4535) (end 153.2255 72.4535) (width 0.15) (layer B.Cu) (net 171)) + (segment (start 140.6525 73.533) (end 141.732 72.4535) (width 0.15) (layer B.Cu) (net 171)) + (segment (start 133.858 73.533) (end 140.6525 73.533) (width 0.15) (layer B.Cu) (net 171)) + (segment (start 132.08 71.755) (end 133.858 73.533) (width 0.15) (layer B.Cu) (net 171)) + (segment (start 164.592 107.061) (end 160.2625 107.061) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 164.731 107.2) (end 164.592 107.061) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 160.2625 107.061) (end 158.877 107.061) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 166.485 107.2) (end 164.731 107.2) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 173.985 99.7) (end 166.485 107.2) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 176.65 99.7) (end 173.985 99.7) (width 0.15) (layer F.Cu) (net 171)) + (segment (start 157.6705 105.8545) (end 158.877 107.061) (width 0.15) (layer B.Cu) (net 171)) + (segment (start 128.9955 105.8545) (end 157.6705 105.8545) (width 0.15) (layer B.Cu) (net 171)) + (segment (start 127.25 107.6) (end 128.9955 105.8545) (width 0.15) (layer B.Cu) (net 171)) + (segment (start 124.9 107.6) (end 127.25 107.6) (width 0.15) (layer B.Cu) (net 171)) + (via (at 125.8 108.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 172) (tstamp 614A5A35)) + (segment (start 126.9125 108.1) (end 125.8 108.1) (width 0.15) (layer F.Cu) (net 172) (tstamp 614A5A41)) + (via (at 158.877 108.331) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 172)) + (via (at 158.75 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 172)) + (segment (start 158.75 70.6375) (end 158.75 71.755) (width 0.15) (layer F.Cu) (net 172)) + (via (at 133.35 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 172)) + (segment (start 133.35 70.6375) (end 133.35 71.755) (width 0.15) (layer F.Cu) (net 172)) + (segment (start 134.8105 73.2155) (end 133.35 71.755) (width 0.15) (layer B.Cu) (net 172)) + (segment (start 140.5255 73.2155) (end 134.8105 73.2155) (width 0.15) (layer B.Cu) (net 172)) + (segment (start 153.3525 72.136) (end 141.605 72.136) (width 0.15) (layer B.Cu) (net 172)) + (segment (start 141.605 72.136) (end 140.5255 73.2155) (width 0.15) (layer B.Cu) (net 172)) + (segment (start 153.9875 72.771) (end 153.3525 72.136) (width 0.15) (layer B.Cu) (net 172)) + (segment (start 157.734 72.771) (end 153.9875 72.771) (width 0.15) (layer B.Cu) (net 172)) + (segment (start 158.75 71.755) (end 157.734 72.771) (width 0.15) (layer B.Cu) (net 172)) + (segment (start 160.2625 108.331) (end 158.877 108.331) (width 0.15) (layer F.Cu) (net 172)) + (segment (start 176.65 100.35) (end 173.7795 100.35) (width 0.15) (layer F.Cu) (net 172)) + (segment (start 162.433 108.331) (end 160.2625 108.331) (width 0.15) (layer F.Cu) (net 172)) + (segment (start 163.264 107.5) (end 162.433 108.331) (width 0.15) (layer F.Cu) (net 172)) + (segment (start 173.7795 100.35) (end 166.6295 107.5) (width 0.15) (layer F.Cu) (net 172)) + (segment (start 166.6295 107.5) (end 163.264 107.5) (width 0.15) (layer F.Cu) (net 172)) + (segment (start 127.2 108.1) (end 125.8 108.1) (width 0.15) (layer B.Cu) (net 172)) + (segment (start 129.128 106.172) (end 127.2 108.1) (width 0.15) (layer B.Cu) (net 172)) + (segment (start 156.718 106.172) (end 129.128 106.172) (width 0.15) (layer B.Cu) (net 172)) + (segment (start 158.877 108.331) (end 156.718 106.172) (width 0.15) (layer B.Cu) (net 172)) + (segment (start 154.305 103.759) (end 158.877 108.331) (width 0.15) (layer F.Cu) (net 172)) + (segment (start 154.305 90.17) (end 154.305 103.759) (width 0.15) (layer F.Cu) (net 172)) + (segment (start 158.75 71.755) (end 158.75 72.39) (width 0.15) (layer F.Cu) (net 172)) + (segment (start 158.75 72.39) (end 167.132 80.772) (width 0.15) (layer F.Cu) (net 172)) + (segment (start 167.132 82.55) (end 162.687 86.995) (width 0.15) (layer F.Cu) (net 172)) + (segment (start 167.132 80.772) (end 167.132 82.55) (width 0.15) (layer F.Cu) (net 172)) + (segment (start 157.48 86.995) (end 154.305 90.17) (width 0.15) (layer F.Cu) (net 172)) + (segment (start 162.687 86.995) (end 157.48 86.995) (width 0.15) (layer F.Cu) (net 172)) + (via (at 134.62 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 173)) + (segment (start 134.62 70.6375) (end 134.62 71.755) (width 0.15) (layer F.Cu) (net 173)) + (segment (start 126.9125 108.6) (end 124.9 108.6) (width 0.15) (layer F.Cu) (net 173) (tstamp 614A5A3B)) + (via (at 124.9 108.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 173) (tstamp 614A5A3E)) + (via (at 158.877 109.601) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 173)) + (segment (start 158.877 109.601) (end 153.035 103.759) (width 0.15) (layer F.Cu) (net 173)) + (segment (start 153.035 90.17) (end 153.035 93.345) (width 0.15) (layer F.Cu) (net 173)) + (segment (start 156.972 86.233) (end 153.035 90.17) (width 0.15) (layer F.Cu) (net 173)) + (segment (start 162.433 86.233) (end 156.972 86.233) (width 0.15) (layer F.Cu) (net 173)) + (segment (start 165.735 82.931) (end 162.433 86.233) (width 0.15) (layer F.Cu) (net 173)) + (segment (start 165.735 80.518) (end 165.735 82.931) (width 0.15) (layer F.Cu) (net 173)) + (segment (start 157.48 72.263) (end 165.735 80.518) (width 0.15) (layer F.Cu) (net 173)) + (segment (start 157.48 71.755) (end 157.48 72.263) (width 0.15) (layer F.Cu) (net 173)) + (via (at 157.48 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 173)) + (segment (start 157.48 70.6375) (end 157.48 71.755) (width 0.15) (layer F.Cu) (net 173)) + (segment (start 153.035 103.759) (end 153.035 90.17) (width 0.15) (layer F.Cu) (net 173)) + (segment (start 154.1145 72.4535) (end 156.7815 72.4535) (width 0.15) (layer B.Cu) (net 173)) + (segment (start 156.7815 72.4535) (end 157.48 71.755) (width 0.15) (layer B.Cu) (net 173)) + (segment (start 153.4795 71.8185) (end 154.1145 72.4535) (width 0.15) (layer B.Cu) (net 173)) + (segment (start 134.62 71.755) (end 135.763 72.898) (width 0.15) (layer B.Cu) (net 173)) + (segment (start 135.763 72.898) (end 140.3985 72.898) (width 0.15) (layer B.Cu) (net 173)) + (segment (start 141.478 71.8185) (end 153.4795 71.8185) (width 0.15) (layer B.Cu) (net 173)) + (segment (start 140.3985 72.898) (end 141.478 71.8185) (width 0.15) (layer B.Cu) (net 173)) + (segment (start 129.2605 106.4895) (end 127.15 108.6) (width 0.15) (layer B.Cu) (net 173)) + (segment (start 155.7655 106.4895) (end 129.2605 106.4895) (width 0.15) (layer B.Cu) (net 173)) + (segment (start 127.15 108.6) (end 124.9 108.6) (width 0.15) (layer B.Cu) (net 173)) + (segment (start 158.877 109.601) (end 155.7655 106.4895) (width 0.15) (layer B.Cu) (net 173)) + (segment (start 169.024 105.55) (end 176.65 105.55) (width 0.15) (layer F.Cu) (net 173)) + (segment (start 166.774 107.8) (end 169.024 105.55) (width 0.15) (layer F.Cu) (net 173)) + (segment (start 164.05 107.8) (end 166.774 107.8) (width 0.15) (layer F.Cu) (net 173)) + (segment (start 162.249 109.601) (end 164.05 107.8) (width 0.15) (layer F.Cu) (net 173)) + (segment (start 158.877 109.601) (end 162.249 109.601) (width 0.15) (layer F.Cu) (net 173)) + (segment (start 126.9125 109.1) (end 125.8 109.1) (width 0.15) (layer F.Cu) (net 174) (tstamp 614A5A20)) + (via (at 125.8 109.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 174) (tstamp 614A5A2C)) + (via (at 158.877 110.871) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 174)) + (segment (start 158.877 110.871) (end 151.765 103.759) (width 0.15) (layer F.Cu) (net 174)) + (segment (start 165.1 81.7625) (end 165.1 83.058) (width 0.15) (layer F.Cu) (net 174)) + (segment (start 165.1 83.058) (end 163.322 84.836) (width 0.15) (layer F.Cu) (net 174)) + (segment (start 163.322 84.836) (end 162.306 85.852) (width 0.15) (layer F.Cu) (net 174)) + (via (at 153.035 89.408) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 174)) + (segment (start 156.591 85.852) (end 153.035 89.408) (width 0.15) (layer F.Cu) (net 174)) + (segment (start 162.306 85.852) (end 156.591 85.852) (width 0.15) (layer F.Cu) (net 174)) + (via (at 151.765 90.17) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 174)) + (segment (start 152.273 90.17) (end 151.765 90.17) (width 0.15) (layer B.Cu) (net 174)) + (segment (start 153.035 89.408) (end 152.273 90.17) (width 0.15) (layer B.Cu) (net 174)) + (via (at 165.1 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 174)) + (segment (start 165.1 81.7625) (end 165.1 80.645) (width 0.15) (layer F.Cu) (net 174)) + (segment (start 151.765 103.759) (end 151.765 90.17) (width 0.15) (layer F.Cu) (net 174)) + (via (at 130.175 76.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 174)) + (segment (start 129.0525 76.2) (end 130.175 76.2) (width 0.15) (layer F.Cu) (net 174)) + (segment (start 131.572 74.803) (end 130.175 76.2) (width 0.15) (layer B.Cu) (net 174)) + (segment (start 141.25575 74.803) (end 131.572 74.803) (width 0.15) (layer B.Cu) (net 174)) + (segment (start 165.1 80.645) (end 158.496 74.041) (width 0.15) (layer B.Cu) (net 174)) + (segment (start 152.2095 73.7235) (end 142.33525 73.7235) (width 0.15) (layer B.Cu) (net 174)) + (segment (start 152.527 74.041) (end 152.2095 73.7235) (width 0.15) (layer B.Cu) (net 174)) + (segment (start 158.496 74.041) (end 152.527 74.041) (width 0.15) (layer B.Cu) (net 174)) + (segment (start 142.33525 73.7235) (end 141.25575 74.803) (width 0.15) (layer B.Cu) (net 174)) + (segment (start 127.1 109.1) (end 125.8 109.1) (width 0.15) (layer B.Cu) (net 174)) + (segment (start 129.393 106.807) (end 127.1 109.1) (width 0.15) (layer B.Cu) (net 174)) + (segment (start 154.813 106.807) (end 129.393 106.807) (width 0.15) (layer B.Cu) (net 174)) + (segment (start 158.877 110.871) (end 154.813 106.807) (width 0.15) (layer B.Cu) (net 174)) + (segment (start 168.8185 106.2) (end 176.65 106.2) (width 0.15) (layer F.Cu) (net 174)) + (segment (start 166.9185 108.1) (end 168.8185 106.2) (width 0.15) (layer F.Cu) (net 174)) + (segment (start 165.15 108.1) (end 166.9185 108.1) (width 0.15) (layer F.Cu) (net 174)) + (segment (start 162.379 110.871) (end 165.15 108.1) (width 0.15) (layer F.Cu) (net 174)) + (segment (start 158.877 110.871) (end 162.379 110.871) (width 0.15) (layer F.Cu) (net 174)) + (via (at 124.9 109.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 175) (tstamp 614A5A2F)) + (segment (start 126.9125 109.6) (end 124.9 109.6) (width 0.15) (layer F.Cu) (net 175) (tstamp 614A5A23)) + (via (at 158.877 112.141) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 175)) + (segment (start 158.877 112.141) (end 150.495 103.759) (width 0.15) (layer F.Cu) (net 175)) + (via (at 153.289 88.392) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 175)) + (segment (start 163.83 83.312) (end 163.83 81.7625) (width 0.15) (layer F.Cu) (net 175)) + (segment (start 162.052 85.09) (end 163.83 83.312) (width 0.15) (layer F.Cu) (net 175)) + (segment (start 155.829 85.09) (end 162.052 85.09) (width 0.15) (layer F.Cu) (net 175)) + (segment (start 153.543 87.376) (end 155.829 85.09) (width 0.15) (layer F.Cu) (net 175)) + (segment (start 153.543 88.138) (end 153.543 87.376) (width 0.15) (layer F.Cu) (net 175)) + (segment (start 153.289 88.392) (end 153.543 88.138) (width 0.15) (layer F.Cu) (net 175)) + (via (at 150.495 90.17) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 175)) + (segment (start 151.003 89.662) (end 150.495 90.17) (width 0.15) (layer B.Cu) (net 175)) + (segment (start 152.146 88.519) (end 151.003 89.662) (width 0.15) (layer B.Cu) (net 175)) + (segment (start 153.162 88.519) (end 152.146 88.519) (width 0.15) (layer B.Cu) (net 175)) + (segment (start 153.289 88.392) (end 153.162 88.519) (width 0.15) (layer B.Cu) (net 175)) + (via (at 163.83 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 175)) + (segment (start 163.83 81.7625) (end 163.83 80.645) (width 0.15) (layer F.Cu) (net 175)) + (segment (start 150.495 90.17) (end 150.495 103.759) (width 0.15) (layer F.Cu) (net 175)) + (via (at 130.175 78.74) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 175)) + (segment (start 129.0525 78.74) (end 130.175 78.74) (width 0.15) (layer F.Cu) (net 175)) + (segment (start 130.6195 78.74) (end 130.175 78.74) (width 0.15) (layer B.Cu) (net 175)) + (segment (start 131.7625 77.597) (end 130.6195 78.74) (width 0.15) (layer B.Cu) (net 175)) + (segment (start 140.9065 77.597) (end 131.7625 77.597) (width 0.15) (layer B.Cu) (net 175)) + (segment (start 150.114 78.0415) (end 141.351 78.0415) (width 0.15) (layer B.Cu) (net 175)) + (segment (start 151.638 76.5175) (end 150.114 78.0415) (width 0.15) (layer B.Cu) (net 175)) + (segment (start 141.351 78.0415) (end 140.9065 77.597) (width 0.15) (layer B.Cu) (net 175)) + (segment (start 159.7025 76.5175) (end 151.638 76.5175) (width 0.15) (layer B.Cu) (net 175)) + (segment (start 163.83 80.645) (end 159.7025 76.5175) (width 0.15) (layer B.Cu) (net 175)) + (segment (start 153.8605 107.1245) (end 158.877 112.141) (width 0.15) (layer B.Cu) (net 175)) + (segment (start 129.5255 107.1245) (end 153.8605 107.1245) (width 0.15) (layer B.Cu) (net 175)) + (segment (start 127.05 109.6) (end 129.5255 107.1245) (width 0.15) (layer B.Cu) (net 175)) + (segment (start 124.9 109.6) (end 127.05 109.6) (width 0.15) (layer B.Cu) (net 175)) + (segment (start 166.25 108.4) (end 167.063 108.4) (width 0.15) (layer F.Cu) (net 175)) + (segment (start 168.613 106.85) (end 176.65 106.85) (width 0.15) (layer F.Cu) (net 175)) + (segment (start 167.063 108.4) (end 168.613 106.85) (width 0.15) (layer F.Cu) (net 175)) + (segment (start 158.877 112.141) (end 162.509 112.141) (width 0.15) (layer F.Cu) (net 175)) + (segment (start 162.509 112.141) (end 166.25 108.4) (width 0.15) (layer F.Cu) (net 175)) + (via (at 135.89 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 176)) + (segment (start 135.89 70.6375) (end 135.89 71.755) (width 0.15) (layer F.Cu) (net 176)) + (via (at 125.8 110.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 176) (tstamp 614A5A74)) + (segment (start 126.9125 110.1) (end 125.8 110.1) (width 0.15) (layer F.Cu) (net 176) (tstamp 614A5A77)) + (via (at 149.225 90.17) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 176)) + (via (at 160.274 114.173) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 176)) + (segment (start 160.2625 114.1615) (end 160.274 114.173) (width 0.15) (layer F.Cu) (net 176)) + (segment (start 160.2625 113.411) (end 160.2625 114.1615) (width 0.15) (layer F.Cu) (net 176)) + (segment (start 159.004 113.411) (end 149.225 103.632) (width 0.15) (layer F.Cu) (net 176)) + (segment (start 160.2625 113.411) (end 159.004 113.411) (width 0.15) (layer F.Cu) (net 176)) + (segment (start 159.766 114.173) (end 153.035 107.442) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 160.274 114.173) (end 159.766 114.173) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 156.21 71.755) (end 156.21 70.6375) (width 0.15) (layer F.Cu) (net 176)) + (via (at 156.21 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 176)) + (segment (start 151.892 87.503) (end 149.225 90.17) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 154.178 87.503) (end 151.892 87.503) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 164.465 80.01) (end 156.21 71.755) (width 0.15) (layer F.Cu) (net 176)) + (segment (start 164.465 83.185) (end 164.465 80.01) (width 0.15) (layer F.Cu) (net 176)) + (segment (start 162.179 85.471) (end 164.465 83.185) (width 0.15) (layer F.Cu) (net 176)) + (segment (start 156.21 85.471) (end 162.179 85.471) (width 0.15) (layer F.Cu) (net 176)) + (segment (start 154.178 87.503) (end 156.21 85.471) (width 0.15) (layer F.Cu) (net 176)) + (via (at 154.178 87.503) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 176)) + (segment (start 149.225 103.632) (end 149.225 90.17) (width 0.15) (layer F.Cu) (net 176)) + (segment (start 153.035 107.442) (end 132.608 107.442) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 132.608 107.442) (end 132.5245 107.442) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 155.829 72.136) (end 156.21 71.755) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 154.2415 72.136) (end 155.829 72.136) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 141.351 71.501) (end 153.6065 71.501) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 140.2715 72.5805) (end 141.351 71.501) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 136.7155 72.5805) (end 140.2715 72.5805) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 153.6065 71.501) (end 154.2415 72.136) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 135.89 71.755) (end 136.7155 72.5805) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 127 110.1) (end 125.8 110.1) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 129.658 107.442) (end 127 110.1) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 132.608 107.442) (end 129.658 107.442) (width 0.15) (layer B.Cu) (net 176)) + (segment (start 168.4075 107.5) (end 176.65 107.5) (width 0.15) (layer F.Cu) (net 176)) + (segment (start 167.2075 108.7) (end 168.4075 107.5) (width 0.15) (layer F.Cu) (net 176)) + (segment (start 166.382 108.7) (end 167.2075 108.7) (width 0.15) (layer F.Cu) (net 176)) + (segment (start 161.671 113.411) (end 166.382 108.7) (width 0.15) (layer F.Cu) (net 176)) + (segment (start 160.2625 113.411) (end 161.671 113.411) (width 0.15) (layer F.Cu) (net 176)) + (via (at 124.9 110.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 177) (tstamp 614A5A68)) + (segment (start 126.9125 110.6) (end 124.9 110.6) (width 0.15) (layer F.Cu) (net 177) (tstamp 614A5A71)) + (via (at 147.955 90.17) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 177)) + (via (at 159.512 114.935) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 177)) + (segment (start 159.5005 114.9235) (end 159.512 114.935) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 158.75 114.9235) (end 159.5005 114.9235) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 158.75 114.9235) (end 158.75 113.665) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 158.75 113.665) (end 147.955 102.87) (width 0.15) (layer F.Cu) (net 177)) + (via (at 154.432 71.628) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 177)) + (segment (start 154.4525 71.6485) (end 154.432 71.628) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 154.4525 72.39) (end 154.4525 71.6485) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 156.337 72.39) (end 154.4525 72.39) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 163.195 79.248) (end 156.337 72.39) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 163.195 83.439) (end 163.195 79.248) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 155.702 84.709) (end 161.925 84.709) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 151.511 86.614) (end 147.955 90.17) (width 0.15) (layer B.Cu) (net 177)) + (segment (start 153.035 86.614) (end 151.511 86.614) (width 0.15) (layer B.Cu) (net 177)) + (segment (start 153.797 86.614) (end 155.702 84.709) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 161.925 84.709) (end 163.195 83.439) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 153.035 86.614) (end 153.797 86.614) (width 0.15) (layer F.Cu) (net 177)) + (via (at 153.035 86.614) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 177)) + (segment (start 147.955 90.17) (end 147.955 102.87) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 138.43 70.6375) (end 138.43 71.755) (width 0.15) (layer F.Cu) (net 177)) + (via (at 138.43 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 177)) + (segment (start 153.9875 71.1835) (end 154.432 71.628) (width 0.15) (layer B.Cu) (net 177)) + (segment (start 141.097 71.1835) (end 153.9875 71.1835) (width 0.15) (layer B.Cu) (net 177)) + (segment (start 140.0175 72.263) (end 141.097 71.1835) (width 0.15) (layer B.Cu) (net 177)) + (segment (start 138.938 72.263) (end 140.0175 72.263) (width 0.15) (layer B.Cu) (net 177)) + (segment (start 138.43 71.755) (end 138.938 72.263) (width 0.15) (layer B.Cu) (net 177)) + (segment (start 159.512 114.427) (end 159.512 114.935) (width 0.15) (layer B.Cu) (net 177)) + (segment (start 152.8445 107.7595) (end 159.512 114.427) (width 0.15) (layer B.Cu) (net 177)) + (segment (start 129.7905 107.7595) (end 152.8445 107.7595) (width 0.15) (layer B.Cu) (net 177)) + (segment (start 126.95 110.6) (end 129.7905 107.7595) (width 0.15) (layer B.Cu) (net 177)) + (segment (start 124.9 110.6) (end 126.95 110.6) (width 0.15) (layer B.Cu) (net 177)) + (segment (start 167.302 109.05) (end 168.202 108.15) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 168.202 108.15) (end 176.65 108.15) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 166.4765 109.05) (end 167.302 109.05) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 160.5915 114.935) (end 166.4765 109.05) (width 0.15) (layer F.Cu) (net 177)) + (segment (start 159.512 114.935) (end 160.5915 114.935) (width 0.15) (layer F.Cu) (net 177)) + (via (at 157.48 113.538) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 178)) + (segment (start 157.48 113.538) (end 146.685 102.743) (width 0.15) (layer F.Cu) (net 178)) + (via (at 146.685 90.17) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 178)) + (segment (start 126.9125 111.1) (end 125.8 111.1) (width 0.15) (layer F.Cu) (net 178) (tstamp 614A5ABC)) + (via (at 125.8 111.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 178) (tstamp 614A5AB3)) + (via (at 162.56 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 178)) + (segment (start 162.56 81.7625) (end 162.56 80.645) (width 0.15) (layer F.Cu) (net 178)) + (segment (start 162.56 83.566) (end 162.56 81.7625) (width 0.15) (layer F.Cu) (net 178)) + (segment (start 161.798 84.328) (end 162.56 83.566) (width 0.15) (layer F.Cu) (net 178)) + (segment (start 155.321 84.328) (end 161.798 84.328) (width 0.15) (layer F.Cu) (net 178)) + (segment (start 151.0665 85.7885) (end 146.685 90.17) (width 0.15) (layer B.Cu) (net 178)) + (segment (start 153.8605 85.7885) (end 155.321 84.328) (width 0.15) (layer F.Cu) (net 178)) + (segment (start 153.8605 85.7885) (end 151.0665 85.7885) (width 0.15) (layer B.Cu) (net 178)) + (via (at 153.8605 85.7885) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 178)) + (segment (start 146.685 102.743) (end 146.685 90.17) (width 0.15) (layer F.Cu) (net 178)) + (via (at 129.032 80.772) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 178)) + (segment (start 129.0525 80.7515) (end 129.032 80.772) (width 0.15) (layer F.Cu) (net 178)) + (segment (start 129.0525 80.01) (end 129.0525 80.7515) (width 0.15) (layer F.Cu) (net 178)) + (segment (start 131.8895 77.9145) (end 129.032 80.772) (width 0.15) (layer B.Cu) (net 178)) + (segment (start 140.7795 77.9145) (end 131.8895 77.9145) (width 0.15) (layer B.Cu) (net 178)) + (segment (start 162.56 80.645) (end 158.75 76.835) (width 0.15) (layer B.Cu) (net 178)) + (segment (start 141.224 78.359) (end 140.7795 77.9145) (width 0.15) (layer B.Cu) (net 178)) + (segment (start 150.241 78.359) (end 141.224 78.359) (width 0.15) (layer B.Cu) (net 178)) + (segment (start 151.765 76.835) (end 150.241 78.359) (width 0.15) (layer B.Cu) (net 178)) + (segment (start 158.75 76.835) (end 151.765 76.835) (width 0.15) (layer B.Cu) (net 178)) + (segment (start 126.9 111.1) (end 125.8 111.1) (width 0.15) (layer B.Cu) (net 178)) + (segment (start 129.923 108.077) (end 126.9 111.1) (width 0.15) (layer B.Cu) (net 178)) + (segment (start 152.019 108.077) (end 129.923 108.077) (width 0.15) (layer B.Cu) (net 178)) + (segment (start 157.48 113.538) (end 152.019 108.077) (width 0.15) (layer B.Cu) (net 178)) + (segment (start 167.9965 108.8) (end 176.65 108.8) (width 0.15) (layer F.Cu) (net 178)) + (segment (start 167.3965 109.4) (end 167.9965 108.8) (width 0.15) (layer F.Cu) (net 178)) + (segment (start 166.571 109.4) (end 167.3965 109.4) (width 0.15) (layer F.Cu) (net 178)) + (segment (start 157.861 116.84) (end 159.131 116.84) (width 0.15) (layer F.Cu) (net 178)) + (segment (start 159.131 116.84) (end 166.571 109.4) (width 0.15) (layer F.Cu) (net 178)) + (segment (start 157.48 116.459) (end 157.861 116.84) (width 0.15) (layer F.Cu) (net 178)) + (segment (start 157.48 113.538) (end 157.48 116.459) (width 0.15) (layer F.Cu) (net 178)) + (segment (start 126.9125 111.6) (end 124.9 111.6) (width 0.15) (layer F.Cu) (net 179) (tstamp 614A5AB0)) + (via (at 124.9 111.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 179) (tstamp 614A5AB6)) + (via (at 156.21 113.538) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 179)) + (segment (start 156.21 113.538) (end 145.415 102.743) (width 0.15) (layer F.Cu) (net 179)) + (via (at 145.415 90.17) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 179)) + (via (at 161.29 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 179)) + (segment (start 161.29 81.7625) (end 161.29 80.645) (width 0.15) (layer F.Cu) (net 179)) + (segment (start 161.29 83.185) (end 161.29 81.7625) (width 0.15) (layer F.Cu) (net 179)) + (segment (start 160.909 83.566) (end 161.29 83.185) (width 0.15) (layer F.Cu) (net 179)) + (segment (start 154.559 83.566) (end 160.909 83.566) (width 0.15) (layer F.Cu) (net 179)) + (segment (start 153.289 84.836) (end 154.559 83.566) (width 0.15) (layer F.Cu) (net 179)) + (segment (start 150.749 84.836) (end 145.415 90.17) (width 0.15) (layer B.Cu) (net 179)) + (segment (start 153.289 84.836) (end 150.749 84.836) (width 0.15) (layer B.Cu) (net 179)) + (via (at 153.289 84.836) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 179)) + (segment (start 145.415 90.17) (end 145.415 102.743) (width 0.15) (layer F.Cu) (net 179)) + (via (at 130.81 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 179)) + (segment (start 130.81 81.7625) (end 130.81 80.645) (width 0.15) (layer F.Cu) (net 179)) + (segment (start 133.223 78.232) (end 130.81 80.645) (width 0.15) (layer B.Cu) (net 179)) + (segment (start 140.6525 78.232) (end 133.223 78.232) (width 0.15) (layer B.Cu) (net 179)) + (segment (start 141.097 78.6765) (end 140.6525 78.232) (width 0.15) (layer B.Cu) (net 179)) + (segment (start 150.368 78.6765) (end 141.097 78.6765) (width 0.15) (layer B.Cu) (net 179)) + (segment (start 151.892 77.1525) (end 150.368 78.6765) (width 0.15) (layer B.Cu) (net 179)) + (segment (start 157.7975 77.1525) (end 151.892 77.1525) (width 0.15) (layer B.Cu) (net 179)) + (segment (start 161.29 80.645) (end 157.7975 77.1525) (width 0.15) (layer B.Cu) (net 179)) + (segment (start 151.0665 108.3945) (end 156.21 113.538) (width 0.15) (layer B.Cu) (net 179)) + (segment (start 130.0555 108.3945) (end 151.0665 108.3945) (width 0.15) (layer B.Cu) (net 179)) + (segment (start 126.85 111.6) (end 130.0555 108.3945) (width 0.15) (layer B.Cu) (net 179)) + (segment (start 124.9 111.6) (end 126.85 111.6) (width 0.15) (layer B.Cu) (net 179)) + (segment (start 167.791 109.45) (end 176.65 109.45) (width 0.15) (layer F.Cu) (net 179)) + (segment (start 156.9085 117.1575) (end 159.258 117.1575) (width 0.15) (layer F.Cu) (net 179)) + (segment (start 156.21 116.459) (end 156.9085 117.1575) (width 0.15) (layer F.Cu) (net 179)) + (segment (start 167.491 109.75) (end 167.791 109.45) (width 0.15) (layer F.Cu) (net 179)) + (segment (start 156.21 113.538) (end 156.21 116.459) (width 0.15) (layer F.Cu) (net 179)) + (segment (start 166.6655 109.75) (end 167.491 109.75) (width 0.15) (layer F.Cu) (net 179)) + (segment (start 159.258 117.1575) (end 166.6655 109.75) (width 0.15) (layer F.Cu) (net 179)) + (segment (start 126.9125 112.1) (end 125.8 112.1) (width 0.15) (layer F.Cu) (net 180) (tstamp 614A5A38)) + (via (at 125.8 112.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 180) (tstamp 614A5A44)) + (via (at 144.145 90.17) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 180)) + (via (at 154.94 113.538) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 180)) + (via (at 155.575 76.0095) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 180)) + (segment (start 155.575 76.0095) (end 155.3845 76.2) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 155.3845 76.2) (end 154.4525 76.2) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 150.114 84.201) (end 144.145 90.17) (width 0.15) (layer B.Cu) (net 180)) + (segment (start 154.432 84.201) (end 150.114 84.201) (width 0.15) (layer B.Cu) (net 180)) + (segment (start 154.559 84.328) (end 154.432 84.201) (width 0.15) (layer B.Cu) (net 180)) + (segment (start 155.7655 76.2) (end 155.575 76.0095) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 159.004 76.2) (end 155.7655 76.2) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 161.925 79.121) (end 159.004 76.2) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 154.559 84.328) (end 154.94 83.947) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 161.925 83.566) (end 161.925 79.121) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 154.94 83.947) (end 161.544 83.947) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 161.544 83.947) (end 161.925 83.566) (width 0.15) (layer F.Cu) (net 180)) + (via (at 154.559 84.328) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 180)) + (segment (start 144.145 102.743) (end 154.94 113.538) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 144.145 90.17) (end 144.145 102.743) (width 0.15) (layer F.Cu) (net 180)) + (via (at 130.175 77.47) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 180)) + (segment (start 129.0525 77.47) (end 130.175 77.47) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 130.7465 76.8985) (end 130.175 77.47) (width 0.15) (layer B.Cu) (net 180)) + (segment (start 144.0815 76.8985) (end 130.7465 76.8985) (width 0.15) (layer B.Cu) (net 180)) + (segment (start 144.907 77.724) (end 144.0815 76.8985) (width 0.15) (layer B.Cu) (net 180)) + (segment (start 149.987 77.724) (end 144.907 77.724) (width 0.15) (layer B.Cu) (net 180)) + (segment (start 151.511 76.2) (end 149.987 77.724) (width 0.15) (layer B.Cu) (net 180)) + (segment (start 155.3845 76.2) (end 151.511 76.2) (width 0.15) (layer B.Cu) (net 180)) + (segment (start 155.575 76.0095) (end 155.3845 76.2) (width 0.15) (layer B.Cu) (net 180)) + (segment (start 167.5855 110.1) (end 176.65 110.1) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 166.76 110.1) (end 167.5855 110.1) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 159.385 117.475) (end 166.76 110.1) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 155.956 117.475) (end 159.385 117.475) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 154.94 116.459) (end 155.956 117.475) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 154.94 113.538) (end 154.94 116.459) (width 0.15) (layer F.Cu) (net 180)) + (segment (start 126.8 112.1) (end 125.8 112.1) (width 0.15) (layer B.Cu) (net 180)) + (segment (start 130.188 108.712) (end 126.8 112.1) (width 0.15) (layer B.Cu) (net 180)) + (segment (start 150.114 108.712) (end 130.188 108.712) (width 0.15) (layer B.Cu) (net 180)) + (segment (start 154.94 113.538) (end 150.114 108.712) (width 0.15) (layer B.Cu) (net 180)) + (via (at 129.032 71.628) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 181)) + (segment (start 129.0525 71.6485) (end 129.032 71.628) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 129.0525 72.39) (end 129.0525 71.6485) (width 0.15) (layer F.Cu) (net 181)) + (via (at 153.67 113.538) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 181)) + (via (at 163.83 71.755) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 181)) + (segment (start 163.83 70.6375) (end 163.83 71.755) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 161.8615 73.7235) (end 163.83 71.755) (width 0.15) (layer B.Cu) (net 181)) + (segment (start 153.6065 73.7235) (end 161.8615 73.7235) (width 0.15) (layer B.Cu) (net 181)) + (segment (start 152.9715 73.0885) (end 153.6065 73.7235) (width 0.15) (layer B.Cu) (net 181)) + (segment (start 142.01775 73.0885) (end 152.9715 73.0885) (width 0.15) (layer B.Cu) (net 181)) + (segment (start 140.93825 74.168) (end 142.01775 73.0885) (width 0.15) (layer B.Cu) (net 181)) + (segment (start 131.572 74.168) (end 140.93825 74.168) (width 0.15) (layer B.Cu) (net 181)) + (segment (start 129.032 71.628) (end 131.572 74.168) (width 0.15) (layer B.Cu) (net 181)) + (segment (start 153.67 114.9235) (end 153.67 113.538) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 171.75 114.65) (end 176.65 114.65) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 168.6 111.5) (end 171.75 114.65) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 159.512 117.7925) (end 165.8045 111.5) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 165.8045 111.5) (end 168.6 111.5) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 155.0035 117.7925) (end 159.512 117.7925) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 153.67 116.459) (end 155.0035 117.7925) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 153.67 115.051) (end 153.67 116.459) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 124.45 112.6) (end 126.9125 112.6) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 124.3 112.45) (end 124.45 112.6) (width 0.15) (layer F.Cu) (net 181)) + (via (at 124.3 112.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 181)) + (segment (start 130.556 72.771) (end 132.6515 72.771) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 129.0525 72.39) (end 130.175 72.39) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 142.875 85.471) (end 142.875 102.743) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 140.335 82.931) (end 142.875 85.471) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 132.6515 72.771) (end 140.335 80.4545) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 140.335 80.4545) (end 140.335 82.931) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 130.175 72.39) (end 130.556 72.771) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 142.875 102.743) (end 153.67 113.538) (width 0.15) (layer F.Cu) (net 181)) + (segment (start 149.1615 109.0295) (end 153.67 113.538) (width 0.15) (layer B.Cu) (net 181)) + (segment (start 130.3205 109.0295) (end 149.1615 109.0295) (width 0.15) (layer B.Cu) (net 181)) + (segment (start 126.75 112.6) (end 130.3205 109.0295) (width 0.15) (layer B.Cu) (net 181)) + (segment (start 124.45 112.6) (end 126.75 112.6) (width 0.15) (layer B.Cu) (net 181)) + (segment (start 124.3 112.45) (end 124.45 112.6) (width 0.15) (layer B.Cu) (net 181)) + (via (at 139.7 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 182)) + (segment (start 139.7 81.7625) (end 139.7 80.645) (width 0.15) (layer F.Cu) (net 182)) + (segment (start 124.5 113.6) (end 124.3 113.8) (width 0.15) (layer F.Cu) (net 182) (tstamp 614A5A98)) + (segment (start 126.9125 113.6) (end 124.5 113.6) (width 0.15) (layer F.Cu) (net 182) (tstamp 614A5AA1)) + (via (at 124.3 113.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 182) (tstamp 614A5A9B)) + (via (at 152.4 113.538) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 182)) + (via (at 154.432 80.772) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 182)) + (segment (start 154.4525 80.7515) (end 154.432 80.772) (width 0.15) (layer F.Cu) (net 182)) + (segment (start 154.4525 80.01) (end 154.4525 80.7515) (width 0.15) (layer F.Cu) (net 182)) + (segment (start 139.954 80.899) (end 139.7 80.645) (width 0.15) (layer B.Cu) (net 182)) + (segment (start 152.019 80.137) (end 151.257 80.899) (width 0.15) (layer B.Cu) (net 182)) + (segment (start 153.797 80.137) (end 152.019 80.137) (width 0.15) (layer B.Cu) (net 182)) + (segment (start 151.257 80.899) (end 139.954 80.899) (width 0.15) (layer B.Cu) (net 182)) + (segment (start 154.432 80.772) (end 153.797 80.137) (width 0.15) (layer B.Cu) (net 182)) + (segment (start 139.7 82.931) (end 139.7 81.7625) (width 0.15) (layer F.Cu) (net 182)) + (segment (start 141.605 84.836) (end 139.7 82.931) (width 0.15) (layer F.Cu) (net 182)) + (segment (start 141.605 102.743) (end 141.605 84.836) (width 0.15) (layer F.Cu) (net 182)) + (segment (start 152.4 113.538) (end 141.605 102.743) (width 0.15) (layer F.Cu) (net 182)) + (segment (start 171.95 115.3) (end 176.65 115.3) (width 0.15) (layer F.Cu) (net 182)) + (segment (start 168.45 111.8) (end 171.95 115.3) (width 0.15) (layer F.Cu) (net 182)) + (segment (start 165.949 111.8) (end 168.45 111.8) (width 0.15) (layer F.Cu) (net 182)) + (segment (start 152.4 116.459) (end 154.051 118.11) (width 0.15) (layer F.Cu) (net 182)) + (segment (start 159.639 118.11) (end 165.949 111.8) (width 0.15) (layer F.Cu) (net 182)) + (segment (start 154.051 118.11) (end 159.639 118.11) (width 0.15) (layer F.Cu) (net 182)) + (segment (start 152.4 113.538) (end 152.4 116.459) (width 0.15) (layer F.Cu) (net 182)) + (segment (start 126.9 112.9) (end 125.2 112.9) (width 0.15) (layer B.Cu) (net 182)) + (segment (start 125.2 112.9) (end 124.3 113.8) (width 0.15) (layer B.Cu) (net 182)) + (segment (start 130.453 109.347) (end 126.9 112.9) (width 0.15) (layer B.Cu) (net 182)) + (segment (start 148.209 109.347) (end 130.453 109.347) (width 0.15) (layer B.Cu) (net 182)) + (segment (start 152.4 113.538) (end 148.209 109.347) (width 0.15) (layer B.Cu) (net 182)) + (via (at 138.43 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 183)) + (segment (start 138.43 81.7625) (end 138.43 80.645) (width 0.15) (layer F.Cu) (net 183)) + (via (at 125.8 114.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 183) (tstamp 614A5A8F)) + (segment (start 126.9125 114.1) (end 125.8 114.1) (width 0.15) (layer F.Cu) (net 183) (tstamp 614A5A32)) + (via (at 151.13 113.538) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 183)) + (via (at 156.21 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 183)) + (segment (start 156.21 81.7625) (end 156.21 80.645) (width 0.15) (layer F.Cu) (net 183)) + (segment (start 155.3845 79.8195) (end 156.21 80.645) (width 0.15) (layer B.Cu) (net 183)) + (segment (start 151.892 79.8195) (end 155.3845 79.8195) (width 0.15) (layer B.Cu) (net 183)) + (segment (start 140.335 80.5815) (end 151.13 80.5815) (width 0.15) (layer B.Cu) (net 183)) + (segment (start 139.8905 80.137) (end 140.335 80.5815) (width 0.15) (layer B.Cu) (net 183)) + (segment (start 138.938 80.137) (end 139.8905 80.137) (width 0.15) (layer B.Cu) (net 183)) + (segment (start 151.13 80.5815) (end 151.892 79.8195) (width 0.15) (layer B.Cu) (net 183)) + (segment (start 138.43 80.645) (end 138.938 80.137) (width 0.15) (layer B.Cu) (net 183)) + (segment (start 138.43 82.931) (end 138.43 81.7625) (width 0.15) (layer F.Cu) (net 183)) + (segment (start 140.335 93.345) (end 140.335 84.836) (width 0.15) (layer F.Cu) (net 183)) + (segment (start 141.224 94.234) (end 140.335 93.345) (width 0.15) (layer F.Cu) (net 183)) + (segment (start 141.224 103.632) (end 141.224 94.234) (width 0.15) (layer F.Cu) (net 183)) + (segment (start 140.335 84.836) (end 138.43 82.931) (width 0.15) (layer F.Cu) (net 183)) + (segment (start 151.13 113.538) (end 141.224 103.632) (width 0.15) (layer F.Cu) (net 183)) + (segment (start 172.15 115.95) (end 176.65 115.95) (width 0.15) (layer F.Cu) (net 183)) + (segment (start 168.3 112.1) (end 172.15 115.95) (width 0.15) (layer F.Cu) (net 183)) + (segment (start 166.0935 112.1) (end 168.3 112.1) (width 0.15) (layer F.Cu) (net 183)) + (segment (start 159.766 118.4275) (end 166.0935 112.1) (width 0.15) (layer F.Cu) (net 183)) + (segment (start 153.0985 118.4275) (end 159.766 118.4275) (width 0.15) (layer F.Cu) (net 183)) + (segment (start 151.13 116.459) (end 153.0985 118.4275) (width 0.15) (layer F.Cu) (net 183)) + (segment (start 151.13 113.538) (end 151.13 116.459) (width 0.15) (layer F.Cu) (net 183)) + (segment (start 147.2565 109.6645) (end 151.13 113.538) (width 0.15) (layer B.Cu) (net 183)) + (segment (start 130.5855 109.6645) (end 147.2565 109.6645) (width 0.15) (layer B.Cu) (net 183)) + (segment (start 126.15 114.1) (end 130.5855 109.6645) (width 0.15) (layer B.Cu) (net 183)) + (segment (start 125.8 114.1) (end 126.15 114.1) (width 0.15) (layer B.Cu) (net 183)) + (via (at 137.16 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 184)) + (segment (start 137.16 81.7625) (end 137.16 80.645) (width 0.15) (layer F.Cu) (net 184)) + (via (at 124.9 114.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 184) (tstamp 614A5A62)) + (segment (start 126.9125 114.6) (end 124.9 114.6) (width 0.15) (layer F.Cu) (net 184) (tstamp 614A5A5F)) + (via (at 149.86 113.538) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 184)) + (via (at 157.48 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 184)) + (segment (start 157.48 81.7625) (end 157.48 80.645) (width 0.15) (layer F.Cu) (net 184)) + (segment (start 140.0175 79.8195) (end 137.9855 79.8195) (width 0.15) (layer B.Cu) (net 184)) + (segment (start 140.462 80.264) (end 140.0175 79.8195) (width 0.15) (layer B.Cu) (net 184)) + (segment (start 151.003 80.264) (end 140.462 80.264) (width 0.15) (layer B.Cu) (net 184)) + (segment (start 137.9855 79.8195) (end 137.16 80.645) (width 0.15) (layer B.Cu) (net 184)) + (segment (start 151.765 79.502) (end 151.003 80.264) (width 0.15) (layer B.Cu) (net 184)) + (segment (start 156.337 79.502) (end 151.765 79.502) (width 0.15) (layer B.Cu) (net 184)) + (segment (start 157.48 80.645) (end 156.337 79.502) (width 0.15) (layer B.Cu) (net 184)) + (segment (start 139.065 93.345) (end 139.065 84.836) (width 0.15) (layer F.Cu) (net 184)) + (segment (start 140.843 104.521) (end 140.843 95.123) (width 0.15) (layer F.Cu) (net 184)) + (segment (start 140.843 95.123) (end 139.065 93.345) (width 0.15) (layer F.Cu) (net 184)) + (segment (start 137.16 82.931) (end 137.16 81.7625) (width 0.15) (layer F.Cu) (net 184)) + (segment (start 139.065 84.836) (end 137.16 82.931) (width 0.15) (layer F.Cu) (net 184)) + (segment (start 149.86 113.538) (end 140.843 104.521) (width 0.15) (layer F.Cu) (net 184)) + (segment (start 172.35 116.6) (end 176.65 116.6) (width 0.15) (layer F.Cu) (net 184)) + (segment (start 168.15 112.4) (end 172.35 116.6) (width 0.15) (layer F.Cu) (net 184)) + (segment (start 166.238 112.4) (end 168.15 112.4) (width 0.15) (layer F.Cu) (net 184)) + (segment (start 159.893 118.745) (end 166.238 112.4) (width 0.15) (layer F.Cu) (net 184)) + (segment (start 152.146 118.745) (end 159.893 118.745) (width 0.15) (layer F.Cu) (net 184)) + (segment (start 149.86 116.459) (end 152.146 118.745) (width 0.15) (layer F.Cu) (net 184)) + (segment (start 149.86 113.538) (end 149.86 116.459) (width 0.15) (layer F.Cu) (net 184)) + (segment (start 126.1 114.6) (end 124.9 114.6) (width 0.15) (layer B.Cu) (net 184)) + (segment (start 130.718 109.982) (end 126.1 114.6) (width 0.15) (layer B.Cu) (net 184)) + (segment (start 146.304 109.982) (end 130.718 109.982) (width 0.15) (layer B.Cu) (net 184)) + (segment (start 149.86 113.538) (end 146.304 109.982) (width 0.15) (layer B.Cu) (net 184)) + (via (at 135.89 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 185)) + (segment (start 135.89 81.7625) (end 135.89 80.645) (width 0.15) (layer F.Cu) (net 185)) + (via (at 148.59 113.538) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 185)) + (via (at 123.75 114.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 185)) + (segment (start 123.75 116.7625) (end 123.75 114.75) (width 0.15) (layer F.Cu) (net 185)) + (via (at 158.75 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 185)) + (segment (start 158.75 81.7625) (end 158.75 80.645) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 137.033 79.502) (end 135.89 80.645) (width 0.15) (layer B.Cu) (net 185)) + (segment (start 140.1445 79.502) (end 137.033 79.502) (width 0.15) (layer B.Cu) (net 185)) + (segment (start 140.589 79.9465) (end 140.1445 79.502) (width 0.15) (layer B.Cu) (net 185)) + (segment (start 150.876 79.9465) (end 140.589 79.9465) (width 0.15) (layer B.Cu) (net 185)) + (segment (start 151.638 79.1845) (end 150.876 79.9465) (width 0.15) (layer B.Cu) (net 185)) + (segment (start 157.2895 79.1845) (end 151.638 79.1845) (width 0.15) (layer B.Cu) (net 185)) + (segment (start 158.75 80.645) (end 157.2895 79.1845) (width 0.15) (layer B.Cu) (net 185)) + (segment (start 134.9375 84.5185) (end 135.89 83.566) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 135.89 83.566) (end 135.89 81.7625) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 134.9375 93.2815) (end 134.9375 84.5185) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 148.59 113.538) (end 140.462 105.41) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 135.382 93.726) (end 134.9375 93.2815) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 138.684 93.726) (end 135.382 93.726) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 140.462 95.504) (end 138.684 93.726) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 140.462 105.41) (end 140.462 95.504) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 172.55 117.25) (end 176.65 117.25) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 168 112.7) (end 172.55 117.25) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 166.3825 112.7) (end 168 112.7) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 160.02 119.0625) (end 166.3825 112.7) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 151.1935 119.0625) (end 160.02 119.0625) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 148.59 116.459) (end 151.1935 119.0625) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 148.59 113.538) (end 148.59 116.459) (width 0.15) (layer F.Cu) (net 185)) + (segment (start 145.3515 110.2995) (end 148.59 113.538) (width 0.15) (layer B.Cu) (net 185)) + (segment (start 130.8505 110.2995) (end 145.3515 110.2995) (width 0.15) (layer B.Cu) (net 185)) + (segment (start 126 115.15) (end 130.8505 110.2995) (width 0.15) (layer B.Cu) (net 185)) + (segment (start 124.15 115.15) (end 126 115.15) (width 0.15) (layer B.Cu) (net 185)) + (segment (start 123.75 114.75) (end 124.15 115.15) (width 0.15) (layer B.Cu) (net 185)) + (segment (start 155.575 78.74) (end 154.4525 78.74) (width 0.15) (layer F.Cu) (net 186)) + (segment (start 155.6385 78.6765) (end 155.575 78.74) (width 0.15) (layer F.Cu) (net 186)) + (via (at 155.6385 78.6765) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 186)) + (via (at 134.62 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 186)) + (segment (start 134.62 81.7625) (end 134.62 80.645) (width 0.15) (layer F.Cu) (net 186)) + (via (at 123.25 115.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 186) (tstamp 614A592A)) + (segment (start 123.25 116.7625) (end 123.25 115.65) (width 0.15) (layer F.Cu) (net 186) (tstamp 614A593C)) + (via (at 147.32 113.538) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 186)) + (segment (start 136.0805 79.1845) (end 134.62 80.645) (width 0.15) (layer B.Cu) (net 186)) + (segment (start 140.2715 79.1845) (end 136.0805 79.1845) (width 0.15) (layer B.Cu) (net 186)) + (segment (start 151.7015 78.6765) (end 150.749 79.629) (width 0.15) (layer B.Cu) (net 186)) + (segment (start 140.716 79.629) (end 140.2715 79.1845) (width 0.15) (layer B.Cu) (net 186)) + (segment (start 150.749 79.629) (end 140.716 79.629) (width 0.15) (layer B.Cu) (net 186)) + (segment (start 155.6385 78.6765) (end 151.7015 78.6765) (width 0.15) (layer B.Cu) (net 186)) + (segment (start 166.527 113) (end 167.85 113) (width 0.15) (layer F.Cu) (net 186)) + (segment (start 172.75 117.9) (end 176.65 117.9) (width 0.15) (layer F.Cu) (net 186)) + (segment (start 167.85 113) (end 172.75 117.9) (width 0.15) (layer F.Cu) (net 186)) + (segment (start 150.241 119.38) (end 160.147 119.38) (width 0.15) (layer F.Cu) (net 186)) + (segment (start 147.32 116.459) (end 150.241 119.38) (width 0.15) (layer F.Cu) (net 186)) + (segment (start 160.147 119.38) (end 166.527 113) (width 0.15) (layer F.Cu) (net 186)) + (segment (start 147.32 113.538) (end 147.32 116.459) (width 0.15) (layer F.Cu) (net 186)) + (segment (start 134.62 83.566) (end 134.62 81.7625) (width 0.15) (layer F.Cu) (net 186)) + (segment (start 134.62 93.853) (end 134.62 83.566) (width 0.15) (layer F.Cu) (net 186)) + (segment (start 138.684 94.996) (end 135.763 94.996) (width 0.15) (layer F.Cu) (net 186)) + (segment (start 140.081 96.393) (end 138.684 94.996) (width 0.15) (layer F.Cu) (net 186)) + (segment (start 135.763 94.996) (end 134.62 93.853) (width 0.15) (layer F.Cu) (net 186)) + (segment (start 140.081 106.299) (end 140.081 96.393) (width 0.15) (layer F.Cu) (net 186)) + (segment (start 147.32 113.538) (end 140.081 106.299) (width 0.15) (layer F.Cu) (net 186)) + (segment (start 123.75 116.15) (end 123.25 115.65) (width 0.15) (layer B.Cu) (net 186)) + (segment (start 125.45 116.15) (end 123.75 116.15) (width 0.15) (layer B.Cu) (net 186)) + (segment (start 130.983 110.617) (end 125.45 116.15) (width 0.15) (layer B.Cu) (net 186)) + (segment (start 144.399 110.617) (end 130.983 110.617) (width 0.15) (layer B.Cu) (net 186)) + (segment (start 147.32 113.538) (end 144.399 110.617) (width 0.15) (layer B.Cu) (net 186)) + (via (at 160.02 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 187)) + (segment (start 160.02 81.7625) (end 160.02 80.645) (width 0.15) (layer F.Cu) (net 187)) + (via (at 133.35 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 187)) + (segment (start 133.35 81.7625) (end 133.35 80.645) (width 0.15) (layer F.Cu) (net 187)) + (via (at 122.75 114.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 187) (tstamp 614A5930)) + (segment (start 122.75 116.7625) (end 122.75 114.75) (width 0.15) (layer F.Cu) (net 187) (tstamp 614A5927)) + (via (at 146.05 113.538) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 187)) + (segment (start 135.128 78.867) (end 133.35 80.645) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 140.3985 78.867) (end 135.128 78.867) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 160.02 80.645) (end 157.5435 78.1685) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 140.843 79.3115) (end 140.3985 78.867) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 150.622 79.3115) (end 140.843 79.3115) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 151.765 78.1685) (end 150.622 79.3115) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 157.5435 78.1685) (end 151.765 78.1685) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 149.2885 119.6975) (end 146.05 116.459) (width 0.15) (layer F.Cu) (net 187)) + (segment (start 160.274 119.6975) (end 149.2885 119.6975) (width 0.15) (layer F.Cu) (net 187)) + (segment (start 166.6715 113.3) (end 160.274 119.6975) (width 0.15) (layer F.Cu) (net 187)) + (segment (start 146.05 116.459) (end 146.05 113.538) (width 0.15) (layer F.Cu) (net 187)) + (segment (start 167.7 113.3) (end 166.6715 113.3) (width 0.15) (layer F.Cu) (net 187)) + (segment (start 172.95 118.55) (end 167.7 113.3) (width 0.15) (layer F.Cu) (net 187)) + (segment (start 176.65 118.55) (end 172.95 118.55) (width 0.15) (layer F.Cu) (net 187)) + (segment (start 134.3025 84.5185) (end 133.35 83.566) (width 0.15) (layer F.Cu) (net 187)) + (segment (start 134.3025 94.8055) (end 134.3025 84.5185) (width 0.15) (layer F.Cu) (net 187)) + (segment (start 138.684 96.266) (end 135.763 96.266) (width 0.15) (layer F.Cu) (net 187)) + (segment (start 133.35 83.566) (end 133.35 81.7625) (width 0.15) (layer F.Cu) (net 187)) + (segment (start 135.763 96.266) (end 134.3025 94.8055) (width 0.15) (layer F.Cu) (net 187)) + (segment (start 139.7 97.282) (end 138.684 96.266) (width 0.15) (layer F.Cu) (net 187)) + (segment (start 139.7 107.188) (end 139.7 97.282) (width 0.15) (layer F.Cu) (net 187)) + (segment (start 146.05 113.538) (end 139.7 107.188) (width 0.15) (layer F.Cu) (net 187)) + (segment (start 143.4465 110.9345) (end 146.05 113.538) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 131.1155 110.9345) (end 143.4465 110.9345) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 128.55 113.5) (end 131.1155 110.9345) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 128.55 114.55) (end 128.55 113.5) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 128.25 114.85) (end 128.55 114.55) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 127.2 114.85) (end 128.25 114.85) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 125.6 116.45) (end 127.2 114.85) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 123.35 116.45) (end 125.6 116.45) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 122.75 115.85) (end 123.35 116.45) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 122.75 114.75) (end 122.75 115.85) (width 0.15) (layer B.Cu) (net 187)) + (segment (start 155.448 77.47) (end 154.4525 77.47) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 155.6385 77.6605) (end 155.448 77.47) (width 0.15) (layer F.Cu) (net 188)) + (via (at 155.6385 77.6605) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 188)) + (via (at 132.08 80.645) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 188)) + (segment (start 132.08 81.7625) (end 132.08 80.645) (width 0.15) (layer F.Cu) (net 188)) + (via (at 122.25 115.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 188) (tstamp 614A5A6E)) + (segment (start 122.25 116.7625) (end 122.25 115.65) (width 0.15) (layer F.Cu) (net 188) (tstamp 614A5A6B)) + (via (at 144.78 113.538) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 188)) + (segment (start 144.78 114.9235) (end 144.78 113.538) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 134.1755 78.5495) (end 132.08 80.645) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 140.5255 78.5495) (end 134.1755 78.5495) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 151.8285 77.6605) (end 150.495 78.994) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 150.495 78.994) (end 140.97 78.994) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 140.97 78.994) (end 140.5255 78.5495) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 155.6385 77.6605) (end 151.8285 77.6605) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 144.78 115.0225) (end 144.83 114.9725) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 144.78 116.459) (end 144.78 115.0225) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 148.336 120.015) (end 144.78 116.459) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 166.816 113.6) (end 160.401 120.015) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 160.401 120.015) (end 148.336 120.015) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 167.55 113.6) (end 166.816 113.6) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 173.15 119.2) (end 167.55 113.6) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 176.65 119.2) (end 173.15 119.2) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 132.08 83.566) (end 132.08 81.7625) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 133.985 85.471) (end 132.08 83.566) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 133.985 95.758) (end 133.985 85.471) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 135.763 97.536) (end 133.985 95.758) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 138.684 97.536) (end 135.763 97.536) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 139.319 98.171) (end 138.684 97.536) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 139.319 108.077) (end 139.319 98.171) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 144.78 113.538) (end 139.319 108.077) (width 0.15) (layer F.Cu) (net 188)) + (segment (start 122.25 115.8) (end 122.25 115.65) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 123.2 116.75) (end 122.25 115.8) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 125.55 116.75) (end 123.2 116.75) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 125.95 117.15) (end 125.55 116.75) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 126.35 117.15) (end 125.95 117.15) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 126.65 116.85) (end 126.35 117.15) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 126.65 115.85) (end 126.65 116.85) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 127.35 115.15) (end 126.65 115.85) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 128.4 115.15) (end 127.35 115.15) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 144.78 113.538) (end 142.494 111.252) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 128.85 114.7) (end 128.4 115.15) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 128.85 113.65) (end 128.85 114.7) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 142.494 111.252) (end 131.248 111.252) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 131.248 111.252) (end 128.85 113.65) (width 0.15) (layer B.Cu) (net 188)) + (segment (start 126.9125 115.1) (end 125.1 115.1) (width 0.15) (layer F.Cu) (net 189) (tstamp 614A5AC2)) + (segment (start 124.75 115.45) (end 124.75 116.7625) (width 0.15) (layer F.Cu) (net 189) (tstamp 614A5ABF)) + (segment (start 125.1 115.1) (end 124.75 115.45) (width 0.15) (layer F.Cu) (net 189) (tstamp 614A5AB9)) + (segment (start 185.15 101) (end 182.55 101) (width 0.15) (layer F.Cu) (net 190) (tstamp 6175B531)) + (segment (start 182.55 100.35) (end 185.15 100.35) (width 0.15) (layer F.Cu) (net 191) (tstamp 6175B532)) + (segment (start 185.15 99.7) (end 182.55 99.7) (width 0.15) (layer F.Cu) (net 192) (tstamp 6175B52B)) + (segment (start 182.55 99.05) (end 185.15 99.05) (width 0.15) (layer F.Cu) (net 193) (tstamp 6175B52C)) + (segment (start 185.15 98.4) (end 182.55 98.4) (width 0.15) (layer F.Cu) (net 194) (tstamp 6175B52D)) + (segment (start 182.55 97.75) (end 185.15 97.75) (width 0.15) (layer F.Cu) (net 195) (tstamp 6175B52E)) + (segment (start 185.15 97.1) (end 182.55 97.1) (width 0.15) (layer F.Cu) (net 196) (tstamp 6175B52F)) + (segment (start 182.55 96.45) (end 185.15 96.45) (width 0.15) (layer F.Cu) (net 197) (tstamp 6175B530)) + (segment (start 138.557 104.775) (end 138.557 105.537) (width 0.15) (layer F.Cu) (net 198)) + (segment (start 138.303 104.521) (end 138.557 104.775) (width 0.15) (layer F.Cu) (net 198)) + (segment (start 138.303 105.791) (end 136.9175 105.791) (width 0.15) (layer F.Cu) (net 198)) + (segment (start 138.557 105.537) (end 138.303 105.791) (width 0.15) (layer F.Cu) (net 198)) + (segment (start 136.9175 104.521) (end 138.303 104.521) (width 0.15) (layer F.Cu) (net 198)) + (via (at 142.367 113.03) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 198)) + (via (at 166.8145 120.0785) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 198)) + (segment (start 120.75 116.7625) (end 120.75 114.75) (width 0.15) (layer F.Cu) (net 198) (tstamp 614A5993)) + (via (at 120.75 114.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 198) (tstamp 614A5999)) + (segment (start 167.1955 119.6975) (end 166.8145 120.0785) (width 0.15) (layer F.Cu) (net 198)) + (segment (start 167.1955 114.4955) (end 167.1955 119.6975) (width 0.15) (layer F.Cu) (net 198)) + (segment (start 145.161 115.824) (end 142.367 113.03) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 163.67125 115.824) (end 145.161 115.824) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 164.99975 114.4955) (end 163.67125 115.824) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 167.1955 114.4955) (end 164.99975 114.4955) (width 0.15) (layer B.Cu) (net 198)) + (via (at 167.1955 114.4955) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 198)) + (segment (start 125.45 119) (end 123.6 119) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 125.6 119.15) (end 125.45 119) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 123.6 119) (end 120.75 116.15) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 136.247 119.15) (end 125.6 119.15) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 120.75 116.15) (end 120.75 114.75) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 142.367 113.03) (end 136.247 119.15) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 196.85 105.41) (end 200.66 101.6) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 196.85 116.078) (end 196.85 105.41) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 192.405 120.523) (end 196.85 116.078) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 184.277 120.523) (end 184.912 119.888) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 186.055 120.523) (end 192.405 120.523) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 176.403 119.888) (end 176.911 119.888) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 175.768 120.523) (end 176.403 119.888) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 175.006 120.523) (end 175.768 120.523) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 185.42 119.888) (end 186.055 120.523) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 177.546 120.523) (end 184.277 120.523) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 174.371 119.888) (end 175.006 120.523) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 167.005 119.888) (end 174.371 119.888) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 184.912 119.888) (end 185.42 119.888) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 176.911 119.888) (end 177.546 120.523) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 166.8145 120.0785) (end 167.005 119.888) (width 0.15) (layer B.Cu) (net 198)) + (segment (start 138.557 109.22) (end 138.557 105.537) (width 0.15) (layer F.Cu) (net 198)) + (segment (start 142.367 113.03) (end 138.557 109.22) (width 0.15) (layer F.Cu) (net 198)) + (via (at 112.7 114.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 199)) + (segment (start 111.5875 114.1) (end 112.7 114.1) (width 0.15) (layer F.Cu) (net 199)) + (segment (start 152.8445 73.66) (end 154.4525 73.66) (width 0.15) (layer F.Cu) (net 206)) + (segment (start 152.74925 73.56475) (end 152.8445 73.66) (width 0.15) (layer F.Cu) (net 206)) + (via (at 152.74925 73.56475) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 206)) + (via (at 130.175 73.66) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 206)) + (segment (start 129.0525 73.66) (end 130.175 73.66) (width 0.15) (layer F.Cu) (net 206)) + (segment (start 130.6195 73.66) (end 130.175 73.66) (width 0.15) (layer B.Cu) (net 206)) + (segment (start 141.097 74.4855) (end 131.445 74.4855) (width 0.15) (layer B.Cu) (net 206)) + (segment (start 142.1765 73.406) (end 141.097 74.4855) (width 0.15) (layer B.Cu) (net 206)) + (segment (start 152.5905 73.406) (end 142.1765 73.406) (width 0.15) (layer B.Cu) (net 206)) + (segment (start 131.445 74.4855) (end 130.6195 73.66) (width 0.15) (layer B.Cu) (net 206)) + (segment (start 152.74925 73.56475) (end 152.5905 73.406) (width 0.15) (layer B.Cu) (net 206)) + (segment (start 124.05 89.05) (end 124.05 96.25) (width 0.15) (layer F.Cu) (net 206)) + (segment (start 126.55 86.55) (end 124.05 89.05) (width 0.15) (layer F.Cu) (net 206)) + (segment (start 124.05 96.25) (end 121.25 99.05) (width 0.15) (layer F.Cu) (net 206)) + (segment (start 121.92 76.62) (end 126.55 81.25) (width 0.15) (layer F.Cu) (net 206)) + (segment (start 123.35 73.05) (end 121.92 74.48) (width 0.15) (layer F.Cu) (net 206)) + (segment (start 126.55 81.25) (end 126.55 86.55) (width 0.15) (layer F.Cu) (net 206)) + (segment (start 125.2 73.05) (end 123.35 73.05) (width 0.15) (layer F.Cu) (net 206)) + (segment (start 125.81 73.66) (end 125.2 73.05) (width 0.15) (layer F.Cu) (net 206)) + (segment (start 121.25 99.05) (end 121.25 101.4375) (width 0.15) (layer F.Cu) (net 206)) + (segment (start 121.92 74.48) (end 121.92 76.62) (width 0.15) (layer F.Cu) (net 206)) + (segment (start 129.0525 73.66) (end 125.81 73.66) (width 0.15) (layer F.Cu) (net 206)) + (via (at 105.664 114.6175) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 209)) + (segment (start 105.6895 114.592) (end 105.664 114.6175) (width 0.15) (layer F.Cu) (net 209)) + (segment (start 106.553 114.592) (end 105.6895 114.592) (width 0.15) (layer F.Cu) (net 209)) + (via (at 106.68 120.523) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 209)) + (segment (start 106.68 119.38) (end 106.68 120.523) (width 0.15) (layer F.Cu) (net 209)) + (segment (start 106.68 115.6335) (end 105.664 114.6175) (width 0.15) (layer B.Cu) (net 209)) + (segment (start 106.68 120.523) (end 106.68 115.6335) (width 0.15) (layer B.Cu) (net 209)) + (via (at 113.6 107.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 209)) + (segment (start 111.5875 107.6) (end 113.6 107.6) (width 0.15) (layer F.Cu) (net 209)) + (segment (start 107.1815 113.1) (end 105.664 114.6175) (width 0.15) (layer B.Cu) (net 209)) + (segment (start 110.15 111.4) (end 108.45 113.1) (width 0.15) (layer B.Cu) (net 209)) + (segment (start 113.2 108.9) (end 110.7 111.4) (width 0.15) (layer B.Cu) (net 209)) + (segment (start 108.45 113.1) (end 107.1815 113.1) (width 0.15) (layer B.Cu) (net 209)) + (segment (start 113.2 108) (end 113.2 108.9) (width 0.15) (layer B.Cu) (net 209)) + (segment (start 110.7 111.4) (end 110.15 111.4) (width 0.15) (layer B.Cu) (net 209)) + (segment (start 113.6 107.6) (end 113.2 108) (width 0.15) (layer B.Cu) (net 209)) + (via (at 129 91.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 210)) + (segment (start 130 91.5) (end 129 91.5) (width 0.15) (layer F.Cu) (net 210)) + (via (at 108.966 114.6175) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 210)) + (segment (start 108.9405 114.592) (end 108.966 114.6175) (width 0.15) (layer F.Cu) (net 210)) + (segment (start 108.077 114.592) (end 108.9405 114.592) (width 0.15) (layer F.Cu) (net 210)) + (via (at 107.95 120.523) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 210)) + (segment (start 107.95 119.38) (end 107.95 120.523) (width 0.15) (layer F.Cu) (net 210)) + (segment (start 107.95 115.6335) (end 108.966 114.6175) (width 0.15) (layer B.Cu) (net 210)) + (segment (start 107.95 120.523) (end 107.95 115.6335) (width 0.15) (layer B.Cu) (net 210)) + (segment (start 128 92.5) (end 129 91.5) (width 0.15) (layer B.Cu) (net 210)) + (segment (start 125.15 96.3) (end 128 93.45) (width 0.15) (layer B.Cu) (net 210)) + (segment (start 124 96.3) (end 125.15 96.3) (width 0.15) (layer B.Cu) (net 210)) + (segment (start 119.8 100.5) (end 124 96.3) (width 0.15) (layer B.Cu) (net 210)) + (segment (start 119.8 103.7835) (end 119.8 100.5) (width 0.15) (layer B.Cu) (net 210)) + (segment (start 128 93.45) (end 128 92.5) (width 0.15) (layer B.Cu) (net 210)) + (segment (start 108.966 114.6175) (end 119.8 103.7835) (width 0.15) (layer B.Cu) (net 210)) + (segment (start 111.5875 111.1) (end 110.7 111.1) (width 0.15) (layer F.Cu) (net 210)) + (segment (start 110.7 111.1) (end 109.95 111.85) (width 0.15) (layer F.Cu) (net 210)) + (segment (start 109.95 113.6335) (end 108.966 114.6175) (width 0.15) (layer F.Cu) (net 210)) + (segment (start 109.95 111.85) (end 109.95 113.6335) (width 0.15) (layer F.Cu) (net 210)) + (via (at 115.75 103.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 211)) + (segment (start 115.75 101.4375) (end 115.75 103.45) (width 0.15) (layer F.Cu) (net 211)) + (via (at 111.633 47.1805) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 211)) + (segment (start 112.349551 47.1805) (end 111.633 47.1805) (width 0.15) (layer F.Cu) (net 211)) + (segment (start 113.479013 48.309962) (end 112.349551 47.1805) (width 0.15) (layer F.Cu) (net 211)) + (segment (start 114.245 103.45) (end 115.75 103.45) (width 0.15) (layer B.Cu) (net 211)) + (segment (start 105.6005 94.8055) (end 114.245 103.45) (width 0.15) (layer B.Cu) (net 211)) + (segment (start 105.6005 89.6505) (end 105.6005 94.8055) (width 0.15) (layer B.Cu) (net 211)) + (segment (start 105.6 89.65) (end 105.6005 89.6505) (width 0.15) (layer B.Cu) (net 211)) + (segment (start 105.6 53.3) (end 105.6 89.65) (width 0.15) (layer B.Cu) (net 211)) + (segment (start 105.6005 49.9995) (end 105.6005 53.2995) (width 0.15) (layer B.Cu) (net 211)) + (segment (start 105.6005 53.2995) (end 105.6 53.3) (width 0.15) (layer B.Cu) (net 211)) + (segment (start 108.4195 47.1805) (end 105.6005 49.9995) (width 0.15) (layer B.Cu) (net 211)) + (segment (start 111.633 47.1805) (end 108.4195 47.1805) (width 0.15) (layer B.Cu) (net 211)) + (via (at 114.75 102.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 212)) + (segment (start 114.75 101.4375) (end 114.75 102.55) (width 0.15) (layer F.Cu) (net 212)) + (via (at 114.427 51.1175) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 212)) + (segment (start 114.427 51.054) (end 113.479013 50.106013) (width 0.15) (layer F.Cu) (net 212)) + (segment (start 114.427 51.1175) (end 114.427 51.054) (width 0.15) (layer F.Cu) (net 212)) + (segment (start 114.427 55.118) (end 114.427 51.1175) (width 0.15) (layer B.Cu) (net 212)) + (segment (start 108.712 60.833) (end 114.427 55.118) (width 0.15) (layer B.Cu) (net 212)) + (segment (start 108.712 96.512) (end 108.712 60.833) (width 0.15) (layer B.Cu) (net 212)) + (segment (start 114.75 102.55) (end 108.712 96.512) (width 0.15) (layer B.Cu) (net 212)) + (via (at 114.25 100.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 213)) + (segment (start 114.25 101.4375) (end 114.25 100.3) (width 0.15) (layer F.Cu) (net 213)) + (segment (start 115.275064 48.309962) (end 115.5065 48.541398) (width 0.15) (layer F.Cu) (net 213)) + (segment (start 115.5065 48.541398) (end 115.5065 51.1175) (width 0.15) (layer F.Cu) (net 213)) + (via (at 115.5065 51.1175) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 213)) + (segment (start 113.6985 100.3) (end 114.25 100.3) (width 0.15) (layer B.Cu) (net 213)) + (segment (start 109.601 96.2025) (end 113.6985 100.3) (width 0.15) (layer B.Cu) (net 213)) + (segment (start 109.601 65.151) (end 109.601 96.2025) (width 0.15) (layer B.Cu) (net 213)) + (segment (start 115.5065 59.2455) (end 109.601 65.151) (width 0.15) (layer B.Cu) (net 213)) + (segment (start 115.5065 51.1175) (end 115.5065 59.2455) (width 0.15) (layer B.Cu) (net 213)) + (via (at 124.9 91.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 214)) + (segment (start 125.9 91.5) (end 124.9 91.5) (width 0.15) (layer F.Cu) (net 214)) + (via (at 112.7 107.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 214)) + (segment (start 111.5875 107.1) (end 112.7 107.1) (width 0.15) (layer F.Cu) (net 214)) + (segment (start 113.3 106.5) (end 112.7 107.1) (width 0.15) (layer B.Cu) (net 214)) + (segment (start 116.1 106.5) (end 113.3 106.5) (width 0.15) (layer B.Cu) (net 214)) + (segment (start 119.1 103.5) (end 116.1 106.5) (width 0.15) (layer B.Cu) (net 214)) + (segment (start 119.1 99.8) (end 119.1 103.5) (width 0.15) (layer B.Cu) (net 214)) + (segment (start 123.15 95.75) (end 119.1 99.8) (width 0.15) (layer B.Cu) (net 214)) + (segment (start 123.15 93.25) (end 123.15 95.75) (width 0.15) (layer B.Cu) (net 214)) + (segment (start 124.9 91.5) (end 123.15 93.25) (width 0.15) (layer B.Cu) (net 214)) + (via (at 116.75 114.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 215) (tstamp 614A5984)) + (segment (start 116.75 116.7625) (end 116.75 114.75) (width 0.15) (layer F.Cu) (net 215) (tstamp 614A5987)) + (segment (start 115.275064 46.51391) (end 115.062 46.300846) (width 0.15) (layer F.Cu) (net 215)) + (via (at 115.062 44.1325) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 215)) + (segment (start 115.062 46.300846) (end 115.062 44.1325) (width 0.15) (layer F.Cu) (net 215)) + (segment (start 113.912 43.3) (end 114.427 43.815) (width 0.15) (layer B.Cu) (net 215)) + (segment (start 111.259 43.3) (end 113.912 43.3) (width 0.15) (layer B.Cu) (net 215)) + (segment (start 104.648 49.911) (end 111.259 43.3) (width 0.15) (layer B.Cu) (net 215)) + (segment (start 104.648 120.898) (end 104.648 49.911) (width 0.15) (layer B.Cu) (net 215)) + (segment (start 106.25 122.5) (end 104.648 120.898) (width 0.15) (layer B.Cu) (net 215)) + (segment (start 107.95 122.5) (end 106.25 122.5) (width 0.15) (layer B.Cu) (net 215)) + (segment (start 108.85 121.6) (end 107.95 122.5) (width 0.15) (layer B.Cu) (net 215)) + (segment (start 108.85 116) (end 108.85 121.6) (width 0.15) (layer B.Cu) (net 215)) + (segment (start 112.25 112.6) (end 108.85 116) (width 0.15) (layer B.Cu) (net 215)) + (segment (start 114.6 112.6) (end 112.25 112.6) (width 0.15) (layer B.Cu) (net 215)) + (segment (start 116.75 114.75) (end 114.6 112.6) (width 0.15) (layer B.Cu) (net 215)) + + (zone (net 3) (net_name +3V3) (layer F.Cu) (tstamp 0) (hatch edge 0.508) + (priority 1) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) + (fill yes (arc_segments 32) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 126.25 116.1) (xy 112.25 116.1) (xy 112.25 102.1) (xy 126.25 102.1) + ) + ) + (filled_polygon + (pts + (xy 112.893488 102.216606) (xy 112.921646 102.269286) (xy 112.95954 102.31546) (xy 113.005714 102.353354) (xy 113.058394 102.381512) + (xy 113.115555 102.398851) (xy 113.175 102.404706) (xy 113.325 102.404706) (xy 113.384445 102.398851) (xy 113.441606 102.381512) + (xy 113.494286 102.353354) (xy 113.5 102.348665) (xy 113.505714 102.353354) (xy 113.558394 102.381512) (xy 113.615555 102.398851) + (xy 113.675 102.404706) (xy 113.825 102.404706) (xy 113.884445 102.398851) (xy 113.941606 102.381512) (xy 113.994286 102.353354) + (xy 114 102.348665) (xy 114.005714 102.353354) (xy 114.058394 102.381512) (xy 114.115555 102.398851) (xy 114.175 102.404706) + (xy 114.292149 102.404706) (xy 114.289792 102.410397) (xy 114.2714 102.502862) (xy 114.2714 102.597138) (xy 114.289792 102.689603) + (xy 114.32587 102.776702) (xy 114.378247 102.85509) (xy 114.44491 102.921753) (xy 114.523298 102.97413) (xy 114.610397 103.010208) + (xy 114.702862 103.0286) (xy 114.797138 103.0286) (xy 114.889603 103.010208) (xy 114.976702 102.97413) (xy 115.05509 102.921753) + (xy 115.121753 102.85509) (xy 115.17413 102.776702) (xy 115.210208 102.689603) (xy 115.2286 102.597138) (xy 115.2286 102.502862) + (xy 115.210208 102.410397) (xy 115.207851 102.404706) (xy 115.325 102.404706) (xy 115.384445 102.398851) (xy 115.441606 102.381512) + (xy 115.4464 102.378949) (xy 115.446401 103.077251) (xy 115.44491 103.078247) (xy 115.378247 103.14491) (xy 115.32587 103.223298) + (xy 115.289792 103.310397) (xy 115.2714 103.402862) (xy 115.2714 103.497138) (xy 115.289792 103.589603) (xy 115.32587 103.676702) + (xy 115.378247 103.75509) (xy 115.44491 103.821753) (xy 115.523298 103.87413) (xy 115.610397 103.910208) (xy 115.702862 103.9286) + (xy 115.797138 103.9286) (xy 115.889603 103.910208) (xy 115.976702 103.87413) (xy 116.05509 103.821753) (xy 116.121753 103.75509) + (xy 116.17413 103.676702) (xy 116.210208 103.589603) (xy 116.2286 103.497138) (xy 116.2286 103.402862) (xy 116.210208 103.310397) + (xy 116.17413 103.223298) (xy 116.121753 103.14491) (xy 116.05509 103.078247) (xy 116.0536 103.077251) (xy 116.0536 102.986682) + (xy 116.110397 103.010208) (xy 116.202862 103.0286) (xy 116.297138 103.0286) (xy 116.389603 103.010208) (xy 116.476702 102.97413) + (xy 116.55509 102.921753) (xy 116.621753 102.85509) (xy 116.67413 102.776702) (xy 116.710208 102.689603) (xy 116.7286 102.597138) + (xy 116.7286 102.502862) (xy 116.710208 102.410397) (xy 116.707851 102.404706) (xy 116.825 102.404706) (xy 116.884445 102.398851) + (xy 116.941606 102.381512) (xy 116.994286 102.353354) (xy 117 102.348665) (xy 117.005714 102.353354) (xy 117.058394 102.381512) + (xy 117.115555 102.398851) (xy 117.175 102.404706) (xy 117.325 102.404706) (xy 117.384445 102.398851) (xy 117.441606 102.381512) + (xy 117.4464 102.378949) (xy 117.446401 103.077251) (xy 117.44491 103.078247) (xy 117.378247 103.14491) (xy 117.32587 103.223298) + (xy 117.289792 103.310397) (xy 117.2714 103.402862) (xy 117.2714 103.497138) (xy 117.289792 103.589603) (xy 117.32587 103.676702) + (xy 117.378247 103.75509) (xy 117.44491 103.821753) (xy 117.523298 103.87413) (xy 117.610397 103.910208) (xy 117.702862 103.9286) + (xy 117.797138 103.9286) (xy 117.889603 103.910208) (xy 117.976702 103.87413) (xy 118.05509 103.821753) (xy 118.121753 103.75509) + (xy 118.17413 103.676702) (xy 118.210208 103.589603) (xy 118.2286 103.497138) (xy 118.2286 103.402862) (xy 118.210208 103.310397) + (xy 118.17413 103.223298) (xy 118.121753 103.14491) (xy 118.05509 103.078247) (xy 118.0536 103.077251) (xy 118.0536 102.986682) + (xy 118.110397 103.010208) (xy 118.202862 103.0286) (xy 118.297138 103.0286) (xy 118.389603 103.010208) (xy 118.476702 102.97413) + (xy 118.55509 102.921753) (xy 118.621753 102.85509) (xy 118.67413 102.776702) (xy 118.710208 102.689603) (xy 118.7286 102.597138) + (xy 118.7286 102.502862) (xy 118.710208 102.410397) (xy 118.707851 102.404706) (xy 118.825 102.404706) (xy 118.884445 102.398851) + (xy 118.941606 102.381512) (xy 118.971694 102.36543) (xy 118.972382 102.365994) (xy 119.012095 102.387221) (xy 119.055187 102.400292) + (xy 119.1 102.404706) (xy 119.11905 102.4036) (xy 119.1762 102.34645) (xy 119.1762 102.1762) (xy 119.3238 102.1762) + (xy 119.3238 102.34645) (xy 119.38095 102.4036) (xy 119.4 102.404706) (xy 119.444813 102.400292) (xy 119.487905 102.387221) + (xy 119.527618 102.365994) (xy 119.528306 102.36543) (xy 119.558394 102.381512) (xy 119.615555 102.398851) (xy 119.675 102.404706) + (xy 119.825 102.404706) (xy 119.884445 102.398851) (xy 119.941606 102.381512) (xy 119.994286 102.353354) (xy 120 102.348665) + (xy 120.005714 102.353354) (xy 120.058394 102.381512) (xy 120.115555 102.398851) (xy 120.175 102.404706) (xy 120.325 102.404706) + (xy 120.384445 102.398851) (xy 120.441606 102.381512) (xy 120.494286 102.353354) (xy 120.5 102.348665) (xy 120.505714 102.353354) + (xy 120.558394 102.381512) (xy 120.615555 102.398851) (xy 120.675 102.404706) (xy 120.825 102.404706) (xy 120.884445 102.398851) + (xy 120.941606 102.381512) (xy 120.994286 102.353354) (xy 121 102.348665) (xy 121.005714 102.353354) (xy 121.058394 102.381512) + (xy 121.115555 102.398851) (xy 121.175 102.404706) (xy 121.325 102.404706) (xy 121.384445 102.398851) (xy 121.441606 102.381512) + (xy 121.494286 102.353354) (xy 121.5 102.348665) (xy 121.505714 102.353354) (xy 121.558394 102.381512) (xy 121.615555 102.398851) + (xy 121.675 102.404706) (xy 121.825 102.404706) (xy 121.884445 102.398851) (xy 121.941606 102.381512) (xy 121.994286 102.353354) + (xy 122 102.348665) (xy 122.005714 102.353354) (xy 122.058394 102.381512) (xy 122.115555 102.398851) (xy 122.175 102.404706) + (xy 122.292149 102.404706) (xy 122.289792 102.410397) (xy 122.2714 102.502862) (xy 122.2714 102.597138) (xy 122.289792 102.689603) + (xy 122.32587 102.776702) (xy 122.378247 102.85509) (xy 122.44491 102.921753) (xy 122.523298 102.97413) (xy 122.610397 103.010208) + (xy 122.702862 103.0286) (xy 122.797138 103.0286) (xy 122.889603 103.010208) (xy 122.946401 102.986681) (xy 122.946401 103.077251) + (xy 122.94491 103.078247) (xy 122.878247 103.14491) (xy 122.82587 103.223298) (xy 122.789792 103.310397) (xy 122.7714 103.402862) + (xy 122.7714 103.497138) (xy 122.789792 103.589603) (xy 122.82587 103.676702) (xy 122.878247 103.75509) (xy 122.94491 103.821753) + (xy 123.023298 103.87413) (xy 123.110397 103.910208) (xy 123.202862 103.9286) (xy 123.297138 103.9286) (xy 123.389603 103.910208) + (xy 123.476702 103.87413) (xy 123.55509 103.821753) (xy 123.621753 103.75509) (xy 123.67413 103.676702) (xy 123.710208 103.589603) + (xy 123.7286 103.497138) (xy 123.7286 103.402862) (xy 123.710208 103.310397) (xy 123.67413 103.223298) (xy 123.621753 103.14491) + (xy 123.55509 103.078247) (xy 123.5536 103.077251) (xy 123.5536 102.986682) (xy 123.610397 103.010208) (xy 123.702862 103.0286) + (xy 123.797138 103.0286) (xy 123.889603 103.010208) (xy 123.946401 102.986681) (xy 123.946401 103.077251) (xy 123.94491 103.078247) + (xy 123.878247 103.14491) (xy 123.82587 103.223298) (xy 123.789792 103.310397) (xy 123.7714 103.402862) (xy 123.7714 103.497138) + (xy 123.789792 103.589603) (xy 123.82587 103.676702) (xy 123.878247 103.75509) (xy 123.94491 103.821753) (xy 124.023298 103.87413) + (xy 124.110397 103.910208) (xy 124.202862 103.9286) (xy 124.297138 103.9286) (xy 124.389603 103.910208) (xy 124.476702 103.87413) + (xy 124.55509 103.821753) (xy 124.621753 103.75509) (xy 124.67413 103.676702) (xy 124.710208 103.589603) (xy 124.7286 103.497138) + (xy 124.7286 103.402862) (xy 124.710208 103.310397) (xy 124.67413 103.223298) (xy 124.621753 103.14491) (xy 124.55509 103.078247) + (xy 124.5536 103.077251) (xy 124.5536 102.37895) (xy 124.558394 102.381512) (xy 124.615555 102.398851) (xy 124.675 102.404706) + (xy 124.825 102.404706) (xy 124.884445 102.398851) (xy 124.941606 102.381512) (xy 124.971694 102.36543) (xy 124.972382 102.365994) + (xy 125.012095 102.387221) (xy 125.055187 102.400292) (xy 125.1 102.404706) (xy 125.11905 102.4036) (xy 125.1762 102.34645) + (xy 125.1762 102.1762) (xy 125.3238 102.1762) (xy 125.3238 102.34645) (xy 125.38095 102.4036) (xy 125.4 102.404706) + (xy 125.444813 102.400292) (xy 125.487905 102.387221) (xy 125.527618 102.365994) (xy 125.562427 102.337427) (xy 125.590994 102.302618) + (xy 125.612221 102.262905) (xy 125.625292 102.219813) (xy 125.629588 102.1762) (xy 126.1738 102.1762) (xy 126.1738 102.731231) + (xy 126.133394 102.743488) (xy 126.080714 102.771646) (xy 126.03454 102.80954) (xy 125.996646 102.855714) (xy 125.968488 102.908394) + (xy 125.951149 102.965555) (xy 125.945294 103.025) (xy 125.945294 103.142149) (xy 125.939603 103.139792) (xy 125.847138 103.1214) + (xy 125.752862 103.1214) (xy 125.660397 103.139792) (xy 125.573298 103.17587) (xy 125.49491 103.228247) (xy 125.428247 103.29491) + (xy 125.37587 103.373298) (xy 125.339792 103.460397) (xy 125.3214 103.552862) (xy 125.3214 103.647138) (xy 125.339792 103.739603) + (xy 125.37587 103.826702) (xy 125.428247 103.90509) (xy 125.49491 103.971753) (xy 125.573298 104.02413) (xy 125.660397 104.060208) + (xy 125.752862 104.0786) (xy 125.847138 104.0786) (xy 125.939603 104.060208) (xy 125.945294 104.057851) (xy 125.945294 104.175) + (xy 125.951149 104.234445) (xy 125.968488 104.291606) (xy 125.996646 104.344286) (xy 126.001335 104.35) (xy 125.996646 104.355714) + (xy 125.968488 104.408394) (xy 125.951149 104.465555) (xy 125.945294 104.525) (xy 125.945294 104.642149) (xy 125.939603 104.639792) + (xy 125.847138 104.6214) (xy 125.752862 104.6214) (xy 125.660397 104.639792) (xy 125.573298 104.67587) (xy 125.49491 104.728247) + (xy 125.428247 104.79491) (xy 125.37587 104.873298) (xy 125.339792 104.960397) (xy 125.3214 105.052862) (xy 125.3214 105.147138) + (xy 125.339792 105.239603) (xy 125.363318 105.2964) (xy 125.272749 105.2964) (xy 125.271753 105.29491) (xy 125.20509 105.228247) + (xy 125.126702 105.17587) (xy 125.039603 105.139792) (xy 124.947138 105.1214) (xy 124.852862 105.1214) (xy 124.760397 105.139792) + (xy 124.673298 105.17587) (xy 124.59491 105.228247) (xy 124.528247 105.29491) (xy 124.47587 105.373298) (xy 124.439792 105.460397) + (xy 124.4214 105.552862) (xy 124.4214 105.647138) (xy 124.439792 105.739603) (xy 124.47587 105.826702) (xy 124.528247 105.90509) + (xy 124.59491 105.971753) (xy 124.673298 106.02413) (xy 124.760397 106.060208) (xy 124.852862 106.0786) (xy 124.947138 106.0786) + (xy 125.039603 106.060208) (xy 125.126702 106.02413) (xy 125.20509 105.971753) (xy 125.271753 105.90509) (xy 125.272749 105.9036) + (xy 125.363318 105.9036) (xy 125.339792 105.960397) (xy 125.3214 106.052862) (xy 125.3214 106.147138) (xy 125.339792 106.239603) + (xy 125.363318 106.2964) (xy 125.272749 106.2964) (xy 125.271753 106.29491) (xy 125.20509 106.228247) (xy 125.126702 106.17587) + (xy 125.039603 106.139792) (xy 124.947138 106.1214) (xy 124.852862 106.1214) (xy 124.760397 106.139792) (xy 124.673298 106.17587) + (xy 124.59491 106.228247) (xy 124.528247 106.29491) (xy 124.47587 106.373298) (xy 124.439792 106.460397) (xy 124.4214 106.552862) + (xy 124.4214 106.647138) (xy 124.439792 106.739603) (xy 124.47587 106.826702) (xy 124.528247 106.90509) (xy 124.59491 106.971753) + (xy 124.673298 107.02413) (xy 124.760397 107.060208) (xy 124.852862 107.0786) (xy 124.947138 107.0786) (xy 125.039603 107.060208) + (xy 125.126702 107.02413) (xy 125.20509 106.971753) (xy 125.271753 106.90509) (xy 125.272749 106.9036) (xy 125.363318 106.9036) + (xy 125.339792 106.960397) (xy 125.3214 107.052862) (xy 125.3214 107.147138) (xy 125.339792 107.239603) (xy 125.363318 107.2964) + (xy 125.272749 107.2964) (xy 125.271753 107.29491) (xy 125.20509 107.228247) (xy 125.126702 107.17587) (xy 125.039603 107.139792) + (xy 124.947138 107.1214) (xy 124.852862 107.1214) (xy 124.760397 107.139792) (xy 124.673298 107.17587) (xy 124.59491 107.228247) + (xy 124.528247 107.29491) (xy 124.47587 107.373298) (xy 124.439792 107.460397) (xy 124.4214 107.552862) (xy 124.4214 107.647138) + (xy 124.439792 107.739603) (xy 124.47587 107.826702) (xy 124.528247 107.90509) (xy 124.59491 107.971753) (xy 124.673298 108.02413) + (xy 124.760397 108.060208) (xy 124.852862 108.0786) (xy 124.947138 108.0786) (xy 125.039603 108.060208) (xy 125.126702 108.02413) + (xy 125.20509 107.971753) (xy 125.271753 107.90509) (xy 125.272749 107.9036) (xy 125.363318 107.9036) (xy 125.339792 107.960397) + (xy 125.3214 108.052862) (xy 125.3214 108.147138) (xy 125.339792 108.239603) (xy 125.363318 108.2964) (xy 125.272749 108.2964) + (xy 125.271753 108.29491) (xy 125.20509 108.228247) (xy 125.126702 108.17587) (xy 125.039603 108.139792) (xy 124.947138 108.1214) + (xy 124.852862 108.1214) (xy 124.760397 108.139792) (xy 124.673298 108.17587) (xy 124.59491 108.228247) (xy 124.528247 108.29491) + (xy 124.47587 108.373298) (xy 124.439792 108.460397) (xy 124.4214 108.552862) (xy 124.4214 108.647138) (xy 124.439792 108.739603) + (xy 124.47587 108.826702) (xy 124.528247 108.90509) (xy 124.59491 108.971753) (xy 124.673298 109.02413) (xy 124.760397 109.060208) + (xy 124.852862 109.0786) (xy 124.947138 109.0786) (xy 125.039603 109.060208) (xy 125.126702 109.02413) (xy 125.20509 108.971753) + (xy 125.271753 108.90509) (xy 125.272749 108.9036) (xy 125.363318 108.9036) (xy 125.339792 108.960397) (xy 125.3214 109.052862) + (xy 125.3214 109.147138) (xy 125.339792 109.239603) (xy 125.363318 109.2964) (xy 125.272749 109.2964) (xy 125.271753 109.29491) + (xy 125.20509 109.228247) (xy 125.126702 109.17587) (xy 125.039603 109.139792) (xy 124.947138 109.1214) (xy 124.852862 109.1214) + (xy 124.760397 109.139792) (xy 124.673298 109.17587) (xy 124.59491 109.228247) (xy 124.528247 109.29491) (xy 124.47587 109.373298) + (xy 124.439792 109.460397) (xy 124.4214 109.552862) (xy 124.4214 109.647138) (xy 124.439792 109.739603) (xy 124.47587 109.826702) + (xy 124.528247 109.90509) (xy 124.59491 109.971753) (xy 124.673298 110.02413) (xy 124.760397 110.060208) (xy 124.852862 110.0786) + (xy 124.947138 110.0786) (xy 125.039603 110.060208) (xy 125.126702 110.02413) (xy 125.20509 109.971753) (xy 125.271753 109.90509) + (xy 125.272749 109.9036) (xy 125.363318 109.9036) (xy 125.339792 109.960397) (xy 125.3214 110.052862) (xy 125.3214 110.147138) + (xy 125.339792 110.239603) (xy 125.363318 110.2964) (xy 125.272749 110.2964) (xy 125.271753 110.29491) (xy 125.20509 110.228247) + (xy 125.126702 110.17587) (xy 125.039603 110.139792) (xy 124.947138 110.1214) (xy 124.852862 110.1214) (xy 124.760397 110.139792) + (xy 124.673298 110.17587) (xy 124.59491 110.228247) (xy 124.528247 110.29491) (xy 124.47587 110.373298) (xy 124.439792 110.460397) + (xy 124.4214 110.552862) (xy 124.4214 110.647138) (xy 124.439792 110.739603) (xy 124.47587 110.826702) (xy 124.528247 110.90509) + (xy 124.59491 110.971753) (xy 124.673298 111.02413) (xy 124.760397 111.060208) (xy 124.852862 111.0786) (xy 124.947138 111.0786) + (xy 125.039603 111.060208) (xy 125.126702 111.02413) (xy 125.20509 110.971753) (xy 125.271753 110.90509) (xy 125.272749 110.9036) + (xy 125.363318 110.9036) (xy 125.339792 110.960397) (xy 125.3214 111.052862) (xy 125.3214 111.147138) (xy 125.339792 111.239603) + (xy 125.363318 111.2964) (xy 125.272749 111.2964) (xy 125.271753 111.29491) (xy 125.20509 111.228247) (xy 125.126702 111.17587) + (xy 125.039603 111.139792) (xy 124.947138 111.1214) (xy 124.852862 111.1214) (xy 124.760397 111.139792) (xy 124.673298 111.17587) + (xy 124.59491 111.228247) (xy 124.528247 111.29491) (xy 124.47587 111.373298) (xy 124.439792 111.460397) (xy 124.4214 111.552862) + (xy 124.4214 111.647138) (xy 124.439792 111.739603) (xy 124.47587 111.826702) (xy 124.528247 111.90509) (xy 124.59491 111.971753) + (xy 124.673298 112.02413) (xy 124.760397 112.060208) (xy 124.852862 112.0786) (xy 124.947138 112.0786) (xy 125.039603 112.060208) + (xy 125.126702 112.02413) (xy 125.20509 111.971753) (xy 125.271753 111.90509) (xy 125.272749 111.9036) (xy 125.363318 111.9036) + (xy 125.339792 111.960397) (xy 125.3214 112.052862) (xy 125.3214 112.147138) (xy 125.339792 112.239603) (xy 125.363318 112.2964) + (xy 124.75441 112.2964) (xy 124.72413 112.223298) (xy 124.671753 112.14491) (xy 124.60509 112.078247) (xy 124.526702 112.02587) + (xy 124.439603 111.989792) (xy 124.347138 111.9714) (xy 124.252862 111.9714) (xy 124.160397 111.989792) (xy 124.073298 112.02587) + (xy 123.99491 112.078247) (xy 123.928247 112.14491) (xy 123.87587 112.223298) (xy 123.839792 112.310397) (xy 123.8214 112.402862) + (xy 123.8214 112.497138) (xy 123.839792 112.589603) (xy 123.87587 112.676702) (xy 123.928247 112.75509) (xy 123.99491 112.821753) + (xy 124.073298 112.87413) (xy 124.160397 112.910208) (xy 124.252862 112.9286) (xy 124.347138 112.9286) (xy 124.439603 112.910208) + (xy 124.45264 112.904808) (xy 124.464903 112.9036) (xy 125.950189 112.9036) (xy 125.949708 112.905187) (xy 125.945294 112.95) + (xy 125.9464 112.96905) (xy 126.00355 113.0262) (xy 126.1738 113.0262) (xy 126.1738 113.1738) (xy 126.00355 113.1738) + (xy 125.9464 113.23095) (xy 125.945294 113.25) (xy 125.949708 113.294813) (xy 125.950189 113.2964) (xy 124.514904 113.2964) + (xy 124.5 113.294932) (xy 124.485096 113.2964) (xy 124.440484 113.300794) (xy 124.383256 113.318154) (xy 124.369034 113.325755) + (xy 124.347138 113.3214) (xy 124.252862 113.3214) (xy 124.160397 113.339792) (xy 124.073298 113.37587) (xy 123.99491 113.428247) + (xy 123.928247 113.49491) (xy 123.87587 113.573298) (xy 123.839792 113.660397) (xy 123.8214 113.752862) (xy 123.8214 113.847138) + (xy 123.839792 113.939603) (xy 123.87587 114.026702) (xy 123.928247 114.10509) (xy 123.99491 114.171753) (xy 124.073298 114.22413) + (xy 124.160397 114.260208) (xy 124.252862 114.2786) (xy 124.347138 114.2786) (xy 124.439603 114.260208) (xy 124.526702 114.22413) + (xy 124.60509 114.171753) (xy 124.671753 114.10509) (xy 124.72413 114.026702) (xy 124.760208 113.939603) (xy 124.767369 113.9036) + (xy 125.363318 113.9036) (xy 125.339792 113.960397) (xy 125.3214 114.052862) (xy 125.3214 114.147138) (xy 125.339792 114.239603) + (xy 125.363318 114.2964) (xy 125.272749 114.2964) (xy 125.271753 114.29491) (xy 125.20509 114.228247) (xy 125.126702 114.17587) + (xy 125.039603 114.139792) (xy 124.947138 114.1214) (xy 124.852862 114.1214) (xy 124.760397 114.139792) (xy 124.673298 114.17587) + (xy 124.59491 114.228247) (xy 124.528247 114.29491) (xy 124.47587 114.373298) (xy 124.439792 114.460397) (xy 124.4214 114.552862) + (xy 124.4214 114.647138) (xy 124.439792 114.739603) (xy 124.47587 114.826702) (xy 124.528247 114.90509) (xy 124.59491 114.971753) + (xy 124.673298 115.02413) (xy 124.72507 115.045575) (xy 124.545872 115.224774) (xy 124.534284 115.234284) (xy 124.496345 115.280514) + (xy 124.468154 115.333257) (xy 124.457568 115.368154) (xy 124.450794 115.390485) (xy 124.444932 115.45) (xy 124.4464 115.464904) + (xy 124.4464 115.800189) (xy 124.444813 115.799708) (xy 124.4 115.795294) (xy 124.38095 115.7964) (xy 124.3238 115.85355) + (xy 124.3238 116.0238) (xy 124.1762 116.0238) (xy 124.1762 115.85355) (xy 124.11905 115.7964) (xy 124.1 115.795294) + (xy 124.055187 115.799708) (xy 124.0536 115.800189) (xy 124.0536 115.122749) (xy 124.05509 115.121753) (xy 124.121753 115.05509) + (xy 124.17413 114.976702) (xy 124.210208 114.889603) (xy 124.2286 114.797138) (xy 124.2286 114.702862) (xy 124.210208 114.610397) + (xy 124.17413 114.523298) (xy 124.121753 114.44491) (xy 124.05509 114.378247) (xy 123.976702 114.32587) (xy 123.889603 114.289792) + (xy 123.797138 114.2714) (xy 123.702862 114.2714) (xy 123.610397 114.289792) (xy 123.523298 114.32587) (xy 123.44491 114.378247) + (xy 123.378247 114.44491) (xy 123.32587 114.523298) (xy 123.289792 114.610397) (xy 123.2714 114.702862) (xy 123.2714 114.797138) + (xy 123.289792 114.889603) (xy 123.32587 114.976702) (xy 123.378247 115.05509) (xy 123.44491 115.121753) (xy 123.446401 115.122749) + (xy 123.446401 115.213319) (xy 123.389603 115.189792) (xy 123.297138 115.1714) (xy 123.202862 115.1714) (xy 123.110397 115.189792) + (xy 123.0536 115.213318) (xy 123.0536 115.122749) (xy 123.05509 115.121753) (xy 123.121753 115.05509) (xy 123.17413 114.976702) + (xy 123.210208 114.889603) (xy 123.2286 114.797138) (xy 123.2286 114.702862) (xy 123.210208 114.610397) (xy 123.17413 114.523298) + (xy 123.121753 114.44491) (xy 123.05509 114.378247) (xy 122.976702 114.32587) (xy 122.889603 114.289792) (xy 122.797138 114.2714) + (xy 122.702862 114.2714) (xy 122.610397 114.289792) (xy 122.523298 114.32587) (xy 122.44491 114.378247) (xy 122.378247 114.44491) + (xy 122.32587 114.523298) (xy 122.289792 114.610397) (xy 122.2714 114.702862) (xy 122.2714 114.797138) (xy 122.289792 114.889603) + (xy 122.32587 114.976702) (xy 122.378247 115.05509) (xy 122.44491 115.121753) (xy 122.446401 115.122749) (xy 122.446401 115.213319) + (xy 122.389603 115.189792) (xy 122.297138 115.1714) (xy 122.202862 115.1714) (xy 122.110397 115.189792) (xy 122.0536 115.213318) + (xy 122.0536 115.122749) (xy 122.05509 115.121753) (xy 122.121753 115.05509) (xy 122.17413 114.976702) (xy 122.210208 114.889603) + (xy 122.2286 114.797138) (xy 122.2286 114.702862) (xy 122.210208 114.610397) (xy 122.17413 114.523298) (xy 122.121753 114.44491) + (xy 122.05509 114.378247) (xy 121.976702 114.32587) (xy 121.889603 114.289792) (xy 121.797138 114.2714) (xy 121.702862 114.2714) + (xy 121.610397 114.289792) (xy 121.523298 114.32587) (xy 121.44491 114.378247) (xy 121.378247 114.44491) (xy 121.32587 114.523298) + (xy 121.289792 114.610397) (xy 121.2714 114.702862) (xy 121.2714 114.797138) (xy 121.289792 114.889603) (xy 121.32587 114.976702) + (xy 121.378247 115.05509) (xy 121.44491 115.121753) (xy 121.446401 115.122749) (xy 121.446401 115.213319) (xy 121.389603 115.189792) + (xy 121.297138 115.1714) (xy 121.202862 115.1714) (xy 121.110397 115.189792) (xy 121.0536 115.213318) (xy 121.0536 115.122749) + (xy 121.05509 115.121753) (xy 121.121753 115.05509) (xy 121.17413 114.976702) (xy 121.210208 114.889603) (xy 121.2286 114.797138) + (xy 121.2286 114.702862) (xy 121.210208 114.610397) (xy 121.17413 114.523298) (xy 121.121753 114.44491) (xy 121.05509 114.378247) + (xy 120.976702 114.32587) (xy 120.889603 114.289792) (xy 120.797138 114.2714) (xy 120.702862 114.2714) (xy 120.610397 114.289792) + (xy 120.523298 114.32587) (xy 120.44491 114.378247) (xy 120.378247 114.44491) (xy 120.32587 114.523298) (xy 120.289792 114.610397) + (xy 120.2714 114.702862) (xy 120.2714 114.797138) (xy 120.289792 114.889603) (xy 120.32587 114.976702) (xy 120.378247 115.05509) + (xy 120.44491 115.121753) (xy 120.446401 115.122749) (xy 120.446401 115.213319) (xy 120.389603 115.189792) (xy 120.297138 115.1714) + (xy 120.202862 115.1714) (xy 120.110397 115.189792) (xy 120.0536 115.213318) (xy 120.0536 114.617369) (xy 120.089603 114.610208) + (xy 120.176702 114.57413) (xy 120.25509 114.521753) (xy 120.321753 114.45509) (xy 120.37413 114.376702) (xy 120.410208 114.289603) + (xy 120.4286 114.197138) (xy 120.4286 114.102862) (xy 120.410208 114.010397) (xy 120.37413 113.923298) (xy 120.321753 113.84491) + (xy 120.25509 113.778247) (xy 120.176702 113.72587) (xy 120.089603 113.689792) (xy 119.997138 113.6714) (xy 119.902862 113.6714) + (xy 119.810397 113.689792) (xy 119.723298 113.72587) (xy 119.64491 113.778247) (xy 119.578247 113.84491) (xy 119.52587 113.923298) + (xy 119.489792 114.010397) (xy 119.4714 114.102862) (xy 119.4714 114.197138) (xy 119.475756 114.219035) (xy 119.468154 114.233257) + (xy 119.456509 114.271646) (xy 119.450794 114.290485) (xy 119.444932 114.35) (xy 119.4464 114.364904) (xy 119.446401 115.80019) + (xy 119.444813 115.799708) (xy 119.4 115.795294) (xy 119.38095 115.7964) (xy 119.3238 115.85355) (xy 119.3238 116.0238) + (xy 119.1762 116.0238) (xy 119.1762 115.85355) (xy 119.11905 115.7964) (xy 119.1 115.795294) (xy 119.055187 115.799708) + (xy 119.0536 115.800189) (xy 119.0536 114.364904) (xy 119.055068 114.35) (xy 119.049206 114.290484) (xy 119.045601 114.2786) + (xy 119.031846 114.233256) (xy 119.024245 114.219034) (xy 119.0286 114.197138) (xy 119.0286 114.102862) (xy 119.010208 114.010397) + (xy 118.97413 113.923298) (xy 118.921753 113.84491) (xy 118.85509 113.778247) (xy 118.776702 113.72587) (xy 118.689603 113.689792) + (xy 118.597138 113.6714) (xy 118.502862 113.6714) (xy 118.410397 113.689792) (xy 118.323298 113.72587) (xy 118.24491 113.778247) + (xy 118.178247 113.84491) (xy 118.12587 113.923298) (xy 118.089792 114.010397) (xy 118.0714 114.102862) (xy 118.0714 114.197138) + (xy 118.089792 114.289603) (xy 118.12587 114.376702) (xy 118.178247 114.45509) (xy 118.24491 114.521753) (xy 118.323298 114.57413) + (xy 118.410397 114.610208) (xy 118.4464 114.617369) (xy 118.4464 115.213319) (xy 118.389603 115.189792) (xy 118.297138 115.1714) + (xy 118.202862 115.1714) (xy 118.110397 115.189792) (xy 118.0536 115.213318) (xy 118.0536 115.122749) (xy 118.05509 115.121753) + (xy 118.121753 115.05509) (xy 118.17413 114.976702) (xy 118.210208 114.889603) (xy 118.2286 114.797138) (xy 118.2286 114.702862) + (xy 118.210208 114.610397) (xy 118.17413 114.523298) (xy 118.121753 114.44491) (xy 118.05509 114.378247) (xy 117.976702 114.32587) + (xy 117.889603 114.289792) (xy 117.797138 114.2714) (xy 117.702862 114.2714) (xy 117.610397 114.289792) (xy 117.523298 114.32587) + (xy 117.44491 114.378247) (xy 117.378247 114.44491) (xy 117.32587 114.523298) (xy 117.289792 114.610397) (xy 117.2714 114.702862) + (xy 117.2714 114.797138) (xy 117.289792 114.889603) (xy 117.32587 114.976702) (xy 117.378247 115.05509) (xy 117.44491 115.121753) + (xy 117.446401 115.122749) (xy 117.446401 115.213319) (xy 117.389603 115.189792) (xy 117.297138 115.1714) (xy 117.202862 115.1714) + (xy 117.110397 115.189792) (xy 117.0536 115.213318) (xy 117.0536 115.122749) (xy 117.05509 115.121753) (xy 117.121753 115.05509) + (xy 117.17413 114.976702) (xy 117.210208 114.889603) (xy 117.2286 114.797138) (xy 117.2286 114.702862) (xy 117.210208 114.610397) + (xy 117.17413 114.523298) (xy 117.121753 114.44491) (xy 117.05509 114.378247) (xy 116.976702 114.32587) (xy 116.889603 114.289792) + (xy 116.797138 114.2714) (xy 116.702862 114.2714) (xy 116.610397 114.289792) (xy 116.523298 114.32587) (xy 116.44491 114.378247) + (xy 116.378247 114.44491) (xy 116.32587 114.523298) (xy 116.289792 114.610397) (xy 116.2714 114.702862) (xy 116.2714 114.797138) + (xy 116.289792 114.889603) (xy 116.32587 114.976702) (xy 116.378247 115.05509) (xy 116.44491 115.121753) (xy 116.446401 115.122749) + (xy 116.446401 115.213319) (xy 116.389603 115.189792) (xy 116.297138 115.1714) (xy 116.202862 115.1714) (xy 116.110397 115.189792) + (xy 116.0536 115.213318) (xy 116.0536 115.122749) (xy 116.05509 115.121753) (xy 116.121753 115.05509) (xy 116.17413 114.976702) + (xy 116.210208 114.889603) (xy 116.2286 114.797138) (xy 116.2286 114.702862) (xy 116.210208 114.610397) (xy 116.17413 114.523298) + (xy 116.121753 114.44491) (xy 116.05509 114.378247) (xy 115.976702 114.32587) (xy 115.889603 114.289792) (xy 115.797138 114.2714) + (xy 115.702862 114.2714) (xy 115.610397 114.289792) (xy 115.523298 114.32587) (xy 115.44491 114.378247) (xy 115.378247 114.44491) + (xy 115.32587 114.523298) (xy 115.289792 114.610397) (xy 115.2714 114.702862) (xy 115.2714 114.797138) (xy 115.289792 114.889603) + (xy 115.32587 114.976702) (xy 115.378247 115.05509) (xy 115.44491 115.121753) (xy 115.446401 115.122749) (xy 115.446401 115.213319) + (xy 115.389603 115.189792) (xy 115.297138 115.1714) (xy 115.202862 115.1714) (xy 115.110397 115.189792) (xy 115.0536 115.213318) + (xy 115.0536 115.122749) (xy 115.05509 115.121753) (xy 115.121753 115.05509) (xy 115.17413 114.976702) (xy 115.210208 114.889603) + (xy 115.2286 114.797138) (xy 115.2286 114.702862) (xy 115.210208 114.610397) (xy 115.17413 114.523298) (xy 115.121753 114.44491) + (xy 115.05509 114.378247) (xy 114.976702 114.32587) (xy 114.889603 114.289792) (xy 114.797138 114.2714) (xy 114.702862 114.2714) + (xy 114.610397 114.289792) (xy 114.523298 114.32587) (xy 114.44491 114.378247) (xy 114.378247 114.44491) (xy 114.32587 114.523298) + (xy 114.289792 114.610397) (xy 114.2714 114.702862) (xy 114.2714 114.797138) (xy 114.289792 114.889603) (xy 114.32587 114.976702) + (xy 114.378247 115.05509) (xy 114.44491 115.121753) (xy 114.446401 115.122749) (xy 114.446401 115.213319) (xy 114.389603 115.189792) + (xy 114.297138 115.1714) (xy 114.202862 115.1714) (xy 114.110397 115.189792) (xy 114.023298 115.22587) (xy 113.94491 115.278247) + (xy 113.878247 115.34491) (xy 113.82587 115.423298) (xy 113.789792 115.510397) (xy 113.7714 115.602862) (xy 113.7714 115.697138) + (xy 113.789792 115.789603) (xy 113.792149 115.795294) (xy 113.675 115.795294) (xy 113.615555 115.801149) (xy 113.558394 115.818488) + (xy 113.505714 115.846646) (xy 113.5 115.851335) (xy 113.494286 115.846646) (xy 113.441606 115.818488) (xy 113.384445 115.801149) + (xy 113.325 115.795294) (xy 113.175 115.795294) (xy 113.115555 115.801149) (xy 113.058394 115.818488) (xy 113.005714 115.846646) + (xy 112.95954 115.88454) (xy 112.921646 115.930714) (xy 112.893488 115.983394) (xy 112.881231 116.0238) (xy 112.3262 116.0238) + (xy 112.3262 115.4786) (xy 112.405157 115.4786) (xy 112.473298 115.52413) (xy 112.560397 115.560208) (xy 112.652862 115.5786) + (xy 112.747138 115.5786) (xy 112.839603 115.560208) (xy 112.926702 115.52413) (xy 113.00509 115.471753) (xy 113.071753 115.40509) + (xy 113.12413 115.326702) (xy 113.160208 115.239603) (xy 113.1786 115.147138) (xy 113.1786 115.052862) (xy 113.160208 114.960397) + (xy 113.136682 114.9036) (xy 113.227251 114.9036) (xy 113.228247 114.90509) (xy 113.29491 114.971753) (xy 113.373298 115.02413) + (xy 113.460397 115.060208) (xy 113.552862 115.0786) (xy 113.647138 115.0786) (xy 113.739603 115.060208) (xy 113.826702 115.02413) + (xy 113.90509 114.971753) (xy 113.971753 114.90509) (xy 114.02413 114.826702) (xy 114.060208 114.739603) (xy 114.0786 114.647138) + (xy 114.0786 114.552862) (xy 114.060208 114.460397) (xy 114.02413 114.373298) (xy 113.971753 114.29491) (xy 113.90509 114.228247) + (xy 113.826702 114.17587) (xy 113.739603 114.139792) (xy 113.647138 114.1214) (xy 113.552862 114.1214) (xy 113.460397 114.139792) + (xy 113.373298 114.17587) (xy 113.29491 114.228247) (xy 113.228247 114.29491) (xy 113.227251 114.2964) (xy 113.136682 114.2964) + (xy 113.160208 114.239603) (xy 113.1786 114.147138) (xy 113.1786 114.052862) (xy 113.160208 113.960397) (xy 113.12413 113.873298) + (xy 113.071753 113.79491) (xy 113.00509 113.728247) (xy 112.926702 113.67587) (xy 112.839603 113.639792) (xy 112.747138 113.6214) + (xy 112.652862 113.6214) (xy 112.560397 113.639792) (xy 112.554706 113.642149) (xy 112.554706 113.525) (xy 112.548851 113.465555) + (xy 112.531512 113.408394) (xy 112.503354 113.355714) (xy 112.498665 113.35) (xy 112.503354 113.344286) (xy 112.531512 113.291606) + (xy 112.548851 113.234445) (xy 112.554706 113.175) (xy 112.554706 113.025) (xy 112.548851 112.965555) (xy 112.531512 112.908394) + (xy 112.503354 112.855714) (xy 112.498665 112.85) (xy 112.503354 112.844286) (xy 112.531512 112.791606) (xy 112.548851 112.734445) + (xy 112.554706 112.675) (xy 112.554706 112.557851) (xy 112.560397 112.560208) (xy 112.652862 112.5786) (xy 112.747138 112.5786) + (xy 112.839603 112.560208) (xy 112.926702 112.52413) (xy 113.00509 112.471753) (xy 113.071753 112.40509) (xy 113.12413 112.326702) + (xy 113.160208 112.239603) (xy 113.1786 112.147138) (xy 113.1786 112.052862) (xy 113.160208 111.960397) (xy 113.12413 111.873298) + (xy 113.071753 111.79491) (xy 113.00509 111.728247) (xy 112.926702 111.67587) (xy 112.839603 111.639792) (xy 112.747138 111.6214) + (xy 112.652862 111.6214) (xy 112.560397 111.639792) (xy 112.554706 111.642149) (xy 112.554706 111.525) (xy 112.548851 111.465555) + (xy 112.531512 111.408394) (xy 112.503354 111.355714) (xy 112.498665 111.35) (xy 112.503354 111.344286) (xy 112.531512 111.291606) + (xy 112.548851 111.234445) (xy 112.554706 111.175) (xy 112.554706 111.025) (xy 112.548851 110.965555) (xy 112.531512 110.908394) + (xy 112.503354 110.855714) (xy 112.498665 110.85) (xy 112.503354 110.844286) (xy 112.531512 110.791606) (xy 112.548851 110.734445) + (xy 112.554706 110.675) (xy 112.554706 110.525) (xy 112.548851 110.465555) (xy 112.531512 110.408394) (xy 112.503354 110.355714) + (xy 112.498665 110.35) (xy 112.503354 110.344286) (xy 112.531512 110.291606) (xy 112.548851 110.234445) (xy 112.554706 110.175) + (xy 112.554706 110.025) (xy 112.548851 109.965555) (xy 112.531512 109.908394) (xy 112.503354 109.855714) (xy 112.498665 109.85) + (xy 112.503354 109.844286) (xy 112.531512 109.791606) (xy 112.548851 109.734445) (xy 112.554706 109.675) (xy 112.554706 109.525) + (xy 112.548851 109.465555) (xy 112.531512 109.408394) (xy 112.503354 109.355714) (xy 112.498665 109.35) (xy 112.503354 109.344286) + (xy 112.531512 109.291606) (xy 112.548851 109.234445) (xy 112.554706 109.175) (xy 112.554706 109.057851) (xy 112.560397 109.060208) + (xy 112.652862 109.0786) (xy 112.747138 109.0786) (xy 112.839603 109.060208) (xy 112.926702 109.02413) (xy 113.00509 108.971753) + (xy 113.071753 108.90509) (xy 113.12413 108.826702) (xy 113.160208 108.739603) (xy 113.1786 108.647138) (xy 113.1786 108.552862) + (xy 113.160208 108.460397) (xy 113.12413 108.373298) (xy 113.071753 108.29491) (xy 113.00509 108.228247) (xy 112.926702 108.17587) + (xy 112.839603 108.139792) (xy 112.747138 108.1214) (xy 112.652862 108.1214) (xy 112.560397 108.139792) (xy 112.554706 108.142149) + (xy 112.554706 108.025) (xy 112.548851 107.965555) (xy 112.531512 107.908394) (xy 112.52895 107.9036) (xy 113.227251 107.9036) + (xy 113.228247 107.90509) (xy 113.29491 107.971753) (xy 113.373298 108.02413) (xy 113.460397 108.060208) (xy 113.552862 108.0786) + (xy 113.647138 108.0786) (xy 113.739603 108.060208) (xy 113.826702 108.02413) (xy 113.90509 107.971753) (xy 113.971753 107.90509) + (xy 114.02413 107.826702) (xy 114.060208 107.739603) (xy 114.0786 107.647138) (xy 114.0786 107.552862) (xy 114.060208 107.460397) + (xy 114.02413 107.373298) (xy 113.971753 107.29491) (xy 113.90509 107.228247) (xy 113.826702 107.17587) (xy 113.739603 107.139792) + (xy 113.647138 107.1214) (xy 113.552862 107.1214) (xy 113.460397 107.139792) (xy 113.373298 107.17587) (xy 113.29491 107.228247) + (xy 113.228247 107.29491) (xy 113.227251 107.2964) (xy 113.136682 107.2964) (xy 113.160208 107.239603) (xy 113.1786 107.147138) + (xy 113.1786 107.052862) (xy 113.160208 106.960397) (xy 113.12413 106.873298) (xy 113.071753 106.79491) (xy 113.00509 106.728247) + (xy 112.926702 106.67587) (xy 112.839603 106.639792) (xy 112.747138 106.6214) (xy 112.652862 106.6214) (xy 112.560397 106.639792) + (xy 112.554706 106.642149) (xy 112.554706 106.525) (xy 112.548851 106.465555) (xy 112.531512 106.408394) (xy 112.51543 106.378306) + (xy 112.515994 106.377618) (xy 112.537221 106.337905) (xy 112.550292 106.294813) (xy 112.554706 106.25) (xy 112.5536 106.23095) + (xy 112.49645 106.1738) (xy 112.3262 106.1738) (xy 112.3262 106.0262) (xy 112.49645 106.0262) (xy 112.5536 105.96905) + (xy 112.554706 105.95) (xy 112.550292 105.905187) (xy 112.537221 105.862095) (xy 112.515994 105.822382) (xy 112.51543 105.821694) + (xy 112.531512 105.791606) (xy 112.548851 105.734445) (xy 112.554706 105.675) (xy 112.554706 105.525) (xy 112.548851 105.465555) + (xy 112.531512 105.408394) (xy 112.503354 105.355714) (xy 112.498665 105.35) (xy 112.503354 105.344286) (xy 112.531512 105.291606) + (xy 112.548851 105.234445) (xy 112.554706 105.175) (xy 112.554706 105.025) (xy 112.548851 104.965555) (xy 112.531512 104.908394) + (xy 112.503354 104.855714) (xy 112.498665 104.85) (xy 112.503354 104.844286) (xy 112.531512 104.791606) (xy 112.548851 104.734445) + (xy 112.554706 104.675) (xy 112.554706 104.525) (xy 112.548851 104.465555) (xy 112.531512 104.408394) (xy 112.503354 104.355714) + (xy 112.498665 104.35) (xy 112.503354 104.344286) (xy 112.531512 104.291606) (xy 112.548851 104.234445) (xy 112.554706 104.175) + (xy 112.554706 104.025) (xy 112.548851 103.965555) (xy 112.531512 103.908394) (xy 112.503354 103.855714) (xy 112.498665 103.85) + (xy 112.503354 103.844286) (xy 112.531512 103.791606) (xy 112.548851 103.734445) (xy 112.554706 103.675) (xy 112.554706 103.525) + (xy 112.548851 103.465555) (xy 112.531512 103.408394) (xy 112.51543 103.378306) (xy 112.515994 103.377618) (xy 112.537221 103.337905) + (xy 112.550292 103.294813) (xy 112.554706 103.25) (xy 112.5536 103.23095) (xy 112.49645 103.1738) (xy 112.3262 103.1738) + (xy 112.3262 103.0262) (xy 112.49645 103.0262) (xy 112.5536 102.96905) (xy 112.554706 102.95) (xy 112.550292 102.905187) + (xy 112.537221 102.862095) (xy 112.515994 102.822382) (xy 112.487427 102.787573) (xy 112.452618 102.759006) (xy 112.412905 102.737779) + (xy 112.369813 102.724708) (xy 112.3262 102.720412) (xy 112.3262 102.1762) (xy 112.881231 102.1762) + ) + ) + ) + (zone (net 1) (net_name GND) (layer F.Cu) (tstamp 0) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) + (fill yes (arc_segments 32) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 212.344 139.954) (xy 103.378 139.954) (xy 103.378 40.386) (xy 212.344 40.386) + ) + ) + (filled_polygon + (pts + (xy 210.647433 40.723945) (xy 210.970085 40.821359) (xy 211.267679 40.979593) (xy 211.528863 41.192609) (xy 211.743702 41.452306) + (xy 211.904005 41.74878) (xy 212.003671 42.070747) (xy 212.0404 42.420201) (xy 212.040401 137.907142) (xy 212.006055 138.257433) + (xy 211.90864 138.580087) (xy 211.750407 138.877678) (xy 211.537389 139.138865) (xy 211.277694 139.353702) (xy 210.981219 139.514006) + (xy 210.659249 139.613672) (xy 210.309799 139.6504) (xy 196.483848 139.6504) (xy 196.133567 139.616055) (xy 195.810913 139.51864) + (xy 195.513322 139.360407) (xy 195.252135 139.147389) (xy 195.037298 138.887694) (xy 194.876994 138.591219) (xy 194.777328 138.269249) + (xy 194.7406 137.919799) (xy 194.7406 135.007135) (xy 201.8464 135.007135) (xy 201.8464 135.332865) (xy 201.909947 135.652337) + (xy 202.034599 135.953273) (xy 202.215565 136.224109) (xy 202.445891 136.454435) (xy 202.716727 136.635401) (xy 203.017663 136.760053) + (xy 203.337135 136.8236) (xy 203.662865 136.8236) (xy 203.982337 136.760053) (xy 204.283273 136.635401) (xy 204.554109 136.454435) + (xy 204.784435 136.224109) (xy 204.965401 135.953273) (xy 205.090053 135.652337) (xy 205.1536 135.332865) (xy 205.1536 135.268617) + (xy 209.1608 135.268617) (xy 209.1608 135.495383) (xy 209.20504 135.717793) (xy 209.29182 135.927298) (xy 209.417805 136.115847) + (xy 209.578153 136.276195) (xy 209.766702 136.40218) (xy 209.976207 136.48896) (xy 210.198617 136.5332) (xy 210.425383 136.5332) + (xy 210.647793 136.48896) (xy 210.857298 136.40218) (xy 211.045847 136.276195) (xy 211.206195 136.115847) (xy 211.33218 135.927298) + (xy 211.41896 135.717793) (xy 211.4632 135.495383) (xy 211.4632 135.268617) (xy 211.41896 135.046207) (xy 211.33218 134.836702) + (xy 211.206195 134.648153) (xy 211.045847 134.487805) (xy 210.857298 134.36182) (xy 210.647793 134.27504) (xy 210.425383 134.2308) + (xy 210.198617 134.2308) (xy 209.976207 134.27504) (xy 209.766702 134.36182) (xy 209.578153 134.487805) (xy 209.417805 134.648153) + (xy 209.29182 134.836702) (xy 209.20504 135.046207) (xy 209.1608 135.268617) (xy 205.1536 135.268617) (xy 205.1536 135.007135) + (xy 205.090053 134.687663) (xy 204.965401 134.386727) (xy 204.784435 134.115891) (xy 204.554109 133.885565) (xy 204.283273 133.704599) + (xy 203.982337 133.579947) (xy 203.662865 133.5164) (xy 203.337135 133.5164) (xy 203.017663 133.579947) (xy 202.716727 133.704599) + (xy 202.445891 133.885565) (xy 202.215565 134.115891) (xy 202.034599 134.386727) (xy 201.909947 134.687663) (xy 201.8464 135.007135) + (xy 194.7406 135.007135) (xy 194.7406 131.557096) (xy 194.739211 131.542998) (xy 194.7393 131.530298) (xy 194.738887 131.526079) + (xy 194.697434 131.131676) (xy 194.691902 131.104725) (xy 194.686745 131.077692) (xy 194.68552 131.073634) (xy 194.68552 131.073632) + (xy 194.685519 131.07363) (xy 194.568249 130.694791) (xy 194.55758 130.669411) (xy 194.547277 130.643911) (xy 194.545287 130.640168) + (xy 194.356665 130.29132) (xy 194.341271 130.268497) (xy 194.326211 130.245484) (xy 194.323532 130.242199) (xy 194.323531 130.242197) + (xy 194.323527 130.242193) (xy 194.070745 129.93663) (xy 194.051212 129.917233) (xy 194.031967 129.897581) (xy 194.028701 129.894879) + (xy 193.721376 129.644231) (xy 193.698458 129.629004) (xy 193.675752 129.613458) (xy 193.672023 129.611441) (xy 193.321867 129.425259) + (xy 193.296397 129.414761) (xy 193.271134 129.403934) (xy 193.267085 129.40268) (xy 192.887434 129.288057) (xy 192.860474 129.282719) + (xy 192.833526 129.276991) (xy 192.82931 129.276548) (xy 192.434626 129.237849) (xy 192.434616 129.237849) (xy 192.419904 129.2364) + (xy 105.297848 129.2364) (xy 104.947567 129.202055) (xy 104.624913 129.10464) (xy 104.327322 128.946407) (xy 104.066135 128.733389) + (xy 103.851298 128.473694) (xy 103.690994 128.177219) (xy 103.591328 127.855249) (xy 103.581528 127.762) (xy 106.070294 127.762) + (xy 106.074708 127.806813) (xy 106.087779 127.849905) (xy 106.109006 127.889618) (xy 106.137573 127.924427) (xy 106.172382 127.952994) + (xy 106.212095 127.974221) (xy 106.255187 127.987292) (xy 106.3 127.991706) (xy 106.54905 127.9906) (xy 106.6062 127.93345) + (xy 106.6062 127.0738) (xy 106.7538 127.0738) (xy 106.7538 127.93345) (xy 106.81095 127.9906) (xy 107.06 127.991706) + (xy 107.104813 127.987292) (xy 107.147905 127.974221) (xy 107.187618 127.952994) (xy 107.222427 127.924427) (xy 107.250994 127.889618) + (xy 107.272221 127.849905) (xy 107.285292 127.806813) (xy 107.289706 127.762) (xy 107.340294 127.762) (xy 107.344708 127.806813) + (xy 107.357779 127.849905) (xy 107.379006 127.889618) (xy 107.407573 127.924427) (xy 107.442382 127.952994) (xy 107.482095 127.974221) + (xy 107.525187 127.987292) (xy 107.57 127.991706) (xy 107.81905 127.9906) (xy 107.8762 127.93345) (xy 107.8762 127.0738) + (xy 108.0238 127.0738) (xy 108.0238 127.93345) (xy 108.08095 127.9906) (xy 108.33 127.991706) (xy 108.374813 127.987292) + (xy 108.417905 127.974221) (xy 108.457618 127.952994) (xy 108.492427 127.924427) (xy 108.520994 127.889618) (xy 108.542221 127.849905) + (xy 108.555292 127.806813) (xy 108.559706 127.762) (xy 108.559063 127.394617) (xy 109.9738 127.394617) (xy 109.9738 127.621383) + (xy 110.01804 127.843793) (xy 110.10482 128.053298) (xy 110.230805 128.241847) (xy 110.391153 128.402195) (xy 110.579702 128.52818) + (xy 110.789207 128.61496) (xy 111.011617 128.6592) (xy 111.238383 128.6592) (xy 111.460793 128.61496) (xy 111.670298 128.52818) + (xy 111.858847 128.402195) (xy 112.019195 128.241847) (xy 112.14518 128.053298) (xy 112.23196 127.843793) (xy 112.2762 127.621383) + (xy 112.2762 127.394617) (xy 112.23196 127.172207) (xy 112.14518 126.962702) (xy 112.019195 126.774153) (xy 111.858847 126.613805) + (xy 111.670298 126.48782) (xy 111.460793 126.40104) (xy 111.238383 126.3568) (xy 111.011617 126.3568) (xy 110.789207 126.40104) + (xy 110.579702 126.48782) (xy 110.391153 126.613805) (xy 110.230805 126.774153) (xy 110.10482 126.962702) (xy 110.01804 127.172207) + (xy 109.9738 127.394617) (xy 108.559063 127.394617) (xy 108.5586 127.13095) (xy 108.50145 127.0738) (xy 108.0238 127.0738) + (xy 107.8762 127.0738) (xy 107.39855 127.0738) (xy 107.3414 127.13095) (xy 107.340294 127.762) (xy 107.289706 127.762) + (xy 107.2886 127.13095) (xy 107.23145 127.0738) (xy 106.7538 127.0738) (xy 106.6062 127.0738) (xy 106.12855 127.0738) + (xy 106.0714 127.13095) (xy 106.070294 127.762) (xy 103.581528 127.762) (xy 103.5546 127.505799) (xy 103.5546 126.238) + (xy 106.070294 126.238) (xy 106.0714 126.86905) (xy 106.12855 126.9262) (xy 106.6062 126.9262) (xy 106.6062 126.06655) + (xy 106.7538 126.06655) (xy 106.7538 126.9262) (xy 107.23145 126.9262) (xy 107.2886 126.86905) (xy 107.289706 126.238) + (xy 107.340294 126.238) (xy 107.3414 126.86905) (xy 107.39855 126.9262) (xy 107.8762 126.9262) (xy 107.8762 126.06655) + (xy 108.0238 126.06655) (xy 108.0238 126.9262) (xy 108.50145 126.9262) (xy 108.5586 126.86905) (xy 108.559706 126.238) + (xy 108.555292 126.193187) (xy 108.542221 126.150095) (xy 108.520994 126.110382) (xy 108.492427 126.075573) (xy 108.457618 126.047006) + (xy 108.417905 126.025779) (xy 108.374813 126.012708) (xy 108.33 126.008294) (xy 108.08095 126.0094) (xy 108.0238 126.06655) + (xy 107.8762 126.06655) (xy 107.81905 126.0094) (xy 107.57 126.008294) (xy 107.525187 126.012708) (xy 107.482095 126.025779) + (xy 107.442382 126.047006) (xy 107.407573 126.075573) (xy 107.379006 126.110382) (xy 107.357779 126.150095) (xy 107.344708 126.193187) + (xy 107.340294 126.238) (xy 107.289706 126.238) (xy 107.285292 126.193187) (xy 107.272221 126.150095) (xy 107.250994 126.110382) + (xy 107.222427 126.075573) (xy 107.187618 126.047006) (xy 107.147905 126.025779) (xy 107.104813 126.012708) (xy 107.06 126.008294) + (xy 106.81095 126.0094) (xy 106.7538 126.06655) (xy 106.6062 126.06655) (xy 106.54905 126.0094) (xy 106.3 126.008294) + (xy 106.255187 126.012708) (xy 106.212095 126.025779) (xy 106.172382 126.047006) (xy 106.137573 126.075573) (xy 106.109006 126.110382) + (xy 106.087779 126.150095) (xy 106.074708 126.193187) (xy 106.070294 126.238) (xy 103.5546 126.238) (xy 103.5546 118.808) + (xy 106.070294 118.808) (xy 106.070294 119.952) (xy 106.078359 120.033881) (xy 106.102242 120.112615) (xy 106.141027 120.185176) + (xy 106.193223 120.248777) (xy 106.254667 120.299203) (xy 106.219792 120.383397) (xy 106.2014 120.475862) (xy 106.2014 120.570138) + (xy 106.219792 120.662603) (xy 106.25587 120.749702) (xy 106.308247 120.82809) (xy 106.37491 120.894753) (xy 106.453298 120.94713) + (xy 106.540397 120.983208) (xy 106.632862 121.0016) (xy 106.727138 121.0016) (xy 106.819603 120.983208) (xy 106.906702 120.94713) + (xy 106.98509 120.894753) (xy 107.051753 120.82809) (xy 107.10413 120.749702) (xy 107.140208 120.662603) (xy 107.1586 120.570138) + (xy 107.1586 120.475862) (xy 107.140208 120.383397) (xy 107.105333 120.299203) (xy 107.166777 120.248777) (xy 107.218973 120.185176) + (xy 107.257758 120.112615) (xy 107.281641 120.033881) (xy 107.289706 119.952) (xy 107.289706 118.808) (xy 107.340294 118.808) + (xy 107.340294 119.952) (xy 107.348359 120.033881) (xy 107.372242 120.112615) (xy 107.411027 120.185176) (xy 107.463223 120.248777) + (xy 107.524667 120.299203) (xy 107.489792 120.383397) (xy 107.4714 120.475862) (xy 107.4714 120.570138) (xy 107.489792 120.662603) + (xy 107.52587 120.749702) (xy 107.578247 120.82809) (xy 107.64491 120.894753) (xy 107.723298 120.94713) (xy 107.810397 120.983208) + (xy 107.902862 121.0016) (xy 107.997138 121.0016) (xy 108.089603 120.983208) (xy 108.176702 120.94713) (xy 108.25509 120.894753) + (xy 108.321753 120.82809) (xy 108.37413 120.749702) (xy 108.410208 120.662603) (xy 108.4286 120.570138) (xy 108.4286 120.475862) + (xy 108.410208 120.383397) (xy 108.375333 120.299203) (xy 108.436777 120.248777) (xy 108.488973 120.185176) (xy 108.527758 120.112615) + (xy 108.551641 120.033881) (xy 108.559706 119.952) (xy 108.559706 119.225) (xy 116.845294 119.225) (xy 116.849708 119.269813) + (xy 116.862779 119.312905) (xy 116.884006 119.352618) (xy 116.912573 119.387427) (xy 116.947382 119.415994) (xy 116.987095 119.437221) + (xy 117.030187 119.450292) (xy 117.075 119.454706) (xy 117.36905 119.4536) (xy 117.4262 119.39645) (xy 117.4262 118.8238) + (xy 117.5738 118.8238) (xy 117.5738 119.39645) (xy 117.63095 119.4536) (xy 117.925 119.454706) (xy 117.969813 119.450292) + (xy 118.012905 119.437221) (xy 118.052618 119.415994) (xy 118.087427 119.387427) (xy 118.115994 119.352618) (xy 118.137221 119.312905) + (xy 118.150292 119.269813) (xy 118.154706 119.225) (xy 118.1536 118.88095) (xy 118.09645 118.8238) (xy 117.5738 118.8238) + (xy 117.4262 118.8238) (xy 116.90355 118.8238) (xy 116.8464 118.88095) (xy 116.845294 119.225) (xy 108.559706 119.225) + (xy 108.559706 118.808) (xy 108.551641 118.726119) (xy 108.527758 118.647385) (xy 108.488973 118.574824) (xy 108.436777 118.511223) + (xy 108.373176 118.459027) (xy 108.300615 118.420242) (xy 108.221881 118.396359) (xy 108.14 118.388294) (xy 107.76 118.388294) + (xy 107.678119 118.396359) (xy 107.599385 118.420242) (xy 107.526824 118.459027) (xy 107.463223 118.511223) (xy 107.411027 118.574824) + (xy 107.372242 118.647385) (xy 107.348359 118.726119) (xy 107.340294 118.808) (xy 107.289706 118.808) (xy 107.281641 118.726119) + (xy 107.257758 118.647385) (xy 107.218973 118.574824) (xy 107.166777 118.511223) (xy 107.103176 118.459027) (xy 107.030615 118.420242) + (xy 106.951881 118.396359) (xy 106.87 118.388294) (xy 106.49 118.388294) (xy 106.408119 118.396359) (xy 106.329385 118.420242) + (xy 106.256824 118.459027) (xy 106.193223 118.511223) (xy 106.141027 118.574824) (xy 106.102242 118.647385) (xy 106.078359 118.726119) + (xy 106.070294 118.808) (xy 103.5546 118.808) (xy 103.5546 115.25) (xy 110.620294 115.25) (xy 110.624708 115.294813) + (xy 110.637779 115.337905) (xy 110.659006 115.377618) (xy 110.687573 115.412427) (xy 110.722382 115.440994) (xy 110.762095 115.462221) + (xy 110.805187 115.475292) (xy 110.85 115.479706) (xy 111.45655 115.4786) (xy 111.5137 115.42145) (xy 111.5137 115.1738) + (xy 110.67855 115.1738) (xy 110.6214 115.23095) (xy 110.620294 115.25) (xy 103.5546 115.25) (xy 103.5546 114.570362) + (xy 105.1854 114.570362) (xy 105.1854 114.664638) (xy 105.203792 114.757103) (xy 105.23987 114.844202) (xy 105.292247 114.92259) + (xy 105.35891 114.989253) (xy 105.437298 115.04163) (xy 105.524397 115.077708) (xy 105.616862 115.0961) (xy 105.711138 115.0961) + (xy 105.803603 115.077708) (xy 105.890702 115.04163) (xy 105.933752 115.012865) (xy 105.96683 115.05317) (xy 106.028157 115.103501) + (xy 106.098126 115.1409) (xy 106.174046 115.16393) (xy 106.253 115.171706) (xy 106.853 115.171706) (xy 106.931954 115.16393) + (xy 107.007874 115.1409) (xy 107.077843 115.103501) (xy 107.13917 115.05317) (xy 107.189501 114.991843) (xy 107.2269 114.921874) + (xy 107.24993 114.845954) (xy 107.257706 114.767) (xy 107.257706 114.417) (xy 107.24993 114.338046) (xy 107.2269 114.262126) + (xy 107.189501 114.192157) (xy 107.13917 114.13083) (xy 107.077843 114.080499) (xy 107.007874 114.0431) (xy 106.931954 114.02007) + (xy 106.853 114.012294) (xy 106.253 114.012294) (xy 106.174046 114.02007) (xy 106.098126 114.0431) (xy 106.028157 114.080499) + (xy 105.96683 114.13083) (xy 105.916499 114.192157) (xy 105.909233 114.205752) (xy 105.890702 114.19337) (xy 105.803603 114.157292) + (xy 105.711138 114.1389) (xy 105.616862 114.1389) (xy 105.524397 114.157292) (xy 105.437298 114.19337) (xy 105.35891 114.245747) + (xy 105.292247 114.31241) (xy 105.23987 114.390798) (xy 105.203792 114.477897) (xy 105.1854 114.570362) (xy 103.5546 114.570362) + (xy 103.5546 112.817) (xy 105.848294 112.817) (xy 105.848294 113.167) (xy 105.85607 113.245954) (xy 105.8791 113.321874) + (xy 105.916499 113.391843) (xy 105.96683 113.45317) (xy 106.028157 113.503501) (xy 106.098126 113.5409) (xy 106.174046 113.56393) + (xy 106.253 113.571706) (xy 106.853 113.571706) (xy 106.931954 113.56393) (xy 107.007874 113.5409) (xy 107.077843 113.503501) + (xy 107.13917 113.45317) (xy 107.189501 113.391843) (xy 107.2269 113.321874) (xy 107.24993 113.245954) (xy 107.257706 113.167) + (xy 107.257706 112.817) (xy 107.372294 112.817) (xy 107.372294 113.167) (xy 107.38007 113.245954) (xy 107.4031 113.321874) + (xy 107.440499 113.391843) (xy 107.49083 113.45317) (xy 107.552157 113.503501) (xy 107.622126 113.5409) (xy 107.698046 113.56393) + (xy 107.777 113.571706) (xy 108.377 113.571706) (xy 108.455954 113.56393) (xy 108.531874 113.5409) (xy 108.601843 113.503501) + (xy 108.66317 113.45317) (xy 108.713501 113.391843) (xy 108.7509 113.321874) (xy 108.77393 113.245954) (xy 108.781706 113.167) + (xy 108.781706 112.817) (xy 108.77393 112.738046) (xy 108.7509 112.662126) (xy 108.713501 112.592157) (xy 108.66317 112.53083) + (xy 108.601843 112.480499) (xy 108.5556 112.455782) (xy 108.5556 112.220862) (xy 108.550989 112.197679) (xy 108.548674 112.174178) + (xy 108.54182 112.151581) (xy 108.537208 112.128397) (xy 108.528163 112.106561) (xy 108.521308 112.083962) (xy 108.510174 112.063133) + (xy 108.50113 112.041298) (xy 108.487999 112.021646) (xy 108.476866 112.000818) (xy 108.461886 111.982564) (xy 108.448753 111.96291) + (xy 108.432037 111.946194) (xy 108.417058 111.927942) (xy 108.398806 111.912963) (xy 108.38209 111.896247) (xy 108.362436 111.883114) + (xy 108.344182 111.868134) (xy 108.323354 111.857001) (xy 108.303702 111.84387) (xy 108.281867 111.834826) (xy 108.261038 111.823692) + (xy 108.238439 111.816837) (xy 108.216603 111.807792) (xy 108.193419 111.80318) (xy 108.170822 111.796326) (xy 108.147321 111.794011) + (xy 108.124138 111.7894) (xy 108.100504 111.7894) (xy 108.077 111.787085) (xy 108.053497 111.7894) (xy 108.029862 111.7894) + (xy 108.006678 111.794011) (xy 107.983179 111.796326) (xy 107.960584 111.80318) (xy 107.937397 111.807792) (xy 107.915558 111.816838) + (xy 107.892963 111.823692) (xy 107.872137 111.834824) (xy 107.850298 111.84387) (xy 107.830642 111.857004) (xy 107.809819 111.868134) + (xy 107.79157 111.883111) (xy 107.77191 111.896247) (xy 107.75519 111.912967) (xy 107.736943 111.927942) (xy 107.721968 111.946189) + (xy 107.705247 111.96291) (xy 107.69211 111.982571) (xy 107.677135 112.000818) (xy 107.666006 112.021638) (xy 107.65287 112.041298) + (xy 107.643822 112.063141) (xy 107.632693 112.083962) (xy 107.625841 112.106552) (xy 107.616792 112.128397) (xy 107.612179 112.151591) + (xy 107.605327 112.174178) (xy 107.603013 112.197669) (xy 107.5984 112.220862) (xy 107.5984 112.315138) (xy 107.598401 112.315143) + (xy 107.598401 112.455781) (xy 107.552157 112.480499) (xy 107.49083 112.53083) (xy 107.440499 112.592157) (xy 107.4031 112.662126) + (xy 107.38007 112.738046) (xy 107.372294 112.817) (xy 107.257706 112.817) (xy 107.24993 112.738046) (xy 107.2269 112.662126) + (xy 107.189501 112.592157) (xy 107.13917 112.53083) (xy 107.077843 112.480499) (xy 107.0316 112.455782) (xy 107.0316 112.220862) + (xy 107.026989 112.197679) (xy 107.024674 112.174178) (xy 107.01782 112.151581) (xy 107.013208 112.128397) (xy 107.004163 112.106561) + (xy 106.997308 112.083962) (xy 106.986174 112.063133) (xy 106.97713 112.041298) (xy 106.963999 112.021646) (xy 106.952866 112.000818) + (xy 106.937886 111.982564) (xy 106.924753 111.96291) (xy 106.908037 111.946194) (xy 106.893058 111.927942) (xy 106.874806 111.912963) + (xy 106.85809 111.896247) (xy 106.838436 111.883114) (xy 106.820182 111.868134) (xy 106.799354 111.857001) (xy 106.779702 111.84387) + (xy 106.757867 111.834826) (xy 106.737038 111.823692) (xy 106.714439 111.816837) (xy 106.692603 111.807792) (xy 106.669419 111.80318) + (xy 106.646822 111.796326) (xy 106.623321 111.794011) (xy 106.600138 111.7894) (xy 106.576504 111.7894) (xy 106.553 111.787085) + (xy 106.529497 111.7894) (xy 106.505862 111.7894) (xy 106.482678 111.794011) (xy 106.459179 111.796326) (xy 106.436584 111.80318) + (xy 106.413397 111.807792) (xy 106.391558 111.816838) (xy 106.368963 111.823692) (xy 106.348137 111.834824) (xy 106.326298 111.84387) + (xy 106.306642 111.857004) (xy 106.285819 111.868134) (xy 106.26757 111.883111) (xy 106.24791 111.896247) (xy 106.23119 111.912967) + (xy 106.212943 111.927942) (xy 106.197968 111.946189) (xy 106.181247 111.96291) (xy 106.16811 111.982571) (xy 106.153135 112.000818) + (xy 106.142006 112.021638) (xy 106.12887 112.041298) (xy 106.119822 112.063141) (xy 106.108693 112.083962) (xy 106.101841 112.106552) + (xy 106.092792 112.128397) (xy 106.088179 112.151591) (xy 106.081327 112.174178) (xy 106.079013 112.197669) (xy 106.0744 112.220862) + (xy 106.0744 112.315138) (xy 106.074401 112.315143) (xy 106.074401 112.455781) (xy 106.028157 112.480499) (xy 105.96683 112.53083) + (xy 105.916499 112.592157) (xy 105.8791 112.662126) (xy 105.85607 112.738046) (xy 105.848294 112.817) (xy 103.5546 112.817) + (xy 103.5546 64.387) (xy 104.344932 64.387) (xy 104.346401 64.401914) (xy 104.3464 108.985096) (xy 104.344932 109) + (xy 104.3464 109.014903) (xy 104.350794 109.059515) (xy 104.368154 109.116743) (xy 104.396345 109.169486) (xy 104.434284 109.215716) + (xy 104.445872 109.225226) (xy 105.824779 110.604134) (xy 105.834284 110.615716) (xy 105.880513 110.653655) (xy 105.933256 110.681846) + (xy 105.990484 110.699206) (xy 106.05 110.705068) (xy 106.064904 110.7036) (xy 110.374246 110.7036) (xy 110.474774 110.804128) + (xy 110.484284 110.815716) (xy 110.526059 110.85) (xy 110.484284 110.884284) (xy 110.474783 110.895861) (xy 109.745872 111.624774) + (xy 109.734284 111.634284) (xy 109.696345 111.680514) (xy 109.668154 111.733257) (xy 109.65396 111.780047) (xy 109.650794 111.790485) + (xy 109.644932 111.85) (xy 109.6464 111.864904) (xy 109.646401 113.507743) (xy 109.014896 114.13925) (xy 109.013138 114.1389) + (xy 108.918862 114.1389) (xy 108.826397 114.157292) (xy 108.739298 114.19337) (xy 108.720767 114.205752) (xy 108.713501 114.192157) + (xy 108.66317 114.13083) (xy 108.601843 114.080499) (xy 108.531874 114.0431) (xy 108.455954 114.02007) (xy 108.377 114.012294) + (xy 107.777 114.012294) (xy 107.698046 114.02007) (xy 107.622126 114.0431) (xy 107.552157 114.080499) (xy 107.49083 114.13083) + (xy 107.440499 114.192157) (xy 107.4031 114.262126) (xy 107.38007 114.338046) (xy 107.372294 114.417) (xy 107.372294 114.767) + (xy 107.38007 114.845954) (xy 107.4031 114.921874) (xy 107.440499 114.991843) (xy 107.49083 115.05317) (xy 107.552157 115.103501) + (xy 107.622126 115.1409) (xy 107.698046 115.16393) (xy 107.777 115.171706) (xy 108.377 115.171706) (xy 108.455954 115.16393) + (xy 108.531874 115.1409) (xy 108.601843 115.103501) (xy 108.66317 115.05317) (xy 108.696248 115.012865) (xy 108.739298 115.04163) + (xy 108.826397 115.077708) (xy 108.918862 115.0961) (xy 109.013138 115.0961) (xy 109.105603 115.077708) (xy 109.192702 115.04163) + (xy 109.27109 114.989253) (xy 109.337753 114.92259) (xy 109.39013 114.844202) (xy 109.426208 114.757103) (xy 109.4446 114.664638) + (xy 109.4446 114.570362) (xy 109.44425 114.568604) (xy 110.154139 113.858717) (xy 110.165716 113.849216) (xy 110.203655 113.802987) + (xy 110.231846 113.750244) (xy 110.249206 113.693016) (xy 110.250895 113.67587) (xy 110.255068 113.633501) (xy 110.2536 113.618597) + (xy 110.2536 111.975754) (xy 110.620294 111.609061) (xy 110.620294 111.675) (xy 110.626149 111.734445) (xy 110.643488 111.791606) + (xy 110.65957 111.821694) (xy 110.659006 111.822382) (xy 110.637779 111.862095) (xy 110.624708 111.905187) (xy 110.620294 111.95) + (xy 110.6214 111.96905) (xy 110.67855 112.0262) (xy 111.5137 112.0262) (xy 111.5137 112.0062) (xy 111.6613 112.0062) + (xy 111.6613 112.0262) (xy 111.6813 112.0262) (xy 111.6813 112.1738) (xy 111.6613 112.1738) (xy 111.6613 112.1938) + (xy 111.5137 112.1938) (xy 111.5137 112.1738) (xy 110.67855 112.1738) (xy 110.6214 112.23095) (xy 110.620294 112.25) + (xy 110.624708 112.294813) (xy 110.637779 112.337905) (xy 110.659006 112.377618) (xy 110.65957 112.378306) (xy 110.643488 112.408394) + (xy 110.626149 112.465555) (xy 110.620294 112.525) (xy 110.620294 112.675) (xy 110.626149 112.734445) (xy 110.643488 112.791606) + (xy 110.671646 112.844286) (xy 110.676335 112.85) (xy 110.671646 112.855714) (xy 110.643488 112.908394) (xy 110.626149 112.965555) + (xy 110.620294 113.025) (xy 110.620294 113.175) (xy 110.626149 113.234445) (xy 110.643488 113.291606) (xy 110.671646 113.344286) + (xy 110.676335 113.35) (xy 110.671646 113.355714) (xy 110.643488 113.408394) (xy 110.626149 113.465555) (xy 110.620294 113.525) + (xy 110.620294 113.675) (xy 110.626149 113.734445) (xy 110.643488 113.791606) (xy 110.671646 113.844286) (xy 110.676335 113.85) + (xy 110.671646 113.855714) (xy 110.643488 113.908394) (xy 110.626149 113.965555) (xy 110.620294 114.025) (xy 110.620294 114.175) + (xy 110.626149 114.234445) (xy 110.643488 114.291606) (xy 110.671646 114.344286) (xy 110.676335 114.35) (xy 110.671646 114.355714) + (xy 110.643488 114.408394) (xy 110.626149 114.465555) (xy 110.620294 114.525) (xy 110.620294 114.675) (xy 110.626149 114.734445) + (xy 110.643488 114.791606) (xy 110.65957 114.821694) (xy 110.659006 114.822382) (xy 110.637779 114.862095) (xy 110.624708 114.905187) + (xy 110.620294 114.95) (xy 110.6214 114.96905) (xy 110.67855 115.0262) (xy 111.5137 115.0262) (xy 111.5137 115.0062) + (xy 111.6613 115.0062) (xy 111.6613 115.0262) (xy 111.6813 115.0262) (xy 111.6813 115.1738) (xy 111.6613 115.1738) + (xy 111.6613 115.42145) (xy 111.71845 115.4786) (xy 112.0214 115.479152) (xy 112.0214 116.1) (xy 112.025792 116.144598) + (xy 112.038801 116.187481) (xy 112.059926 116.227003) (xy 112.088355 116.261645) (xy 112.122997 116.290074) (xy 112.162519 116.311199) + (xy 112.205402 116.324208) (xy 112.25 116.3286) (xy 112.469212 116.3286) (xy 112.39491 116.378247) (xy 112.328247 116.44491) + (xy 112.27587 116.523298) (xy 112.239792 116.610397) (xy 112.2214 116.702862) (xy 112.2214 116.797138) (xy 112.239792 116.889603) + (xy 112.27587 116.976702) (xy 112.328247 117.05509) (xy 112.39491 117.121753) (xy 112.473298 117.17413) (xy 112.560397 117.210208) + (xy 112.652862 117.2286) (xy 112.747138 117.2286) (xy 112.839603 117.210208) (xy 112.870294 117.197495) (xy 112.870294 117.425) + (xy 112.876149 117.484445) (xy 112.893488 117.541606) (xy 112.921646 117.594286) (xy 112.95954 117.64046) (xy 113.005714 117.678354) + (xy 113.058394 117.706512) (xy 113.115555 117.723851) (xy 113.175 117.729706) (xy 113.325 117.729706) (xy 113.384445 117.723851) + (xy 113.402171 117.718474) (xy 113.148896 117.97175) (xy 113.147138 117.9714) (xy 113.052862 117.9714) (xy 112.960397 117.989792) + (xy 112.873298 118.02587) (xy 112.79491 118.078247) (xy 112.728247 118.14491) (xy 112.67587 118.223298) (xy 112.639792 118.310397) + (xy 112.6214 118.402862) (xy 112.6214 118.497138) (xy 112.639792 118.589603) (xy 112.67587 118.676702) (xy 112.728247 118.75509) + (xy 112.79491 118.821753) (xy 112.873298 118.87413) (xy 112.960397 118.910208) (xy 113.052862 118.9286) (xy 113.147138 118.9286) + (xy 113.239603 118.910208) (xy 113.326702 118.87413) (xy 113.40509 118.821753) (xy 113.471753 118.75509) (xy 113.52413 118.676702) + (xy 113.560208 118.589603) (xy 113.5786 118.497138) (xy 113.5786 118.402862) (xy 113.57825 118.401104) (xy 113.704354 118.275) + (xy 116.845294 118.275) (xy 116.8464 118.61905) (xy 116.90355 118.6762) (xy 117.4262 118.6762) (xy 117.4262 118.10355) + (xy 117.5738 118.10355) (xy 117.5738 118.6762) (xy 118.09645 118.6762) (xy 118.1536 118.61905) (xy 118.154706 118.275) + (xy 118.150292 118.230187) (xy 118.137221 118.187095) (xy 118.115994 118.147382) (xy 118.087427 118.112573) (xy 118.052618 118.084006) + (xy 118.012905 118.062779) (xy 117.969813 118.049708) (xy 117.925 118.045294) (xy 117.63095 118.0464) (xy 117.5738 118.10355) + (xy 117.4262 118.10355) (xy 117.36905 118.0464) (xy 117.075 118.045294) (xy 117.030187 118.049708) (xy 116.987095 118.062779) + (xy 116.947382 118.084006) (xy 116.912573 118.112573) (xy 116.884006 118.147382) (xy 116.862779 118.187095) (xy 116.849708 118.230187) + (xy 116.845294 118.275) (xy 113.704354 118.275) (xy 113.954135 118.02522) (xy 113.965716 118.015716) (xy 114.003655 117.969487) + (xy 114.031846 117.916744) (xy 114.049206 117.859516) (xy 114.0536 117.814904) (xy 114.0536 117.814903) (xy 114.055068 117.8) + (xy 114.0536 117.785096) (xy 114.0536 117.70395) (xy 114.058394 117.706512) (xy 114.115555 117.723851) (xy 114.175 117.729706) + (xy 114.325 117.729706) (xy 114.384445 117.723851) (xy 114.441606 117.706512) (xy 114.494286 117.678354) (xy 114.5 117.673665) + (xy 114.505714 117.678354) (xy 114.558394 117.706512) (xy 114.615555 117.723851) (xy 114.675 117.729706) (xy 114.825 117.729706) + (xy 114.884445 117.723851) (xy 114.941606 117.706512) (xy 114.994286 117.678354) (xy 115 117.673665) (xy 115.005714 117.678354) + (xy 115.058394 117.706512) (xy 115.115555 117.723851) (xy 115.175 117.729706) (xy 115.325 117.729706) (xy 115.384445 117.723851) + (xy 115.441606 117.706512) (xy 115.494286 117.678354) (xy 115.5 117.673665) (xy 115.505714 117.678354) (xy 115.558394 117.706512) + (xy 115.615555 117.723851) (xy 115.675 117.729706) (xy 115.825 117.729706) (xy 115.884445 117.723851) (xy 115.941606 117.706512) + (xy 115.994286 117.678354) (xy 116 117.673665) (xy 116.005714 117.678354) (xy 116.058394 117.706512) (xy 116.115555 117.723851) + (xy 116.175 117.729706) (xy 116.325 117.729706) (xy 116.384445 117.723851) (xy 116.441606 117.706512) (xy 116.494286 117.678354) + (xy 116.5 117.673665) (xy 116.505714 117.678354) (xy 116.558394 117.706512) (xy 116.615555 117.723851) (xy 116.675 117.729706) + (xy 116.825 117.729706) (xy 116.884445 117.723851) (xy 116.941606 117.706512) (xy 116.971694 117.69043) (xy 116.972382 117.690994) + (xy 117.012095 117.712221) (xy 117.055187 117.725292) (xy 117.1 117.729706) (xy 117.11905 117.7286) (xy 117.1762 117.67145) + (xy 117.1762 116.8363) (xy 117.1562 116.8363) (xy 117.1562 116.6887) (xy 117.1762 116.6887) (xy 117.1762 116.6687) + (xy 117.3238 116.6687) (xy 117.3238 116.6887) (xy 117.3438 116.6887) (xy 117.3438 116.8363) (xy 117.3238 116.8363) + (xy 117.3238 117.67145) (xy 117.38095 117.7286) (xy 117.4 117.729706) (xy 117.444813 117.725292) (xy 117.487905 117.712221) + (xy 117.527618 117.690994) (xy 117.528306 117.69043) (xy 117.558394 117.706512) (xy 117.615555 117.723851) (xy 117.675 117.729706) + (xy 117.825 117.729706) (xy 117.884445 117.723851) (xy 117.941606 117.706512) (xy 117.994286 117.678354) (xy 118 117.673665) + (xy 118.005714 117.678354) (xy 118.058394 117.706512) (xy 118.115555 117.723851) (xy 118.175 117.729706) (xy 118.325 117.729706) + (xy 118.384445 117.723851) (xy 118.441606 117.706512) (xy 118.494286 117.678354) (xy 118.5 117.673665) (xy 118.505714 117.678354) + (xy 118.558394 117.706512) (xy 118.615555 117.723851) (xy 118.646062 117.726856) (xy 118.644964 117.728194) (xy 118.644959 117.728199) + (xy 118.600134 117.782819) (xy 118.555693 117.865962) (xy 118.528326 117.956179) (xy 118.519086 118.05) (xy 118.521401 118.073505) + (xy 118.521401 118.13658) (xy 118.474813 118.174813) (xy 118.419819 118.241824) (xy 118.378955 118.318275) (xy 118.353791 118.40123) + (xy 118.345294 118.4875) (xy 118.345294 119.0125) (xy 118.353791 119.09877) (xy 118.378955 119.181725) (xy 118.419819 119.258176) + (xy 118.474813 119.325187) (xy 118.541824 119.380181) (xy 118.618275 119.421045) (xy 118.70123 119.446209) (xy 118.7875 119.454706) + (xy 119.2125 119.454706) (xy 119.29877 119.446209) (xy 119.381725 119.421045) (xy 119.458176 119.380181) (xy 119.525187 119.325187) + (xy 119.580181 119.258176) (xy 119.621045 119.181725) (xy 119.646209 119.09877) (xy 119.654706 119.0125) (xy 119.654706 118.4875) + (xy 119.646209 118.40123) (xy 119.621045 118.318275) (xy 119.582536 118.246231) (xy 119.590058 118.240058) (xy 119.649866 118.167182) + (xy 119.694308 118.084038) (xy 119.721674 117.993822) (xy 119.730915 117.9) (xy 119.721674 117.806178) (xy 119.698477 117.729706) + (xy 119.825 117.729706) (xy 119.884445 117.723851) (xy 119.941606 117.706512) (xy 119.994286 117.678354) (xy 120 117.673665) + (xy 120.005714 117.678354) (xy 120.058394 117.706512) (xy 120.115555 117.723851) (xy 120.175 117.729706) (xy 120.325 117.729706) + (xy 120.384445 117.723851) (xy 120.441606 117.706512) (xy 120.494286 117.678354) (xy 120.5 117.673665) (xy 120.505714 117.678354) + (xy 120.558394 117.706512) (xy 120.615555 117.723851) (xy 120.675 117.729706) (xy 120.825 117.729706) (xy 120.884445 117.723851) + (xy 120.941606 117.706512) (xy 120.994286 117.678354) (xy 121 117.673665) (xy 121.005714 117.678354) (xy 121.058394 117.706512) + (xy 121.115555 117.723851) (xy 121.175 117.729706) (xy 121.325 117.729706) (xy 121.384445 117.723851) (xy 121.441606 117.706512) + (xy 121.494286 117.678354) (xy 121.5 117.673665) (xy 121.505714 117.678354) (xy 121.558394 117.706512) (xy 121.615555 117.723851) + (xy 121.675 117.729706) (xy 121.825 117.729706) (xy 121.884445 117.723851) (xy 121.941606 117.706512) (xy 121.994286 117.678354) + (xy 122 117.673665) (xy 122.005714 117.678354) (xy 122.058394 117.706512) (xy 122.115555 117.723851) (xy 122.175 117.729706) + (xy 122.325 117.729706) (xy 122.384445 117.723851) (xy 122.441606 117.706512) (xy 122.494286 117.678354) (xy 122.5 117.673665) + (xy 122.505714 117.678354) (xy 122.558394 117.706512) (xy 122.615555 117.723851) (xy 122.675 117.729706) (xy 122.825 117.729706) + (xy 122.884445 117.723851) (xy 122.941606 117.706512) (xy 122.994286 117.678354) (xy 123 117.673665) (xy 123.005714 117.678354) + (xy 123.058394 117.706512) (xy 123.115555 117.723851) (xy 123.175 117.729706) (xy 123.325 117.729706) (xy 123.384445 117.723851) + (xy 123.441606 117.706512) (xy 123.494286 117.678354) (xy 123.5 117.673665) (xy 123.505714 117.678354) (xy 123.558394 117.706512) + (xy 123.615555 117.723851) (xy 123.646062 117.726856) (xy 123.644964 117.728194) (xy 123.644959 117.728199) (xy 123.600134 117.782819) + (xy 123.555693 117.865962) (xy 123.528326 117.956179) (xy 123.519086 118.05) (xy 123.521401 118.073505) (xy 123.521401 118.13658) + (xy 123.474813 118.174813) (xy 123.419819 118.241824) (xy 123.378955 118.318275) (xy 123.353791 118.40123) (xy 123.345294 118.4875) + (xy 123.345294 119.0125) (xy 123.353791 119.09877) (xy 123.378955 119.181725) (xy 123.419819 119.258176) (xy 123.474813 119.325187) + (xy 123.541824 119.380181) (xy 123.618275 119.421045) (xy 123.70123 119.446209) (xy 123.7875 119.454706) (xy 124.2125 119.454706) + (xy 124.29877 119.446209) (xy 124.381725 119.421045) (xy 124.458176 119.380181) (xy 124.525187 119.325187) (xy 124.580181 119.258176) + (xy 124.597913 119.225) (xy 124.845294 119.225) (xy 124.849708 119.269813) (xy 124.862779 119.312905) (xy 124.884006 119.352618) + (xy 124.912573 119.387427) (xy 124.947382 119.415994) (xy 124.987095 119.437221) (xy 125.030187 119.450292) (xy 125.075 119.454706) + (xy 125.36905 119.4536) (xy 125.4262 119.39645) (xy 125.4262 118.8238) (xy 125.5738 118.8238) (xy 125.5738 119.39645) + (xy 125.63095 119.4536) (xy 125.925 119.454706) (xy 125.969813 119.450292) (xy 126.012905 119.437221) (xy 126.052618 119.415994) + (xy 126.087427 119.387427) (xy 126.115994 119.352618) (xy 126.137221 119.312905) (xy 126.150292 119.269813) (xy 126.154706 119.225) + (xy 126.1536 118.88095) (xy 126.09645 118.8238) (xy 125.5738 118.8238) (xy 125.4262 118.8238) (xy 124.90355 118.8238) + (xy 124.8464 118.88095) (xy 124.845294 119.225) (xy 124.597913 119.225) (xy 124.621045 119.181725) (xy 124.646209 119.09877) + (xy 124.654706 119.0125) (xy 124.654706 118.4875) (xy 124.646209 118.40123) (xy 124.621045 118.318275) (xy 124.597914 118.275) + (xy 124.845294 118.275) (xy 124.8464 118.61905) (xy 124.90355 118.6762) (xy 125.4262 118.6762) (xy 125.4262 118.10355) + (xy 125.5738 118.10355) (xy 125.5738 118.6762) (xy 126.09645 118.6762) (xy 126.1536 118.61905) (xy 126.154706 118.275) + (xy 126.150292 118.230187) (xy 126.137221 118.187095) (xy 126.115994 118.147382) (xy 126.087427 118.112573) (xy 126.052618 118.084006) + (xy 126.012905 118.062779) (xy 125.969813 118.049708) (xy 125.925 118.045294) (xy 125.63095 118.0464) (xy 125.5738 118.10355) + (xy 125.4262 118.10355) (xy 125.36905 118.0464) (xy 125.075 118.045294) (xy 125.030187 118.049708) (xy 124.987095 118.062779) + (xy 124.947382 118.084006) (xy 124.912573 118.112573) (xy 124.884006 118.147382) (xy 124.862779 118.187095) (xy 124.849708 118.230187) + (xy 124.845294 118.275) (xy 124.597914 118.275) (xy 124.582536 118.246231) (xy 124.590058 118.240058) (xy 124.649866 118.167182) + (xy 124.694308 118.084038) (xy 124.721674 117.993822) (xy 124.730915 117.9) (xy 124.721674 117.806178) (xy 124.698477 117.729706) + (xy 124.825 117.729706) (xy 124.884445 117.723851) (xy 124.941606 117.706512) (xy 124.971694 117.69043) (xy 124.972382 117.690994) + (xy 125.012095 117.712221) (xy 125.055187 117.725292) (xy 125.1 117.729706) (xy 125.11905 117.7286) (xy 125.1762 117.67145) + (xy 125.1762 116.8363) (xy 125.3238 116.8363) (xy 125.3238 117.67145) (xy 125.38095 117.7286) (xy 125.4 117.729706) + (xy 125.444813 117.725292) (xy 125.487905 117.712221) (xy 125.527618 117.690994) (xy 125.562427 117.662427) (xy 125.590994 117.627618) + (xy 125.612221 117.587905) (xy 125.625292 117.544813) (xy 125.629706 117.5) (xy 125.6286 116.89345) (xy 125.57145 116.8363) + (xy 125.3238 116.8363) (xy 125.1762 116.8363) (xy 125.1562 116.8363) (xy 125.1562 116.6887) (xy 125.1762 116.6887) + (xy 125.1762 116.6687) (xy 125.3238 116.6687) (xy 125.3238 116.6887) (xy 125.57145 116.6887) (xy 125.6286 116.63155) + (xy 125.629152 116.3286) (xy 126.25 116.3286) (xy 126.294598 116.324208) (xy 126.337481 116.311199) (xy 126.377003 116.290074) + (xy 126.411645 116.261645) (xy 126.440074 116.227003) (xy 126.461199 116.187481) (xy 126.474208 116.144598) (xy 126.4786 116.1) + (xy 126.4786 115.479706) (xy 127.575 115.479706) (xy 127.634445 115.473851) (xy 127.691606 115.456512) (xy 127.744286 115.428354) + (xy 127.79046 115.39046) (xy 127.828354 115.344286) (xy 127.856512 115.291606) (xy 127.873851 115.234445) (xy 127.879706 115.175) + (xy 127.879706 115.025) (xy 128.195294 115.025) (xy 128.199708 115.069813) (xy 128.212779 115.112905) (xy 128.234006 115.152618) + (xy 128.262573 115.187427) (xy 128.297382 115.215994) (xy 128.337095 115.237221) (xy 128.380187 115.250292) (xy 128.425 115.254706) + (xy 128.76905 115.2536) (xy 128.8262 115.19645) (xy 128.8262 114.6738) (xy 128.9738 114.6738) (xy 128.9738 115.19645) + (xy 129.03095 115.2536) (xy 129.375 115.254706) (xy 129.419813 115.250292) (xy 129.462905 115.237221) (xy 129.502618 115.215994) + (xy 129.537427 115.187427) (xy 129.565994 115.152618) (xy 129.587221 115.112905) (xy 129.600292 115.069813) (xy 129.604706 115.025) + (xy 129.6036 114.73095) (xy 129.54645 114.6738) (xy 128.9738 114.6738) (xy 128.8262 114.6738) (xy 128.25355 114.6738) + (xy 128.1964 114.73095) (xy 128.195294 115.025) (xy 127.879706 115.025) (xy 127.873851 114.965555) (xy 127.856512 114.908394) + (xy 127.828354 114.855714) (xy 127.823665 114.85) (xy 127.828354 114.844286) (xy 127.856512 114.791606) (xy 127.873851 114.734445) + (xy 127.879706 114.675) (xy 127.879706 114.525) (xy 127.873851 114.465555) (xy 127.856512 114.408394) (xy 127.828354 114.355714) + (xy 127.823665 114.35) (xy 127.828354 114.344286) (xy 127.856512 114.291606) (xy 127.873851 114.234445) (xy 127.879706 114.175) + (xy 128.195294 114.175) (xy 128.1964 114.46905) (xy 128.25355 114.5262) (xy 128.8262 114.5262) (xy 128.8262 114.00355) + (xy 128.9738 114.00355) (xy 128.9738 114.5262) (xy 129.54645 114.5262) (xy 129.6036 114.46905) (xy 129.604706 114.175) + (xy 129.600292 114.130187) (xy 129.587221 114.087095) (xy 129.565994 114.047382) (xy 129.537427 114.012573) (xy 129.502618 113.984006) + (xy 129.462905 113.962779) (xy 129.419813 113.949708) (xy 129.375 113.945294) (xy 129.03095 113.9464) (xy 128.9738 114.00355) + (xy 128.8262 114.00355) (xy 128.76905 113.9464) (xy 128.425 113.945294) (xy 128.380187 113.949708) (xy 128.337095 113.962779) + (xy 128.297382 113.984006) (xy 128.262573 114.012573) (xy 128.234006 114.047382) (xy 128.212779 114.087095) (xy 128.199708 114.130187) + (xy 128.195294 114.175) (xy 127.879706 114.175) (xy 127.879706 114.025) (xy 127.873851 113.965555) (xy 127.856512 113.908394) + (xy 127.828354 113.855714) (xy 127.823665 113.85) (xy 127.828354 113.844286) (xy 127.856512 113.791606) (xy 127.873851 113.734445) + (xy 127.879706 113.675) (xy 127.879706 113.548477) (xy 127.956178 113.571674) (xy 128.026496 113.5786) (xy 128.28658 113.5786) + (xy 128.324813 113.625187) (xy 128.391824 113.680181) (xy 128.468275 113.721045) (xy 128.55123 113.746209) (xy 128.6375 113.754706) + (xy 129.1625 113.754706) (xy 129.24877 113.746209) (xy 129.331725 113.721045) (xy 129.408176 113.680181) (xy 129.475187 113.625187) + (xy 129.530181 113.558176) (xy 129.571045 113.481725) (xy 129.596209 113.39877) (xy 129.604706 113.3125) (xy 129.604706 112.8875) + (xy 129.596209 112.80123) (xy 129.571045 112.718275) (xy 129.530181 112.641824) (xy 129.475187 112.574813) (xy 129.408176 112.519819) + (xy 129.331725 112.478955) (xy 129.24877 112.453791) (xy 129.1625 112.445294) (xy 128.6375 112.445294) (xy 128.55123 112.453791) + (xy 128.468275 112.478955) (xy 128.391824 112.519819) (xy 128.324813 112.574813) (xy 128.28658 112.6214) (xy 128.026496 112.6214) + (xy 127.956178 112.628326) (xy 127.879706 112.651523) (xy 127.879706 112.525) (xy 127.873851 112.465555) (xy 127.856512 112.408394) + (xy 127.828354 112.355714) (xy 127.823665 112.35) (xy 127.828354 112.344286) (xy 127.856512 112.291606) (xy 127.873851 112.234445) + (xy 127.879706 112.175) (xy 127.879706 112.025) (xy 127.873851 111.965555) (xy 127.856512 111.908394) (xy 127.828354 111.855714) + (xy 127.823665 111.85) (xy 127.828354 111.844286) (xy 127.856512 111.791606) (xy 127.873851 111.734445) (xy 127.879706 111.675) + (xy 127.879706 111.525) (xy 127.873851 111.465555) (xy 127.856512 111.408394) (xy 127.828354 111.355714) (xy 127.823665 111.35) + (xy 127.828354 111.344286) (xy 127.856512 111.291606) (xy 127.873851 111.234445) (xy 127.879706 111.175) (xy 127.879706 111.025) + (xy 127.873851 110.965555) (xy 127.856512 110.908394) (xy 127.828354 110.855714) (xy 127.823665 110.85) (xy 127.828354 110.844286) + (xy 127.856512 110.791606) (xy 127.873851 110.734445) (xy 127.879706 110.675) (xy 127.879706 110.525) (xy 127.873851 110.465555) + (xy 127.856512 110.408394) (xy 127.828354 110.355714) (xy 127.823665 110.35) (xy 127.828354 110.344286) (xy 127.856512 110.291606) + (xy 127.873851 110.234445) (xy 127.879706 110.175) (xy 127.879706 110.025) (xy 127.873851 109.965555) (xy 127.856512 109.908394) + (xy 127.828354 109.855714) (xy 127.823665 109.85) (xy 127.828354 109.844286) (xy 127.856512 109.791606) (xy 127.873851 109.734445) + (xy 127.879706 109.675) (xy 127.879706 109.525) (xy 127.873851 109.465555) (xy 127.856512 109.408394) (xy 127.828354 109.355714) + (xy 127.823665 109.35) (xy 127.828354 109.344286) (xy 127.856512 109.291606) (xy 127.873851 109.234445) (xy 127.879706 109.175) + (xy 127.879706 109.025) (xy 127.873851 108.965555) (xy 127.856512 108.908394) (xy 127.828354 108.855714) (xy 127.823665 108.85) + (xy 127.828354 108.844286) (xy 127.856512 108.791606) (xy 127.873851 108.734445) (xy 127.879706 108.675) (xy 127.879706 108.525) + (xy 127.873851 108.465555) (xy 127.856512 108.408394) (xy 127.828354 108.355714) (xy 127.823665 108.35) (xy 127.828354 108.344286) + (xy 127.856512 108.291606) (xy 127.873851 108.234445) (xy 127.879706 108.175) (xy 127.879706 108.025) (xy 127.873851 107.965555) + (xy 127.856512 107.908394) (xy 127.828354 107.855714) (xy 127.823665 107.85) (xy 127.828354 107.844286) (xy 127.856512 107.791606) + (xy 127.873851 107.734445) (xy 127.879706 107.675) (xy 127.879706 107.525) (xy 127.873851 107.465555) (xy 127.856512 107.408394) + (xy 127.828354 107.355714) (xy 127.823665 107.35) (xy 127.828354 107.344286) (xy 127.856512 107.291606) (xy 127.873851 107.234445) + (xy 127.879706 107.175) (xy 127.879706 107.025) (xy 127.873851 106.965555) (xy 127.856512 106.908394) (xy 127.828354 106.855714) + (xy 127.823665 106.85) (xy 127.828354 106.844286) (xy 127.856512 106.791606) (xy 127.873851 106.734445) (xy 127.879706 106.675) + (xy 127.879706 106.525) (xy 127.873851 106.465555) (xy 127.856512 106.408394) (xy 127.828354 106.355714) (xy 127.823665 106.35) + (xy 127.828354 106.344286) (xy 127.856512 106.291606) (xy 127.873851 106.234445) (xy 127.879706 106.175) (xy 127.879706 106.025) + (xy 127.873851 105.965555) (xy 127.856512 105.908394) (xy 127.828354 105.855714) (xy 127.823665 105.85) (xy 127.828354 105.844286) + (xy 127.856512 105.791606) (xy 127.873851 105.734445) (xy 127.879706 105.675) (xy 127.879706 105.525) (xy 127.873851 105.465555) + (xy 127.856512 105.408394) (xy 127.84043 105.378306) (xy 127.840994 105.377618) (xy 127.862221 105.337905) (xy 127.875292 105.294813) + (xy 127.879706 105.25) (xy 127.8786 105.23095) (xy 127.82145 105.1738) (xy 126.9863 105.1738) (xy 126.9863 105.1938) + (xy 126.8387 105.1938) (xy 126.8387 105.1738) (xy 126.8187 105.1738) (xy 126.8187 105.0262) (xy 126.8387 105.0262) + (xy 126.8387 105.0062) (xy 126.9863 105.0062) (xy 126.9863 105.0262) (xy 127.82145 105.0262) (xy 127.8786 104.96905) + (xy 127.879706 104.95) (xy 127.875292 104.905187) (xy 127.874811 104.9036) (xy 128.124246 104.9036) (xy 128.524778 105.304133) + (xy 128.534284 105.315716) (xy 128.580513 105.353655) (xy 128.633256 105.381846) (xy 128.690484 105.399206) (xy 128.75 105.405068) + (xy 128.764904 105.4036) (xy 129.074246 105.4036) (xy 129.744401 106.073756) (xy 129.7444 113.396096) (xy 129.742932 113.411) + (xy 129.746703 113.449284) (xy 129.748794 113.470515) (xy 129.766154 113.527743) (xy 129.794345 113.580486) (xy 129.832284 113.626716) + (xy 129.843872 113.636226) (xy 144.173779 127.966134) (xy 144.183284 127.977716) (xy 144.229513 128.015655) (xy 144.282256 128.043846) + (xy 144.336033 128.060159) (xy 144.339484 128.061206) (xy 144.399 128.067068) (xy 144.413904 128.0656) (xy 194.184246 128.0656) + (xy 198.974283 132.855639) (xy 198.983784 132.867216) (xy 199.030013 132.905155) (xy 199.082756 132.933346) (xy 199.139984 132.950706) + (xy 199.199499 132.956568) (xy 199.214403 132.9551) (xy 207.820596 132.9551) (xy 207.8355 132.956568) (xy 207.850404 132.9551) + (xy 207.895016 132.950706) (xy 207.952244 132.933346) (xy 208.004987 132.905155) (xy 208.051216 132.867216) (xy 208.060726 132.855628) + (xy 209.246134 131.670221) (xy 209.257716 131.660716) (xy 209.295655 131.614487) (xy 209.323846 131.561744) (xy 209.341206 131.504516) + (xy 209.3456 131.459904) (xy 209.347068 131.445) (xy 209.3456 131.430096) (xy 209.3456 61.736903) (xy 209.347068 61.721999) + (xy 209.341206 61.662484) (xy 209.33082 61.628247) (xy 209.323846 61.605256) (xy 209.295655 61.552513) (xy 209.257716 61.506284) + (xy 209.24614 61.496784) (xy 206.633877 58.884523) (xy 206.705032 58.712739) (xy 206.7436 58.518846) (xy 206.7436 58.321154) + (xy 206.705032 58.127261) (xy 206.629379 57.944617) (xy 206.519547 57.780242) (xy 206.379758 57.640453) (xy 206.215383 57.530621) + (xy 206.032739 57.454968) (xy 205.838846 57.4164) (xy 205.641154 57.4164) (xy 205.447261 57.454968) (xy 205.264617 57.530621) + (xy 205.100242 57.640453) (xy 204.960453 57.780242) (xy 204.850621 57.944617) (xy 204.774968 58.127261) (xy 204.7364 58.321154) + (xy 204.7364 58.518846) (xy 204.774968 58.712739) (xy 204.850621 58.895383) (xy 204.960453 59.059758) (xy 205.100242 59.199547) + (xy 205.264617 59.309379) (xy 205.447261 59.385032) (xy 205.641154 59.4236) (xy 205.838846 59.4236) (xy 206.032739 59.385032) + (xy 206.204523 59.313877) (xy 208.7384 61.847756) (xy 208.738401 131.319244) (xy 207.709746 132.3479) (xy 199.325256 132.3479) + (xy 194.535226 127.557872) (xy 194.525716 127.546284) (xy 194.479487 127.508345) (xy 194.426744 127.480154) (xy 194.369516 127.462794) + (xy 194.324904 127.4584) (xy 194.31 127.456932) (xy 194.295096 127.4584) (xy 144.524755 127.4584) (xy 130.3516 113.285246) + (xy 130.3516 105.962903) (xy 130.353068 105.947999) (xy 130.347206 105.888484) (xy 130.345192 105.881845) (xy 130.329846 105.831256) + (xy 130.301655 105.778513) (xy 130.263716 105.732284) (xy 130.252134 105.722779) (xy 129.425226 104.895872) (xy 129.415716 104.884284) + (xy 129.369487 104.846345) (xy 129.316744 104.818154) (xy 129.259516 104.800794) (xy 129.214904 104.7964) (xy 129.2 104.794932) + (xy 129.185096 104.7964) (xy 128.875755 104.7964) (xy 128.482954 104.4036) (xy 129.124246 104.4036) (xy 130.5064 105.785756) + (xy 130.506401 112.634086) (xy 130.504932 112.649) (xy 130.510794 112.708515) (xy 130.524923 112.75509) (xy 130.528155 112.765744) + (xy 130.556346 112.818487) (xy 130.594285 112.864716) (xy 130.605867 112.874221) (xy 144.935779 127.204134) (xy 144.945284 127.215716) + (xy 144.991513 127.253655) (xy 145.044256 127.281846) (xy 145.101484 127.299206) (xy 145.161 127.305068) (xy 145.175904 127.3036) + (xy 194.438246 127.3036) (xy 199.355283 132.220639) (xy 199.364784 132.232216) (xy 199.411013 132.270155) (xy 199.463756 132.298346) + (xy 199.503623 132.31044) (xy 199.520983 132.315706) (xy 199.580499 132.321568) (xy 199.595403 132.3201) (xy 207.439596 132.3201) + (xy 207.4545 132.321568) (xy 207.469404 132.3201) (xy 207.514016 132.315706) (xy 207.571244 132.298346) (xy 207.623987 132.270155) + (xy 207.670216 132.232216) (xy 207.679726 132.220628) (xy 208.48414 131.416216) (xy 208.495716 131.406716) (xy 208.533655 131.360487) + (xy 208.561846 131.307744) (xy 208.579206 131.250516) (xy 208.5836 131.205904) (xy 208.5836 131.205903) (xy 208.585068 131.191001) + (xy 208.5836 131.176097) (xy 208.5836 63.514903) (xy 208.585068 63.499999) (xy 208.579206 63.440483) (xy 208.561845 63.383254) + (xy 208.549156 63.359515) (xy 208.533655 63.330513) (xy 208.495716 63.284284) (xy 208.484139 63.274783) (xy 206.633877 61.424523) + (xy 206.705032 61.252739) (xy 206.7436 61.058846) (xy 206.7436 60.861154) (xy 206.705032 60.667261) (xy 206.629379 60.484617) + (xy 206.519547 60.320242) (xy 206.379758 60.180453) (xy 206.215383 60.070621) (xy 206.032739 59.994968) (xy 205.838846 59.9564) + (xy 205.641154 59.9564) (xy 205.447261 59.994968) (xy 205.264617 60.070621) (xy 205.100242 60.180453) (xy 204.960453 60.320242) + (xy 204.850621 60.484617) (xy 204.774968 60.667261) (xy 204.7364 60.861154) (xy 204.7364 61.058846) (xy 204.774968 61.252739) + (xy 204.850621 61.435383) (xy 204.960453 61.599758) (xy 205.100242 61.739547) (xy 205.264617 61.849379) (xy 205.447261 61.925032) + (xy 205.641154 61.9636) (xy 205.838846 61.9636) (xy 206.032739 61.925032) (xy 206.204523 61.853877) (xy 207.976401 63.625757) + (xy 207.9764 131.065244) (xy 207.328746 131.7129) (xy 199.706256 131.7129) (xy 194.789226 126.795872) (xy 194.779716 126.784284) + (xy 194.733487 126.746345) (xy 194.680744 126.718154) (xy 194.623516 126.700794) (xy 194.578904 126.6964) (xy 194.564 126.694932) + (xy 194.549096 126.6964) (xy 145.286755 126.6964) (xy 131.1136 112.523246) (xy 131.1136 105.674903) (xy 131.115068 105.659999) + (xy 131.109206 105.600484) (xy 131.103552 105.581845) (xy 131.091846 105.543256) (xy 131.063655 105.490513) (xy 131.025716 105.444284) + (xy 131.01414 105.434784) (xy 129.475226 103.895872) (xy 129.465716 103.884284) (xy 129.419487 103.846345) (xy 129.366744 103.818154) + (xy 129.309516 103.800794) (xy 129.264904 103.7964) (xy 129.25 103.794932) (xy 129.235096 103.7964) (xy 127.85395 103.7964) + (xy 127.856512 103.791606) (xy 127.873851 103.734445) (xy 127.879706 103.675) (xy 127.879706 103.525) (xy 127.873851 103.465555) + (xy 127.856512 103.408394) (xy 127.85395 103.4036) (xy 129.074246 103.4036) (xy 131.268401 105.597756) (xy 131.2684 111.872096) + (xy 131.266932 111.887) (xy 131.270965 111.927942) (xy 131.272794 111.946515) (xy 131.290154 112.003743) (xy 131.318345 112.056486) + (xy 131.356284 112.102716) (xy 131.367872 112.112226) (xy 145.697779 126.442134) (xy 145.707284 126.453716) (xy 145.753513 126.491655) + (xy 145.806256 126.519846) (xy 145.863484 126.537206) (xy 145.923 126.543068) (xy 145.937904 126.5416) (xy 194.692246 126.5416) + (xy 199.736283 131.585639) (xy 199.745784 131.597216) (xy 199.792013 131.635155) (xy 199.844756 131.663346) (xy 199.877063 131.673146) + (xy 199.901983 131.680706) (xy 199.961499 131.686568) (xy 199.976403 131.6851) (xy 207.058596 131.6851) (xy 207.0735 131.686568) + (xy 207.088404 131.6851) (xy 207.133016 131.680706) (xy 207.190244 131.663346) (xy 207.242987 131.635155) (xy 207.289216 131.597216) + (xy 207.298726 131.585628) (xy 207.722133 131.162222) (xy 207.733716 131.152716) (xy 207.771655 131.106487) (xy 207.799846 131.053744) + (xy 207.817206 130.996516) (xy 207.8216 130.951904) (xy 207.823068 130.937) (xy 207.8216 130.922096) (xy 207.8216 65.292904) + (xy 207.823068 65.278) (xy 207.817206 65.218484) (xy 207.811264 65.198896) (xy 207.799846 65.161256) (xy 207.771655 65.108513) + (xy 207.733716 65.062284) (xy 207.722135 65.05278) (xy 206.633877 63.964523) (xy 206.705032 63.792739) (xy 206.7436 63.598846) + (xy 206.7436 63.401154) (xy 206.705032 63.207261) (xy 206.629379 63.024617) (xy 206.519547 62.860242) (xy 206.379758 62.720453) + (xy 206.215383 62.610621) (xy 206.032739 62.534968) (xy 205.838846 62.4964) (xy 205.641154 62.4964) (xy 205.447261 62.534968) + (xy 205.264617 62.610621) (xy 205.100242 62.720453) (xy 204.960453 62.860242) (xy 204.850621 63.024617) (xy 204.774968 63.207261) + (xy 204.7364 63.401154) (xy 204.7364 63.598846) (xy 204.774968 63.792739) (xy 204.850621 63.975383) (xy 204.960453 64.139758) + (xy 205.100242 64.279547) (xy 205.264617 64.389379) (xy 205.447261 64.465032) (xy 205.641154 64.5036) (xy 205.838846 64.5036) + (xy 206.032739 64.465032) (xy 206.204523 64.393877) (xy 207.2144 65.403755) (xy 207.214401 130.811244) (xy 206.947746 131.0779) + (xy 200.087256 131.0779) (xy 198.45051 129.441154) (xy 199.6564 129.441154) (xy 199.6564 129.638846) (xy 199.694968 129.832739) + (xy 199.770621 130.015383) (xy 199.880453 130.179758) (xy 200.020242 130.319547) (xy 200.184617 130.429379) (xy 200.367261 130.505032) + (xy 200.561154 130.5436) (xy 200.758846 130.5436) (xy 200.952739 130.505032) (xy 201.135383 130.429379) (xy 201.299758 130.319547) + (xy 201.356473 130.262832) (xy 202.581537 130.262832) (xy 202.668539 130.397049) (xy 202.845953 130.484265) (xy 203.036972 130.535192) + (xy 203.234257 130.547875) (xy 203.430225 130.521826) (xy 203.617346 130.458046) (xy 203.731461 130.397049) (xy 203.818463 130.262832) + (xy 203.2 129.644369) (xy 202.581537 130.262832) (xy 201.356473 130.262832) (xy 201.439547 130.179758) (xy 201.549379 130.015383) + (xy 201.625032 129.832739) (xy 201.6636 129.638846) (xy 201.6636 129.574257) (xy 202.192125 129.574257) (xy 202.218174 129.770225) + (xy 202.281954 129.957346) (xy 202.342951 130.071461) (xy 202.477168 130.158463) (xy 203.095631 129.54) (xy 203.304369 129.54) + (xy 203.922832 130.158463) (xy 204.057049 130.071461) (xy 204.144265 129.894047) (xy 204.195192 129.703028) (xy 204.207875 129.505743) + (xy 204.181826 129.309775) (xy 204.118046 129.122654) (xy 204.060503 129.014999) (xy 204.735294 129.014999) (xy 204.735294 130.065001) + (xy 204.744511 130.158587) (xy 204.771809 130.248576) (xy 204.816139 130.331511) (xy 204.875796 130.404204) (xy 204.948489 130.463861) + (xy 205.031424 130.508191) (xy 205.121413 130.535489) (xy 205.214999 130.544706) (xy 206.265001 130.544706) (xy 206.358587 130.535489) + (xy 206.448576 130.508191) (xy 206.531511 130.463861) (xy 206.604204 130.404204) (xy 206.663861 130.331511) (xy 206.708191 130.248576) + (xy 206.735489 130.158587) (xy 206.744706 130.065001) (xy 206.744706 129.014999) (xy 206.735489 128.921413) (xy 206.708191 128.831424) + (xy 206.663861 128.748489) (xy 206.604204 128.675796) (xy 206.531511 128.616139) (xy 206.448576 128.571809) (xy 206.358587 128.544511) + (xy 206.265001 128.535294) (xy 205.214999 128.535294) (xy 205.121413 128.544511) (xy 205.031424 128.571809) (xy 204.948489 128.616139) + (xy 204.875796 128.675796) (xy 204.816139 128.748489) (xy 204.771809 128.831424) (xy 204.744511 128.921413) (xy 204.735294 129.014999) + (xy 204.060503 129.014999) (xy 204.057049 129.008539) (xy 203.922832 128.921537) (xy 203.304369 129.54) (xy 203.095631 129.54) + (xy 202.477168 128.921537) (xy 202.342951 129.008539) (xy 202.255735 129.185953) (xy 202.204808 129.376972) (xy 202.192125 129.574257) + (xy 201.6636 129.574257) (xy 201.6636 129.441154) (xy 201.625032 129.247261) (xy 201.549379 129.064617) (xy 201.439547 128.900242) + (xy 201.356473 128.817168) (xy 202.581537 128.817168) (xy 203.2 129.435631) (xy 203.818463 128.817168) (xy 203.731461 128.682951) + (xy 203.554047 128.595735) (xy 203.363028 128.544808) (xy 203.165743 128.532125) (xy 202.969775 128.558174) (xy 202.782654 128.621954) + (xy 202.668539 128.682951) (xy 202.581537 128.817168) (xy 201.356473 128.817168) (xy 201.299758 128.760453) (xy 201.135383 128.650621) + (xy 200.952739 128.574968) (xy 200.758846 128.5364) (xy 200.561154 128.5364) (xy 200.367261 128.574968) (xy 200.184617 128.650621) + (xy 200.020242 128.760453) (xy 199.880453 128.900242) (xy 199.770621 129.064617) (xy 199.694968 129.247261) (xy 199.6564 129.441154) + (xy 198.45051 129.441154) (xy 195.910509 126.901154) (xy 199.6564 126.901154) (xy 199.6564 127.098846) (xy 199.694968 127.292739) + (xy 199.770621 127.475383) (xy 199.880453 127.639758) (xy 200.020242 127.779547) (xy 200.184617 127.889379) (xy 200.367261 127.965032) + (xy 200.561154 128.0036) (xy 200.758846 128.0036) (xy 200.952739 127.965032) (xy 201.135383 127.889379) (xy 201.299758 127.779547) + (xy 201.356473 127.722832) (xy 202.581537 127.722832) (xy 202.668539 127.857049) (xy 202.845953 127.944265) (xy 203.036972 127.995192) + (xy 203.234257 128.007875) (xy 203.430225 127.981826) (xy 203.617346 127.918046) (xy 203.731461 127.857049) (xy 203.818463 127.722832) + (xy 203.2 127.104369) (xy 202.581537 127.722832) (xy 201.356473 127.722832) (xy 201.439547 127.639758) (xy 201.549379 127.475383) + (xy 201.625032 127.292739) (xy 201.6636 127.098846) (xy 201.6636 127.034257) (xy 202.192125 127.034257) (xy 202.218174 127.230225) + (xy 202.281954 127.417346) (xy 202.342951 127.531461) (xy 202.477168 127.618463) (xy 203.095631 127) (xy 203.304369 127) + (xy 203.922832 127.618463) (xy 204.057049 127.531461) (xy 204.144265 127.354047) (xy 204.195192 127.163028) (xy 204.207875 126.965743) + (xy 204.19929 126.901154) (xy 204.7364 126.901154) (xy 204.7364 127.098846) (xy 204.774968 127.292739) (xy 204.850621 127.475383) + (xy 204.960453 127.639758) (xy 205.100242 127.779547) (xy 205.264617 127.889379) (xy 205.447261 127.965032) (xy 205.641154 128.0036) + (xy 205.838846 128.0036) (xy 206.032739 127.965032) (xy 206.215383 127.889379) (xy 206.379758 127.779547) (xy 206.519547 127.639758) + (xy 206.629379 127.475383) (xy 206.705032 127.292739) (xy 206.7436 127.098846) (xy 206.7436 126.901154) (xy 206.705032 126.707261) + (xy 206.629379 126.524617) (xy 206.519547 126.360242) (xy 206.379758 126.220453) (xy 206.215383 126.110621) (xy 206.032739 126.034968) + (xy 205.838846 125.9964) (xy 205.641154 125.9964) (xy 205.447261 126.034968) (xy 205.264617 126.110621) (xy 205.100242 126.220453) + (xy 204.960453 126.360242) (xy 204.850621 126.524617) (xy 204.774968 126.707261) (xy 204.7364 126.901154) (xy 204.19929 126.901154) + (xy 204.181826 126.769775) (xy 204.118046 126.582654) (xy 204.057049 126.468539) (xy 203.922832 126.381537) (xy 203.304369 127) + (xy 203.095631 127) (xy 202.477168 126.381537) (xy 202.342951 126.468539) (xy 202.255735 126.645953) (xy 202.204808 126.836972) + (xy 202.192125 127.034257) (xy 201.6636 127.034257) (xy 201.6636 126.901154) (xy 201.625032 126.707261) (xy 201.549379 126.524617) + (xy 201.439547 126.360242) (xy 201.356473 126.277168) (xy 202.581537 126.277168) (xy 203.2 126.895631) (xy 203.818463 126.277168) + (xy 203.731461 126.142951) (xy 203.554047 126.055735) (xy 203.363028 126.004808) (xy 203.165743 125.992125) (xy 202.969775 126.018174) + (xy 202.782654 126.081954) (xy 202.668539 126.142951) (xy 202.581537 126.277168) (xy 201.356473 126.277168) (xy 201.299758 126.220453) + (xy 201.135383 126.110621) (xy 200.952739 126.034968) (xy 200.758846 125.9964) (xy 200.561154 125.9964) (xy 200.367261 126.034968) + (xy 200.184617 126.110621) (xy 200.020242 126.220453) (xy 199.880453 126.360242) (xy 199.770621 126.524617) (xy 199.694968 126.707261) + (xy 199.6564 126.901154) (xy 195.910509 126.901154) (xy 195.043226 126.033872) (xy 195.033716 126.022284) (xy 194.987487 125.984345) + (xy 194.934744 125.956154) (xy 194.877516 125.938794) (xy 194.832904 125.9344) (xy 194.818 125.932932) (xy 194.803096 125.9344) + (xy 146.048755 125.9344) (xy 132.717217 112.602862) (xy 133.4214 112.602862) (xy 133.4214 112.697138) (xy 133.439792 112.789603) + (xy 133.47587 112.876702) (xy 133.528247 112.95509) (xy 133.59491 113.021753) (xy 133.673298 113.07413) (xy 133.760397 113.110208) + (xy 133.852862 113.1286) (xy 133.947138 113.1286) (xy 134.039603 113.110208) (xy 134.126702 113.07413) (xy 134.20509 113.021753) + (xy 134.271753 112.95509) (xy 134.32413 112.876702) (xy 134.360208 112.789603) (xy 134.3786 112.697138) (xy 134.3786 112.602862) + (xy 134.362516 112.522) (xy 134.822932 112.522) (xy 134.824401 112.536914) (xy 134.8244 113.904096) (xy 134.822932 113.919) + (xy 134.827843 113.968861) (xy 134.828794 113.978515) (xy 134.846154 114.035743) (xy 134.874345 114.088486) (xy 134.912284 114.134716) + (xy 134.923872 114.144226) (xy 146.142282 125.362638) (xy 146.151784 125.374216) (xy 146.198013 125.412155) (xy 146.250756 125.440346) + (xy 146.307984 125.457706) (xy 146.367499 125.463568) (xy 146.382403 125.4621) (xy 168.69852 125.4621) (xy 168.703792 125.488603) + (xy 168.73987 125.575702) (xy 168.792247 125.65409) (xy 168.85891 125.720753) (xy 168.937298 125.77313) (xy 169.024397 125.809208) + (xy 169.116862 125.8276) (xy 169.211138 125.8276) (xy 169.303603 125.809208) (xy 169.390702 125.77313) (xy 169.46909 125.720753) + (xy 169.535753 125.65409) (xy 169.58813 125.575702) (xy 169.624208 125.488603) (xy 169.6426 125.396138) (xy 169.6426 125.301862) + (xy 169.624208 125.209397) (xy 169.597368 125.1446) (xy 170.181246 125.1446) (xy 170.33675 125.300104) (xy 170.3364 125.301862) + (xy 170.3364 125.396138) (xy 170.354792 125.488603) (xy 170.39087 125.575702) (xy 170.443247 125.65409) (xy 170.50991 125.720753) + (xy 170.588298 125.77313) (xy 170.675397 125.809208) (xy 170.767862 125.8276) (xy 170.862138 125.8276) (xy 170.954603 125.809208) + (xy 171.041702 125.77313) (xy 171.12009 125.720753) (xy 171.186753 125.65409) (xy 171.23913 125.575702) (xy 171.275208 125.488603) + (xy 171.2936 125.396138) (xy 171.2936 125.301862) (xy 171.275208 125.209397) (xy 171.23913 125.122298) (xy 171.186753 125.04391) + (xy 171.12009 124.977247) (xy 171.041702 124.92487) (xy 170.954603 124.888792) (xy 170.862138 124.8704) (xy 170.767862 124.8704) + (xy 170.766104 124.87075) (xy 170.722454 124.8271) (xy 171.514746 124.8271) (xy 171.98775 125.300105) (xy 171.9874 125.301862) + (xy 171.9874 125.396138) (xy 172.005792 125.488603) (xy 172.04187 125.575702) (xy 172.094247 125.65409) (xy 172.16091 125.720753) + (xy 172.239298 125.77313) (xy 172.326397 125.809208) (xy 172.418862 125.8276) (xy 172.513138 125.8276) (xy 172.605603 125.809208) + (xy 172.692702 125.77313) (xy 172.77109 125.720753) (xy 172.837753 125.65409) (xy 172.89013 125.575702) (xy 172.926208 125.488603) + (xy 172.9446 125.396138) (xy 172.9446 125.301862) (xy 172.926208 125.209397) (xy 172.915205 125.182832) (xy 200.041537 125.182832) + (xy 200.128539 125.317049) (xy 200.305953 125.404265) (xy 200.496972 125.455192) (xy 200.694257 125.467875) (xy 200.890225 125.441826) + (xy 201.077346 125.378046) (xy 201.191461 125.317049) (xy 201.278463 125.182832) (xy 202.581537 125.182832) (xy 202.668539 125.317049) + (xy 202.845953 125.404265) (xy 203.036972 125.455192) (xy 203.234257 125.467875) (xy 203.430225 125.441826) (xy 203.617346 125.378046) + (xy 203.731461 125.317049) (xy 203.818463 125.182832) (xy 203.2 124.564369) (xy 202.581537 125.182832) (xy 201.278463 125.182832) + (xy 200.66 124.564369) (xy 200.041537 125.182832) (xy 172.915205 125.182832) (xy 172.89013 125.122298) (xy 172.837753 125.04391) + (xy 172.77109 124.977247) (xy 172.692702 124.92487) (xy 172.605603 124.888792) (xy 172.513138 124.8704) (xy 172.418862 124.8704) + (xy 172.417105 124.87075) (xy 172.040612 124.494257) (xy 199.652125 124.494257) (xy 199.678174 124.690225) (xy 199.741954 124.877346) + (xy 199.802951 124.991461) (xy 199.937168 125.078463) (xy 200.555631 124.46) (xy 200.764369 124.46) (xy 201.382832 125.078463) + (xy 201.517049 124.991461) (xy 201.604265 124.814047) (xy 201.655192 124.623028) (xy 201.66347 124.494257) (xy 202.192125 124.494257) + (xy 202.218174 124.690225) (xy 202.281954 124.877346) (xy 202.342951 124.991461) (xy 202.477168 125.078463) (xy 203.095631 124.46) + (xy 203.304369 124.46) (xy 203.922832 125.078463) (xy 204.057049 124.991461) (xy 204.144265 124.814047) (xy 204.195192 124.623028) + (xy 204.207875 124.425743) (xy 204.19929 124.361154) (xy 204.7364 124.361154) (xy 204.7364 124.558846) (xy 204.774968 124.752739) + (xy 204.850621 124.935383) (xy 204.960453 125.099758) (xy 205.100242 125.239547) (xy 205.264617 125.349379) (xy 205.447261 125.425032) + (xy 205.641154 125.4636) (xy 205.838846 125.4636) (xy 206.032739 125.425032) (xy 206.215383 125.349379) (xy 206.379758 125.239547) + (xy 206.519547 125.099758) (xy 206.629379 124.935383) (xy 206.705032 124.752739) (xy 206.7436 124.558846) (xy 206.7436 124.361154) + (xy 206.705032 124.167261) (xy 206.629379 123.984617) (xy 206.519547 123.820242) (xy 206.379758 123.680453) (xy 206.215383 123.570621) + (xy 206.032739 123.494968) (xy 205.838846 123.4564) (xy 205.641154 123.4564) (xy 205.447261 123.494968) (xy 205.264617 123.570621) + (xy 205.100242 123.680453) (xy 204.960453 123.820242) (xy 204.850621 123.984617) (xy 204.774968 124.167261) (xy 204.7364 124.361154) + (xy 204.19929 124.361154) (xy 204.181826 124.229775) (xy 204.118046 124.042654) (xy 204.057049 123.928539) (xy 203.922832 123.841537) + (xy 203.304369 124.46) (xy 203.095631 124.46) (xy 202.477168 123.841537) (xy 202.342951 123.928539) (xy 202.255735 124.105953) + (xy 202.204808 124.296972) (xy 202.192125 124.494257) (xy 201.66347 124.494257) (xy 201.667875 124.425743) (xy 201.641826 124.229775) + (xy 201.578046 124.042654) (xy 201.517049 123.928539) (xy 201.382832 123.841537) (xy 200.764369 124.46) (xy 200.555631 124.46) + (xy 199.937168 123.841537) (xy 199.802951 123.928539) (xy 199.715735 124.105953) (xy 199.664808 124.296972) (xy 199.652125 124.494257) + (xy 172.040612 124.494257) (xy 171.865726 124.319372) (xy 171.856216 124.307784) (xy 171.809987 124.269845) (xy 171.757244 124.241654) + (xy 171.700016 124.224294) (xy 171.655404 124.2199) (xy 171.6405 124.218432) (xy 171.625596 124.2199) (xy 146.747256 124.2199) + (xy 138.7336 116.206246) (xy 138.7336 116.09737) (xy 138.790953 116.066714) (xy 138.848493 116.019493) (xy 138.895714 115.961953) + (xy 138.930803 115.896307) (xy 138.95241 115.825077) (xy 138.959706 115.751) (xy 138.959706 114.351) (xy 139.170294 114.351) + (xy 139.170294 115.751) (xy 139.17759 115.825077) (xy 139.199197 115.896307) (xy 139.234286 115.961953) (xy 139.281507 116.019493) + (xy 139.339047 116.066714) (xy 139.404693 116.101803) (xy 139.475923 116.12341) (xy 139.55 116.130706) (xy 139.85 116.130706) + (xy 139.924077 116.12341) (xy 139.995307 116.101803) (xy 140.060953 116.066714) (xy 140.118493 116.019493) (xy 140.165714 115.961953) + (xy 140.200803 115.896307) (xy 140.22241 115.825077) (xy 140.229706 115.751) (xy 140.229706 114.351) (xy 140.440294 114.351) + (xy 140.440294 115.751) (xy 140.44759 115.825077) (xy 140.469197 115.896307) (xy 140.504286 115.961953) (xy 140.551507 116.019493) + (xy 140.609047 116.066714) (xy 140.674693 116.101803) (xy 140.745923 116.12341) (xy 140.82 116.130706) (xy 141.12 116.130706) + (xy 141.194077 116.12341) (xy 141.265307 116.101803) (xy 141.330953 116.066714) (xy 141.388493 116.019493) (xy 141.435714 115.961953) + (xy 141.470803 115.896307) (xy 141.49241 115.825077) (xy 141.499706 115.751) (xy 141.499706 114.351) (xy 141.710294 114.351) + (xy 141.710294 115.751) (xy 141.71759 115.825077) (xy 141.739197 115.896307) (xy 141.774286 115.961953) (xy 141.821507 116.019493) + (xy 141.879047 116.066714) (xy 141.944693 116.101803) (xy 142.015923 116.12341) (xy 142.09 116.130706) (xy 142.39 116.130706) + (xy 142.464077 116.12341) (xy 142.535307 116.101803) (xy 142.600953 116.066714) (xy 142.658493 116.019493) (xy 142.705714 115.961953) + (xy 142.740803 115.896307) (xy 142.76241 115.825077) (xy 142.769706 115.751) (xy 142.769706 114.351) (xy 142.980294 114.351) + (xy 142.980294 115.751) (xy 142.98759 115.825077) (xy 143.009197 115.896307) (xy 143.044286 115.961953) (xy 143.091507 116.019493) + (xy 143.149047 116.066714) (xy 143.214693 116.101803) (xy 143.285923 116.12341) (xy 143.36 116.130706) (xy 143.66 116.130706) + (xy 143.734077 116.12341) (xy 143.805307 116.101803) (xy 143.870953 116.066714) (xy 143.928493 116.019493) (xy 143.975714 115.961953) + (xy 144.010803 115.896307) (xy 144.03241 115.825077) (xy 144.039706 115.751) (xy 144.039706 114.351) (xy 144.03241 114.276923) + (xy 144.010803 114.205693) (xy 143.975714 114.140047) (xy 143.928493 114.082507) (xy 143.870953 114.035286) (xy 143.805307 114.000197) + (xy 143.734077 113.97859) (xy 143.66 113.971294) (xy 143.36 113.971294) (xy 143.285923 113.97859) (xy 143.214693 114.000197) + (xy 143.149047 114.035286) (xy 143.091507 114.082507) (xy 143.044286 114.140047) (xy 143.009197 114.205693) (xy 142.98759 114.276923) + (xy 142.980294 114.351) (xy 142.769706 114.351) (xy 142.76241 114.276923) (xy 142.740803 114.205693) (xy 142.705714 114.140047) + (xy 142.658493 114.082507) (xy 142.600953 114.035286) (xy 142.535307 114.000197) (xy 142.464077 113.97859) (xy 142.39 113.971294) + (xy 142.09 113.971294) (xy 142.015923 113.97859) (xy 141.944693 114.000197) (xy 141.879047 114.035286) (xy 141.821507 114.082507) + (xy 141.774286 114.140047) (xy 141.739197 114.205693) (xy 141.71759 114.276923) (xy 141.710294 114.351) (xy 141.499706 114.351) + (xy 141.49241 114.276923) (xy 141.470803 114.205693) (xy 141.435714 114.140047) (xy 141.388493 114.082507) (xy 141.330953 114.035286) + (xy 141.265307 114.000197) (xy 141.194077 113.97859) (xy 141.12 113.971294) (xy 140.82 113.971294) (xy 140.745923 113.97859) + (xy 140.674693 114.000197) (xy 140.609047 114.035286) (xy 140.551507 114.082507) (xy 140.504286 114.140047) (xy 140.469197 114.205693) + (xy 140.44759 114.276923) (xy 140.440294 114.351) (xy 140.229706 114.351) (xy 140.22241 114.276923) (xy 140.200803 114.205693) + (xy 140.165714 114.140047) (xy 140.118493 114.082507) (xy 140.060953 114.035286) (xy 139.995307 114.000197) (xy 139.924077 113.97859) + (xy 139.85 113.971294) (xy 139.55 113.971294) (xy 139.475923 113.97859) (xy 139.404693 114.000197) (xy 139.339047 114.035286) + (xy 139.281507 114.082507) (xy 139.234286 114.140047) (xy 139.199197 114.205693) (xy 139.17759 114.276923) (xy 139.170294 114.351) + (xy 138.959706 114.351) (xy 138.95241 114.276923) (xy 138.930803 114.205693) (xy 138.895714 114.140047) (xy 138.848493 114.082507) + (xy 138.790953 114.035286) (xy 138.725307 114.000197) (xy 138.654077 113.97859) (xy 138.58 113.971294) (xy 138.28 113.971294) + (xy 138.205923 113.97859) (xy 138.134693 114.000197) (xy 138.069047 114.035286) (xy 138.011507 114.082507) (xy 137.964286 114.140047) + (xy 137.929197 114.205693) (xy 137.90759 114.276923) (xy 137.900294 114.351) (xy 137.900294 115.751) (xy 137.907553 115.824699) + (xy 137.0936 115.010746) (xy 137.0936 113.940706) (xy 137.49 113.940706) (xy 137.564077 113.93341) (xy 137.635307 113.911803) + (xy 137.700953 113.876714) (xy 137.758493 113.829493) (xy 137.805714 113.771953) (xy 137.840803 113.706307) (xy 137.86241 113.635077) + (xy 137.869706 113.561) (xy 137.869706 113.261) (xy 137.86241 113.186923) (xy 137.840803 113.115693) (xy 137.805714 113.050047) + (xy 137.758493 112.992507) (xy 137.700953 112.945286) (xy 137.635307 112.910197) (xy 137.564077 112.88859) (xy 137.49 112.881294) + (xy 136.09 112.881294) (xy 136.015923 112.88859) (xy 135.944693 112.910197) (xy 135.879047 112.945286) (xy 135.821507 112.992507) + (xy 135.774286 113.050047) (xy 135.739197 113.115693) (xy 135.71759 113.186923) (xy 135.710294 113.261) (xy 135.710294 113.561) + (xy 135.71759 113.635077) (xy 135.739197 113.706307) (xy 135.774286 113.771953) (xy 135.821507 113.829493) (xy 135.879047 113.876714) + (xy 135.944693 113.911803) (xy 136.015923 113.93341) (xy 136.09 113.940706) (xy 136.4864 113.940706) (xy 136.486401 114.848047) + (xy 135.4316 113.793246) (xy 135.4316 112.647754) (xy 135.634756 112.4446) (xy 135.74363 112.4446) (xy 135.774286 112.501953) + (xy 135.821507 112.559493) (xy 135.879047 112.606714) (xy 135.944693 112.641803) (xy 136.015923 112.66341) (xy 136.09 112.670706) + (xy 137.49 112.670706) (xy 137.564077 112.66341) (xy 137.635307 112.641803) (xy 137.700953 112.606714) (xy 137.758493 112.559493) + (xy 137.805714 112.501953) (xy 137.840803 112.436307) (xy 137.86241 112.365077) (xy 137.869706 112.291) (xy 137.869706 111.991) + (xy 137.86241 111.916923) (xy 137.840803 111.845693) (xy 137.805714 111.780047) (xy 137.758493 111.722507) (xy 137.700953 111.675286) + (xy 137.635307 111.640197) (xy 137.564077 111.61859) (xy 137.49 111.611294) (xy 136.09 111.611294) (xy 136.015923 111.61859) + (xy 135.944693 111.640197) (xy 135.879047 111.675286) (xy 135.821507 111.722507) (xy 135.774286 111.780047) (xy 135.74363 111.8374) + (xy 135.523903 111.8374) (xy 135.508999 111.835932) (xy 135.449483 111.841794) (xy 135.434481 111.846345) (xy 135.392256 111.859154) + (xy 135.392254 111.859155) (xy 135.391579 111.859516) (xy 135.339513 111.887345) (xy 135.293284 111.925284) (xy 135.283784 111.93686) + (xy 134.923867 112.296779) (xy 134.912285 112.306284) (xy 134.874346 112.352513) (xy 134.85405 112.390485) (xy 134.846155 112.405256) + (xy 134.828794 112.462485) (xy 134.822932 112.522) (xy 134.362516 112.522) (xy 134.360208 112.510397) (xy 134.32413 112.423298) + (xy 134.271753 112.34491) (xy 134.20509 112.278247) (xy 134.2036 112.277251) (xy 134.2036 111.335754) (xy 134.818354 110.721) + (xy 135.710294 110.721) (xy 135.710294 111.021) (xy 135.71759 111.095077) (xy 135.739197 111.166307) (xy 135.774286 111.231953) + (xy 135.821507 111.289493) (xy 135.879047 111.336714) (xy 135.944693 111.371803) (xy 136.015923 111.39341) (xy 136.09 111.400706) + (xy 137.49 111.400706) (xy 137.564077 111.39341) (xy 137.635307 111.371803) (xy 137.700953 111.336714) (xy 137.758493 111.289493) + (xy 137.805714 111.231953) (xy 137.83637 111.1746) (xy 138.304246 111.1746) (xy 139.221749 112.092105) (xy 139.2214 112.093862) + (xy 139.2214 112.188138) (xy 139.239792 112.280603) (xy 139.27587 112.367702) (xy 139.328247 112.44609) (xy 139.39491 112.512753) + (xy 139.473298 112.56513) (xy 139.560397 112.601208) (xy 139.652862 112.6196) (xy 139.747138 112.6196) (xy 139.839603 112.601208) + (xy 139.926702 112.56513) (xy 140.00509 112.512753) (xy 140.071753 112.44609) (xy 140.12413 112.367702) (xy 140.160208 112.280603) + (xy 140.1786 112.188138) (xy 140.1786 112.093862) (xy 140.160208 112.001397) (xy 140.12413 111.914298) (xy 140.071753 111.83591) + (xy 140.00509 111.769247) (xy 139.926702 111.71687) (xy 139.839603 111.680792) (xy 139.747138 111.6624) (xy 139.652862 111.6624) + (xy 139.651105 111.662749) (xy 138.655226 110.666872) (xy 138.645716 110.655284) (xy 138.599487 110.617345) (xy 138.546744 110.589154) + (xy 138.489516 110.571794) (xy 138.444904 110.5674) (xy 138.43 110.565932) (xy 138.415096 110.5674) (xy 137.83637 110.5674) + (xy 137.805714 110.510047) (xy 137.758493 110.452507) (xy 137.700953 110.405286) (xy 137.635307 110.370197) (xy 137.564077 110.34859) + (xy 137.49 110.341294) (xy 136.09 110.341294) (xy 136.015923 110.34859) (xy 135.944693 110.370197) (xy 135.879047 110.405286) + (xy 135.821507 110.452507) (xy 135.774286 110.510047) (xy 135.739197 110.575693) (xy 135.71759 110.646923) (xy 135.710294 110.721) + (xy 134.818354 110.721) (xy 135.634755 109.9046) (xy 135.74363 109.9046) (xy 135.774286 109.961953) (xy 135.821507 110.019493) + (xy 135.879047 110.066714) (xy 135.944693 110.101803) (xy 136.015923 110.12341) (xy 136.09 110.130706) (xy 137.49 110.130706) + (xy 137.564077 110.12341) (xy 137.635307 110.101803) (xy 137.700953 110.066714) (xy 137.758493 110.019493) (xy 137.805714 109.961953) + (xy 137.840803 109.896307) (xy 137.86241 109.825077) (xy 137.869706 109.751) (xy 137.869706 109.451) (xy 137.86241 109.376923) + (xy 137.840803 109.305693) (xy 137.805714 109.240047) (xy 137.758493 109.182507) (xy 137.700953 109.135286) (xy 137.635307 109.100197) + (xy 137.564077 109.07859) (xy 137.49 109.071294) (xy 136.09 109.071294) (xy 136.015923 109.07859) (xy 135.944693 109.100197) + (xy 135.879047 109.135286) (xy 135.821507 109.182507) (xy 135.774286 109.240047) (xy 135.74363 109.2974) (xy 135.523904 109.2974) + (xy 135.509 109.295932) (xy 135.494096 109.2974) (xy 135.449484 109.301794) (xy 135.392256 109.319154) (xy 135.339513 109.347345) + (xy 135.293284 109.385284) (xy 135.283779 109.396866) (xy 133.695867 110.984779) (xy 133.684285 110.994284) (xy 133.646346 111.040513) + (xy 133.635819 111.060208) (xy 133.618155 111.093256) (xy 133.600794 111.150485) (xy 133.594932 111.21) (xy 133.596401 111.224914) + (xy 133.5964 112.277251) (xy 133.59491 112.278247) (xy 133.528247 112.34491) (xy 133.47587 112.423298) (xy 133.439792 112.510397) + (xy 133.4214 112.602862) (xy 132.717217 112.602862) (xy 131.8756 111.761246) (xy 131.8756 108.181) (xy 135.710294 108.181) + (xy 135.710294 108.481) (xy 135.71759 108.555077) (xy 135.739197 108.626307) (xy 135.774286 108.691953) (xy 135.821507 108.749493) + (xy 135.879047 108.796714) (xy 135.944693 108.831803) (xy 136.015923 108.85341) (xy 136.09 108.860706) (xy 137.49 108.860706) + (xy 137.564077 108.85341) (xy 137.635307 108.831803) (xy 137.700953 108.796714) (xy 137.758493 108.749493) (xy 137.805714 108.691953) + (xy 137.840803 108.626307) (xy 137.86241 108.555077) (xy 137.869706 108.481) (xy 137.869706 108.181) (xy 137.86241 108.106923) + (xy 137.840803 108.035693) (xy 137.805714 107.970047) (xy 137.758493 107.912507) (xy 137.700953 107.865286) (xy 137.635307 107.830197) + (xy 137.564077 107.80859) (xy 137.49 107.801294) (xy 136.09 107.801294) (xy 136.015923 107.80859) (xy 135.944693 107.830197) + (xy 135.879047 107.865286) (xy 135.821507 107.912507) (xy 135.774286 107.970047) (xy 135.739197 108.035693) (xy 135.71759 108.106923) + (xy 135.710294 108.181) (xy 131.8756 108.181) (xy 131.8756 106.911) (xy 135.710294 106.911) (xy 135.710294 107.211) + (xy 135.71759 107.285077) (xy 135.739197 107.356307) (xy 135.774286 107.421953) (xy 135.821507 107.479493) (xy 135.879047 107.526714) + (xy 135.944693 107.561803) (xy 136.015923 107.58341) (xy 136.09 107.590706) (xy 137.49 107.590706) (xy 137.564077 107.58341) + (xy 137.635307 107.561803) (xy 137.700953 107.526714) (xy 137.758493 107.479493) (xy 137.805714 107.421953) (xy 137.840803 107.356307) + (xy 137.86241 107.285077) (xy 137.869706 107.211) (xy 137.869706 106.911) (xy 137.86241 106.836923) (xy 137.840803 106.765693) + (xy 137.805714 106.700047) (xy 137.758493 106.642507) (xy 137.700953 106.595286) (xy 137.635307 106.560197) (xy 137.564077 106.53859) + (xy 137.49 106.531294) (xy 136.09 106.531294) (xy 136.015923 106.53859) (xy 135.944693 106.560197) (xy 135.879047 106.595286) + (xy 135.821507 106.642507) (xy 135.774286 106.700047) (xy 135.739197 106.765693) (xy 135.71759 106.836923) (xy 135.710294 106.911) + (xy 131.8756 106.911) (xy 131.8756 105.486904) (xy 131.877068 105.472) (xy 131.871206 105.412484) (xy 131.864525 105.39046) + (xy 131.853846 105.355256) (xy 131.825655 105.302513) (xy 131.787716 105.256284) (xy 131.776134 105.246779) (xy 130.900355 104.371) + (xy 135.710294 104.371) (xy 135.710294 104.671) (xy 135.71759 104.745077) (xy 135.739197 104.816307) (xy 135.774286 104.881953) + (xy 135.821507 104.939493) (xy 135.879047 104.986714) (xy 135.944693 105.021803) (xy 136.015923 105.04341) (xy 136.09 105.050706) + (xy 137.49 105.050706) (xy 137.564077 105.04341) (xy 137.635307 105.021803) (xy 137.700953 104.986714) (xy 137.758493 104.939493) + (xy 137.805714 104.881953) (xy 137.83637 104.8246) (xy 138.177245 104.8246) (xy 138.2534 104.900755) (xy 138.253401 105.411244) + (xy 138.177245 105.4874) (xy 137.83637 105.4874) (xy 137.805714 105.430047) (xy 137.758493 105.372507) (xy 137.700953 105.325286) + (xy 137.635307 105.290197) (xy 137.564077 105.26859) (xy 137.49 105.261294) (xy 136.09 105.261294) (xy 136.015923 105.26859) + (xy 135.944693 105.290197) (xy 135.879047 105.325286) (xy 135.821507 105.372507) (xy 135.774286 105.430047) (xy 135.739197 105.495693) + (xy 135.71759 105.566923) (xy 135.710294 105.641) (xy 135.710294 105.941) (xy 135.71759 106.015077) (xy 135.739197 106.086307) + (xy 135.774286 106.151953) (xy 135.821507 106.209493) (xy 135.879047 106.256714) (xy 135.944693 106.291803) (xy 136.015923 106.31341) + (xy 136.09 106.320706) (xy 137.49 106.320706) (xy 137.564077 106.31341) (xy 137.635307 106.291803) (xy 137.700953 106.256714) + (xy 137.758493 106.209493) (xy 137.805714 106.151953) (xy 137.83637 106.0946) (xy 138.253401 106.0946) (xy 138.2534 109.205096) + (xy 138.251932 109.22) (xy 138.254479 109.245862) (xy 138.257794 109.279515) (xy 138.275154 109.336743) (xy 138.303345 109.389486) + (xy 138.341284 109.435716) (xy 138.352872 109.445226) (xy 141.88875 112.981105) (xy 141.8884 112.982862) (xy 141.8884 113.077138) + (xy 141.906792 113.169603) (xy 141.94287 113.256702) (xy 141.995247 113.33509) (xy 142.06191 113.401753) (xy 142.140298 113.45413) + (xy 142.227397 113.490208) (xy 142.319862 113.5086) (xy 142.414138 113.5086) (xy 142.506603 113.490208) (xy 142.593702 113.45413) + (xy 142.67209 113.401753) (xy 142.738753 113.33509) (xy 142.79113 113.256702) (xy 142.827208 113.169603) (xy 142.8456 113.077138) + (xy 142.8456 112.982862) (xy 142.827208 112.890397) (xy 142.79113 112.803298) (xy 142.738753 112.72491) (xy 142.67209 112.658247) + (xy 142.593702 112.60587) (xy 142.506603 112.569792) (xy 142.414138 112.5514) (xy 142.319862 112.5514) (xy 142.318105 112.55175) + (xy 138.8606 109.094246) (xy 138.8606 105.551904) (xy 138.862068 105.537001) (xy 138.8606 105.522096) (xy 138.8606 104.789903) + (xy 138.862068 104.774999) (xy 138.856206 104.715484) (xy 138.851509 104.7) (xy 138.838846 104.658256) (xy 138.810655 104.605513) + (xy 138.772716 104.559284) (xy 138.76114 104.549784) (xy 138.528225 104.316871) (xy 138.518716 104.305284) (xy 138.472487 104.267345) + (xy 138.419744 104.239154) (xy 138.362516 104.221794) (xy 138.317904 104.2174) (xy 138.303 104.215932) (xy 138.288096 104.2174) + (xy 137.83637 104.2174) (xy 137.805714 104.160047) (xy 137.758493 104.102507) (xy 137.700953 104.055286) (xy 137.635307 104.020197) + (xy 137.564077 103.99859) (xy 137.49 103.991294) (xy 136.09 103.991294) (xy 136.015923 103.99859) (xy 135.944693 104.020197) + (xy 135.879047 104.055286) (xy 135.821507 104.102507) (xy 135.774286 104.160047) (xy 135.739197 104.225693) (xy 135.71759 104.296923) + (xy 135.710294 104.371) (xy 130.900355 104.371) (xy 129.630355 103.101) (xy 135.710294 103.101) (xy 135.710294 103.401) + (xy 135.71759 103.475077) (xy 135.739197 103.546307) (xy 135.774286 103.611953) (xy 135.821507 103.669493) (xy 135.879047 103.716714) + (xy 135.944693 103.751803) (xy 136.015923 103.77341) (xy 136.09 103.780706) (xy 137.49 103.780706) (xy 137.564077 103.77341) + (xy 137.635307 103.751803) (xy 137.700953 103.716714) (xy 137.758493 103.669493) (xy 137.805714 103.611953) (xy 137.840803 103.546307) + (xy 137.86241 103.475077) (xy 137.869706 103.401) (xy 137.869706 103.101) (xy 137.86241 103.026923) (xy 137.840803 102.955693) + (xy 137.805714 102.890047) (xy 137.758493 102.832507) (xy 137.700953 102.785286) (xy 137.635307 102.750197) (xy 137.564077 102.72859) + (xy 137.49 102.721294) (xy 136.09 102.721294) (xy 136.015923 102.72859) (xy 135.944693 102.750197) (xy 135.879047 102.785286) + (xy 135.821507 102.832507) (xy 135.774286 102.890047) (xy 135.739197 102.955693) (xy 135.71759 103.026923) (xy 135.710294 103.101) + (xy 129.630355 103.101) (xy 129.425226 102.895872) (xy 129.415716 102.884284) (xy 129.369487 102.846345) (xy 129.316744 102.818154) + (xy 129.259516 102.800794) (xy 129.214904 102.7964) (xy 129.2 102.794932) (xy 129.185096 102.7964) (xy 127.774449 102.7964) + (xy 127.744286 102.771646) (xy 127.691606 102.743488) (xy 127.634445 102.726149) (xy 127.575 102.720294) (xy 126.4786 102.720294) + (xy 126.4786 102.281) (xy 135.710294 102.281) (xy 135.714708 102.325813) (xy 135.727779 102.368905) (xy 135.749006 102.408618) + (xy 135.777573 102.443427) (xy 135.812382 102.471994) (xy 135.852095 102.493221) (xy 135.895187 102.506292) (xy 135.94 102.510706) + (xy 136.65905 102.5096) (xy 136.7162 102.45245) (xy 136.7162 102.0548) (xy 136.8638 102.0548) (xy 136.8638 102.45245) + (xy 136.92095 102.5096) (xy 137.64 102.510706) (xy 137.684813 102.506292) (xy 137.727905 102.493221) (xy 137.767618 102.471994) + (xy 137.802427 102.443427) (xy 137.830994 102.408618) (xy 137.852221 102.368905) (xy 137.865292 102.325813) (xy 137.869706 102.281) + (xy 137.8686 102.11195) (xy 137.81145 102.0548) (xy 136.8638 102.0548) (xy 136.7162 102.0548) (xy 135.76855 102.0548) + (xy 135.7114 102.11195) (xy 135.710294 102.281) (xy 126.4786 102.281) (xy 126.4786 102.1) (xy 126.474208 102.055402) + (xy 126.461199 102.012519) (xy 126.440074 101.972997) (xy 126.411645 101.938355) (xy 126.377003 101.909926) (xy 126.337481 101.888801) + (xy 126.294598 101.875792) (xy 126.25 101.8714) (xy 125.629706 101.8714) (xy 125.629706 100.775) (xy 125.6286 100.763771) + (xy 125.6286 100.593095) (xy 125.649866 100.567182) (xy 125.694308 100.484038) (xy 125.721674 100.393822) (xy 125.7286 100.323504) + (xy 125.7286 100.06342) (xy 125.775187 100.025187) (xy 125.830181 99.958176) (xy 125.847913 99.925) (xy 126.095294 99.925) + (xy 126.099708 99.969813) (xy 126.112779 100.012905) (xy 126.134006 100.052618) (xy 126.162573 100.087427) (xy 126.197382 100.115994) + (xy 126.237095 100.137221) (xy 126.280187 100.150292) (xy 126.325 100.154706) (xy 126.61905 100.1536) (xy 126.6762 100.09645) + (xy 126.6762 99.5238) (xy 126.8238 99.5238) (xy 126.8238 100.09645) (xy 126.88095 100.1536) (xy 127.175 100.154706) + (xy 127.219813 100.150292) (xy 127.262905 100.137221) (xy 127.302618 100.115994) (xy 127.337427 100.087427) (xy 127.365994 100.052618) + (xy 127.387221 100.012905) (xy 127.400292 99.969813) (xy 127.404706 99.925) (xy 127.4036 99.58095) (xy 127.34645 99.5238) + (xy 126.8238 99.5238) (xy 126.6762 99.5238) (xy 126.15355 99.5238) (xy 126.0964 99.58095) (xy 126.095294 99.925) + (xy 125.847913 99.925) (xy 125.871045 99.881725) (xy 125.896209 99.79877) (xy 125.904706 99.7125) (xy 125.904706 99.1875) + (xy 125.896209 99.10123) (xy 125.871045 99.018275) (xy 125.847914 98.975) (xy 126.095294 98.975) (xy 126.0964 99.31905) + (xy 126.15355 99.3762) (xy 126.6762 99.3762) (xy 126.6762 98.80355) (xy 126.8238 98.80355) (xy 126.8238 99.3762) + (xy 127.34645 99.3762) (xy 127.4036 99.31905) (xy 127.404706 98.975) (xy 127.400292 98.930187) (xy 127.387221 98.887095) + (xy 127.365994 98.847382) (xy 127.337427 98.812573) (xy 127.302618 98.784006) (xy 127.262905 98.762779) (xy 127.219813 98.749708) + (xy 127.175 98.745294) (xy 126.88095 98.7464) (xy 126.8238 98.80355) (xy 126.6762 98.80355) (xy 126.61905 98.7464) + (xy 126.325 98.745294) (xy 126.280187 98.749708) (xy 126.237095 98.762779) (xy 126.197382 98.784006) (xy 126.162573 98.812573) + (xy 126.134006 98.847382) (xy 126.112779 98.887095) (xy 126.099708 98.930187) (xy 126.095294 98.975) (xy 125.847914 98.975) + (xy 125.830181 98.941824) (xy 125.775187 98.874813) (xy 125.708176 98.819819) (xy 125.631725 98.778955) (xy 125.54877 98.753791) + (xy 125.4625 98.745294) (xy 125.0375 98.745294) (xy 124.95123 98.753791) (xy 124.868275 98.778955) (xy 124.791824 98.819819) + (xy 124.724813 98.874813) (xy 124.669819 98.941824) (xy 124.628955 99.018275) (xy 124.603791 99.10123) (xy 124.595294 99.1875) + (xy 124.595294 99.611647) (xy 124.559516 99.600794) (xy 124.514904 99.5964) (xy 124.5 99.594932) (xy 124.485096 99.5964) + (xy 124.325755 99.5964) (xy 124.2036 99.474246) (xy 124.2036 99.325754) (xy 125.325755 98.2036) (xy 126.76813 98.2036) + (xy 126.7761 98.229874) (xy 126.813499 98.299843) (xy 126.86383 98.36117) (xy 126.925157 98.411501) (xy 126.995126 98.4489) + (xy 127.071046 98.47193) (xy 127.15 98.479706) (xy 127.75 98.479706) (xy 127.828954 98.47193) (xy 127.904874 98.4489) + (xy 127.974843 98.411501) (xy 128.03617 98.36117) (xy 128.086501 98.299843) (xy 128.1239 98.229874) (xy 128.14693 98.153954) + (xy 128.154706 98.075) (xy 128.154706 97.725) (xy 128.445294 97.725) (xy 128.445294 98.075) (xy 128.45307 98.153954) + (xy 128.4761 98.229874) (xy 128.513499 98.299843) (xy 128.56383 98.36117) (xy 128.625157 98.411501) (xy 128.695126 98.4489) + (xy 128.771046 98.47193) (xy 128.8464 98.479351) (xy 128.846401 99.485086) (xy 128.844932 99.5) (xy 128.850794 99.559515) + (xy 128.866257 99.610487) (xy 128.868155 99.616744) (xy 128.896346 99.669487) (xy 128.934285 99.715716) (xy 128.945867 99.725221) + (xy 130.834279 101.613634) (xy 130.843784 101.625216) (xy 130.890013 101.663155) (xy 130.942756 101.691346) (xy 130.999984 101.708706) + (xy 131.0595 101.714568) (xy 131.074404 101.7131) (xy 132.128596 101.7131) (xy 132.1435 101.714568) (xy 132.158404 101.7131) + (xy 132.203016 101.708706) (xy 132.260244 101.691346) (xy 132.2796 101.681) (xy 135.710294 101.681) (xy 135.7114 101.85005) + (xy 135.76855 101.9072) (xy 136.7162 101.9072) (xy 136.7162 101.50955) (xy 136.8638 101.50955) (xy 136.8638 101.9072) + (xy 137.81145 101.9072) (xy 137.8686 101.85005) (xy 137.869706 101.681) (xy 137.865292 101.636187) (xy 137.852221 101.593095) + (xy 137.830994 101.553382) (xy 137.802427 101.518573) (xy 137.767618 101.490006) (xy 137.727905 101.468779) (xy 137.684813 101.455708) + (xy 137.64 101.451294) (xy 136.92095 101.4524) (xy 136.8638 101.50955) (xy 136.7162 101.50955) (xy 136.65905 101.4524) + (xy 135.94 101.451294) (xy 135.895187 101.455708) (xy 135.852095 101.468779) (xy 135.812382 101.490006) (xy 135.777573 101.518573) + (xy 135.749006 101.553382) (xy 135.727779 101.593095) (xy 135.714708 101.636187) (xy 135.710294 101.681) (xy 132.2796 101.681) + (xy 132.312987 101.663155) (xy 132.359216 101.625216) (xy 132.368726 101.613628) (xy 132.971354 101.011) (xy 135.710294 101.011) + (xy 135.714708 101.055813) (xy 135.727779 101.098905) (xy 135.749006 101.138618) (xy 135.777573 101.173427) (xy 135.812382 101.201994) + (xy 135.852095 101.223221) (xy 135.895187 101.236292) (xy 135.94 101.240706) (xy 136.65905 101.2396) (xy 136.7162 101.18245) + (xy 136.7162 100.7848) (xy 136.8638 100.7848) (xy 136.8638 101.18245) (xy 136.92095 101.2396) (xy 137.64 101.240706) + (xy 137.684813 101.236292) (xy 137.727905 101.223221) (xy 137.767618 101.201994) (xy 137.802427 101.173427) (xy 137.830994 101.138618) + (xy 137.852221 101.098905) (xy 137.865292 101.055813) (xy 137.869706 101.011) (xy 137.8686 100.84195) (xy 137.81145 100.7848) + (xy 136.8638 100.7848) (xy 136.7162 100.7848) (xy 135.76855 100.7848) (xy 135.7114 100.84195) (xy 135.710294 101.011) + (xy 132.971354 101.011) (xy 133.571354 100.411) (xy 135.710294 100.411) (xy 135.7114 100.58005) (xy 135.76855 100.6372) + (xy 136.7162 100.6372) (xy 136.7162 100.23955) (xy 136.8638 100.23955) (xy 136.8638 100.6372) (xy 137.81145 100.6372) + (xy 137.8686 100.58005) (xy 137.869706 100.411) (xy 137.865292 100.366187) (xy 137.852221 100.323095) (xy 137.830994 100.283382) + (xy 137.802427 100.248573) (xy 137.767618 100.220006) (xy 137.727905 100.198779) (xy 137.684813 100.185708) (xy 137.64 100.181294) + (xy 136.92095 100.1824) (xy 136.8638 100.23955) (xy 136.7162 100.23955) (xy 136.65905 100.1824) (xy 135.94 100.181294) + (xy 135.895187 100.185708) (xy 135.852095 100.198779) (xy 135.812382 100.220006) (xy 135.777573 100.248573) (xy 135.749006 100.283382) + (xy 135.727779 100.323095) (xy 135.714708 100.366187) (xy 135.710294 100.411) (xy 133.571354 100.411) (xy 134.237756 99.7446) + (xy 135.74363 99.7446) (xy 135.774286 99.801953) (xy 135.821507 99.859493) (xy 135.879047 99.906714) (xy 135.944693 99.941803) + (xy 136.015923 99.96341) (xy 136.09 99.970706) (xy 137.49 99.970706) (xy 137.564077 99.96341) (xy 137.635307 99.941803) + (xy 137.700953 99.906714) (xy 137.758493 99.859493) (xy 137.805714 99.801953) (xy 137.840803 99.736307) (xy 137.86241 99.665077) + (xy 137.869706 99.591) (xy 137.869706 99.291) (xy 137.86241 99.216923) (xy 137.840803 99.145693) (xy 137.805714 99.080047) + (xy 137.758493 99.022507) (xy 137.700953 98.975286) (xy 137.635307 98.940197) (xy 137.564077 98.91859) (xy 137.49 98.911294) + (xy 136.09 98.911294) (xy 136.015923 98.91859) (xy 135.944693 98.940197) (xy 135.879047 98.975286) (xy 135.821507 99.022507) + (xy 135.774286 99.080047) (xy 135.74363 99.1374) (xy 134.126903 99.1374) (xy 134.111999 99.135932) (xy 134.052483 99.141794) + (xy 134.037481 99.146345) (xy 133.995256 99.159154) (xy 133.942513 99.187345) (xy 133.896284 99.225284) (xy 133.886783 99.236861) + (xy 132.017746 101.1059) (xy 131.185255 101.1059) (xy 130.475355 100.396) (xy 130.642294 100.396) (xy 130.646708 100.440813) + (xy 130.659779 100.483905) (xy 130.681006 100.523618) (xy 130.709573 100.558427) (xy 130.744382 100.586994) (xy 130.784095 100.608221) + (xy 130.827187 100.621292) (xy 130.872 100.625706) (xy 131.44105 100.6246) (xy 131.4982 100.56745) (xy 131.4982 99.9448) + (xy 131.6458 99.9448) (xy 131.6458 100.56745) (xy 131.70295 100.6246) (xy 132.272 100.625706) (xy 132.316813 100.621292) + (xy 132.359905 100.608221) (xy 132.399618 100.586994) (xy 132.434427 100.558427) (xy 132.462994 100.523618) (xy 132.484221 100.483905) + (xy 132.497292 100.440813) (xy 132.501706 100.396) (xy 132.5006 100.00195) (xy 132.44345 99.9448) (xy 131.6458 99.9448) + (xy 131.4982 99.9448) (xy 130.70055 99.9448) (xy 130.6434 100.00195) (xy 130.642294 100.396) (xy 130.475355 100.396) + (xy 129.4536 99.374246) (xy 129.4536 99.346) (xy 130.642294 99.346) (xy 130.6434 99.74005) (xy 130.70055 99.7972) + (xy 131.4982 99.7972) (xy 131.4982 99.17455) (xy 131.6458 99.17455) (xy 131.6458 99.7972) (xy 132.44345 99.7972) + (xy 132.5006 99.74005) (xy 132.501706 99.346) (xy 132.497292 99.301187) (xy 132.484221 99.258095) (xy 132.462994 99.218382) + (xy 132.434427 99.183573) (xy 132.399618 99.155006) (xy 132.359905 99.133779) (xy 132.316813 99.120708) (xy 132.272 99.116294) + (xy 131.70295 99.1174) (xy 131.6458 99.17455) (xy 131.4982 99.17455) (xy 131.44105 99.1174) (xy 130.872 99.116294) + (xy 130.827187 99.120708) (xy 130.784095 99.133779) (xy 130.744382 99.155006) (xy 130.709573 99.183573) (xy 130.681006 99.218382) + (xy 130.659779 99.258095) (xy 130.646708 99.301187) (xy 130.642294 99.346) (xy 129.4536 99.346) (xy 129.4536 98.479351) + (xy 129.528954 98.47193) (xy 129.604874 98.4489) (xy 129.674843 98.411501) (xy 129.73617 98.36117) (xy 129.786501 98.299843) + (xy 129.8239 98.229874) (xy 129.84693 98.153954) (xy 129.854706 98.075) (xy 129.854706 97.725) (xy 129.84693 97.646046) + (xy 129.8239 97.570126) (xy 129.786501 97.500157) (xy 129.73617 97.43883) (xy 129.674843 97.388499) (xy 129.604874 97.3511) + (xy 129.528954 97.32807) (xy 129.45 97.320294) (xy 128.85 97.320294) (xy 128.771046 97.32807) (xy 128.695126 97.3511) + (xy 128.625157 97.388499) (xy 128.56383 97.43883) (xy 128.513499 97.500157) (xy 128.4761 97.570126) (xy 128.45307 97.646046) + (xy 128.445294 97.725) (xy 128.154706 97.725) (xy 128.14693 97.646046) (xy 128.1239 97.570126) (xy 128.086501 97.500157) + (xy 128.03617 97.43883) (xy 127.974843 97.388499) (xy 127.904874 97.3511) (xy 127.828954 97.32807) (xy 127.75 97.320294) + (xy 127.15 97.320294) (xy 127.071046 97.32807) (xy 126.995126 97.3511) (xy 126.925157 97.388499) (xy 126.86383 97.43883) + (xy 126.813499 97.500157) (xy 126.7761 97.570126) (xy 126.76813 97.5964) (xy 125.214904 97.5964) (xy 125.2 97.594932) + (xy 125.185096 97.5964) (xy 125.140484 97.600794) (xy 125.083256 97.618154) (xy 125.030513 97.646345) (xy 124.984284 97.684284) + (xy 124.974779 97.695866) (xy 123.695872 98.974774) (xy 123.684284 98.984284) (xy 123.646345 99.030514) (xy 123.618154 99.083257) + (xy 123.605755 99.12413) (xy 123.600794 99.140485) (xy 123.594932 99.2) (xy 123.5964 99.214904) (xy 123.5964 99.585096) + (xy 123.594932 99.6) (xy 123.5964 99.614904) (xy 123.600794 99.659516) (xy 123.618155 99.716744) (xy 123.646346 99.769487) + (xy 123.684285 99.815716) (xy 123.695867 99.825221) (xy 123.974778 100.104133) (xy 123.984284 100.115716) (xy 124.030513 100.153655) + (xy 124.083256 100.181846) (xy 124.139076 100.198779) (xy 124.140484 100.199206) (xy 124.199999 100.205068) (xy 124.214903 100.2036) + (xy 124.374245 100.2036) (xy 124.4464 100.275755) (xy 124.4464 100.496051) (xy 124.441606 100.493488) (xy 124.384445 100.476149) + (xy 124.325 100.470294) (xy 124.175 100.470294) (xy 124.115555 100.476149) (xy 124.058394 100.493488) (xy 124.005714 100.521646) + (xy 124 100.526335) (xy 123.994286 100.521646) (xy 123.941606 100.493488) (xy 123.884445 100.476149) (xy 123.825 100.470294) + (xy 123.675 100.470294) (xy 123.615555 100.476149) (xy 123.558394 100.493488) (xy 123.505714 100.521646) (xy 123.5 100.526335) + (xy 123.494286 100.521646) (xy 123.441606 100.493488) (xy 123.384445 100.476149) (xy 123.325 100.470294) (xy 123.175 100.470294) + (xy 123.115555 100.476149) (xy 123.058394 100.493488) (xy 123.028306 100.50957) (xy 123.027618 100.509006) (xy 122.987905 100.487779) + (xy 122.944813 100.474708) (xy 122.9 100.470294) (xy 122.88095 100.4714) (xy 122.8238 100.52855) (xy 122.8238 101.3637) + (xy 122.8438 101.3637) (xy 122.8438 101.5113) (xy 122.8238 101.5113) (xy 122.8238 101.5313) (xy 122.6762 101.5313) + (xy 122.6762 101.5113) (xy 122.6562 101.5113) (xy 122.6562 101.3637) (xy 122.6762 101.3637) (xy 122.6762 100.52855) + (xy 122.61905 100.4714) (xy 122.6 100.470294) (xy 122.555187 100.474708) (xy 122.5536 100.475189) (xy 122.5536 99.772749) + (xy 122.55509 99.771753) (xy 122.621753 99.70509) (xy 122.67413 99.626702) (xy 122.710208 99.539603) (xy 122.7286 99.447138) + (xy 122.7286 99.352862) (xy 122.710208 99.260397) (xy 122.67413 99.173298) (xy 122.621753 99.09491) (xy 122.55509 99.028247) + (xy 122.476702 98.97587) (xy 122.389603 98.939792) (xy 122.297138 98.9214) (xy 122.202862 98.9214) (xy 122.110397 98.939792) + (xy 122.023298 98.97587) (xy 121.94491 99.028247) (xy 121.878247 99.09491) (xy 121.82587 99.173298) (xy 121.789792 99.260397) + (xy 121.7714 99.352862) (xy 121.7714 99.447138) (xy 121.789792 99.539603) (xy 121.82587 99.626702) (xy 121.878247 99.70509) + (xy 121.94491 99.771753) (xy 121.946401 99.772749) (xy 121.946401 99.863319) (xy 121.889603 99.839792) (xy 121.797138 99.8214) + (xy 121.702862 99.8214) (xy 121.610397 99.839792) (xy 121.5536 99.863318) (xy 121.5536 99.175754) (xy 124.254134 96.475221) + (xy 124.265716 96.465716) (xy 124.303655 96.419487) (xy 124.331846 96.366744) (xy 124.349206 96.309516) (xy 124.3536 96.264904) + (xy 124.355068 96.25) (xy 124.3536 96.235096) (xy 124.3536 96.125) (xy 126.745294 96.125) (xy 126.745294 96.475) + (xy 126.75307 96.553954) (xy 126.7761 96.629874) (xy 126.813499 96.699843) (xy 126.86383 96.76117) (xy 126.925157 96.811501) + (xy 126.995126 96.8489) (xy 127.071046 96.87193) (xy 127.15 96.879706) (xy 127.75 96.879706) (xy 127.828954 96.87193) + (xy 127.904874 96.8489) (xy 127.974843 96.811501) (xy 128.03617 96.76117) (xy 128.086501 96.699843) (xy 128.1239 96.629874) + (xy 128.13187 96.6036) (xy 128.185096 96.6036) (xy 128.2 96.605068) (xy 128.214904 96.6036) (xy 128.285096 96.6036) + (xy 128.3 96.605068) (xy 128.314904 96.6036) (xy 128.385095 96.6036) (xy 128.399999 96.605068) (xy 128.414903 96.6036) + (xy 128.46813 96.6036) (xy 128.4761 96.629874) (xy 128.513499 96.699843) (xy 128.56383 96.76117) (xy 128.625157 96.811501) + (xy 128.695126 96.8489) (xy 128.771046 96.87193) (xy 128.85 96.879706) (xy 129.45 96.879706) (xy 129.528954 96.87193) + (xy 129.604874 96.8489) (xy 129.674843 96.811501) (xy 129.73617 96.76117) (xy 129.786501 96.699843) (xy 129.8239 96.629874) + (xy 129.84693 96.553954) (xy 129.854706 96.475) (xy 129.854706 96.125) (xy 129.84693 96.046046) (xy 129.8239 95.970126) + (xy 129.786501 95.900157) (xy 129.73617 95.83883) (xy 129.674843 95.788499) (xy 129.604874 95.7511) (xy 129.528954 95.72807) + (xy 129.45 95.720294) (xy 128.85 95.720294) (xy 128.771046 95.72807) (xy 128.695126 95.7511) (xy 128.625157 95.788499) + (xy 128.6036 95.806191) (xy 128.6036 95.6536) (xy 131.085096 95.6536) (xy 131.1 95.655068) (xy 131.114904 95.6536) + (xy 131.159516 95.649206) (xy 131.216744 95.631846) (xy 131.269487 95.603655) (xy 131.315716 95.565716) (xy 131.325226 95.554128) + (xy 131.904133 94.975221) (xy 131.915716 94.965716) (xy 131.953655 94.919487) (xy 131.981846 94.866744) (xy 131.999206 94.809516) + (xy 132.0036 94.764904) (xy 132.0036 94.764903) (xy 132.005068 94.750001) (xy 132.0036 94.735097) (xy 132.0036 94.629351) + (xy 132.103341 94.619528) (xy 132.20271 94.589385) (xy 132.294289 94.540434) (xy 132.374559 94.474559) (xy 132.440434 94.394289) + (xy 132.489385 94.30271) (xy 132.519528 94.203341) (xy 132.529706 94.1) (xy 132.529706 93.3) (xy 132.519528 93.196659) + (xy 132.489385 93.09729) (xy 132.440434 93.005711) (xy 132.374559 92.925441) (xy 132.294289 92.859566) (xy 132.20271 92.810615) + (xy 132.103341 92.780472) (xy 132 92.770294) (xy 131.4 92.770294) (xy 131.296659 92.780472) (xy 131.19729 92.810615) + (xy 131.105711 92.859566) (xy 131.025441 92.925441) (xy 130.959566 93.005711) (xy 130.910615 93.09729) (xy 130.880472 93.196659) + (xy 130.870294 93.3) (xy 130.870294 94.1) (xy 130.880472 94.203341) (xy 130.910615 94.30271) (xy 130.959566 94.394289) + (xy 131.025441 94.474559) (xy 131.105711 94.540434) (xy 131.19729 94.589385) (xy 131.296659 94.619528) (xy 131.391752 94.628894) + (xy 130.974246 95.0464) (xy 128.414903 95.0464) (xy 128.399999 95.044932) (xy 128.385095 95.0464) (xy 128.325755 95.0464) + (xy 127.908248 94.628894) (xy 128.003341 94.619528) (xy 128.10271 94.589385) (xy 128.194289 94.540434) (xy 128.274559 94.474559) + (xy 128.335747 94.4) (xy 129.170294 94.4) (xy 129.174708 94.444813) (xy 129.187779 94.487905) (xy 129.209006 94.527618) + (xy 129.237573 94.562427) (xy 129.272382 94.590994) (xy 129.312095 94.612221) (xy 129.355187 94.625292) (xy 129.4 94.629706) + (xy 129.86905 94.6286) (xy 129.9262 94.57145) (xy 129.9262 93.7738) (xy 130.0738 93.7738) (xy 130.0738 94.57145) + (xy 130.13095 94.6286) (xy 130.6 94.629706) (xy 130.644813 94.625292) (xy 130.687905 94.612221) (xy 130.727618 94.590994) + (xy 130.762427 94.562427) (xy 130.790994 94.527618) (xy 130.812221 94.487905) (xy 130.825292 94.444813) (xy 130.829706 94.4) + (xy 130.8286 93.83095) (xy 130.77145 93.7738) (xy 130.0738 93.7738) (xy 129.9262 93.7738) (xy 129.22855 93.7738) + (xy 129.1714 93.83095) (xy 129.170294 94.4) (xy 128.335747 94.4) (xy 128.340434 94.394289) (xy 128.389385 94.30271) + (xy 128.419528 94.203341) (xy 128.429706 94.1) (xy 128.429706 93.3) (xy 128.419528 93.196659) (xy 128.389385 93.09729) + (xy 128.340434 93.005711) (xy 128.335748 93) (xy 129.170294 93) (xy 129.1714 93.56905) (xy 129.22855 93.6262) + (xy 129.9262 93.6262) (xy 129.9262 92.82855) (xy 130.0738 92.82855) (xy 130.0738 93.6262) (xy 130.77145 93.6262) + (xy 130.8286 93.56905) (xy 130.829706 93) (xy 130.825292 92.955187) (xy 130.812221 92.912095) (xy 130.790994 92.872382) + (xy 130.762427 92.837573) (xy 130.727618 92.809006) (xy 130.687905 92.787779) (xy 130.644813 92.774708) (xy 130.6 92.770294) + (xy 130.13095 92.7714) (xy 130.0738 92.82855) (xy 129.9262 92.82855) (xy 129.86905 92.7714) (xy 129.4 92.770294) + (xy 129.355187 92.774708) (xy 129.312095 92.787779) (xy 129.272382 92.809006) (xy 129.237573 92.837573) (xy 129.209006 92.872382) + (xy 129.187779 92.912095) (xy 129.174708 92.955187) (xy 129.170294 93) (xy 128.335748 93) (xy 128.274559 92.925441) + (xy 128.194289 92.859566) (xy 128.10271 92.810615) (xy 128.003341 92.780472) (xy 127.9 92.770294) (xy 127.3 92.770294) + (xy 127.196659 92.780472) (xy 127.09729 92.810615) (xy 127.005711 92.859566) (xy 126.925441 92.925441) (xy 126.859566 93.005711) + (xy 126.810615 93.09729) (xy 126.780472 93.196659) (xy 126.770294 93.3) (xy 126.770294 94.1) (xy 126.780472 94.203341) + (xy 126.810615 94.30271) (xy 126.859566 94.394289) (xy 126.925441 94.474559) (xy 127.005711 94.540434) (xy 127.09729 94.589385) + (xy 127.196659 94.619528) (xy 127.2964 94.629351) (xy 127.2964 94.735096) (xy 127.294932 94.75) (xy 127.299055 94.791861) + (xy 127.300794 94.809515) (xy 127.318154 94.866743) (xy 127.346345 94.919486) (xy 127.384284 94.965716) (xy 127.395872 94.975226) + (xy 127.995861 95.575216) (xy 127.995866 95.57522) (xy 127.996401 95.575755) (xy 127.996401 95.806191) (xy 127.974843 95.788499) + (xy 127.904874 95.7511) (xy 127.828954 95.72807) (xy 127.75 95.720294) (xy 127.15 95.720294) (xy 127.071046 95.72807) + (xy 126.995126 95.7511) (xy 126.925157 95.788499) (xy 126.86383 95.83883) (xy 126.813499 95.900157) (xy 126.7761 95.970126) + (xy 126.75307 96.046046) (xy 126.745294 96.125) (xy 124.3536 96.125) (xy 124.3536 94.4) (xy 125.070294 94.4) + (xy 125.074708 94.444813) (xy 125.087779 94.487905) (xy 125.109006 94.527618) (xy 125.137573 94.562427) (xy 125.172382 94.590994) + (xy 125.212095 94.612221) (xy 125.255187 94.625292) (xy 125.3 94.629706) (xy 125.76905 94.6286) (xy 125.8262 94.57145) + (xy 125.8262 93.7738) (xy 125.9738 93.7738) (xy 125.9738 94.57145) (xy 126.03095 94.6286) (xy 126.5 94.629706) + (xy 126.544813 94.625292) (xy 126.587905 94.612221) (xy 126.627618 94.590994) (xy 126.662427 94.562427) (xy 126.690994 94.527618) + (xy 126.712221 94.487905) (xy 126.725292 94.444813) (xy 126.729706 94.4) (xy 126.7286 93.83095) (xy 126.67145 93.7738) + (xy 125.9738 93.7738) (xy 125.8262 93.7738) (xy 125.12855 93.7738) (xy 125.0714 93.83095) (xy 125.070294 94.4) + (xy 124.3536 94.4) (xy 124.3536 93) (xy 125.070294 93) (xy 125.0714 93.56905) (xy 125.12855 93.6262) + (xy 125.8262 93.6262) (xy 125.8262 92.82855) (xy 125.9738 92.82855) (xy 125.9738 93.6262) (xy 126.67145 93.6262) + (xy 126.7286 93.56905) (xy 126.729706 93) (xy 126.725292 92.955187) (xy 126.712221 92.912095) (xy 126.690994 92.872382) + (xy 126.662427 92.837573) (xy 126.627618 92.809006) (xy 126.587905 92.787779) (xy 126.544813 92.774708) (xy 126.5 92.770294) + (xy 126.03095 92.7714) (xy 125.9738 92.82855) (xy 125.8262 92.82855) (xy 125.76905 92.7714) (xy 125.3 92.770294) + (xy 125.255187 92.774708) (xy 125.212095 92.787779) (xy 125.172382 92.809006) (xy 125.137573 92.837573) (xy 125.109006 92.872382) + (xy 125.087779 92.912095) (xy 125.074708 92.955187) (xy 125.070294 93) (xy 124.3536 93) (xy 124.3536 91.452862) + (xy 124.4214 91.452862) (xy 124.4214 91.547138) (xy 124.439792 91.639603) (xy 124.47587 91.726702) (xy 124.528247 91.80509) + (xy 124.59491 91.871753) (xy 124.673298 91.92413) (xy 124.760397 91.960208) (xy 124.852862 91.9786) (xy 124.947138 91.9786) + (xy 125.039603 91.960208) (xy 125.074788 91.945634) (xy 125.080472 92.003341) (xy 125.110615 92.10271) (xy 125.159566 92.194289) + (xy 125.225441 92.274559) (xy 125.305711 92.340434) (xy 125.39729 92.389385) (xy 125.496659 92.419528) (xy 125.6 92.429706) + (xy 126.2 92.429706) (xy 126.303341 92.419528) (xy 126.40271 92.389385) (xy 126.494289 92.340434) (xy 126.574559 92.274559) + (xy 126.640434 92.194289) (xy 126.689385 92.10271) (xy 126.719528 92.003341) (xy 126.729706 91.9) (xy 126.729706 91.1) + (xy 126.770294 91.1) (xy 126.770294 91.9) (xy 126.780472 92.003341) (xy 126.810615 92.10271) (xy 126.859566 92.194289) + (xy 126.925441 92.274559) (xy 127.005711 92.340434) (xy 127.09729 92.389385) (xy 127.196659 92.419528) (xy 127.3 92.429706) + (xy 127.9 92.429706) (xy 128.003341 92.419528) (xy 128.10271 92.389385) (xy 128.194289 92.340434) (xy 128.274559 92.274559) + (xy 128.340434 92.194289) (xy 128.389385 92.10271) (xy 128.419528 92.003341) (xy 128.429706 91.9) (xy 128.429706 91.452862) + (xy 128.5214 91.452862) (xy 128.5214 91.547138) (xy 128.539792 91.639603) (xy 128.57587 91.726702) (xy 128.628247 91.80509) + (xy 128.69491 91.871753) (xy 128.773298 91.92413) (xy 128.860397 91.960208) (xy 128.952862 91.9786) (xy 129.047138 91.9786) + (xy 129.139603 91.960208) (xy 129.174788 91.945634) (xy 129.180472 92.003341) (xy 129.210615 92.10271) (xy 129.259566 92.194289) + (xy 129.325441 92.274559) (xy 129.405711 92.340434) (xy 129.49729 92.389385) (xy 129.596659 92.419528) (xy 129.7 92.429706) + (xy 130.3 92.429706) (xy 130.403341 92.419528) (xy 130.50271 92.389385) (xy 130.594289 92.340434) (xy 130.674559 92.274559) + (xy 130.740434 92.194289) (xy 130.789385 92.10271) (xy 130.819528 92.003341) (xy 130.829706 91.9) (xy 130.829706 91.1) + (xy 130.870294 91.1) (xy 130.870294 91.9) (xy 130.880472 92.003341) (xy 130.910615 92.10271) (xy 130.959566 92.194289) + (xy 131.025441 92.274559) (xy 131.105711 92.340434) (xy 131.19729 92.389385) (xy 131.296659 92.419528) (xy 131.4 92.429706) + (xy 132 92.429706) (xy 132.103341 92.419528) (xy 132.20271 92.389385) (xy 132.294289 92.340434) (xy 132.374559 92.274559) + (xy 132.440434 92.194289) (xy 132.489385 92.10271) (xy 132.511866 92.0286) (xy 132.752063 92.0286) (xy 132.777664 92.023508) + (xy 132.803624 92.020951) (xy 132.828582 92.01338) (xy 132.854187 92.008287) (xy 132.878309 91.998296) (xy 132.903265 91.990725) + (xy 132.926265 91.978431) (xy 132.950386 91.96844) (xy 132.972093 91.953936) (xy 132.995095 91.941641) (xy 133.015257 91.925094) + (xy 133.036963 91.910591) (xy 133.055421 91.892133) (xy 133.075585 91.875585) (xy 133.092133 91.855421) (xy 133.110591 91.836963) + (xy 133.125094 91.815257) (xy 133.141641 91.795095) (xy 133.153936 91.772093) (xy 133.16844 91.750386) (xy 133.178431 91.726265) + (xy 133.190725 91.703265) (xy 133.198296 91.678309) (xy 133.208287 91.654187) (xy 133.21338 91.628582) (xy 133.220951 91.603624) + (xy 133.223508 91.577664) (xy 133.2286 91.552063) (xy 133.2286 91.525962) (xy 133.231157 91.5) (xy 133.2286 91.474038) + (xy 133.2286 91.447937) (xy 133.223508 91.422336) (xy 133.220951 91.396376) (xy 133.21338 91.371418) (xy 133.208287 91.345813) + (xy 133.198296 91.321691) (xy 133.190725 91.296735) (xy 133.178431 91.273735) (xy 133.16844 91.249614) (xy 133.153936 91.227907) + (xy 133.141641 91.204905) (xy 133.125094 91.184743) (xy 133.110591 91.163037) (xy 133.092133 91.144579) (xy 133.075585 91.124415) + (xy 133.055421 91.107867) (xy 133.036963 91.089409) (xy 133.015257 91.074906) (xy 132.995095 91.058359) (xy 132.972093 91.046064) + (xy 132.950386 91.03156) (xy 132.926265 91.021569) (xy 132.903265 91.009275) (xy 132.878309 91.001704) (xy 132.854187 90.991713) + (xy 132.828582 90.98662) (xy 132.803624 90.979049) (xy 132.777664 90.976492) (xy 132.752063 90.9714) (xy 132.511866 90.9714) + (xy 132.489385 90.89729) (xy 132.440434 90.805711) (xy 132.374559 90.725441) (xy 132.294289 90.659566) (xy 132.2286 90.624454) + (xy 132.2286 89.971028) (xy 132.26342 89.9286) (xy 132.602063 89.9286) (xy 132.627664 89.923508) (xy 132.653624 89.920951) + (xy 132.678582 89.91338) (xy 132.704187 89.908287) (xy 132.728309 89.898296) (xy 132.753265 89.890725) (xy 132.776265 89.878431) + (xy 132.800386 89.86844) (xy 132.822093 89.853936) (xy 132.845095 89.841641) (xy 132.865257 89.825094) (xy 132.886963 89.810591) + (xy 132.905421 89.792133) (xy 132.925585 89.775585) (xy 132.942133 89.755421) (xy 132.960591 89.736963) (xy 132.975094 89.715257) + (xy 132.991641 89.695095) (xy 133.003936 89.672093) (xy 133.01844 89.650386) (xy 133.028431 89.626265) (xy 133.040725 89.603265) + (xy 133.048296 89.578309) (xy 133.058287 89.554187) (xy 133.06338 89.528582) (xy 133.070951 89.503624) (xy 133.073508 89.477664) + (xy 133.0786 89.452063) (xy 133.0786 89.425961) (xy 133.081157 89.4) (xy 133.0786 89.374038) (xy 133.0786 89.347937) + (xy 133.073508 89.322336) (xy 133.070951 89.296376) (xy 133.06338 89.271418) (xy 133.058287 89.245813) (xy 133.048296 89.221691) + (xy 133.040725 89.196735) (xy 133.028431 89.173734) (xy 133.01844 89.149614) (xy 133.003936 89.127907) (xy 132.991641 89.104905) + (xy 132.975094 89.084743) (xy 132.960591 89.063037) (xy 132.942133 89.044579) (xy 132.925585 89.024415) (xy 132.905421 89.007867) + (xy 132.886963 88.989409) (xy 132.865257 88.974906) (xy 132.845095 88.958359) (xy 132.822093 88.946064) (xy 132.800386 88.93156) + (xy 132.776265 88.921569) (xy 132.753265 88.909275) (xy 132.728309 88.901704) (xy 132.704187 88.891713) (xy 132.678582 88.88662) + (xy 132.653624 88.879049) (xy 132.627664 88.876492) (xy 132.602063 88.8714) (xy 132.26342 88.8714) (xy 132.2286 88.828972) + (xy 132.2286 88.725962) (xy 132.231157 88.7) (xy 132.226805 88.655808) (xy 132.220951 88.596376) (xy 132.190725 88.496735) + (xy 132.141641 88.404905) (xy 132.075585 88.324415) (xy 132.05541 88.307858) (xy 131.910595 88.163043) (xy 131.910591 88.163037) + (xy 131.836963 88.089409) (xy 131.815251 88.074901) (xy 131.795094 88.058359) (xy 131.772097 88.046067) (xy 131.750386 88.03156) + (xy 131.726261 88.021567) (xy 131.703264 88.009275) (xy 131.678311 88.001706) (xy 131.654187 87.991713) (xy 131.62858 87.98662) + (xy 131.603623 87.979049) (xy 131.577665 87.976492) (xy 131.552063 87.9714) (xy 131.525962 87.9714) (xy 131.5 87.968843) + (xy 131.474038 87.9714) (xy 131.447937 87.9714) (xy 131.422335 87.976492) (xy 131.396377 87.979049) (xy 131.37142 87.98662) + (xy 131.345813 87.991713) (xy 131.321689 88.001706) (xy 131.296736 88.009275) (xy 131.273739 88.021567) (xy 131.249614 88.03156) + (xy 131.227903 88.046067) (xy 131.204906 88.058359) (xy 131.18475 88.074901) (xy 131.163037 88.089409) (xy 131.144571 88.107875) + (xy 131.124416 88.124416) (xy 131.107875 88.144571) (xy 131.089409 88.163037) (xy 131.074901 88.18475) (xy 131.058359 88.204906) + (xy 131.046067 88.227903) (xy 131.03156 88.249614) (xy 131.021567 88.273739) (xy 131.009275 88.296736) (xy 131.001706 88.321689) + (xy 130.991713 88.345813) (xy 130.98662 88.37142) (xy 130.979049 88.396377) (xy 130.976492 88.422335) (xy 130.9714 88.447937) + (xy 130.9714 88.474038) (xy 130.968843 88.5) (xy 130.9714 88.525962) (xy 130.9714 88.552063) (xy 130.976492 88.577665) + (xy 130.979049 88.603623) (xy 130.98662 88.62858) (xy 130.991713 88.654187) (xy 131.001706 88.678311) (xy 131.009275 88.703264) + (xy 131.021567 88.726261) (xy 131.03156 88.750386) (xy 131.046067 88.772097) (xy 131.058359 88.795094) (xy 131.074901 88.815251) + (xy 131.089409 88.836963) (xy 131.13084 88.878394) (xy 131.119819 88.891824) (xy 131.078955 88.968275) (xy 131.053791 89.05123) + (xy 131.045294 89.1375) (xy 131.045294 89.6625) (xy 131.053791 89.74877) (xy 131.078955 89.831725) (xy 131.119819 89.908176) + (xy 131.171401 89.971029) (xy 131.171401 90.297932) (xy 131.1714 90.297937) (xy 131.1714 90.624454) (xy 131.105711 90.659566) + (xy 131.025441 90.725441) (xy 130.959566 90.805711) (xy 130.910615 90.89729) (xy 130.880472 90.996659) (xy 130.870294 91.1) + (xy 130.829706 91.1) (xy 130.819528 90.996659) (xy 130.789385 90.89729) (xy 130.740434 90.805711) (xy 130.674559 90.725441) + (xy 130.594289 90.659566) (xy 130.50271 90.610615) (xy 130.403341 90.580472) (xy 130.3 90.570294) (xy 129.7 90.570294) + (xy 129.596659 90.580472) (xy 129.49729 90.610615) (xy 129.405711 90.659566) (xy 129.325441 90.725441) (xy 129.259566 90.805711) + (xy 129.210615 90.89729) (xy 129.180472 90.996659) (xy 129.174788 91.054366) (xy 129.139603 91.039792) (xy 129.047138 91.0214) + (xy 128.952862 91.0214) (xy 128.860397 91.039792) (xy 128.773298 91.07587) (xy 128.69491 91.128247) (xy 128.628247 91.19491) + (xy 128.57587 91.273298) (xy 128.539792 91.360397) (xy 128.5214 91.452862) (xy 128.429706 91.452862) (xy 128.429706 91.1) + (xy 128.419528 90.996659) (xy 128.389385 90.89729) (xy 128.340434 90.805711) (xy 128.274559 90.725441) (xy 128.194289 90.659566) + (xy 128.1286 90.624454) (xy 128.1286 89.971028) (xy 128.16342 89.9286) (xy 128.502063 89.9286) (xy 128.527664 89.923508) + (xy 128.553624 89.920951) (xy 128.578582 89.91338) (xy 128.604187 89.908287) (xy 128.628309 89.898296) (xy 128.653265 89.890725) + (xy 128.676265 89.878431) (xy 128.684548 89.875) (xy 129.545294 89.875) (xy 129.549708 89.919813) (xy 129.562779 89.962905) + (xy 129.584006 90.002618) (xy 129.612573 90.037427) (xy 129.647382 90.065994) (xy 129.687095 90.087221) (xy 129.730187 90.100292) + (xy 129.775 90.104706) (xy 130.06905 90.1036) (xy 130.1262 90.04645) (xy 130.1262 89.4738) (xy 130.2738 89.4738) + (xy 130.2738 90.04645) (xy 130.33095 90.1036) (xy 130.625 90.104706) (xy 130.669813 90.100292) (xy 130.712905 90.087221) + (xy 130.752618 90.065994) (xy 130.787427 90.037427) (xy 130.815994 90.002618) (xy 130.837221 89.962905) (xy 130.850292 89.919813) + (xy 130.854706 89.875) (xy 130.8536 89.53095) (xy 130.79645 89.4738) (xy 130.2738 89.4738) (xy 130.1262 89.4738) + (xy 129.60355 89.4738) (xy 129.5464 89.53095) (xy 129.545294 89.875) (xy 128.684548 89.875) (xy 128.700386 89.86844) + (xy 128.722093 89.853936) (xy 128.745095 89.841641) (xy 128.765257 89.825094) (xy 128.786963 89.810591) (xy 128.805421 89.792133) + (xy 128.825585 89.775585) (xy 128.842133 89.755421) (xy 128.860591 89.736963) (xy 128.875094 89.715257) (xy 128.891641 89.695095) + (xy 128.903936 89.672093) (xy 128.91844 89.650386) (xy 128.928431 89.626265) (xy 128.940725 89.603265) (xy 128.948296 89.578309) + (xy 128.958287 89.554187) (xy 128.96338 89.528582) (xy 128.970951 89.503624) (xy 128.973508 89.477664) (xy 128.9786 89.452063) + (xy 128.9786 89.425961) (xy 128.981157 89.4) (xy 128.9786 89.374038) (xy 128.9786 89.347937) (xy 128.973508 89.322336) + (xy 128.970951 89.296376) (xy 128.96338 89.271418) (xy 128.958287 89.245813) (xy 128.948296 89.221691) (xy 128.940725 89.196735) + (xy 128.928431 89.173734) (xy 128.91844 89.149614) (xy 128.903936 89.127907) (xy 128.891641 89.104905) (xy 128.875094 89.084743) + (xy 128.860591 89.063037) (xy 128.842133 89.044579) (xy 128.825585 89.024415) (xy 128.805421 89.007867) (xy 128.786963 88.989409) + (xy 128.765257 88.974906) (xy 128.745095 88.958359) (xy 128.722093 88.946064) (xy 128.700386 88.93156) (xy 128.684549 88.925) + (xy 129.545294 88.925) (xy 129.5464 89.26905) (xy 129.60355 89.3262) (xy 130.1262 89.3262) (xy 130.1262 88.75355) + (xy 130.2738 88.75355) (xy 130.2738 89.3262) (xy 130.79645 89.3262) (xy 130.8536 89.26905) (xy 130.854706 88.925) + (xy 130.850292 88.880187) (xy 130.837221 88.837095) (xy 130.815994 88.797382) (xy 130.787427 88.762573) (xy 130.752618 88.734006) + (xy 130.712905 88.712779) (xy 130.669813 88.699708) (xy 130.625 88.695294) (xy 130.33095 88.6964) (xy 130.2738 88.75355) + (xy 130.1262 88.75355) (xy 130.06905 88.6964) (xy 129.775 88.695294) (xy 129.730187 88.699708) (xy 129.687095 88.712779) + (xy 129.647382 88.734006) (xy 129.612573 88.762573) (xy 129.584006 88.797382) (xy 129.562779 88.837095) (xy 129.549708 88.880187) + (xy 129.545294 88.925) (xy 128.684549 88.925) (xy 128.676265 88.921569) (xy 128.653265 88.909275) (xy 128.628309 88.901704) + (xy 128.604187 88.891713) (xy 128.578582 88.88662) (xy 128.553624 88.879049) (xy 128.527664 88.876492) (xy 128.502063 88.8714) + (xy 128.16342 88.8714) (xy 128.1286 88.828972) (xy 128.1286 88.725962) (xy 128.131157 88.7) (xy 128.126805 88.655808) + (xy 128.120951 88.596376) (xy 128.090725 88.496735) (xy 128.041641 88.404905) (xy 127.975585 88.324415) (xy 127.95541 88.307858) + (xy 127.810595 88.163043) (xy 127.810591 88.163037) (xy 127.736963 88.089409) (xy 127.715251 88.074901) (xy 127.695094 88.058359) + (xy 127.672097 88.046067) (xy 127.650386 88.03156) (xy 127.626261 88.021567) (xy 127.603264 88.009275) (xy 127.578311 88.001706) + (xy 127.554187 87.991713) (xy 127.52858 87.98662) (xy 127.503623 87.979049) (xy 127.477665 87.976492) (xy 127.452063 87.9714) + (xy 127.425962 87.9714) (xy 127.4 87.968843) (xy 127.374038 87.9714) (xy 127.347937 87.9714) (xy 127.322335 87.976492) + (xy 127.296377 87.979049) (xy 127.27142 87.98662) (xy 127.245813 87.991713) (xy 127.221689 88.001706) (xy 127.196736 88.009275) + (xy 127.173739 88.021567) (xy 127.149614 88.03156) (xy 127.127903 88.046067) (xy 127.104906 88.058359) (xy 127.08475 88.074901) + (xy 127.063037 88.089409) (xy 127.044571 88.107875) (xy 127.024416 88.124416) (xy 127.007875 88.144571) (xy 126.989409 88.163037) + (xy 126.974901 88.18475) (xy 126.958359 88.204906) (xy 126.946067 88.227903) (xy 126.93156 88.249614) (xy 126.921567 88.273739) + (xy 126.909275 88.296736) (xy 126.901706 88.321689) (xy 126.891713 88.345813) (xy 126.88662 88.37142) (xy 126.879049 88.396377) + (xy 126.876492 88.422335) (xy 126.8714 88.447937) (xy 126.8714 88.474038) (xy 126.868843 88.5) (xy 126.8714 88.525962) + (xy 126.8714 88.552063) (xy 126.876492 88.577665) (xy 126.879049 88.603623) (xy 126.88662 88.62858) (xy 126.891713 88.654187) + (xy 126.901706 88.678311) (xy 126.909275 88.703264) (xy 126.921567 88.726261) (xy 126.93156 88.750386) (xy 126.946067 88.772097) + (xy 126.958359 88.795094) (xy 126.974901 88.815251) (xy 126.989409 88.836963) (xy 127.03084 88.878394) (xy 127.019819 88.891824) + (xy 126.978955 88.968275) (xy 126.953791 89.05123) (xy 126.945294 89.1375) (xy 126.945294 89.6625) (xy 126.953791 89.74877) + (xy 126.978955 89.831725) (xy 127.019819 89.908176) (xy 127.071401 89.971029) (xy 127.071401 90.297932) (xy 127.0714 90.297937) + (xy 127.0714 90.624454) (xy 127.005711 90.659566) (xy 126.925441 90.725441) (xy 126.859566 90.805711) (xy 126.810615 90.89729) + (xy 126.780472 90.996659) (xy 126.770294 91.1) (xy 126.729706 91.1) (xy 126.719528 90.996659) (xy 126.689385 90.89729) + (xy 126.640434 90.805711) (xy 126.574559 90.725441) (xy 126.494289 90.659566) (xy 126.40271 90.610615) (xy 126.303341 90.580472) + (xy 126.2 90.570294) (xy 125.6 90.570294) (xy 125.496659 90.580472) (xy 125.39729 90.610615) (xy 125.305711 90.659566) + (xy 125.225441 90.725441) (xy 125.159566 90.805711) (xy 125.110615 90.89729) (xy 125.080472 90.996659) (xy 125.074788 91.054366) + (xy 125.039603 91.039792) (xy 124.947138 91.0214) (xy 124.852862 91.0214) (xy 124.760397 91.039792) (xy 124.673298 91.07587) + (xy 124.59491 91.128247) (xy 124.528247 91.19491) (xy 124.47587 91.273298) (xy 124.439792 91.360397) (xy 124.4214 91.452862) + (xy 124.3536 91.452862) (xy 124.3536 89.875) (xy 125.445294 89.875) (xy 125.449708 89.919813) (xy 125.462779 89.962905) + (xy 125.484006 90.002618) (xy 125.512573 90.037427) (xy 125.547382 90.065994) (xy 125.587095 90.087221) (xy 125.630187 90.100292) + (xy 125.675 90.104706) (xy 125.96905 90.1036) (xy 126.0262 90.04645) (xy 126.0262 89.4738) (xy 126.1738 89.4738) + (xy 126.1738 90.04645) (xy 126.23095 90.1036) (xy 126.525 90.104706) (xy 126.569813 90.100292) (xy 126.612905 90.087221) + (xy 126.652618 90.065994) (xy 126.687427 90.037427) (xy 126.715994 90.002618) (xy 126.737221 89.962905) (xy 126.750292 89.919813) + (xy 126.754706 89.875) (xy 126.7536 89.53095) (xy 126.69645 89.4738) (xy 126.1738 89.4738) (xy 126.0262 89.4738) + (xy 125.50355 89.4738) (xy 125.4464 89.53095) (xy 125.445294 89.875) (xy 124.3536 89.875) (xy 124.3536 89.175754) + (xy 124.604354 88.925) (xy 125.445294 88.925) (xy 125.4464 89.26905) (xy 125.50355 89.3262) (xy 126.0262 89.3262) + (xy 126.0262 88.75355) (xy 126.1738 88.75355) (xy 126.1738 89.3262) (xy 126.69645 89.3262) (xy 126.7536 89.26905) + (xy 126.754706 88.925) (xy 126.750292 88.880187) (xy 126.737221 88.837095) (xy 126.715994 88.797382) (xy 126.687427 88.762573) + (xy 126.652618 88.734006) (xy 126.612905 88.712779) (xy 126.569813 88.699708) (xy 126.525 88.695294) (xy 126.23095 88.6964) + (xy 126.1738 88.75355) (xy 126.0262 88.75355) (xy 125.96905 88.6964) (xy 125.675 88.695294) (xy 125.630187 88.699708) + (xy 125.587095 88.712779) (xy 125.547382 88.734006) (xy 125.512573 88.762573) (xy 125.484006 88.797382) (xy 125.462779 88.837095) + (xy 125.449708 88.880187) (xy 125.445294 88.925) (xy 124.604354 88.925) (xy 126.754134 86.775221) (xy 126.765716 86.765716) + (xy 126.803655 86.719487) (xy 126.831846 86.666744) (xy 126.849206 86.609516) (xy 126.8536 86.564904) (xy 126.855068 86.55) + (xy 126.8536 86.535096) (xy 126.8536 81.264904) (xy 126.855068 81.25) (xy 126.849206 81.190484) (xy 126.844921 81.176358) + (xy 126.831846 81.133256) (xy 126.803655 81.080513) (xy 126.765716 81.034284) (xy 126.754135 81.02478) (xy 125.589355 79.86) + (xy 128.085294 79.86) (xy 128.085294 80.16) (xy 128.09259 80.234077) (xy 128.114197 80.305307) (xy 128.149286 80.370953) + (xy 128.196507 80.428493) (xy 128.254047 80.475714) (xy 128.319693 80.510803) (xy 128.390923 80.53241) (xy 128.465 80.539706) + (xy 128.611606 80.539706) (xy 128.60787 80.545298) (xy 128.571792 80.632397) (xy 128.5534 80.724862) (xy 128.5534 80.819138) + (xy 128.571792 80.911603) (xy 128.60787 80.998702) (xy 128.660247 81.07709) (xy 128.72691 81.143753) (xy 128.805298 81.19613) + (xy 128.892397 81.232208) (xy 128.984862 81.2506) (xy 129.079138 81.2506) (xy 129.171603 81.232208) (xy 129.258702 81.19613) + (xy 129.290325 81.175) (xy 130.280294 81.175) (xy 130.280294 82.35) (xy 130.28759 82.424077) (xy 130.309197 82.495307) + (xy 130.344286 82.560953) (xy 130.391507 82.618493) (xy 130.449047 82.665714) (xy 130.514693 82.700803) (xy 130.585923 82.72241) + (xy 130.66 82.729706) (xy 130.96 82.729706) (xy 131.034077 82.72241) (xy 131.105307 82.700803) (xy 131.170953 82.665714) + (xy 131.228493 82.618493) (xy 131.275714 82.560953) (xy 131.310803 82.495307) (xy 131.33241 82.424077) (xy 131.339706 82.35) + (xy 131.339706 81.175) (xy 131.33241 81.100923) (xy 131.310803 81.029693) (xy 131.275714 80.964047) (xy 131.228493 80.906507) + (xy 131.217114 80.897169) (xy 131.23413 80.871702) (xy 131.270208 80.784603) (xy 131.2886 80.692138) (xy 131.2886 80.597862) + (xy 131.270208 80.505397) (xy 131.23413 80.418298) (xy 131.181753 80.33991) (xy 131.11509 80.273247) (xy 131.036702 80.22087) + (xy 130.949603 80.184792) (xy 130.857138 80.1664) (xy 130.762862 80.1664) (xy 130.670397 80.184792) (xy 130.583298 80.22087) + (xy 130.50491 80.273247) (xy 130.438247 80.33991) (xy 130.38587 80.418298) (xy 130.349792 80.505397) (xy 130.3314 80.597862) + (xy 130.3314 80.692138) (xy 130.349792 80.784603) (xy 130.38587 80.871702) (xy 130.402886 80.897169) (xy 130.391507 80.906507) + (xy 130.344286 80.964047) (xy 130.309197 81.029693) (xy 130.28759 81.100923) (xy 130.280294 81.175) (xy 129.290325 81.175) + (xy 129.33709 81.143753) (xy 129.403753 81.07709) (xy 129.45613 80.998702) (xy 129.492208 80.911603) (xy 129.5106 80.819138) + (xy 129.5106 80.724862) (xy 129.492208 80.632397) (xy 129.45613 80.545298) (xy 129.452394 80.539706) (xy 129.64 80.539706) + (xy 129.714077 80.53241) (xy 129.785307 80.510803) (xy 129.850953 80.475714) (xy 129.908493 80.428493) (xy 129.955714 80.370953) + (xy 129.990803 80.305307) (xy 130.01241 80.234077) (xy 130.019706 80.16) (xy 130.019706 79.86) (xy 130.01241 79.785923) + (xy 129.990803 79.714693) (xy 129.955714 79.649047) (xy 129.908493 79.591507) (xy 129.850953 79.544286) (xy 129.785307 79.509197) + (xy 129.714077 79.48759) (xy 129.64 79.480294) (xy 128.465 79.480294) (xy 128.390923 79.48759) (xy 128.319693 79.509197) + (xy 128.254047 79.544286) (xy 128.196507 79.591507) (xy 128.149286 79.649047) (xy 128.114197 79.714693) (xy 128.09259 79.785923) + (xy 128.085294 79.86) (xy 125.589355 79.86) (xy 124.319355 78.59) (xy 128.085294 78.59) (xy 128.085294 78.89) + (xy 128.09259 78.964077) (xy 128.114197 79.035307) (xy 128.149286 79.100953) (xy 128.196507 79.158493) (xy 128.254047 79.205714) + (xy 128.319693 79.240803) (xy 128.390923 79.26241) (xy 128.465 79.269706) (xy 129.64 79.269706) (xy 129.714077 79.26241) + (xy 129.785307 79.240803) (xy 129.850953 79.205714) (xy 129.908493 79.158493) (xy 129.919602 79.144956) (xy 129.948298 79.16413) + (xy 130.035397 79.200208) (xy 130.127862 79.2186) (xy 130.222138 79.2186) (xy 130.314603 79.200208) (xy 130.401702 79.16413) + (xy 130.48009 79.111753) (xy 130.546753 79.04509) (xy 130.59913 78.966702) (xy 130.635208 78.879603) (xy 130.6536 78.787138) + (xy 130.6536 78.692862) (xy 130.635208 78.600397) (xy 130.59913 78.513298) (xy 130.546753 78.43491) (xy 130.48009 78.368247) + (xy 130.401702 78.31587) (xy 130.314603 78.279792) (xy 130.222138 78.2614) (xy 130.127862 78.2614) (xy 130.035397 78.279792) + (xy 129.948298 78.31587) (xy 129.919602 78.335044) (xy 129.908493 78.321507) (xy 129.850953 78.274286) (xy 129.785307 78.239197) + (xy 129.714077 78.21759) (xy 129.64 78.210294) (xy 128.465 78.210294) (xy 128.390923 78.21759) (xy 128.319693 78.239197) + (xy 128.254047 78.274286) (xy 128.196507 78.321507) (xy 128.149286 78.379047) (xy 128.114197 78.444693) (xy 128.09259 78.515923) + (xy 128.085294 78.59) (xy 124.319355 78.59) (xy 122.884355 77.155) (xy 123.022294 77.155) (xy 123.026708 77.199813) + (xy 123.039779 77.242905) (xy 123.061006 77.282618) (xy 123.089573 77.317427) (xy 123.124382 77.345994) (xy 123.164095 77.367221) + (xy 123.207187 77.380292) (xy 123.252 77.384706) (xy 123.82105 77.3836) (xy 123.8782 77.32645) (xy 123.8782 76.7038) + (xy 124.0258 76.7038) (xy 124.0258 77.32645) (xy 124.08295 77.3836) (xy 124.652 77.384706) (xy 124.696813 77.380292) + (xy 124.739905 77.367221) (xy 124.779618 77.345994) (xy 124.811291 77.32) (xy 128.085294 77.32) (xy 128.085294 77.62) + (xy 128.09259 77.694077) (xy 128.114197 77.765307) (xy 128.149286 77.830953) (xy 128.196507 77.888493) (xy 128.254047 77.935714) + (xy 128.319693 77.970803) (xy 128.390923 77.99241) (xy 128.465 77.999706) (xy 129.64 77.999706) (xy 129.714077 77.99241) + (xy 129.785307 77.970803) (xy 129.850953 77.935714) (xy 129.908493 77.888493) (xy 129.919602 77.874956) (xy 129.948298 77.89413) + (xy 130.035397 77.930208) (xy 130.127862 77.9486) (xy 130.222138 77.9486) (xy 130.314603 77.930208) (xy 130.401702 77.89413) + (xy 130.48009 77.841753) (xy 130.546753 77.77509) (xy 130.59913 77.696702) (xy 130.635208 77.609603) (xy 130.6536 77.517138) + (xy 130.6536 77.422862) (xy 130.635208 77.330397) (xy 130.59913 77.243298) (xy 130.546753 77.16491) (xy 130.48009 77.098247) + (xy 130.401702 77.04587) (xy 130.314603 77.009792) (xy 130.222138 76.9914) (xy 130.127862 76.9914) (xy 130.035397 77.009792) + (xy 129.948298 77.04587) (xy 129.919602 77.065044) (xy 129.908493 77.051507) (xy 129.850953 77.004286) (xy 129.785307 76.969197) + (xy 129.714077 76.94759) (xy 129.64 76.940294) (xy 128.465 76.940294) (xy 128.390923 76.94759) (xy 128.319693 76.969197) + (xy 128.254047 77.004286) (xy 128.196507 77.051507) (xy 128.149286 77.109047) (xy 128.114197 77.174693) (xy 128.09259 77.245923) + (xy 128.085294 77.32) (xy 124.811291 77.32) (xy 124.814427 77.317427) (xy 124.842994 77.282618) (xy 124.864221 77.242905) + (xy 124.877292 77.199813) (xy 124.881706 77.155) (xy 124.8806 76.76095) (xy 124.82345 76.7038) (xy 124.0258 76.7038) + (xy 123.8782 76.7038) (xy 123.08055 76.7038) (xy 123.0234 76.76095) (xy 123.022294 77.155) (xy 122.884355 77.155) + (xy 122.2236 76.494246) (xy 122.2236 76.105) (xy 123.022294 76.105) (xy 123.0234 76.49905) (xy 123.08055 76.5562) + (xy 123.8782 76.5562) (xy 123.8782 75.93355) (xy 124.0258 75.93355) (xy 124.0258 76.5562) (xy 124.82345 76.5562) + (xy 124.8806 76.49905) (xy 124.881706 76.105) (xy 124.877292 76.060187) (xy 124.874203 76.05) (xy 128.085294 76.05) + (xy 128.085294 76.35) (xy 128.09259 76.424077) (xy 128.114197 76.495307) (xy 128.149286 76.560953) (xy 128.196507 76.618493) + (xy 128.254047 76.665714) (xy 128.319693 76.700803) (xy 128.390923 76.72241) (xy 128.465 76.729706) (xy 129.64 76.729706) + (xy 129.714077 76.72241) (xy 129.785307 76.700803) (xy 129.850953 76.665714) (xy 129.908493 76.618493) (xy 129.919602 76.604956) + (xy 129.948298 76.62413) (xy 130.035397 76.660208) (xy 130.127862 76.6786) (xy 130.222138 76.6786) (xy 130.314603 76.660208) + (xy 130.401702 76.62413) (xy 130.48009 76.571753) (xy 130.546753 76.50509) (xy 130.59913 76.426702) (xy 130.635208 76.339603) + (xy 130.6536 76.247138) (xy 130.6536 76.152862) (xy 130.635208 76.060397) (xy 130.59913 75.973298) (xy 130.546753 75.89491) + (xy 130.48009 75.828247) (xy 130.401702 75.77587) (xy 130.314603 75.739792) (xy 130.222138 75.7214) (xy 130.127862 75.7214) + (xy 130.035397 75.739792) (xy 129.948298 75.77587) (xy 129.919602 75.795044) (xy 129.908493 75.781507) (xy 129.850953 75.734286) + (xy 129.785307 75.699197) (xy 129.714077 75.67759) (xy 129.64 75.670294) (xy 128.465 75.670294) (xy 128.390923 75.67759) + (xy 128.319693 75.699197) (xy 128.254047 75.734286) (xy 128.196507 75.781507) (xy 128.149286 75.839047) (xy 128.114197 75.904693) + (xy 128.09259 75.975923) (xy 128.085294 76.05) (xy 124.874203 76.05) (xy 124.864221 76.017095) (xy 124.842994 75.977382) + (xy 124.814427 75.942573) (xy 124.779618 75.914006) (xy 124.739905 75.892779) (xy 124.696813 75.879708) (xy 124.652 75.875294) + (xy 124.08295 75.8764) (xy 124.0258 75.93355) (xy 123.8782 75.93355) (xy 123.82105 75.8764) (xy 123.252 75.875294) + (xy 123.207187 75.879708) (xy 123.164095 75.892779) (xy 123.124382 75.914006) (xy 123.089573 75.942573) (xy 123.061006 75.977382) + (xy 123.039779 76.017095) (xy 123.026708 76.060187) (xy 123.022294 76.105) (xy 122.2236 76.105) (xy 122.2236 75.1205) + (xy 122.277843 75.1205) (xy 122.2804 75.146462) (xy 122.2804 75.172563) (xy 122.285492 75.198165) (xy 122.288049 75.224123) + (xy 122.29562 75.24908) (xy 122.300713 75.274687) (xy 122.310706 75.298811) (xy 122.318275 75.323764) (xy 122.330567 75.346761) + (xy 122.34056 75.370886) (xy 122.355067 75.392597) (xy 122.367359 75.415594) (xy 122.383901 75.43575) (xy 122.398409 75.457463) + (xy 122.416875 75.475929) (xy 122.433416 75.496084) (xy 122.453571 75.512625) (xy 122.472037 75.531091) (xy 122.49375 75.545599) + (xy 122.513906 75.562141) (xy 122.536903 75.574433) (xy 122.558614 75.58894) (xy 122.582739 75.598933) (xy 122.605736 75.611225) + (xy 122.630689 75.618794) (xy 122.654813 75.628787) (xy 122.68042 75.63388) (xy 122.705377 75.641451) (xy 122.731335 75.644008) + (xy 122.756937 75.6491) (xy 122.783038 75.6491) (xy 122.809 75.651657) (xy 122.834962 75.6491) (xy 122.861063 75.6491) + (xy 122.886665 75.644008) (xy 122.912623 75.641451) (xy 122.93758 75.63388) (xy 122.963187 75.628787) (xy 122.987311 75.618794) + (xy 123.012264 75.611225) (xy 123.035261 75.598933) (xy 123.059386 75.58894) (xy 123.081097 75.574433) (xy 123.104094 75.562141) + (xy 123.124251 75.545599) (xy 123.145963 75.531091) (xy 123.15296 75.524094) (xy 123.166458 75.540542) (xy 123.241045 75.601754) + (xy 123.326141 75.647239) (xy 123.418475 75.675248) (xy 123.5145 75.684706) (xy 124.3895 75.684706) (xy 124.485525 75.675248) + (xy 124.577859 75.647239) (xy 124.662955 75.601754) (xy 124.737542 75.540542) (xy 124.798754 75.465955) (xy 124.802685 75.4586) + (xy 128.453771 75.4586) (xy 128.465 75.459706) (xy 129.64 75.459706) (xy 129.651229 75.4586) (xy 130.290563 75.4586) + (xy 130.316164 75.453508) (xy 130.342124 75.450951) (xy 130.367082 75.44338) (xy 130.392687 75.438287) (xy 130.416809 75.428296) + (xy 130.441765 75.420725) (xy 130.464765 75.408431) (xy 130.488886 75.39844) (xy 130.510593 75.383936) (xy 130.533595 75.371641) + (xy 130.553757 75.355094) (xy 130.575463 75.340591) (xy 130.593921 75.322133) (xy 130.614085 75.305585) (xy 130.630636 75.285418) + (xy 130.649091 75.266963) (xy 130.663594 75.245257) (xy 130.680141 75.225095) (xy 130.692436 75.202093) (xy 130.70694 75.180386) + (xy 130.716931 75.156265) (xy 130.729225 75.133265) (xy 130.736796 75.108309) (xy 130.746787 75.084187) (xy 130.75188 75.058582) + (xy 130.759451 75.033624) (xy 130.762008 75.007664) (xy 130.7671 74.982063) (xy 130.7671 74.955961) (xy 130.769657 74.93) + (xy 130.7671 74.904038) (xy 130.7671 74.877937) (xy 130.762008 74.852336) (xy 130.759451 74.826376) (xy 130.75188 74.801418) + (xy 130.746787 74.775813) (xy 130.736796 74.751691) (xy 130.729225 74.726735) (xy 130.716931 74.703735) (xy 130.70694 74.679614) + (xy 130.692436 74.657907) (xy 130.680141 74.634905) (xy 130.663594 74.614743) (xy 130.649091 74.593037) (xy 130.630633 74.574579) + (xy 130.614085 74.554415) (xy 130.59392 74.537866) (xy 130.575463 74.519409) (xy 130.553757 74.504906) (xy 130.533595 74.488359) + (xy 130.510593 74.476064) (xy 130.488886 74.46156) (xy 130.464765 74.451569) (xy 130.441765 74.439275) (xy 130.416809 74.431704) + (xy 130.392687 74.421713) (xy 130.367082 74.41662) (xy 130.342124 74.409049) (xy 130.316164 74.406492) (xy 130.290563 74.4014) + (xy 129.651229 74.4014) (xy 129.64 74.400294) (xy 128.465 74.400294) (xy 128.453771 74.4014) (xy 124.802685 74.4014) + (xy 124.798754 74.394045) (xy 124.737542 74.319458) (xy 124.662955 74.258246) (xy 124.577859 74.212761) (xy 124.485525 74.184752) + (xy 124.4806 74.184267) (xy 124.4806 73.925437) (xy 124.475508 73.899836) (xy 124.472951 73.873876) (xy 124.46538 73.848918) + (xy 124.460287 73.823313) (xy 124.450296 73.799191) (xy 124.442725 73.774235) (xy 124.430431 73.751235) (xy 124.42044 73.727114) + (xy 124.405936 73.705407) (xy 124.393641 73.682405) (xy 124.377094 73.662243) (xy 124.362591 73.640537) (xy 124.344133 73.622079) + (xy 124.327585 73.601915) (xy 124.307421 73.585367) (xy 124.288963 73.566909) (xy 124.267257 73.552406) (xy 124.247095 73.535859) + (xy 124.224093 73.523564) (xy 124.202386 73.50906) (xy 124.178265 73.499069) (xy 124.155265 73.486775) (xy 124.130309 73.479204) + (xy 124.106187 73.469213) (xy 124.080582 73.46412) (xy 124.055624 73.456549) (xy 124.029664 73.453992) (xy 124.004063 73.4489) + (xy 123.977962 73.4489) (xy 123.952 73.446343) (xy 123.926038 73.4489) (xy 123.899937 73.4489) (xy 123.874335 73.453992) + (xy 123.848377 73.456549) (xy 123.82342 73.46412) (xy 123.797813 73.469213) (xy 123.773689 73.479206) (xy 123.748736 73.486775) + (xy 123.725739 73.499067) (xy 123.701614 73.50906) (xy 123.679903 73.523567) (xy 123.656906 73.535859) (xy 123.636748 73.552402) + (xy 123.615037 73.566909) (xy 123.596575 73.585371) (xy 123.576416 73.601915) (xy 123.559872 73.622074) (xy 123.541409 73.640537) + (xy 123.526901 73.662249) (xy 123.51036 73.682405) (xy 123.498069 73.705399) (xy 123.48356 73.727114) (xy 123.473565 73.751243) + (xy 123.461276 73.774235) (xy 123.453708 73.799182) (xy 123.443713 73.823313) (xy 123.438618 73.848927) (xy 123.43105 73.873876) + (xy 123.428494 73.899826) (xy 123.4234 73.925437) (xy 123.4234 74.029563) (xy 123.423401 74.029568) (xy 123.423401 74.184267) + (xy 123.418475 74.184752) (xy 123.326141 74.212761) (xy 123.241045 74.258246) (xy 123.166458 74.319458) (xy 123.105246 74.394045) + (xy 123.101315 74.4014) (xy 123.025462 74.4014) (xy 122.9995 74.398843) (xy 122.973538 74.4014) (xy 122.895876 74.409049) + (xy 122.796235 74.439275) (xy 122.704405 74.488359) (xy 122.623915 74.554415) (xy 122.607358 74.57459) (xy 122.472043 74.709905) + (xy 122.472037 74.709909) (xy 122.398409 74.783537) (xy 122.383901 74.805249) (xy 122.367359 74.825406) (xy 122.355067 74.848403) + (xy 122.34056 74.870114) (xy 122.330567 74.894239) (xy 122.318275 74.917236) (xy 122.310706 74.942189) (xy 122.300713 74.966313) + (xy 122.29562 74.99192) (xy 122.288049 75.016877) (xy 122.285492 75.042835) (xy 122.2804 75.068437) (xy 122.2804 75.094538) + (xy 122.277843 75.1205) (xy 122.2236 75.1205) (xy 122.2236 74.605754) (xy 123.475756 73.3536) (xy 125.074246 73.3536) + (xy 125.584782 73.864138) (xy 125.594284 73.875716) (xy 125.640513 73.913655) (xy 125.693256 73.941846) (xy 125.737631 73.955307) + (xy 125.750484 73.959206) (xy 125.809999 73.965068) (xy 125.824903 73.9636) (xy 128.11863 73.9636) (xy 128.149286 74.020953) + (xy 128.196507 74.078493) (xy 128.254047 74.125714) (xy 128.319693 74.160803) (xy 128.390923 74.18241) (xy 128.465 74.189706) + (xy 129.64 74.189706) (xy 129.714077 74.18241) (xy 129.785307 74.160803) (xy 129.850953 74.125714) (xy 129.908493 74.078493) + (xy 129.919602 74.064956) (xy 129.948298 74.08413) (xy 130.035397 74.120208) (xy 130.127862 74.1386) (xy 130.222138 74.1386) + (xy 130.314603 74.120208) (xy 130.401702 74.08413) (xy 130.48009 74.031753) (xy 130.546753 73.96509) (xy 130.59913 73.886702) + (xy 130.635208 73.799603) (xy 130.6536 73.707138) (xy 130.6536 73.612862) (xy 130.635208 73.520397) (xy 130.59913 73.433298) + (xy 130.546753 73.35491) (xy 130.48009 73.288247) (xy 130.401702 73.23587) (xy 130.314603 73.199792) (xy 130.222138 73.1814) + (xy 130.127862 73.1814) (xy 130.035397 73.199792) (xy 129.948298 73.23587) (xy 129.919602 73.255044) (xy 129.908493 73.241507) + (xy 129.850953 73.194286) (xy 129.785307 73.159197) (xy 129.714077 73.13759) (xy 129.64 73.130294) (xy 128.465 73.130294) + (xy 128.390923 73.13759) (xy 128.319693 73.159197) (xy 128.254047 73.194286) (xy 128.196507 73.241507) (xy 128.149286 73.299047) + (xy 128.11863 73.3564) (xy 125.935756 73.3564) (xy 125.425226 72.845872) (xy 125.415716 72.834284) (xy 125.369487 72.796345) + (xy 125.316744 72.768154) (xy 125.259516 72.750794) (xy 125.214904 72.7464) (xy 125.2 72.744932) (xy 125.185096 72.7464) + (xy 123.364903 72.7464) (xy 123.349999 72.744932) (xy 123.290483 72.750794) (xy 123.265563 72.758354) (xy 123.233256 72.768154) + (xy 123.180513 72.796345) (xy 123.134284 72.834284) (xy 123.124783 72.845861) (xy 121.715872 74.254774) (xy 121.704284 74.264284) + (xy 121.666345 74.310514) (xy 121.638154 74.363257) (xy 121.62387 74.410345) (xy 121.620794 74.420485) (xy 121.614932 74.48) + (xy 121.6164 74.494904) (xy 121.616401 76.605086) (xy 121.614932 76.62) (xy 121.620794 76.679515) (xy 121.634649 76.725187) + (xy 121.638155 76.736744) (xy 121.666346 76.789487) (xy 121.704285 76.835716) (xy 121.715867 76.845221) (xy 126.2464 81.375755) + (xy 126.246401 86.424244) (xy 123.845872 88.824774) (xy 123.834284 88.834284) (xy 123.796345 88.880514) (xy 123.768154 88.933257) + (xy 123.757164 88.969486) (xy 123.750794 88.990485) (xy 123.744932 89.05) (xy 123.7464 89.064904) (xy 123.746401 96.124244) + (xy 121.0536 98.817046) (xy 121.0536 98.275754) (xy 123.554139 95.775217) (xy 123.565716 95.765716) (xy 123.603655 95.719487) + (xy 123.631846 95.666744) (xy 123.649206 95.609516) (xy 123.651733 95.583862) (xy 123.655068 95.550001) (xy 123.6536 95.535097) + (xy 123.6536 88.875754) (xy 126.054134 86.475221) (xy 126.065716 86.465716) (xy 126.103655 86.419487) (xy 126.131846 86.366744) + (xy 126.149206 86.309516) (xy 126.149851 86.302966) (xy 126.155068 86.25) (xy 126.1536 86.235096) (xy 126.1536 81.514903) + (xy 126.155068 81.499999) (xy 126.149206 81.440483) (xy 126.135938 81.396745) (xy 126.131846 81.383256) (xy 126.103655 81.330513) + (xy 126.065716 81.284284) (xy 126.05414 81.274784) (xy 121.5251 76.745746) (xy 121.5251 74.254254) (xy 124.425756 71.3536) + (xy 127.227251 71.3536) (xy 127.228247 71.35509) (xy 127.29491 71.421753) (xy 127.373298 71.47413) (xy 127.460397 71.510208) + (xy 127.552862 71.5286) (xy 127.647138 71.5286) (xy 127.739603 71.510208) (xy 127.826702 71.47413) (xy 127.90509 71.421753) + (xy 127.971753 71.35509) (xy 128.02413 71.276702) (xy 128.060208 71.189603) (xy 128.0786 71.097138) (xy 128.0786 71.002862) + (xy 128.060208 70.910397) (xy 128.02413 70.823298) (xy 127.971753 70.74491) (xy 127.90509 70.678247) (xy 127.826702 70.62587) + (xy 127.739603 70.589792) (xy 127.647138 70.5714) (xy 127.552862 70.5714) (xy 127.460397 70.589792) (xy 127.373298 70.62587) + (xy 127.29491 70.678247) (xy 127.228247 70.74491) (xy 127.227251 70.7464) (xy 124.314903 70.7464) (xy 124.299999 70.744932) + (xy 124.240483 70.750794) (xy 124.226322 70.75509) (xy 124.183256 70.768154) (xy 124.130513 70.796345) (xy 124.084284 70.834284) + (xy 124.074783 70.845861) (xy 121.017372 73.903274) (xy 121.005784 73.912784) (xy 120.967845 73.959014) (xy 120.939654 74.011757) + (xy 120.922546 74.068154) (xy 120.922294 74.068985) (xy 120.916432 74.1285) (xy 120.9179 74.143404) (xy 120.917901 76.856586) + (xy 120.916432 76.8715) (xy 120.922294 76.931015) (xy 120.932902 76.965984) (xy 120.939655 76.988244) (xy 120.967846 77.040987) + (xy 121.005785 77.087216) (xy 121.017367 77.096721) (xy 125.5464 81.625756) (xy 125.546401 86.124244) (xy 123.145872 88.524774) + (xy 123.134284 88.534284) (xy 123.096345 88.580514) (xy 123.068154 88.633257) (xy 123.058308 88.665715) (xy 123.050794 88.690485) + (xy 123.044932 88.75) (xy 123.0464 88.764904) (xy 123.046401 95.424243) (xy 120.5536 97.917046) (xy 120.5536 97.375754) + (xy 122.854135 95.07522) (xy 122.865716 95.065716) (xy 122.903655 95.019487) (xy 122.910727 95.006256) (xy 122.931846 94.966745) + (xy 122.949206 94.909516) (xy 122.955068 94.85) (xy 122.9536 94.835096) (xy 122.9536 88.575754) (xy 125.354135 86.17522) + (xy 125.365716 86.165716) (xy 125.403655 86.119487) (xy 125.431846 86.066744) (xy 125.449206 86.009516) (xy 125.4536 85.964904) + (xy 125.4536 85.964903) (xy 125.455068 85.95) (xy 125.4536 85.935096) (xy 125.4536 81.764903) (xy 125.455068 81.749999) + (xy 125.449206 81.690484) (xy 125.448709 81.688846) (xy 125.431846 81.633256) (xy 125.403655 81.580513) (xy 125.365716 81.534284) + (xy 125.354139 81.524783) (xy 120.8266 76.997246) (xy 120.8266 73.852754) (xy 124.629355 70.05) (xy 130.280294 70.05) + (xy 130.280294 71.225) (xy 130.28759 71.299077) (xy 130.309197 71.370307) (xy 130.344286 71.435953) (xy 130.391507 71.493493) + (xy 130.402886 71.502831) (xy 130.38587 71.528298) (xy 130.349792 71.615397) (xy 130.3314 71.707862) (xy 130.3314 71.802138) + (xy 130.349792 71.894603) (xy 130.38587 71.981702) (xy 130.438247 72.06009) (xy 130.50491 72.126753) (xy 130.583298 72.17913) + (xy 130.670397 72.215208) (xy 130.762862 72.2336) (xy 130.857138 72.2336) (xy 130.949603 72.215208) (xy 131.036702 72.17913) + (xy 131.11509 72.126753) (xy 131.181753 72.06009) (xy 131.23413 71.981702) (xy 131.270208 71.894603) (xy 131.2886 71.802138) + (xy 131.2886 71.707862) (xy 131.270208 71.615397) (xy 131.23413 71.528298) (xy 131.217114 71.502831) (xy 131.228493 71.493493) + (xy 131.275714 71.435953) (xy 131.310803 71.370307) (xy 131.33241 71.299077) (xy 131.339706 71.225) (xy 131.339706 70.05) + (xy 131.33241 69.975923) (xy 131.310803 69.904693) (xy 131.275714 69.839047) (xy 131.228493 69.781507) (xy 131.170953 69.734286) + (xy 131.105307 69.699197) (xy 131.034077 69.67759) (xy 130.96 69.670294) (xy 130.66 69.670294) (xy 130.585923 69.67759) + (xy 130.514693 69.699197) (xy 130.449047 69.734286) (xy 130.391507 69.781507) (xy 130.344286 69.839047) (xy 130.309197 69.904693) + (xy 130.28759 69.975923) (xy 130.280294 70.05) (xy 124.629355 70.05) (xy 125.478256 69.2011) (xy 132.128596 69.2011) + (xy 132.1435 69.202568) (xy 132.158404 69.2011) (xy 132.203016 69.196706) (xy 132.260244 69.179346) (xy 132.312987 69.151155) + (xy 132.359216 69.113216) (xy 132.368726 69.101628) (xy 137.999135 63.47122) (xy 138.010716 63.461716) (xy 138.048655 63.415487) + (xy 138.076846 63.362744) (xy 138.094206 63.305516) (xy 138.0986 63.260904) (xy 138.0986 63.260903) (xy 138.100068 63.246) + (xy 138.0986 63.231096) (xy 138.0986 63.06137) (xy 138.155953 63.030714) (xy 138.213493 62.983493) (xy 138.260714 62.925953) + (xy 138.295803 62.860307) (xy 138.31741 62.789077) (xy 138.324706 62.715) (xy 138.324706 59.967) (xy 138.31741 59.892923) + (xy 138.295803 59.821693) (xy 138.260714 59.756047) (xy 138.213493 59.698507) (xy 138.201682 59.688814) (xy 138.21913 59.662702) + (xy 138.255208 59.575603) (xy 138.2736 59.483138) (xy 138.2736 59.388862) (xy 138.255208 59.296397) (xy 138.21913 59.209298) + (xy 138.166753 59.13091) (xy 138.10009 59.064247) (xy 138.021702 59.01187) (xy 137.934603 58.975792) (xy 137.842138 58.9574) + (xy 137.747862 58.9574) (xy 137.655397 58.975792) (xy 137.568298 59.01187) (xy 137.48991 59.064247) (xy 137.423247 59.13091) + (xy 137.37087 59.209298) (xy 137.334792 59.296397) (xy 137.3164 59.388862) (xy 137.3164 59.483138) (xy 137.334792 59.575603) + (xy 137.37087 59.662702) (xy 137.388318 59.688814) (xy 137.376507 59.698507) (xy 137.329286 59.756047) (xy 137.294197 59.821693) + (xy 137.27259 59.892923) (xy 137.265294 59.967) (xy 137.265294 62.715) (xy 137.27259 62.789077) (xy 137.294197 62.860307) + (xy 137.329286 62.925953) (xy 137.376507 62.983493) (xy 137.434047 63.030714) (xy 137.4914 63.06137) (xy 137.4914 63.120245) + (xy 132.017746 68.5939) (xy 125.367403 68.5939) (xy 125.352499 68.592432) (xy 125.292983 68.598294) (xy 125.279336 68.602434) + (xy 125.235756 68.615654) (xy 125.183013 68.643845) (xy 125.136784 68.681784) (xy 125.127283 68.693361) (xy 120.318867 73.501779) + (xy 120.307285 73.511284) (xy 120.269346 73.557513) (xy 120.246378 73.600484) (xy 120.241155 73.610256) (xy 120.223794 73.667485) + (xy 120.217932 73.727) (xy 120.219401 73.741914) (xy 120.2194 77.108096) (xy 120.217932 77.123) (xy 120.223208 77.176568) + (xy 120.223794 77.182515) (xy 120.241154 77.239743) (xy 120.269345 77.292486) (xy 120.307284 77.338716) (xy 120.318872 77.348226) + (xy 124.846401 81.875757) (xy 124.8464 85.824245) (xy 122.445867 88.224779) (xy 122.434285 88.234284) (xy 122.396346 88.280513) + (xy 122.370697 88.3285) (xy 122.368155 88.333256) (xy 122.350794 88.390485) (xy 122.344932 88.45) (xy 122.346401 88.464914) + (xy 122.3464 94.724245) (xy 120.2536 96.817046) (xy 120.2536 96.275754) (xy 122.154135 94.37522) (xy 122.165716 94.365716) + (xy 122.203655 94.319487) (xy 122.231846 94.266744) (xy 122.249206 94.209516) (xy 122.251705 94.18414) (xy 122.255068 94.150001) + (xy 122.2536 94.135097) (xy 122.2536 88.275754) (xy 124.654135 85.87522) (xy 124.665716 85.865716) (xy 124.703655 85.819487) + (xy 124.731846 85.766744) (xy 124.749206 85.709516) (xy 124.7536 85.664904) (xy 124.7536 85.664903) (xy 124.755068 85.65) + (xy 124.7536 85.635096) (xy 124.7536 82.014904) (xy 124.755068 82) (xy 124.749206 81.940484) (xy 124.744245 81.92413) + (xy 124.731846 81.883256) (xy 124.703655 81.830513) (xy 124.665716 81.784284) (xy 124.654134 81.774779) (xy 120.1281 77.248746) + (xy 120.1281 73.501254) (xy 125.444256 68.1851) (xy 131.874596 68.1851) (xy 131.8895 68.186568) (xy 131.904404 68.1851) + (xy 131.949016 68.180706) (xy 132.006244 68.163346) (xy 132.058987 68.135155) (xy 132.105216 68.097216) (xy 132.114726 68.085628) + (xy 136.729135 63.47122) (xy 136.740716 63.461716) (xy 136.778655 63.415487) (xy 136.806846 63.362744) (xy 136.824206 63.305516) + (xy 136.8286 63.260904) (xy 136.8286 63.260903) (xy 136.830068 63.246) (xy 136.8286 63.231096) (xy 136.8286 63.06137) + (xy 136.885953 63.030714) (xy 136.943493 62.983493) (xy 136.990714 62.925953) (xy 137.025803 62.860307) (xy 137.04741 62.789077) + (xy 137.054706 62.715) (xy 137.054706 59.967) (xy 137.04741 59.892923) (xy 137.025803 59.821693) (xy 136.997012 59.767831) + (xy 137.023753 59.74109) (xy 137.07613 59.662702) (xy 137.112208 59.575603) (xy 137.1306 59.483138) (xy 137.1306 59.388862) + (xy 137.112208 59.296397) (xy 137.07613 59.209298) (xy 137.023753 59.13091) (xy 136.95709 59.064247) (xy 136.878702 59.01187) + (xy 136.791603 58.975792) (xy 136.699138 58.9574) (xy 136.604862 58.9574) (xy 136.512397 58.975792) (xy 136.425298 59.01187) + (xy 136.34691 59.064247) (xy 136.280247 59.13091) (xy 136.22787 59.209298) (xy 136.191792 59.296397) (xy 136.1734 59.388862) + (xy 136.1734 59.483138) (xy 136.191792 59.575603) (xy 136.212429 59.625425) (xy 136.164047 59.651286) (xy 136.106507 59.698507) + (xy 136.059286 59.756047) (xy 136.024197 59.821693) (xy 136.00259 59.892923) (xy 135.995294 59.967) (xy 135.995294 62.715) + (xy 136.00259 62.789077) (xy 136.024197 62.860307) (xy 136.059286 62.925953) (xy 136.106507 62.983493) (xy 136.164047 63.030714) + (xy 136.2214 63.06137) (xy 136.2214 63.120245) (xy 131.763746 67.5779) (xy 125.333403 67.5779) (xy 125.318499 67.576432) + (xy 125.277056 67.580514) (xy 125.258984 67.582294) (xy 125.201756 67.599654) (xy 125.149013 67.627845) (xy 125.102784 67.665784) + (xy 125.093283 67.677361) (xy 122.496967 70.273677) (xy 117.626429 65.403139) (xy 120.05123 65.403139) (xy 120.05123 65.483961) + (xy 121.301355 66.73565) (xy 121.336164 66.764217) (xy 121.375877 66.785445) (xy 121.418969 66.798516) (xy 121.463782 66.80293) + (xy 121.508596 66.798516) (xy 121.551687 66.785445) (xy 121.5914 66.764217) (xy 121.626209 66.73565) (xy 122.239938 66.120357) + (xy 122.239938 66.039535) (xy 120.827386 64.626983) (xy 120.05123 65.403139) (xy 117.626429 65.403139) (xy 116.109508 63.886218) + (xy 118.54707 63.886218) (xy 118.551484 63.931031) (xy 118.564555 63.974123) (xy 118.585783 64.013836) (xy 118.61435 64.048645) + (xy 119.866039 65.29877) (xy 119.946861 65.29877) (xy 120.723017 64.522614) (xy 120.931755 64.522614) (xy 122.344307 65.935166) + (xy 122.425129 65.935166) (xy 123.040422 65.321437) (xy 123.068989 65.286628) (xy 123.090217 65.246915) (xy 123.103288 65.203824) + (xy 123.107702 65.15901) (xy 123.103288 65.114197) (xy 123.090217 65.071105) (xy 123.068989 65.031392) (xy 123.040422 64.996583) + (xy 121.788733 63.746458) (xy 121.707911 63.746458) (xy 120.931755 64.522614) (xy 120.723017 64.522614) (xy 119.310465 63.110062) + (xy 119.229643 63.110062) (xy 118.61435 63.723791) (xy 118.585783 63.7586) (xy 118.564555 63.798313) (xy 118.551484 63.841404) + (xy 118.54707 63.886218) (xy 116.109508 63.886218) (xy 115.148161 62.924871) (xy 119.414834 62.924871) (xy 119.414834 63.005693) + (xy 120.827386 64.418245) (xy 121.603542 63.642089) (xy 121.603542 63.561267) (xy 120.908145 62.865) (xy 127.105294 62.865) + (xy 127.109708 62.909813) (xy 127.122779 62.952905) (xy 127.144006 62.992618) (xy 127.172573 63.027427) (xy 127.207382 63.055994) + (xy 127.247095 63.077221) (xy 127.290187 63.090292) (xy 127.335 63.094706) (xy 127.50405 63.0936) (xy 127.5612 63.03645) + (xy 127.5612 61.4148) (xy 127.7088 61.4148) (xy 127.7088 63.03645) (xy 127.76595 63.0936) (xy 127.935 63.094706) + (xy 127.979813 63.090292) (xy 128.022905 63.077221) (xy 128.062618 63.055994) (xy 128.097427 63.027427) (xy 128.125994 62.992618) + (xy 128.147221 62.952905) (xy 128.160292 62.909813) (xy 128.164706 62.865) (xy 128.1636 61.47195) (xy 128.10645 61.4148) + (xy 127.7088 61.4148) (xy 127.5612 61.4148) (xy 127.16355 61.4148) (xy 127.1064 61.47195) (xy 127.105294 62.865) + (xy 120.908145 62.865) (xy 120.353417 62.309578) (xy 120.318608 62.281011) (xy 120.278895 62.259783) (xy 120.235803 62.246712) + (xy 120.19099 62.242298) (xy 120.146176 62.246712) (xy 120.103085 62.259783) (xy 120.063372 62.281011) (xy 120.028563 62.309578) + (xy 119.414834 62.924871) (xy 115.148161 62.924871) (xy 115.099322 62.876032) (xy 118.158354 59.817) (xy 127.105294 59.817) + (xy 127.1064 61.21005) (xy 127.16355 61.2672) (xy 127.5612 61.2672) (xy 127.5612 59.64555) (xy 127.7088 59.64555) + (xy 127.7088 61.2672) (xy 128.10645 61.2672) (xy 128.1636 61.21005) (xy 128.164706 59.817) (xy 128.160292 59.772187) + (xy 128.147221 59.729095) (xy 128.125994 59.689382) (xy 128.097427 59.654573) (xy 128.062618 59.626006) (xy 128.022905 59.604779) + (xy 127.979813 59.591708) (xy 127.935 59.587294) (xy 127.76595 59.5884) (xy 127.7088 59.64555) (xy 127.5612 59.64555) + (xy 127.50405 59.5884) (xy 127.335 59.587294) (xy 127.290187 59.591708) (xy 127.247095 59.604779) (xy 127.207382 59.626006) + (xy 127.172573 59.654573) (xy 127.144006 59.689382) (xy 127.122779 59.729095) (xy 127.109708 59.772187) (xy 127.105294 59.817) + (xy 118.158354 59.817) (xy 120.759354 57.216) (xy 124.165294 57.216) (xy 124.169708 57.260813) (xy 124.182779 57.303905) + (xy 124.204006 57.343618) (xy 124.232573 57.378427) (xy 124.267382 57.406994) (xy 124.307095 57.428221) (xy 124.350187 57.441292) + (xy 124.395 57.445706) (xy 124.96405 57.4446) (xy 125.0212 57.38745) (xy 125.0212 56.7648) (xy 125.1688 56.7648) + (xy 125.1688 57.38745) (xy 125.22595 57.4446) (xy 125.795 57.445706) (xy 125.839813 57.441292) (xy 125.882905 57.428221) + (xy 125.922618 57.406994) (xy 125.957427 57.378427) (xy 125.985994 57.343618) (xy 126.007221 57.303905) (xy 126.020292 57.260813) + (xy 126.024706 57.216) (xy 126.0236 56.82195) (xy 125.96645 56.7648) (xy 125.1688 56.7648) (xy 125.0212 56.7648) + (xy 124.22355 56.7648) (xy 124.1664 56.82195) (xy 124.165294 57.216) (xy 120.759354 57.216) (xy 121.809354 56.166) + (xy 124.165294 56.166) (xy 124.1664 56.56005) (xy 124.22355 56.6172) (xy 125.0212 56.6172) (xy 125.0212 55.99455) + (xy 125.1688 55.99455) (xy 125.1688 56.6172) (xy 125.96645 56.6172) (xy 126.0236 56.56005) (xy 126.024706 56.166) + (xy 126.020292 56.121187) (xy 126.007221 56.078095) (xy 125.985994 56.038382) (xy 125.957427 56.003573) (xy 125.922618 55.975006) + (xy 125.882905 55.953779) (xy 125.839813 55.940708) (xy 125.795 55.936294) (xy 125.22595 55.9374) (xy 125.1688 55.99455) + (xy 125.0212 55.99455) (xy 124.96405 55.9374) (xy 124.395 55.936294) (xy 124.350187 55.940708) (xy 124.307095 55.953779) + (xy 124.267382 55.975006) (xy 124.232573 56.003573) (xy 124.204006 56.038382) (xy 124.182779 56.078095) (xy 124.169708 56.121187) + (xy 124.165294 56.166) (xy 121.809354 56.166) (xy 125.220755 52.7546) (xy 127.178263 52.7546) (xy 127.16656 52.772114) + (xy 127.156565 52.796243) (xy 127.144276 52.819235) (xy 127.136708 52.844182) (xy 127.126713 52.868313) (xy 127.121618 52.893927) + (xy 127.11405 52.918876) (xy 127.111494 52.944826) (xy 127.1064 52.970437) (xy 127.1064 53.074563) (xy 127.106401 53.074568) + (xy 127.106401 53.605764) (xy 127.105294 53.617) (xy 127.105294 54.404581) (xy 126.996227 54.371496) (xy 126.903875 54.3624) + (xy 125.858538 54.3624) (xy 125.805955 54.319246) (xy 125.720859 54.273761) (xy 125.628525 54.245752) (xy 125.5325 54.236294) + (xy 124.6575 54.236294) (xy 124.561475 54.245752) (xy 124.469141 54.273761) (xy 124.384045 54.319246) (xy 124.331462 54.3624) + (xy 124.173372 54.3624) (xy 124.142499 54.359359) (xy 124.111627 54.3624) (xy 124.111625 54.3624) (xy 124.019273 54.371496) + (xy 123.900781 54.40744) (xy 123.791579 54.46581) (xy 123.695862 54.544362) (xy 123.676175 54.568351) (xy 123.487794 54.756732) + (xy 123.487791 54.756734) (xy 123.400234 54.844291) (xy 123.382985 54.870106) (xy 123.36331 54.89408) (xy 123.348692 54.921429) + (xy 123.331441 54.947246) (xy 123.319559 54.975932) (xy 123.30494 55.003282) (xy 123.295937 55.032959) (xy 123.284056 55.061644) + (xy 123.277999 55.092094) (xy 123.268996 55.121774) (xy 123.265955 55.152644) (xy 123.2599 55.183088) (xy 123.2599 55.214125) + (xy 123.256859 55.245) (xy 123.2599 55.275875) (xy 123.2599 55.306912) (xy 123.265955 55.337356) (xy 123.268996 55.368226) + (xy 123.277999 55.397906) (xy 123.284056 55.428356) (xy 123.295937 55.457041) (xy 123.30494 55.486718) (xy 123.319559 55.514068) + (xy 123.331441 55.542754) (xy 123.348692 55.568571) (xy 123.36331 55.59592) (xy 123.382984 55.619893) (xy 123.400234 55.645709) + (xy 123.422188 55.667663) (xy 123.441863 55.691637) (xy 123.465837 55.711312) (xy 123.487791 55.733266) (xy 123.513607 55.750516) + (xy 123.53758 55.77019) (xy 123.564929 55.784808) (xy 123.590746 55.802059) (xy 123.619432 55.813941) (xy 123.646782 55.82856) + (xy 123.676459 55.837563) (xy 123.705144 55.849444) (xy 123.735594 55.855501) (xy 123.765274 55.864504) (xy 123.796144 55.867545) + (xy 123.826588 55.8736) (xy 123.857625 55.8736) (xy 123.8885 55.876641) (xy 123.919375 55.8736) (xy 123.950412 55.8736) + (xy 123.980856 55.867545) (xy 124.011726 55.864504) (xy 124.041406 55.855501) (xy 124.071856 55.849444) (xy 124.100541 55.837563) + (xy 124.130218 55.82856) (xy 124.157568 55.813941) (xy 124.186254 55.802059) (xy 124.212071 55.784808) (xy 124.23942 55.77019) + (xy 124.263394 55.750515) (xy 124.289209 55.733266) (xy 124.370685 55.65179) (xy 124.384045 55.662754) (xy 124.469141 55.708239) + (xy 124.561475 55.736248) (xy 124.6575 55.745706) (xy 125.5325 55.745706) (xy 125.628525 55.736248) (xy 125.720859 55.708239) + (xy 125.805955 55.662754) (xy 125.858538 55.6196) (xy 126.903875 55.6196) (xy 126.996227 55.610504) (xy 127.105294 55.577419) + (xy 127.105294 56.365) (xy 127.106401 56.376236) (xy 127.106401 56.907432) (xy 127.1064 56.907437) (xy 127.1064 57.011563) + (xy 127.111494 57.037174) (xy 127.11405 57.063124) (xy 127.121618 57.088073) (xy 127.126713 57.113687) (xy 127.136708 57.137818) + (xy 127.144276 57.162765) (xy 127.156565 57.185757) (xy 127.16656 57.209886) (xy 127.181069 57.231601) (xy 127.19336 57.254595) + (xy 127.209901 57.274751) (xy 127.224409 57.296463) (xy 127.242872 57.314926) (xy 127.259416 57.335085) (xy 127.279575 57.351629) + (xy 127.298037 57.370091) (xy 127.319748 57.384598) (xy 127.339906 57.401141) (xy 127.362903 57.413433) (xy 127.384614 57.42794) + (xy 127.408739 57.437933) (xy 127.431736 57.450225) (xy 127.456689 57.457794) (xy 127.480813 57.467787) (xy 127.50642 57.47288) + (xy 127.531377 57.480451) (xy 127.557335 57.483008) (xy 127.582937 57.4881) (xy 127.609038 57.4881) (xy 127.635 57.490657) + (xy 127.660962 57.4881) (xy 127.687063 57.4881) (xy 127.712664 57.483008) (xy 127.738624 57.480451) (xy 127.763582 57.47288) + (xy 127.789187 57.467787) (xy 127.813309 57.457796) (xy 127.838265 57.450225) (xy 127.861265 57.437931) (xy 127.885386 57.42794) + (xy 127.907093 57.413436) (xy 127.930095 57.401141) (xy 127.950257 57.384594) (xy 127.966401 57.373808) (xy 127.966401 58.786086) + (xy 127.964932 58.801) (xy 127.970794 58.860515) (xy 127.981251 58.894986) (xy 127.988155 58.917744) (xy 128.016346 58.970487) + (xy 128.054285 59.016716) (xy 128.065867 59.026221) (xy 128.42675 59.387104) (xy 128.4264 59.388862) (xy 128.4264 59.483138) + (xy 128.444792 59.575603) (xy 128.48087 59.662702) (xy 128.498318 59.688814) (xy 128.486507 59.698507) (xy 128.439286 59.756047) + (xy 128.404197 59.821693) (xy 128.38259 59.892923) (xy 128.375294 59.967) (xy 128.375294 62.715) (xy 128.38259 62.789077) + (xy 128.404197 62.860307) (xy 128.439286 62.925953) (xy 128.486507 62.983493) (xy 128.544047 63.030714) (xy 128.609693 63.065803) + (xy 128.680923 63.08741) (xy 128.755 63.094706) (xy 129.055 63.094706) (xy 129.129077 63.08741) (xy 129.200307 63.065803) + (xy 129.265953 63.030714) (xy 129.323493 62.983493) (xy 129.370714 62.925953) (xy 129.405803 62.860307) (xy 129.42741 62.789077) + (xy 129.434706 62.715) (xy 129.434706 59.967) (xy 129.42741 59.892923) (xy 129.405803 59.821693) (xy 129.370714 59.756047) + (xy 129.323493 59.698507) (xy 129.311682 59.688814) (xy 129.32913 59.662702) (xy 129.365208 59.575603) (xy 129.3836 59.483138) + (xy 129.3836 59.388862) (xy 129.365208 59.296397) (xy 129.32913 59.209298) (xy 129.276753 59.13091) (xy 129.21009 59.064247) + (xy 129.131702 59.01187) (xy 129.044603 58.975792) (xy 128.952138 58.9574) (xy 128.857862 58.9574) (xy 128.856104 58.95775) + (xy 128.5736 58.675246) (xy 128.5736 57.241443) (xy 128.59991 57.267753) (xy 128.678298 57.32013) (xy 128.765397 57.356208) + (xy 128.857862 57.3746) (xy 128.952138 57.3746) (xy 129.044603 57.356208) (xy 129.131702 57.32013) (xy 129.21009 57.267753) + (xy 129.236401 57.241442) (xy 129.236401 58.786086) (xy 129.234932 58.801) (xy 129.240794 58.860515) (xy 129.251251 58.894986) + (xy 129.258155 58.917744) (xy 129.286346 58.970487) (xy 129.324285 59.016716) (xy 129.335867 59.026221) (xy 129.69675 59.387104) + (xy 129.6964 59.388862) (xy 129.6964 59.483138) (xy 129.714792 59.575603) (xy 129.75087 59.662702) (xy 129.768318 59.688814) + (xy 129.756507 59.698507) (xy 129.709286 59.756047) (xy 129.674197 59.821693) (xy 129.65259 59.892923) (xy 129.645294 59.967) + (xy 129.645294 62.715) (xy 129.65259 62.789077) (xy 129.674197 62.860307) (xy 129.709286 62.925953) (xy 129.756507 62.983493) + (xy 129.814047 63.030714) (xy 129.879693 63.065803) (xy 129.950923 63.08741) (xy 130.025 63.094706) (xy 130.325 63.094706) + (xy 130.399077 63.08741) (xy 130.470307 63.065803) (xy 130.535953 63.030714) (xy 130.593493 62.983493) (xy 130.640714 62.925953) + (xy 130.675803 62.860307) (xy 130.69741 62.789077) (xy 130.704706 62.715) (xy 130.704706 59.967) (xy 130.69741 59.892923) + (xy 130.675803 59.821693) (xy 130.640714 59.756047) (xy 130.593493 59.698507) (xy 130.581682 59.688814) (xy 130.59913 59.662702) + (xy 130.635208 59.575603) (xy 130.6536 59.483138) (xy 130.6536 59.388862) (xy 130.635208 59.296397) (xy 130.59913 59.209298) + (xy 130.546753 59.13091) (xy 130.48009 59.064247) (xy 130.401702 59.01187) (xy 130.314603 58.975792) (xy 130.222138 58.9574) + (xy 130.127862 58.9574) (xy 130.126104 58.95775) (xy 129.8436 58.675246) (xy 129.8436 57.241443) (xy 129.86991 57.267753) + (xy 129.948298 57.32013) (xy 130.035397 57.356208) (xy 130.127862 57.3746) (xy 130.222138 57.3746) (xy 130.314603 57.356208) + (xy 130.401702 57.32013) (xy 130.48009 57.267753) (xy 130.5064 57.241443) (xy 130.5064 58.786096) (xy 130.504932 58.801) + (xy 130.5064 58.815903) (xy 130.510794 58.860515) (xy 130.528154 58.917743) (xy 130.556345 58.970486) (xy 130.594284 59.016716) + (xy 130.605872 59.026226) (xy 130.96675 59.387104) (xy 130.9664 59.388862) (xy 130.9664 59.483138) (xy 130.984792 59.575603) + (xy 131.02087 59.662702) (xy 131.038318 59.688814) (xy 131.026507 59.698507) (xy 130.979286 59.756047) (xy 130.944197 59.821693) + (xy 130.92259 59.892923) (xy 130.915294 59.967) (xy 130.915294 62.715) (xy 130.92259 62.789077) (xy 130.944197 62.860307) + (xy 130.979286 62.925953) (xy 131.026507 62.983493) (xy 131.084047 63.030714) (xy 131.149693 63.065803) (xy 131.220923 63.08741) + (xy 131.295 63.094706) (xy 131.595 63.094706) (xy 131.669077 63.08741) (xy 131.740307 63.065803) (xy 131.805953 63.030714) + (xy 131.863493 62.983493) (xy 131.910714 62.925953) (xy 131.945803 62.860307) (xy 131.96741 62.789077) (xy 131.974706 62.715) + (xy 131.974706 59.967) (xy 131.96741 59.892923) (xy 131.945803 59.821693) (xy 131.910714 59.756047) (xy 131.863493 59.698507) + (xy 131.851682 59.688814) (xy 131.86913 59.662702) (xy 131.905208 59.575603) (xy 131.9236 59.483138) (xy 131.9236 59.388862) + (xy 131.905208 59.296397) (xy 131.86913 59.209298) (xy 131.816753 59.13091) (xy 131.75009 59.064247) (xy 131.671702 59.01187) + (xy 131.584603 58.975792) (xy 131.492138 58.9574) (xy 131.397862 58.9574) (xy 131.396104 58.95775) (xy 131.1136 58.675246) + (xy 131.1136 57.241443) (xy 131.13991 57.267753) (xy 131.218298 57.32013) (xy 131.305397 57.356208) (xy 131.397862 57.3746) + (xy 131.492138 57.3746) (xy 131.584603 57.356208) (xy 131.671702 57.32013) (xy 131.75009 57.267753) (xy 131.7764 57.241443) + (xy 131.7764 58.786096) (xy 131.774932 58.801) (xy 131.7764 58.815903) (xy 131.780794 58.860515) (xy 131.798154 58.917743) + (xy 131.826345 58.970486) (xy 131.864284 59.016716) (xy 131.875872 59.026226) (xy 132.23675 59.387104) (xy 132.2364 59.388862) + (xy 132.2364 59.483138) (xy 132.254792 59.575603) (xy 132.29087 59.662702) (xy 132.308318 59.688814) (xy 132.296507 59.698507) + (xy 132.249286 59.756047) (xy 132.214197 59.821693) (xy 132.19259 59.892923) (xy 132.185294 59.967) (xy 132.185294 62.715) + (xy 132.19259 62.789077) (xy 132.214197 62.860307) (xy 132.249286 62.925953) (xy 132.296507 62.983493) (xy 132.354047 63.030714) + (xy 132.419693 63.065803) (xy 132.490923 63.08741) (xy 132.565 63.094706) (xy 132.865 63.094706) (xy 132.939077 63.08741) + (xy 133.010307 63.065803) (xy 133.075953 63.030714) (xy 133.133493 62.983493) (xy 133.180714 62.925953) (xy 133.215803 62.860307) + (xy 133.23741 62.789077) (xy 133.244706 62.715) (xy 133.244706 59.967) (xy 133.23741 59.892923) (xy 133.215803 59.821693) + (xy 133.180714 59.756047) (xy 133.133493 59.698507) (xy 133.121682 59.688814) (xy 133.13913 59.662702) (xy 133.175208 59.575603) + (xy 133.1936 59.483138) (xy 133.1936 59.388862) (xy 133.175208 59.296397) (xy 133.13913 59.209298) (xy 133.086753 59.13091) + (xy 133.02009 59.064247) (xy 132.941702 59.01187) (xy 132.854603 58.975792) (xy 132.762138 58.9574) (xy 132.667862 58.9574) + (xy 132.666104 58.95775) (xy 132.3836 58.675246) (xy 132.3836 57.241443) (xy 132.40991 57.267753) (xy 132.488298 57.32013) + (xy 132.575397 57.356208) (xy 132.667862 57.3746) (xy 132.762138 57.3746) (xy 132.854603 57.356208) (xy 132.941702 57.32013) + (xy 133.02009 57.267753) (xy 133.0464 57.241443) (xy 133.0464 58.786096) (xy 133.044932 58.801) (xy 133.0464 58.815903) + (xy 133.050794 58.860515) (xy 133.068154 58.917743) (xy 133.096345 58.970486) (xy 133.134284 59.016716) (xy 133.145872 59.026226) + (xy 133.50675 59.387104) (xy 133.5064 59.388862) (xy 133.5064 59.483138) (xy 133.524792 59.575603) (xy 133.56087 59.662702) + (xy 133.578318 59.688814) (xy 133.566507 59.698507) (xy 133.519286 59.756047) (xy 133.484197 59.821693) (xy 133.46259 59.892923) + (xy 133.455294 59.967) (xy 133.455294 62.715) (xy 133.46259 62.789077) (xy 133.484197 62.860307) (xy 133.519286 62.925953) + (xy 133.566507 62.983493) (xy 133.624047 63.030714) (xy 133.689693 63.065803) (xy 133.760923 63.08741) (xy 133.835 63.094706) + (xy 134.135 63.094706) (xy 134.209077 63.08741) (xy 134.280307 63.065803) (xy 134.345953 63.030714) (xy 134.403493 62.983493) + (xy 134.450714 62.925953) (xy 134.485803 62.860307) (xy 134.50741 62.789077) (xy 134.514706 62.715) (xy 134.514706 59.967) + (xy 134.50741 59.892923) (xy 134.485803 59.821693) (xy 134.450714 59.756047) (xy 134.403493 59.698507) (xy 134.391682 59.688814) + (xy 134.40913 59.662702) (xy 134.445208 59.575603) (xy 134.4636 59.483138) (xy 134.4636 59.388862) (xy 134.445208 59.296397) + (xy 134.40913 59.209298) (xy 134.356753 59.13091) (xy 134.29009 59.064247) (xy 134.211702 59.01187) (xy 134.124603 58.975792) + (xy 134.032138 58.9574) (xy 133.937862 58.9574) (xy 133.936104 58.95775) (xy 133.6536 58.675246) (xy 133.6536 57.241443) + (xy 133.67991 57.267753) (xy 133.758298 57.32013) (xy 133.845397 57.356208) (xy 133.937862 57.3746) (xy 134.032138 57.3746) + (xy 134.124603 57.356208) (xy 134.211702 57.32013) (xy 134.29009 57.267753) (xy 134.3164 57.241443) (xy 134.3164 58.786096) + (xy 134.314932 58.801) (xy 134.3164 58.815903) (xy 134.320794 58.860515) (xy 134.338154 58.917743) (xy 134.366345 58.970486) + (xy 134.404284 59.016716) (xy 134.415872 59.026226) (xy 134.77675 59.387104) (xy 134.7764 59.388862) (xy 134.7764 59.483138) + (xy 134.794792 59.575603) (xy 134.83087 59.662702) (xy 134.848318 59.688814) (xy 134.836507 59.698507) (xy 134.789286 59.756047) + (xy 134.754197 59.821693) (xy 134.73259 59.892923) (xy 134.725294 59.967) (xy 134.725294 62.715) (xy 134.73259 62.789077) + (xy 134.754197 62.860307) (xy 134.789286 62.925953) (xy 134.836507 62.983493) (xy 134.894047 63.030714) (xy 134.959693 63.065803) + (xy 135.030923 63.08741) (xy 135.105 63.094706) (xy 135.405 63.094706) (xy 135.479077 63.08741) (xy 135.550307 63.065803) + (xy 135.615953 63.030714) (xy 135.673493 62.983493) (xy 135.720714 62.925953) (xy 135.755803 62.860307) (xy 135.77741 62.789077) + (xy 135.784706 62.715) (xy 135.784706 59.967) (xy 135.77741 59.892923) (xy 135.755803 59.821693) (xy 135.720714 59.756047) + (xy 135.673493 59.698507) (xy 135.661682 59.688814) (xy 135.67913 59.662702) (xy 135.715208 59.575603) (xy 135.7336 59.483138) + (xy 135.7336 59.388862) (xy 135.715208 59.296397) (xy 135.67913 59.209298) (xy 135.626753 59.13091) (xy 135.56009 59.064247) + (xy 135.481702 59.01187) (xy 135.394603 58.975792) (xy 135.302138 58.9574) (xy 135.207862 58.9574) (xy 135.206104 58.95775) + (xy 134.9236 58.675246) (xy 134.9236 57.241443) (xy 134.94991 57.267753) (xy 135.028298 57.32013) (xy 135.115397 57.356208) + (xy 135.207862 57.3746) (xy 135.302138 57.3746) (xy 135.394603 57.356208) (xy 135.481702 57.32013) (xy 135.56009 57.267753) + (xy 135.626753 57.20109) (xy 135.67913 57.122702) (xy 135.715208 57.035603) (xy 135.7336 56.943138) (xy 135.7336 56.848862) + (xy 135.715208 56.756397) (xy 135.67913 56.669298) (xy 135.661682 56.643186) (xy 135.673493 56.633493) (xy 135.720714 56.575953) + (xy 135.755803 56.510307) (xy 135.77741 56.439077) (xy 135.784706 56.365) (xy 135.784706 53.617) (xy 135.77741 53.542923) + (xy 135.755803 53.471693) (xy 135.720714 53.406047) (xy 135.673493 53.348507) (xy 135.615953 53.301286) (xy 135.5586 53.27063) + (xy 135.5586 53.037404) (xy 135.560068 53.0225) (xy 135.554206 52.962984) (xy 135.553102 52.959345) (xy 135.536846 52.905756) + (xy 135.508655 52.853013) (xy 135.470716 52.806784) (xy 135.459134 52.797279) (xy 131.416226 48.754372) (xy 131.406716 48.742784) + (xy 131.360487 48.704845) (xy 131.307744 48.676654) (xy 131.250516 48.659294) (xy 131.205904 48.6549) (xy 131.191 48.653432) + (xy 131.176096 48.6549) (xy 123.712903 48.6549) (xy 123.697999 48.653432) (xy 123.638483 48.659294) (xy 123.613563 48.666854) + (xy 123.581256 48.676654) (xy 123.528513 48.704845) (xy 123.482284 48.742784) (xy 123.472783 48.754361) (xy 106.545872 65.681274) + (xy 106.534284 65.690784) (xy 106.496345 65.737014) (xy 106.468154 65.789757) (xy 106.451409 65.844959) (xy 106.450794 65.846985) + (xy 106.444932 65.9065) (xy 106.4464 65.921404) (xy 106.446401 106.885086) (xy 106.444932 106.9) (xy 106.450794 106.959515) + (xy 106.457532 106.981725) (xy 106.468155 107.016744) (xy 106.496346 107.069487) (xy 106.534285 107.115716) (xy 106.545867 107.125221) + (xy 108.917044 109.4964) (xy 108.375755 109.4964) (xy 106.3536 107.474246) (xy 106.3536 65.525754) (xy 123.315756 48.5636) + (xy 131.700246 48.5636) (xy 135.17786 52.041216) (xy 135.177871 52.041225) (xy 136.221401 53.084756) (xy 136.221401 53.270629) + (xy 136.164047 53.301286) (xy 136.106507 53.348507) (xy 136.059286 53.406047) (xy 136.024197 53.471693) (xy 136.00259 53.542923) + (xy 135.995294 53.617) (xy 135.995294 56.365) (xy 136.00259 56.439077) (xy 136.024197 56.510307) (xy 136.059286 56.575953) + (xy 136.106507 56.633493) (xy 136.118318 56.643186) (xy 136.10087 56.669298) (xy 136.064792 56.756397) (xy 136.0464 56.848862) + (xy 136.0464 56.943138) (xy 136.064792 57.035603) (xy 136.10087 57.122702) (xy 136.153247 57.20109) (xy 136.21991 57.267753) + (xy 136.298298 57.32013) (xy 136.385397 57.356208) (xy 136.477862 57.3746) (xy 136.572138 57.3746) (xy 136.664603 57.356208) + (xy 136.751702 57.32013) (xy 136.83009 57.267753) (xy 136.896753 57.20109) (xy 136.94913 57.122702) (xy 136.985208 57.035603) + (xy 137.0036 56.943138) (xy 137.0036 56.848862) (xy 136.985208 56.756397) (xy 136.94913 56.669298) (xy 136.931682 56.643186) + (xy 136.943493 56.633493) (xy 136.990714 56.575953) (xy 137.025803 56.510307) (xy 137.04741 56.439077) (xy 137.054706 56.365) + (xy 137.054706 53.617) (xy 137.04741 53.542923) (xy 137.025803 53.471693) (xy 136.990714 53.406047) (xy 136.943493 53.348507) + (xy 136.885953 53.301286) (xy 136.8286 53.27063) (xy 136.8286 52.973903) (xy 136.830068 52.958999) (xy 136.824206 52.899484) + (xy 136.812711 52.861591) (xy 136.806846 52.842256) (xy 136.778655 52.789513) (xy 136.740716 52.743284) (xy 136.729134 52.733779) + (xy 135.607225 51.611871) (xy 135.607216 51.61186) (xy 132.051226 48.055872) (xy 132.041716 48.044284) (xy 131.995487 48.006345) + (xy 131.942744 47.978154) (xy 131.885516 47.960794) (xy 131.840904 47.9564) (xy 131.826 47.954932) (xy 131.811096 47.9564) + (xy 123.204903 47.9564) (xy 123.189999 47.954932) (xy 123.130483 47.960794) (xy 123.12632 47.962057) (xy 123.073256 47.978154) + (xy 123.020513 48.006345) (xy 122.974284 48.044284) (xy 122.964783 48.055861) (xy 105.845867 65.174779) (xy 105.834285 65.184284) + (xy 105.796346 65.230513) (xy 105.77893 65.263097) (xy 105.768155 65.283256) (xy 105.750794 65.340485) (xy 105.744932 65.4) + (xy 105.746401 65.414914) (xy 105.7464 107.585096) (xy 105.744932 107.6) (xy 105.749502 107.6464) (xy 105.750794 107.659515) + (xy 105.768154 107.716743) (xy 105.796345 107.769486) (xy 105.834284 107.815716) (xy 105.845872 107.825226) (xy 107.817045 109.7964) + (xy 107.300755 109.7964) (xy 105.6536 108.149246) (xy 105.6536 64.955754) (xy 122.744255 47.8651) (xy 133.033746 47.8651) + (xy 137.491401 52.322756) (xy 137.491401 53.270629) (xy 137.434047 53.301286) (xy 137.376507 53.348507) (xy 137.329286 53.406047) + (xy 137.294197 53.471693) (xy 137.27259 53.542923) (xy 137.265294 53.617) (xy 137.265294 56.365) (xy 137.27259 56.439077) + (xy 137.294197 56.510307) (xy 137.329286 56.575953) (xy 137.376507 56.633493) (xy 137.434047 56.680714) (xy 137.499693 56.715803) + (xy 137.570923 56.73741) (xy 137.645 56.744706) (xy 137.945 56.744706) (xy 138.019077 56.73741) (xy 138.090307 56.715803) + (xy 138.1264 56.69651) (xy 138.1264 58.913096) (xy 138.124932 58.928) (xy 138.127243 58.951461) (xy 138.130794 58.987515) + (xy 138.148154 59.044743) (xy 138.176345 59.097486) (xy 138.214284 59.143716) (xy 138.225872 59.153226) (xy 138.717005 59.64436) + (xy 138.704047 59.651286) (xy 138.646507 59.698507) (xy 138.599286 59.756047) (xy 138.564197 59.821693) (xy 138.54259 59.892923) + (xy 138.535294 59.967) (xy 138.535294 62.715) (xy 138.54259 62.789077) (xy 138.564197 62.860307) (xy 138.599286 62.925953) + (xy 138.646507 62.983493) (xy 138.704047 63.030714) (xy 138.761401 63.061371) (xy 138.761401 63.120244) (xy 132.510867 69.370779) + (xy 132.499285 69.380284) (xy 132.461346 69.426513) (xy 132.445282 69.456568) (xy 132.433155 69.479256) (xy 132.415794 69.536485) + (xy 132.409932 69.596) (xy 132.411401 69.610914) (xy 132.411401 69.71849) (xy 132.375307 69.699197) (xy 132.304077 69.67759) + (xy 132.23 69.670294) (xy 131.93 69.670294) (xy 131.855923 69.67759) (xy 131.784693 69.699197) (xy 131.719047 69.734286) + (xy 131.661507 69.781507) (xy 131.614286 69.839047) (xy 131.579197 69.904693) (xy 131.55759 69.975923) (xy 131.550294 70.05) + (xy 131.550294 71.225) (xy 131.55759 71.299077) (xy 131.579197 71.370307) (xy 131.614286 71.435953) (xy 131.661507 71.493493) + (xy 131.672886 71.502831) (xy 131.65587 71.528298) (xy 131.619792 71.615397) (xy 131.6014 71.707862) (xy 131.6014 71.802138) + (xy 131.619792 71.894603) (xy 131.65587 71.981702) (xy 131.708247 72.06009) (xy 131.77491 72.126753) (xy 131.853298 72.17913) + (xy 131.940397 72.215208) (xy 132.032862 72.2336) (xy 132.127138 72.2336) (xy 132.219603 72.215208) (xy 132.306702 72.17913) + (xy 132.38509 72.126753) (xy 132.4114 72.100443) (xy 132.4114 72.375096) (xy 132.409932 72.39) (xy 132.41408 72.432111) + (xy 132.415794 72.449515) (xy 132.421219 72.4674) (xy 130.681756 72.4674) (xy 130.400225 72.185871) (xy 130.390716 72.174284) + (xy 130.344487 72.136345) (xy 130.291744 72.108154) (xy 130.234516 72.090794) (xy 130.189904 72.0864) (xy 130.175 72.084932) + (xy 130.160096 72.0864) (xy 129.98637 72.0864) (xy 129.955714 72.029047) (xy 129.908493 71.971507) (xy 129.850953 71.924286) + (xy 129.785307 71.889197) (xy 129.714077 71.86759) (xy 129.64 71.860294) (xy 129.452394 71.860294) (xy 129.45613 71.854702) + (xy 129.492208 71.767603) (xy 129.5106 71.675138) (xy 129.5106 71.580862) (xy 129.492208 71.488397) (xy 129.45613 71.401298) + (xy 129.403753 71.32291) (xy 129.33709 71.256247) (xy 129.258702 71.20387) (xy 129.171603 71.167792) (xy 129.079138 71.1494) + (xy 128.984862 71.1494) (xy 128.892397 71.167792) (xy 128.805298 71.20387) (xy 128.72691 71.256247) (xy 128.660247 71.32291) + (xy 128.60787 71.401298) (xy 128.571792 71.488397) (xy 128.5534 71.580862) (xy 128.5534 71.675138) (xy 128.571792 71.767603) + (xy 128.60787 71.854702) (xy 128.611606 71.860294) (xy 128.465 71.860294) (xy 128.390923 71.86759) (xy 128.319693 71.889197) + (xy 128.254047 71.924286) (xy 128.196507 71.971507) (xy 128.149286 72.029047) (xy 128.114197 72.094693) (xy 128.09259 72.165923) + (xy 128.085294 72.24) (xy 128.085294 72.54) (xy 128.09259 72.614077) (xy 128.114197 72.685307) (xy 128.149286 72.750953) + (xy 128.196507 72.808493) (xy 128.254047 72.855714) (xy 128.319693 72.890803) (xy 128.390923 72.91241) (xy 128.465 72.919706) + (xy 129.64 72.919706) (xy 129.714077 72.91241) (xy 129.785307 72.890803) (xy 129.850953 72.855714) (xy 129.908493 72.808493) + (xy 129.955714 72.750953) (xy 129.98637 72.6936) (xy 130.049246 72.6936) (xy 130.330782 72.975138) (xy 130.340284 72.986716) + (xy 130.386513 73.024655) (xy 130.439256 73.052846) (xy 130.471563 73.062646) (xy 130.496483 73.070206) (xy 130.555999 73.076068) + (xy 130.570903 73.0746) (xy 132.525746 73.0746) (xy 139.623404 80.172259) (xy 139.560397 80.184792) (xy 139.473298 80.22087) + (xy 139.39491 80.273247) (xy 139.328247 80.33991) (xy 139.27587 80.418298) (xy 139.239792 80.505397) (xy 139.2214 80.597862) + (xy 139.2214 80.692138) (xy 139.239792 80.784603) (xy 139.27587 80.871702) (xy 139.292886 80.897169) (xy 139.281507 80.906507) + (xy 139.234286 80.964047) (xy 139.199197 81.029693) (xy 139.17759 81.100923) (xy 139.170294 81.175) (xy 139.170294 82.35) + (xy 139.17759 82.424077) (xy 139.199197 82.495307) (xy 139.234286 82.560953) (xy 139.281507 82.618493) (xy 139.339047 82.665714) + (xy 139.3964 82.69637) (xy 139.3964 82.916096) (xy 139.394932 82.931) (xy 139.3964 82.945903) (xy 139.400794 82.990515) + (xy 139.418154 83.047743) (xy 139.446345 83.100486) (xy 139.484284 83.146716) (xy 139.495872 83.156226) (xy 141.301401 84.961756) + (xy 141.301401 89.824558) (xy 141.27509 89.798247) (xy 141.196702 89.74587) (xy 141.109603 89.709792) (xy 141.017138 89.6914) + (xy 140.922862 89.6914) (xy 140.830397 89.709792) (xy 140.743298 89.74587) (xy 140.66491 89.798247) (xy 140.6386 89.824557) + (xy 140.6386 84.850904) (xy 140.640068 84.836) (xy 140.634206 84.776484) (xy 140.633756 84.775) (xy 140.616846 84.719256) + (xy 140.588655 84.666513) (xy 140.550716 84.620284) (xy 140.539134 84.610779) (xy 138.7336 82.805246) (xy 138.7336 82.69637) + (xy 138.790953 82.665714) (xy 138.848493 82.618493) (xy 138.895714 82.560953) (xy 138.930803 82.495307) (xy 138.95241 82.424077) + (xy 138.959706 82.35) (xy 138.959706 81.175) (xy 138.95241 81.100923) (xy 138.930803 81.029693) (xy 138.895714 80.964047) + (xy 138.848493 80.906507) (xy 138.837114 80.897169) (xy 138.85413 80.871702) (xy 138.890208 80.784603) (xy 138.9086 80.692138) + (xy 138.9086 80.597862) (xy 138.890208 80.505397) (xy 138.85413 80.418298) (xy 138.801753 80.33991) (xy 138.73509 80.273247) + (xy 138.656702 80.22087) (xy 138.569603 80.184792) (xy 138.477138 80.1664) (xy 138.382862 80.1664) (xy 138.290397 80.184792) + (xy 138.203298 80.22087) (xy 138.12491 80.273247) (xy 138.058247 80.33991) (xy 138.00587 80.418298) (xy 137.969792 80.505397) + (xy 137.9514 80.597862) (xy 137.9514 80.692138) (xy 137.969792 80.784603) (xy 138.00587 80.871702) (xy 138.022886 80.897169) + (xy 138.011507 80.906507) (xy 137.964286 80.964047) (xy 137.929197 81.029693) (xy 137.90759 81.100923) (xy 137.900294 81.175) + (xy 137.900294 82.35) (xy 137.90759 82.424077) (xy 137.929197 82.495307) (xy 137.964286 82.560953) (xy 138.011507 82.618493) + (xy 138.069047 82.665714) (xy 138.1264 82.69637) (xy 138.1264 82.916096) (xy 138.124932 82.931) (xy 138.1264 82.945903) + (xy 138.130794 82.990515) (xy 138.148154 83.047743) (xy 138.176345 83.100486) (xy 138.214284 83.146716) (xy 138.225872 83.156226) + (xy 140.031401 84.961756) (xy 140.0314 89.824557) (xy 140.00509 89.798247) (xy 139.926702 89.74587) (xy 139.839603 89.709792) + (xy 139.747138 89.6914) (xy 139.652862 89.6914) (xy 139.560397 89.709792) (xy 139.473298 89.74587) (xy 139.39491 89.798247) + (xy 139.3686 89.824557) (xy 139.3686 84.850904) (xy 139.370068 84.836) (xy 139.364206 84.776484) (xy 139.363756 84.775) + (xy 139.346846 84.719256) (xy 139.318655 84.666513) (xy 139.280716 84.620284) (xy 139.269134 84.610779) (xy 137.4636 82.805246) + (xy 137.4636 82.69637) (xy 137.520953 82.665714) (xy 137.578493 82.618493) (xy 137.625714 82.560953) (xy 137.660803 82.495307) + (xy 137.68241 82.424077) (xy 137.689706 82.35) (xy 137.689706 81.175) (xy 137.68241 81.100923) (xy 137.660803 81.029693) + (xy 137.625714 80.964047) (xy 137.578493 80.906507) (xy 137.567114 80.897169) (xy 137.58413 80.871702) (xy 137.620208 80.784603) + (xy 137.6386 80.692138) (xy 137.6386 80.597862) (xy 137.620208 80.505397) (xy 137.58413 80.418298) (xy 137.531753 80.33991) + (xy 137.46509 80.273247) (xy 137.386702 80.22087) (xy 137.299603 80.184792) (xy 137.207138 80.1664) (xy 137.112862 80.1664) + (xy 137.020397 80.184792) (xy 136.933298 80.22087) (xy 136.85491 80.273247) (xy 136.788247 80.33991) (xy 136.73587 80.418298) + (xy 136.699792 80.505397) (xy 136.6814 80.597862) (xy 136.6814 80.692138) (xy 136.699792 80.784603) (xy 136.73587 80.871702) + (xy 136.752886 80.897169) (xy 136.741507 80.906507) (xy 136.694286 80.964047) (xy 136.659197 81.029693) (xy 136.63759 81.100923) + (xy 136.630294 81.175) (xy 136.630294 82.35) (xy 136.63759 82.424077) (xy 136.659197 82.495307) (xy 136.694286 82.560953) + (xy 136.741507 82.618493) (xy 136.799047 82.665714) (xy 136.8564 82.69637) (xy 136.8564 82.916096) (xy 136.854932 82.931) + (xy 136.8564 82.945903) (xy 136.860794 82.990515) (xy 136.878154 83.047743) (xy 136.906345 83.100486) (xy 136.944284 83.146716) + (xy 136.955872 83.156226) (xy 138.761401 84.961756) (xy 138.7614 89.824557) (xy 138.73509 89.798247) (xy 138.656702 89.74587) + (xy 138.569603 89.709792) (xy 138.477138 89.6914) (xy 138.382862 89.6914) (xy 138.290397 89.709792) (xy 138.203298 89.74587) + (xy 138.12491 89.798247) (xy 138.058247 89.86491) (xy 138.00587 89.943298) (xy 137.969792 90.030397) (xy 137.9514 90.122862) + (xy 137.9514 90.217138) (xy 137.969792 90.309603) (xy 138.00587 90.396702) (xy 138.044895 90.455107) (xy 138.011507 90.482507) + (xy 137.964286 90.540047) (xy 137.929197 90.605693) (xy 137.90759 90.676923) (xy 137.900294 90.751) (xy 137.900294 92.151) + (xy 137.90759 92.225077) (xy 137.929197 92.296307) (xy 137.964286 92.361953) (xy 138.011507 92.419493) (xy 138.069047 92.466714) + (xy 138.134693 92.501803) (xy 138.205923 92.52341) (xy 138.28 92.530706) (xy 138.58 92.530706) (xy 138.654077 92.52341) + (xy 138.725307 92.501803) (xy 138.7614 92.482511) (xy 138.7614 93.330096) (xy 138.759932 93.345) (xy 138.765704 93.4036) + (xy 138.765794 93.404515) (xy 138.775495 93.436495) (xy 138.743516 93.426794) (xy 138.698904 93.4224) (xy 138.684 93.420932) + (xy 138.669096 93.4224) (xy 137.821511 93.4224) (xy 137.840803 93.386307) (xy 137.86241 93.315077) (xy 137.869706 93.241) + (xy 137.869706 92.941) (xy 137.86241 92.866923) (xy 137.840803 92.795693) (xy 137.805714 92.730047) (xy 137.758493 92.672507) + (xy 137.700953 92.625286) (xy 137.635307 92.590197) (xy 137.564077 92.56859) (xy 137.49 92.561294) (xy 136.09 92.561294) + (xy 136.015923 92.56859) (xy 135.944693 92.590197) (xy 135.879047 92.625286) (xy 135.821507 92.672507) (xy 135.794107 92.705895) + (xy 135.735702 92.66687) (xy 135.648603 92.630792) (xy 135.556138 92.6124) (xy 135.461862 92.6124) (xy 135.369397 92.630792) + (xy 135.282298 92.66687) (xy 135.2411 92.694398) (xy 135.2411 84.644254) (xy 136.09414 83.791216) (xy 136.105716 83.781716) + (xy 136.143655 83.735487) (xy 136.171846 83.682744) (xy 136.184665 83.640485) (xy 136.189206 83.625517) (xy 136.195068 83.566001) + (xy 136.1936 83.551096) (xy 136.1936 82.69637) (xy 136.250953 82.665714) (xy 136.308493 82.618493) (xy 136.355714 82.560953) + (xy 136.390803 82.495307) (xy 136.41241 82.424077) (xy 136.419706 82.35) (xy 136.419706 81.175) (xy 136.41241 81.100923) + (xy 136.390803 81.029693) (xy 136.355714 80.964047) (xy 136.308493 80.906507) (xy 136.297114 80.897169) (xy 136.31413 80.871702) + (xy 136.350208 80.784603) (xy 136.3686 80.692138) (xy 136.3686 80.597862) (xy 136.350208 80.505397) (xy 136.31413 80.418298) + (xy 136.261753 80.33991) (xy 136.19509 80.273247) (xy 136.116702 80.22087) (xy 136.029603 80.184792) (xy 135.937138 80.1664) + (xy 135.842862 80.1664) (xy 135.750397 80.184792) (xy 135.663298 80.22087) (xy 135.58491 80.273247) (xy 135.518247 80.33991) + (xy 135.46587 80.418298) (xy 135.429792 80.505397) (xy 135.4114 80.597862) (xy 135.4114 80.692138) (xy 135.429792 80.784603) + (xy 135.46587 80.871702) (xy 135.482886 80.897169) (xy 135.471507 80.906507) (xy 135.424286 80.964047) (xy 135.389197 81.029693) + (xy 135.36759 81.100923) (xy 135.360294 81.175) (xy 135.360294 82.35) (xy 135.36759 82.424077) (xy 135.389197 82.495307) + (xy 135.424286 82.560953) (xy 135.471507 82.618493) (xy 135.529047 82.665714) (xy 135.5864 82.69637) (xy 135.5864 83.440244) + (xy 134.9236 84.103046) (xy 134.9236 82.69637) (xy 134.980953 82.665714) (xy 135.038493 82.618493) (xy 135.085714 82.560953) + (xy 135.120803 82.495307) (xy 135.14241 82.424077) (xy 135.149706 82.35) (xy 135.149706 81.175) (xy 135.14241 81.100923) + (xy 135.120803 81.029693) (xy 135.085714 80.964047) (xy 135.038493 80.906507) (xy 135.027114 80.897169) (xy 135.04413 80.871702) + (xy 135.080208 80.784603) (xy 135.0986 80.692138) (xy 135.0986 80.597862) (xy 135.080208 80.505397) (xy 135.04413 80.418298) + (xy 134.991753 80.33991) (xy 134.92509 80.273247) (xy 134.846702 80.22087) (xy 134.759603 80.184792) (xy 134.667138 80.1664) + (xy 134.572862 80.1664) (xy 134.480397 80.184792) (xy 134.393298 80.22087) (xy 134.31491 80.273247) (xy 134.248247 80.33991) + (xy 134.19587 80.418298) (xy 134.159792 80.505397) (xy 134.1414 80.597862) (xy 134.1414 80.692138) (xy 134.159792 80.784603) + (xy 134.19587 80.871702) (xy 134.212886 80.897169) (xy 134.201507 80.906507) (xy 134.154286 80.964047) (xy 134.119197 81.029693) + (xy 134.09759 81.100923) (xy 134.090294 81.175) (xy 134.090294 82.35) (xy 134.09759 82.424077) (xy 134.119197 82.495307) + (xy 134.154286 82.560953) (xy 134.201507 82.618493) (xy 134.259047 82.665714) (xy 134.3164 82.69637) (xy 134.3164 83.580903) + (xy 134.316401 83.580913) (xy 134.316401 84.103045) (xy 133.6536 83.440246) (xy 133.6536 82.69637) (xy 133.710953 82.665714) + (xy 133.768493 82.618493) (xy 133.815714 82.560953) (xy 133.850803 82.495307) (xy 133.87241 82.424077) (xy 133.879706 82.35) + (xy 133.879706 81.175) (xy 133.87241 81.100923) (xy 133.850803 81.029693) (xy 133.815714 80.964047) (xy 133.768493 80.906507) + (xy 133.757114 80.897169) (xy 133.77413 80.871702) (xy 133.810208 80.784603) (xy 133.8286 80.692138) (xy 133.8286 80.597862) + (xy 133.810208 80.505397) (xy 133.77413 80.418298) (xy 133.721753 80.33991) (xy 133.65509 80.273247) (xy 133.576702 80.22087) + (xy 133.489603 80.184792) (xy 133.397138 80.1664) (xy 133.302862 80.1664) (xy 133.210397 80.184792) (xy 133.123298 80.22087) + (xy 133.04491 80.273247) (xy 132.978247 80.33991) (xy 132.92587 80.418298) (xy 132.889792 80.505397) (xy 132.8714 80.597862) + (xy 132.8714 80.692138) (xy 132.889792 80.784603) (xy 132.92587 80.871702) (xy 132.942886 80.897169) (xy 132.931507 80.906507) + (xy 132.884286 80.964047) (xy 132.849197 81.029693) (xy 132.82759 81.100923) (xy 132.820294 81.175) (xy 132.820294 82.35) + (xy 132.82759 82.424077) (xy 132.849197 82.495307) (xy 132.884286 82.560953) (xy 132.931507 82.618493) (xy 132.989047 82.665714) + (xy 133.0464 82.69637) (xy 133.0464 83.551096) (xy 133.044932 83.566) (xy 133.0464 83.580903) (xy 133.050794 83.625515) + (xy 133.068154 83.682743) (xy 133.096345 83.735486) (xy 133.134284 83.781716) (xy 133.145872 83.791226) (xy 133.998901 84.644257) + (xy 133.998901 85.055546) (xy 132.3836 83.440246) (xy 132.3836 82.69637) (xy 132.440953 82.665714) (xy 132.498493 82.618493) + (xy 132.545714 82.560953) (xy 132.580803 82.495307) (xy 132.60241 82.424077) (xy 132.609706 82.35) (xy 132.609706 81.175) + (xy 132.60241 81.100923) (xy 132.580803 81.029693) (xy 132.545714 80.964047) (xy 132.498493 80.906507) (xy 132.487114 80.897169) + (xy 132.50413 80.871702) (xy 132.540208 80.784603) (xy 132.5586 80.692138) (xy 132.5586 80.597862) (xy 132.540208 80.505397) + (xy 132.50413 80.418298) (xy 132.451753 80.33991) (xy 132.38509 80.273247) (xy 132.306702 80.22087) (xy 132.219603 80.184792) + (xy 132.127138 80.1664) (xy 132.032862 80.1664) (xy 131.940397 80.184792) (xy 131.853298 80.22087) (xy 131.77491 80.273247) + (xy 131.708247 80.33991) (xy 131.65587 80.418298) (xy 131.619792 80.505397) (xy 131.6014 80.597862) (xy 131.6014 80.692138) + (xy 131.619792 80.784603) (xy 131.65587 80.871702) (xy 131.672886 80.897169) (xy 131.661507 80.906507) (xy 131.614286 80.964047) + (xy 131.579197 81.029693) (xy 131.55759 81.100923) (xy 131.550294 81.175) (xy 131.550294 82.35) (xy 131.55759 82.424077) + (xy 131.579197 82.495307) (xy 131.614286 82.560953) (xy 131.661507 82.618493) (xy 131.719047 82.665714) (xy 131.7764 82.69637) + (xy 131.7764 83.551096) (xy 131.774932 83.566) (xy 131.7764 83.580903) (xy 131.780794 83.625515) (xy 131.798154 83.682743) + (xy 131.826345 83.735486) (xy 131.864284 83.781716) (xy 131.875872 83.791226) (xy 133.681401 85.596756) (xy 133.6814 95.743096) + (xy 133.679932 95.758) (xy 133.682416 95.783215) (xy 133.685794 95.817515) (xy 133.703154 95.874743) (xy 133.731345 95.927486) + (xy 133.769284 95.973716) (xy 133.780872 95.983226) (xy 135.340045 97.5424) (xy 132.335538 97.5424) (xy 132.282955 97.499246) + (xy 132.197859 97.453761) (xy 132.105525 97.425752) (xy 132.1006 97.425267) (xy 132.1006 97.166437) (xy 132.095508 97.140836) + (xy 132.092951 97.114876) (xy 132.08538 97.089918) (xy 132.080287 97.064313) (xy 132.070296 97.040191) (xy 132.062725 97.015235) + (xy 132.050431 96.992235) (xy 132.04044 96.968114) (xy 132.025936 96.946407) (xy 132.013641 96.923405) (xy 131.997094 96.903243) + (xy 131.982591 96.881537) (xy 131.964133 96.863079) (xy 131.947585 96.842915) (xy 131.927421 96.826367) (xy 131.908963 96.807909) + (xy 131.887257 96.793406) (xy 131.867095 96.776859) (xy 131.844093 96.764564) (xy 131.822386 96.75006) (xy 131.798265 96.740069) + (xy 131.775265 96.727775) (xy 131.750309 96.720204) (xy 131.726187 96.710213) (xy 131.700582 96.70512) (xy 131.675624 96.697549) + (xy 131.649664 96.694992) (xy 131.624063 96.6899) (xy 131.597962 96.6899) (xy 131.572 96.687343) (xy 131.546038 96.6899) + (xy 131.519937 96.6899) (xy 131.494335 96.694992) (xy 131.468377 96.697549) (xy 131.44342 96.70512) (xy 131.417813 96.710213) + (xy 131.393689 96.720206) (xy 131.368736 96.727775) (xy 131.345739 96.740067) (xy 131.321614 96.75006) (xy 131.299903 96.764567) + (xy 131.276906 96.776859) (xy 131.256748 96.793402) (xy 131.235037 96.807909) (xy 131.216575 96.826371) (xy 131.196416 96.842915) + (xy 131.179872 96.863074) (xy 131.161409 96.881537) (xy 131.146901 96.903249) (xy 131.13036 96.923405) (xy 131.118069 96.946399) + (xy 131.10356 96.968114) (xy 131.093565 96.992243) (xy 131.081276 97.015235) (xy 131.073708 97.040182) (xy 131.063713 97.064313) + (xy 131.058618 97.089927) (xy 131.05105 97.114876) (xy 131.048494 97.140826) (xy 131.0434 97.166437) (xy 131.0434 97.270563) + (xy 131.043401 97.270568) (xy 131.043401 97.425267) (xy 131.038475 97.425752) (xy 130.946141 97.453761) (xy 130.861045 97.499246) + (xy 130.786458 97.560458) (xy 130.725246 97.635045) (xy 130.721315 97.6424) (xy 130.645462 97.6424) (xy 130.6195 97.639843) + (xy 130.593538 97.6424) (xy 130.515876 97.650049) (xy 130.416235 97.680275) (xy 130.324405 97.729359) (xy 130.243915 97.795415) + (xy 130.227358 97.81559) (xy 130.092043 97.950905) (xy 130.092037 97.950909) (xy 130.018409 98.024537) (xy 130.003901 98.046249) + (xy 129.987359 98.066406) (xy 129.975067 98.089403) (xy 129.96056 98.111114) (xy 129.950567 98.135239) (xy 129.938275 98.158236) + (xy 129.930706 98.183189) (xy 129.920713 98.207313) (xy 129.91562 98.23292) (xy 129.908049 98.257877) (xy 129.905492 98.283835) + (xy 129.9004 98.309437) (xy 129.9004 98.335538) (xy 129.897843 98.3615) (xy 129.9004 98.387462) (xy 129.9004 98.413563) + (xy 129.905492 98.439165) (xy 129.908049 98.465123) (xy 129.91562 98.49008) (xy 129.920713 98.515687) (xy 129.930706 98.539811) + (xy 129.938275 98.564764) (xy 129.950567 98.587761) (xy 129.96056 98.611886) (xy 129.975067 98.633597) (xy 129.987359 98.656594) + (xy 130.003901 98.67675) (xy 130.018409 98.698463) (xy 130.036875 98.716929) (xy 130.053416 98.737084) (xy 130.073571 98.753625) + (xy 130.092037 98.772091) (xy 130.11375 98.786599) (xy 130.133906 98.803141) (xy 130.156903 98.815433) (xy 130.178614 98.82994) + (xy 130.202739 98.839933) (xy 130.225736 98.852225) (xy 130.250689 98.859794) (xy 130.274813 98.869787) (xy 130.30042 98.87488) + (xy 130.325377 98.882451) (xy 130.351335 98.885008) (xy 130.376937 98.8901) (xy 130.403038 98.8901) (xy 130.429 98.892657) + (xy 130.454962 98.8901) (xy 130.481063 98.8901) (xy 130.506665 98.885008) (xy 130.532623 98.882451) (xy 130.55758 98.87488) + (xy 130.583187 98.869787) (xy 130.607311 98.859794) (xy 130.632264 98.852225) (xy 130.655261 98.839933) (xy 130.679386 98.82994) + (xy 130.701097 98.815433) (xy 130.724094 98.803141) (xy 130.744251 98.786599) (xy 130.765963 98.772091) (xy 130.77296 98.765094) + (xy 130.786458 98.781542) (xy 130.861045 98.842754) (xy 130.946141 98.888239) (xy 131.038475 98.916248) (xy 131.1345 98.925706) + (xy 132.0095 98.925706) (xy 132.105525 98.916248) (xy 132.197859 98.888239) (xy 132.282955 98.842754) (xy 132.335538 98.7996) + (xy 135.507412 98.7996) (xy 135.537855 98.793545) (xy 135.568727 98.790504) (xy 135.598409 98.7815) (xy 135.628856 98.775444) + (xy 135.657538 98.763564) (xy 135.687219 98.75456) (xy 135.714572 98.739939) (xy 135.743254 98.728059) (xy 135.769067 98.710811) + (xy 135.790041 98.6996) (xy 136.078771 98.6996) (xy 136.09 98.700706) (xy 137.49 98.700706) (xy 137.501229 98.6996) + (xy 138.089655 98.6996) (xy 138.132246 98.728059) (xy 138.246644 98.775444) (xy 138.368088 98.7996) (xy 138.491912 98.7996) + (xy 138.613356 98.775444) (xy 138.727754 98.728059) (xy 138.830709 98.659266) (xy 138.918266 98.571709) (xy 138.987059 98.468754) + (xy 139.015401 98.40033) (xy 139.0154 108.062096) (xy 139.013932 108.077) (xy 139.016276 108.100794) (xy 139.019794 108.136515) + (xy 139.037154 108.193743) (xy 139.065345 108.246486) (xy 139.103284 108.292716) (xy 139.114872 108.302226) (xy 144.301749 113.489105) + (xy 144.3014 113.490862) (xy 144.3014 113.585138) (xy 144.319792 113.677603) (xy 144.35587 113.764702) (xy 144.408247 113.84309) + (xy 144.47491 113.909753) (xy 144.476401 113.910749) (xy 144.476401 114.004629) (xy 144.419047 114.035286) (xy 144.361507 114.082507) + (xy 144.314286 114.140047) (xy 144.279197 114.205693) (xy 144.25759 114.276923) (xy 144.250294 114.351) (xy 144.250294 115.751) + (xy 144.25759 115.825077) (xy 144.279197 115.896307) (xy 144.314286 115.961953) (xy 144.361507 116.019493) (xy 144.419047 116.066714) + (xy 144.4764 116.09737) (xy 144.4764 116.444096) (xy 144.474932 116.459) (xy 144.4764 116.473903) (xy 144.480794 116.518515) + (xy 144.498154 116.575743) (xy 144.526345 116.628486) (xy 144.564284 116.674716) (xy 144.575872 116.684226) (xy 148.110783 120.219139) + (xy 148.120284 120.230716) (xy 148.166513 120.268655) (xy 148.219256 120.296846) (xy 148.245167 120.304706) (xy 148.276483 120.314206) + (xy 148.335999 120.320068) (xy 148.350903 120.3186) (xy 160.386096 120.3186) (xy 160.401 120.320068) (xy 160.415904 120.3186) + (xy 160.460516 120.314206) (xy 160.517744 120.296846) (xy 160.570487 120.268655) (xy 160.616716 120.230716) (xy 160.626226 120.219128) + (xy 160.813992 120.031362) (xy 166.3359 120.031362) (xy 166.3359 120.125638) (xy 166.354292 120.218103) (xy 166.39037 120.305202) + (xy 166.442747 120.38359) (xy 166.50941 120.450253) (xy 166.587798 120.50263) (xy 166.674897 120.538708) (xy 166.767362 120.5571) + (xy 166.861638 120.5571) (xy 166.954103 120.538708) (xy 167.041202 120.50263) (xy 167.11959 120.450253) (xy 167.186253 120.38359) + (xy 167.23863 120.305202) (xy 167.274708 120.218103) (xy 167.2931 120.125638) (xy 167.2931 120.075) (xy 175.695294 120.075) + (xy 175.699708 120.119813) (xy 175.712779 120.162905) (xy 175.734006 120.202618) (xy 175.762573 120.237427) (xy 175.797382 120.265994) + (xy 175.837095 120.287221) (xy 175.880187 120.300292) (xy 175.925 120.304706) (xy 176.51905 120.3036) (xy 176.5762 120.24645) + (xy 176.5762 119.9238) (xy 176.7238 119.9238) (xy 176.7238 120.24645) (xy 176.78095 120.3036) (xy 177.375 120.304706) + (xy 177.419813 120.300292) (xy 177.462905 120.287221) (xy 177.502618 120.265994) (xy 177.537427 120.237427) (xy 177.565994 120.202618) + (xy 177.587221 120.162905) (xy 177.600292 120.119813) (xy 177.604706 120.075) (xy 177.6036 119.98095) (xy 177.54645 119.9238) + (xy 176.7238 119.9238) (xy 176.5762 119.9238) (xy 175.75355 119.9238) (xy 175.6964 119.98095) (xy 175.695294 120.075) + (xy 167.2931 120.075) (xy 167.2931 120.031362) (xy 167.29275 120.029605) (xy 167.39964 119.922716) (xy 167.411216 119.913216) + (xy 167.449155 119.866987) (xy 167.477346 119.814244) (xy 167.494706 119.757016) (xy 167.497056 119.733154) (xy 167.500568 119.697501) + (xy 167.4991 119.682597) (xy 167.4991 114.868249) (xy 167.50059 114.867253) (xy 167.567253 114.80059) (xy 167.61963 114.722202) + (xy 167.655708 114.635103) (xy 167.6741 114.542638) (xy 167.6741 114.448362) (xy 167.655708 114.355897) (xy 167.61963 114.268798) + (xy 167.567253 114.19041) (xy 167.50059 114.123747) (xy 167.422202 114.07137) (xy 167.335103 114.035292) (xy 167.242638 114.0169) + (xy 167.148362 114.0169) (xy 167.055897 114.035292) (xy 166.968798 114.07137) (xy 166.89041 114.123747) (xy 166.823747 114.19041) + (xy 166.77137 114.268798) (xy 166.735292 114.355897) (xy 166.7169 114.448362) (xy 166.7169 114.542638) (xy 166.735292 114.635103) + (xy 166.77137 114.722202) (xy 166.823747 114.80059) (xy 166.89041 114.867253) (xy 166.8919 114.868249) (xy 166.891901 119.571744) + (xy 166.863395 119.60025) (xy 166.861638 119.5999) (xy 166.767362 119.5999) (xy 166.674897 119.618292) (xy 166.587798 119.65437) + (xy 166.50941 119.706747) (xy 166.442747 119.77341) (xy 166.39037 119.851798) (xy 166.354292 119.938897) (xy 166.3359 120.031362) + (xy 160.813992 120.031362) (xy 166.941755 113.9036) (xy 167.424246 113.9036) (xy 172.924779 119.404134) (xy 172.934284 119.415716) + (xy 172.980513 119.453655) (xy 173.033256 119.481846) (xy 173.084471 119.497382) (xy 173.090484 119.499206) (xy 173.15 119.505068) + (xy 173.164904 119.5036) (xy 175.730682 119.5036) (xy 175.712779 119.537095) (xy 175.699708 119.580187) (xy 175.695294 119.625) + (xy 175.6964 119.71905) (xy 175.75355 119.7762) (xy 176.5762 119.7762) (xy 176.5762 119.7562) (xy 176.7238 119.7562) + (xy 176.7238 119.7762) (xy 177.54645 119.7762) (xy 177.6036 119.71905) (xy 177.604706 119.625) (xy 177.600292 119.580187) + (xy 177.587221 119.537095) (xy 177.565994 119.497382) (xy 177.556207 119.485457) (xy 177.578657 119.443457) (xy 177.598131 119.379261) + (xy 177.604706 119.3125) (xy 177.604706 119.0875) (xy 177.598131 119.020739) (xy 177.578657 118.956543) (xy 177.547034 118.897381) + (xy 177.528666 118.875) (xy 177.547034 118.852619) (xy 177.578657 118.793457) (xy 177.598131 118.729261) (xy 177.604706 118.6625) + (xy 177.604706 118.4375) (xy 177.598131 118.370739) (xy 177.578657 118.306543) (xy 177.547034 118.247381) (xy 177.528666 118.225) + (xy 177.547034 118.202619) (xy 177.578657 118.143457) (xy 177.598131 118.079261) (xy 177.604706 118.0125) (xy 177.604706 117.7875) + (xy 177.598131 117.720739) (xy 177.578657 117.656543) (xy 177.547034 117.597381) (xy 177.528666 117.575) (xy 177.547034 117.552619) + (xy 177.578657 117.493457) (xy 177.598131 117.429261) (xy 177.604706 117.3625) (xy 177.604706 117.1375) (xy 177.598131 117.070739) + (xy 177.578657 117.006543) (xy 177.547034 116.947381) (xy 177.528666 116.925) (xy 177.547034 116.902619) (xy 177.578657 116.843457) + (xy 177.598131 116.779261) (xy 177.604706 116.7125) (xy 177.604706 116.4875) (xy 177.598131 116.420739) (xy 177.578657 116.356543) + (xy 177.547034 116.297381) (xy 177.528666 116.275) (xy 177.547034 116.252619) (xy 177.578657 116.193457) (xy 177.598131 116.129261) + (xy 177.604706 116.0625) (xy 177.604706 115.8375) (xy 177.598131 115.770739) (xy 177.578657 115.706543) (xy 177.547034 115.647381) + (xy 177.528666 115.625) (xy 177.547034 115.602619) (xy 177.578657 115.543457) (xy 177.598131 115.479261) (xy 177.604706 115.4125) + (xy 177.604706 115.1875) (xy 177.598131 115.120739) (xy 177.578657 115.056543) (xy 177.547034 114.997381) (xy 177.528666 114.975) + (xy 177.547034 114.952619) (xy 177.578657 114.893457) (xy 177.598131 114.829261) (xy 177.604706 114.7625) (xy 177.604706 114.5375) + (xy 177.598131 114.470739) (xy 177.578657 114.406543) (xy 177.556207 114.364543) (xy 177.565994 114.352618) (xy 177.587221 114.312905) + (xy 177.600292 114.269813) (xy 177.604706 114.225) (xy 177.6036 114.13095) (xy 177.54645 114.0738) (xy 176.7238 114.0738) + (xy 176.7238 114.0938) (xy 176.5762 114.0938) (xy 176.5762 114.0738) (xy 175.75355 114.0738) (xy 175.6964 114.13095) + (xy 175.695294 114.225) (xy 175.699708 114.269813) (xy 175.712779 114.312905) (xy 175.730682 114.3464) (xy 171.875755 114.3464) + (xy 171.304355 113.775) (xy 175.695294 113.775) (xy 175.6964 113.86905) (xy 175.75355 113.9262) (xy 176.5762 113.9262) + (xy 176.5762 113.60355) (xy 176.7238 113.60355) (xy 176.7238 113.9262) (xy 177.54645 113.9262) (xy 177.6036 113.86905) + (xy 177.604706 113.775) (xy 177.600292 113.730187) (xy 177.587221 113.687095) (xy 177.565994 113.647382) (xy 177.537427 113.612573) + (xy 177.502618 113.584006) (xy 177.462905 113.562779) (xy 177.419813 113.549708) (xy 177.375 113.545294) (xy 176.78095 113.5464) + (xy 176.7238 113.60355) (xy 176.5762 113.60355) (xy 176.51905 113.5464) (xy 175.925 113.545294) (xy 175.880187 113.549708) + (xy 175.837095 113.562779) (xy 175.797382 113.584006) (xy 175.762573 113.612573) (xy 175.734006 113.647382) (xy 175.712779 113.687095) + (xy 175.699708 113.730187) (xy 175.695294 113.775) (xy 171.304355 113.775) (xy 169.229355 111.7) (xy 178.944932 111.7) + (xy 178.946401 111.714914) (xy 178.9464 118.435096) (xy 178.944932 118.45) (xy 178.9464 118.464903) (xy 178.950794 118.509515) + (xy 178.968154 118.566743) (xy 178.996345 118.619486) (xy 179.034284 118.665716) (xy 179.045872 118.675226) (xy 180.274779 119.904134) + (xy 180.284284 119.915716) (xy 180.330513 119.953655) (xy 180.383256 119.981846) (xy 180.432243 119.996706) (xy 180.440484 119.999206) + (xy 180.5 120.005068) (xy 180.514904 120.0036) (xy 180.977251 120.0036) (xy 180.978247 120.00509) (xy 181.04491 120.071753) + (xy 181.123298 120.12413) (xy 181.210397 120.160208) (xy 181.302862 120.1786) (xy 181.397138 120.1786) (xy 181.489603 120.160208) + (xy 181.50264 120.154808) (xy 181.514903 120.1536) (xy 181.653771 120.1536) (xy 181.695524 120.204476) (xy 181.747381 120.247034) + (xy 181.806543 120.278657) (xy 181.870739 120.298131) (xy 181.9375 120.304706) (xy 183.1625 120.304706) (xy 183.229261 120.298131) + (xy 183.293457 120.278657) (xy 183.352619 120.247034) (xy 183.404476 120.204476) (xy 183.447034 120.152619) (xy 183.478657 120.093457) + (xy 183.484255 120.075) (xy 184.195294 120.075) (xy 184.199708 120.119813) (xy 184.212779 120.162905) (xy 184.234006 120.202618) + (xy 184.262573 120.237427) (xy 184.297382 120.265994) (xy 184.337095 120.287221) (xy 184.380187 120.300292) (xy 184.425 120.304706) + (xy 185.01905 120.3036) (xy 185.0762 120.24645) (xy 185.0762 119.9238) (xy 185.2238 119.9238) (xy 185.2238 120.24645) + (xy 185.28095 120.3036) (xy 185.875 120.304706) (xy 185.919813 120.300292) (xy 185.962905 120.287221) (xy 186.002618 120.265994) + (xy 186.037427 120.237427) (xy 186.065994 120.202618) (xy 186.087221 120.162905) (xy 186.100292 120.119813) (xy 186.104706 120.075) + (xy 186.1036 119.98095) (xy 186.04645 119.9238) (xy 185.2238 119.9238) (xy 185.0762 119.9238) (xy 184.25355 119.9238) + (xy 184.1964 119.98095) (xy 184.195294 120.075) (xy 183.484255 120.075) (xy 183.498131 120.029261) (xy 183.504706 119.9625) + (xy 183.504706 119.7375) (xy 183.498131 119.670739) (xy 183.478657 119.606543) (xy 183.447034 119.547381) (xy 183.428666 119.525) + (xy 183.446229 119.5036) (xy 184.230682 119.5036) (xy 184.212779 119.537095) (xy 184.199708 119.580187) (xy 184.195294 119.625) + (xy 184.1964 119.71905) (xy 184.25355 119.7762) (xy 185.0762 119.7762) (xy 185.0762 119.7562) (xy 185.2238 119.7562) + (xy 185.2238 119.7762) (xy 186.04645 119.7762) (xy 186.1036 119.71905) (xy 186.104706 119.625) (xy 186.100292 119.580187) + (xy 186.087221 119.537095) (xy 186.065994 119.497382) (xy 186.056207 119.485457) (xy 186.078657 119.443457) (xy 186.098131 119.379261) + (xy 186.104706 119.3125) (xy 186.104706 119.0875) (xy 186.098131 119.020739) (xy 186.078657 118.956543) (xy 186.047034 118.897381) + (xy 186.028666 118.875) (xy 186.047034 118.852619) (xy 186.078657 118.793457) (xy 186.098131 118.729261) (xy 186.104706 118.6625) + (xy 186.104706 118.4375) (xy 186.098131 118.370739) (xy 186.078657 118.306543) (xy 186.047034 118.247381) (xy 186.028666 118.225) + (xy 186.047034 118.202619) (xy 186.078657 118.143457) (xy 186.098131 118.079261) (xy 186.104706 118.0125) (xy 186.104706 117.7875) + (xy 186.098131 117.720739) (xy 186.078657 117.656543) (xy 186.047034 117.597381) (xy 186.028666 117.575) (xy 186.047034 117.552619) + (xy 186.078657 117.493457) (xy 186.098131 117.429261) (xy 186.104706 117.3625) (xy 186.104706 117.1375) (xy 186.098131 117.070739) + (xy 186.078657 117.006543) (xy 186.047034 116.947381) (xy 186.028666 116.925) (xy 186.047034 116.902619) (xy 186.078657 116.843457) + (xy 186.098131 116.779261) (xy 186.104706 116.7125) (xy 186.104706 116.4875) (xy 186.098131 116.420739) (xy 186.078657 116.356543) + (xy 186.047034 116.297381) (xy 186.028666 116.275) (xy 186.047034 116.252619) (xy 186.078657 116.193457) (xy 186.098131 116.129261) + (xy 186.104706 116.0625) (xy 186.104706 115.8375) (xy 186.098131 115.770739) (xy 186.078657 115.706543) (xy 186.047034 115.647381) + (xy 186.028666 115.625) (xy 186.047034 115.602619) (xy 186.078657 115.543457) (xy 186.098131 115.479261) (xy 186.104706 115.4125) + (xy 186.104706 115.1875) (xy 186.098131 115.120739) (xy 186.078657 115.056543) (xy 186.047034 114.997381) (xy 186.028666 114.975) + (xy 186.047034 114.952619) (xy 186.078657 114.893457) (xy 186.098131 114.829261) (xy 186.104706 114.7625) (xy 186.104706 114.5375) + (xy 186.098131 114.470739) (xy 186.078657 114.406543) (xy 186.047034 114.347381) (xy 186.028666 114.325) (xy 186.046229 114.3036) + (xy 186.335096 114.3036) (xy 186.35 114.305068) (xy 186.364904 114.3036) (xy 186.409516 114.299206) (xy 186.466744 114.281846) + (xy 186.519487 114.253655) (xy 186.565716 114.215716) (xy 186.575225 114.204129) (xy 186.95414 113.825216) (xy 186.965716 113.815716) + (xy 187.003655 113.769487) (xy 187.031846 113.716744) (xy 187.045601 113.6714) (xy 187.049206 113.659517) (xy 187.055068 113.600001) + (xy 187.0536 113.585097) (xy 187.0536 112.5) (xy 187.494932 112.5) (xy 187.496401 112.514914) (xy 187.4964 117.685096) + (xy 187.494932 117.7) (xy 187.497749 117.7286) (xy 187.500794 117.759515) (xy 187.518154 117.816743) (xy 187.546345 117.869486) + (xy 187.584284 117.915716) (xy 187.595872 117.925226) (xy 189.271749 119.601105) (xy 189.2714 119.602862) (xy 189.2714 119.697138) + (xy 189.289792 119.789603) (xy 189.32587 119.876702) (xy 189.378247 119.95509) (xy 189.44491 120.021753) (xy 189.523298 120.07413) + (xy 189.610397 120.110208) (xy 189.702862 120.1286) (xy 189.797138 120.1286) (xy 189.819034 120.124245) (xy 189.833256 120.131846) + (xy 189.880575 120.1462) (xy 189.890484 120.149206) (xy 189.95 120.155068) (xy 189.964904 120.1536) (xy 190.153771 120.1536) + (xy 190.195524 120.204476) (xy 190.247381 120.247034) (xy 190.306543 120.278657) (xy 190.370739 120.298131) (xy 190.4375 120.304706) + (xy 191.6625 120.304706) (xy 191.729261 120.298131) (xy 191.793457 120.278657) (xy 191.852619 120.247034) (xy 191.904476 120.204476) + (xy 191.947034 120.152619) (xy 191.978657 120.093457) (xy 191.998131 120.029261) (xy 192.004706 119.9625) (xy 192.004706 119.7375) + (xy 191.998131 119.670739) (xy 191.991924 119.650278) (xy 195.735779 123.394134) (xy 195.745284 123.405716) (xy 195.791513 123.443655) + (xy 195.844256 123.471846) (xy 195.901484 123.489206) (xy 195.960999 123.495068) (xy 195.975903 123.4936) (xy 200.384517 123.4936) + (xy 200.242654 123.541954) (xy 200.128539 123.602951) (xy 200.041537 123.737168) (xy 200.66 124.355631) (xy 201.278463 123.737168) + (xy 201.191461 123.602951) (xy 201.014047 123.515735) (xy 200.931022 123.4936) (xy 202.924517 123.4936) (xy 202.782654 123.541954) + (xy 202.668539 123.602951) (xy 202.581537 123.737168) (xy 203.2 124.355631) (xy 203.818463 123.737168) (xy 203.731461 123.602951) + (xy 203.554047 123.515735) (xy 203.471022 123.4936) (xy 204.455096 123.4936) (xy 204.47 123.495068) (xy 204.484904 123.4936) + (xy 204.529516 123.489206) (xy 204.586744 123.471846) (xy 204.639487 123.443655) (xy 204.685716 123.405716) (xy 204.695226 123.394128) + (xy 205.275477 122.813878) (xy 205.447261 122.885032) (xy 205.641154 122.9236) (xy 205.838846 122.9236) (xy 206.032739 122.885032) + (xy 206.215383 122.809379) (xy 206.379758 122.699547) (xy 206.519547 122.559758) (xy 206.629379 122.395383) (xy 206.705032 122.212739) + (xy 206.7436 122.018846) (xy 206.7436 121.821154) (xy 206.705032 121.627261) (xy 206.629379 121.444617) (xy 206.519547 121.280242) + (xy 206.379758 121.140453) (xy 206.215383 121.030621) (xy 206.032739 120.954968) (xy 205.838846 120.9164) (xy 205.641154 120.9164) + (xy 205.447261 120.954968) (xy 205.264617 121.030621) (xy 205.100242 121.140453) (xy 204.960453 121.280242) (xy 204.850621 121.444617) + (xy 204.774968 121.627261) (xy 204.7364 121.821154) (xy 204.7364 122.018846) (xy 204.774968 122.212739) (xy 204.846122 122.384523) + (xy 204.344246 122.8864) (xy 203.475483 122.8864) (xy 203.617346 122.838046) (xy 203.731461 122.777049) (xy 203.818463 122.642832) + (xy 203.2 122.024369) (xy 202.581537 122.642832) (xy 202.668539 122.777049) (xy 202.845953 122.864265) (xy 202.928978 122.8864) + (xy 200.945862 122.8864) (xy 200.952739 122.885032) (xy 201.135383 122.809379) (xy 201.299758 122.699547) (xy 201.439547 122.559758) + (xy 201.549379 122.395383) (xy 201.625032 122.212739) (xy 201.6636 122.018846) (xy 201.6636 121.954257) (xy 202.192125 121.954257) + (xy 202.218174 122.150225) (xy 202.281954 122.337346) (xy 202.342951 122.451461) (xy 202.477168 122.538463) (xy 203.095631 121.92) + (xy 203.304369 121.92) (xy 203.922832 122.538463) (xy 204.057049 122.451461) (xy 204.144265 122.274047) (xy 204.195192 122.083028) + (xy 204.207875 121.885743) (xy 204.181826 121.689775) (xy 204.118046 121.502654) (xy 204.057049 121.388539) (xy 203.922832 121.301537) + (xy 203.304369 121.92) (xy 203.095631 121.92) (xy 202.477168 121.301537) (xy 202.342951 121.388539) (xy 202.255735 121.565953) + (xy 202.204808 121.756972) (xy 202.192125 121.954257) (xy 201.6636 121.954257) (xy 201.6636 121.821154) (xy 201.625032 121.627261) + (xy 201.549379 121.444617) (xy 201.439547 121.280242) (xy 201.299758 121.140453) (xy 201.135383 121.030621) (xy 200.952739 120.954968) + (xy 200.945862 120.9536) (xy 202.924517 120.9536) (xy 202.782654 121.001954) (xy 202.668539 121.062951) (xy 202.581537 121.197168) + (xy 203.2 121.815631) (xy 203.818463 121.197168) (xy 203.731461 121.062951) (xy 203.554047 120.975735) (xy 203.471022 120.9536) + (xy 204.455096 120.9536) (xy 204.47 120.955068) (xy 204.484904 120.9536) (xy 204.529516 120.949206) (xy 204.586744 120.931846) + (xy 204.639487 120.903655) (xy 204.685716 120.865716) (xy 204.695226 120.854128) (xy 205.275477 120.273878) (xy 205.447261 120.345032) + (xy 205.641154 120.3836) (xy 205.838846 120.3836) (xy 206.032739 120.345032) (xy 206.215383 120.269379) (xy 206.379758 120.159547) + (xy 206.519547 120.019758) (xy 206.629379 119.855383) (xy 206.705032 119.672739) (xy 206.7436 119.478846) (xy 206.7436 119.281154) + (xy 206.705032 119.087261) (xy 206.629379 118.904617) (xy 206.519547 118.740242) (xy 206.379758 118.600453) (xy 206.215383 118.490621) + (xy 206.032739 118.414968) (xy 205.838846 118.3764) (xy 205.641154 118.3764) (xy 205.447261 118.414968) (xy 205.264617 118.490621) + (xy 205.100242 118.600453) (xy 204.960453 118.740242) (xy 204.850621 118.904617) (xy 204.774968 119.087261) (xy 204.7364 119.281154) + (xy 204.7364 119.478846) (xy 204.774968 119.672739) (xy 204.846122 119.844523) (xy 204.344246 120.3464) (xy 203.475483 120.3464) + (xy 203.617346 120.298046) (xy 203.731461 120.237049) (xy 203.818463 120.102832) (xy 203.2 119.484369) (xy 202.581537 120.102832) + (xy 202.668539 120.237049) (xy 202.845953 120.324265) (xy 202.928978 120.3464) (xy 200.945862 120.3464) (xy 200.952739 120.345032) + (xy 201.135383 120.269379) (xy 201.299758 120.159547) (xy 201.439547 120.019758) (xy 201.549379 119.855383) (xy 201.625032 119.672739) + (xy 201.6636 119.478846) (xy 201.6636 119.414257) (xy 202.192125 119.414257) (xy 202.218174 119.610225) (xy 202.281954 119.797346) + (xy 202.342951 119.911461) (xy 202.477168 119.998463) (xy 203.095631 119.38) (xy 203.304369 119.38) (xy 203.922832 119.998463) + (xy 204.057049 119.911461) (xy 204.144265 119.734047) (xy 204.195192 119.543028) (xy 204.207875 119.345743) (xy 204.181826 119.149775) + (xy 204.118046 118.962654) (xy 204.057049 118.848539) (xy 203.922832 118.761537) (xy 203.304369 119.38) (xy 203.095631 119.38) + (xy 202.477168 118.761537) (xy 202.342951 118.848539) (xy 202.255735 119.025953) (xy 202.204808 119.216972) (xy 202.192125 119.414257) + (xy 201.6636 119.414257) (xy 201.6636 119.281154) (xy 201.625032 119.087261) (xy 201.549379 118.904617) (xy 201.439547 118.740242) + (xy 201.299758 118.600453) (xy 201.135383 118.490621) (xy 200.952739 118.414968) (xy 200.945862 118.4136) (xy 202.924517 118.4136) + (xy 202.782654 118.461954) (xy 202.668539 118.522951) (xy 202.581537 118.657168) (xy 203.2 119.275631) (xy 203.818463 118.657168) + (xy 203.731461 118.522951) (xy 203.554047 118.435735) (xy 203.471022 118.4136) (xy 204.455096 118.4136) (xy 204.47 118.415068) + (xy 204.484904 118.4136) (xy 204.529516 118.409206) (xy 204.586744 118.391846) (xy 204.639487 118.363655) (xy 204.685716 118.325716) + (xy 204.695226 118.314128) (xy 205.275477 117.733878) (xy 205.447261 117.805032) (xy 205.641154 117.8436) (xy 205.838846 117.8436) + (xy 206.032739 117.805032) (xy 206.215383 117.729379) (xy 206.379758 117.619547) (xy 206.519547 117.479758) (xy 206.629379 117.315383) + (xy 206.705032 117.132739) (xy 206.7436 116.938846) (xy 206.7436 116.741154) (xy 206.705032 116.547261) (xy 206.629379 116.364617) + (xy 206.519547 116.200242) (xy 206.379758 116.060453) (xy 206.215383 115.950621) (xy 206.032739 115.874968) (xy 205.838846 115.8364) + (xy 205.641154 115.8364) (xy 205.447261 115.874968) (xy 205.264617 115.950621) (xy 205.100242 116.060453) (xy 204.960453 116.200242) + (xy 204.850621 116.364617) (xy 204.774968 116.547261) (xy 204.7364 116.741154) (xy 204.7364 116.938846) (xy 204.774968 117.132739) + (xy 204.846122 117.304523) (xy 204.344246 117.8064) (xy 203.475483 117.8064) (xy 203.617346 117.758046) (xy 203.731461 117.697049) + (xy 203.818463 117.562832) (xy 203.2 116.944369) (xy 202.581537 117.562832) (xy 202.668539 117.697049) (xy 202.845953 117.784265) + (xy 202.928978 117.8064) (xy 200.945862 117.8064) (xy 200.952739 117.805032) (xy 201.135383 117.729379) (xy 201.299758 117.619547) + (xy 201.439547 117.479758) (xy 201.549379 117.315383) (xy 201.625032 117.132739) (xy 201.6636 116.938846) (xy 201.6636 116.874257) + (xy 202.192125 116.874257) (xy 202.218174 117.070225) (xy 202.281954 117.257346) (xy 202.342951 117.371461) (xy 202.477168 117.458463) + (xy 203.095631 116.84) (xy 203.304369 116.84) (xy 203.922832 117.458463) (xy 204.057049 117.371461) (xy 204.144265 117.194047) + (xy 204.195192 117.003028) (xy 204.207875 116.805743) (xy 204.181826 116.609775) (xy 204.118046 116.422654) (xy 204.057049 116.308539) + (xy 203.922832 116.221537) (xy 203.304369 116.84) (xy 203.095631 116.84) (xy 202.477168 116.221537) (xy 202.342951 116.308539) + (xy 202.255735 116.485953) (xy 202.204808 116.676972) (xy 202.192125 116.874257) (xy 201.6636 116.874257) (xy 201.6636 116.741154) + (xy 201.625032 116.547261) (xy 201.549379 116.364617) (xy 201.439547 116.200242) (xy 201.299758 116.060453) (xy 201.135383 115.950621) + (xy 200.952739 115.874968) (xy 200.945862 115.8736) (xy 202.924517 115.8736) (xy 202.782654 115.921954) (xy 202.668539 115.982951) + (xy 202.581537 116.117168) (xy 203.2 116.735631) (xy 203.818463 116.117168) (xy 203.731461 115.982951) (xy 203.554047 115.895735) + (xy 203.471022 115.8736) (xy 204.455096 115.8736) (xy 204.47 115.875068) (xy 204.484904 115.8736) (xy 204.529516 115.869206) + (xy 204.586744 115.851846) (xy 204.639487 115.823655) (xy 204.685716 115.785716) (xy 204.695226 115.774128) (xy 205.275477 115.193878) + (xy 205.447261 115.265032) (xy 205.641154 115.3036) (xy 205.838846 115.3036) (xy 206.032739 115.265032) (xy 206.215383 115.189379) + (xy 206.379758 115.079547) (xy 206.519547 114.939758) (xy 206.629379 114.775383) (xy 206.705032 114.592739) (xy 206.7436 114.398846) + (xy 206.7436 114.201154) (xy 206.705032 114.007261) (xy 206.629379 113.824617) (xy 206.519547 113.660242) (xy 206.379758 113.520453) + (xy 206.215383 113.410621) (xy 206.032739 113.334968) (xy 205.838846 113.2964) (xy 205.641154 113.2964) (xy 205.447261 113.334968) + (xy 205.264617 113.410621) (xy 205.100242 113.520453) (xy 204.960453 113.660242) (xy 204.850621 113.824617) (xy 204.774968 114.007261) + (xy 204.7364 114.201154) (xy 204.7364 114.398846) (xy 204.774968 114.592739) (xy 204.846122 114.764523) (xy 204.344246 115.2664) + (xy 203.475483 115.2664) (xy 203.617346 115.218046) (xy 203.731461 115.157049) (xy 203.818463 115.022832) (xy 203.2 114.404369) + (xy 202.581537 115.022832) (xy 202.668539 115.157049) (xy 202.845953 115.244265) (xy 202.928978 115.2664) (xy 200.945862 115.2664) + (xy 200.952739 115.265032) (xy 201.135383 115.189379) (xy 201.299758 115.079547) (xy 201.439547 114.939758) (xy 201.549379 114.775383) + (xy 201.625032 114.592739) (xy 201.6636 114.398846) (xy 201.6636 114.334257) (xy 202.192125 114.334257) (xy 202.218174 114.530225) + (xy 202.281954 114.717346) (xy 202.342951 114.831461) (xy 202.477168 114.918463) (xy 203.095631 114.3) (xy 203.304369 114.3) + (xy 203.922832 114.918463) (xy 204.057049 114.831461) (xy 204.144265 114.654047) (xy 204.195192 114.463028) (xy 204.207875 114.265743) + (xy 204.181826 114.069775) (xy 204.118046 113.882654) (xy 204.057049 113.768539) (xy 203.922832 113.681537) (xy 203.304369 114.3) + (xy 203.095631 114.3) (xy 202.477168 113.681537) (xy 202.342951 113.768539) (xy 202.255735 113.945953) (xy 202.204808 114.136972) + (xy 202.192125 114.334257) (xy 201.6636 114.334257) (xy 201.6636 114.201154) (xy 201.625032 114.007261) (xy 201.549379 113.824617) + (xy 201.439547 113.660242) (xy 201.299758 113.520453) (xy 201.135383 113.410621) (xy 200.952739 113.334968) (xy 200.945862 113.3336) + (xy 202.924517 113.3336) (xy 202.782654 113.381954) (xy 202.668539 113.442951) (xy 202.581537 113.577168) (xy 203.2 114.195631) + (xy 203.818463 113.577168) (xy 203.731461 113.442951) (xy 203.554047 113.355735) (xy 203.471022 113.3336) (xy 204.455096 113.3336) + (xy 204.47 113.335068) (xy 204.484904 113.3336) (xy 204.529516 113.329206) (xy 204.586744 113.311846) (xy 204.639487 113.283655) + (xy 204.685716 113.245716) (xy 204.695226 113.234128) (xy 205.275477 112.653878) (xy 205.447261 112.725032) (xy 205.641154 112.7636) + (xy 205.838846 112.7636) (xy 206.032739 112.725032) (xy 206.215383 112.649379) (xy 206.379758 112.539547) (xy 206.519547 112.399758) + (xy 206.629379 112.235383) (xy 206.705032 112.052739) (xy 206.7436 111.858846) (xy 206.7436 111.661154) (xy 206.705032 111.467261) + (xy 206.629379 111.284617) (xy 206.519547 111.120242) (xy 206.379758 110.980453) (xy 206.215383 110.870621) (xy 206.032739 110.794968) + (xy 205.838846 110.7564) (xy 205.641154 110.7564) (xy 205.447261 110.794968) (xy 205.264617 110.870621) (xy 205.100242 110.980453) + (xy 204.960453 111.120242) (xy 204.850621 111.284617) (xy 204.774968 111.467261) (xy 204.7364 111.661154) (xy 204.7364 111.858846) + (xy 204.774968 112.052739) (xy 204.846122 112.224523) (xy 204.344246 112.7264) (xy 203.475483 112.7264) (xy 203.617346 112.678046) + (xy 203.731461 112.617049) (xy 203.818463 112.482832) (xy 203.2 111.864369) (xy 202.581537 112.482832) (xy 202.668539 112.617049) + (xy 202.845953 112.704265) (xy 202.928978 112.7264) (xy 200.945862 112.7264) (xy 200.952739 112.725032) (xy 201.135383 112.649379) + (xy 201.299758 112.539547) (xy 201.439547 112.399758) (xy 201.549379 112.235383) (xy 201.625032 112.052739) (xy 201.6636 111.858846) + (xy 201.6636 111.794257) (xy 202.192125 111.794257) (xy 202.218174 111.990225) (xy 202.281954 112.177346) (xy 202.342951 112.291461) + (xy 202.477168 112.378463) (xy 203.095631 111.76) (xy 203.304369 111.76) (xy 203.922832 112.378463) (xy 204.057049 112.291461) + (xy 204.144265 112.114047) (xy 204.195192 111.923028) (xy 204.207875 111.725743) (xy 204.181826 111.529775) (xy 204.118046 111.342654) + (xy 204.057049 111.228539) (xy 203.922832 111.141537) (xy 203.304369 111.76) (xy 203.095631 111.76) (xy 202.477168 111.141537) + (xy 202.342951 111.228539) (xy 202.255735 111.405953) (xy 202.204808 111.596972) (xy 202.192125 111.794257) (xy 201.6636 111.794257) + (xy 201.6636 111.661154) (xy 201.625032 111.467261) (xy 201.549379 111.284617) (xy 201.439547 111.120242) (xy 201.299758 110.980453) + (xy 201.135383 110.870621) (xy 200.952739 110.794968) (xy 200.945862 110.7936) (xy 202.924517 110.7936) (xy 202.782654 110.841954) + (xy 202.668539 110.902951) (xy 202.581537 111.037168) (xy 203.2 111.655631) (xy 203.818463 111.037168) (xy 203.731461 110.902951) + (xy 203.554047 110.815735) (xy 203.471022 110.7936) (xy 204.455096 110.7936) (xy 204.47 110.795068) (xy 204.484904 110.7936) + (xy 204.529516 110.789206) (xy 204.586744 110.771846) (xy 204.639487 110.743655) (xy 204.685716 110.705716) (xy 204.695226 110.694128) + (xy 205.275477 110.113878) (xy 205.447261 110.185032) (xy 205.641154 110.2236) (xy 205.838846 110.2236) (xy 206.032739 110.185032) + (xy 206.215383 110.109379) (xy 206.379758 109.999547) (xy 206.519547 109.859758) (xy 206.629379 109.695383) (xy 206.705032 109.512739) + (xy 206.7436 109.318846) (xy 206.7436 109.121154) (xy 206.705032 108.927261) (xy 206.629379 108.744617) (xy 206.519547 108.580242) + (xy 206.379758 108.440453) (xy 206.215383 108.330621) (xy 206.032739 108.254968) (xy 205.838846 108.2164) (xy 205.641154 108.2164) + (xy 205.447261 108.254968) (xy 205.264617 108.330621) (xy 205.100242 108.440453) (xy 204.960453 108.580242) (xy 204.850621 108.744617) + (xy 204.774968 108.927261) (xy 204.7364 109.121154) (xy 204.7364 109.318846) (xy 204.774968 109.512739) (xy 204.846122 109.684523) + (xy 204.344246 110.1864) (xy 203.475483 110.1864) (xy 203.617346 110.138046) (xy 203.731461 110.077049) (xy 203.818463 109.942832) + (xy 203.2 109.324369) (xy 202.581537 109.942832) (xy 202.668539 110.077049) (xy 202.845953 110.164265) (xy 202.928978 110.1864) + (xy 200.945862 110.1864) (xy 200.952739 110.185032) (xy 201.135383 110.109379) (xy 201.299758 109.999547) (xy 201.439547 109.859758) + (xy 201.549379 109.695383) (xy 201.625032 109.512739) (xy 201.6636 109.318846) (xy 201.6636 109.254257) (xy 202.192125 109.254257) + (xy 202.218174 109.450225) (xy 202.281954 109.637346) (xy 202.342951 109.751461) (xy 202.477168 109.838463) (xy 203.095631 109.22) + (xy 203.304369 109.22) (xy 203.922832 109.838463) (xy 204.057049 109.751461) (xy 204.144265 109.574047) (xy 204.195192 109.383028) + (xy 204.207875 109.185743) (xy 204.181826 108.989775) (xy 204.118046 108.802654) (xy 204.057049 108.688539) (xy 203.922832 108.601537) + (xy 203.304369 109.22) (xy 203.095631 109.22) (xy 202.477168 108.601537) (xy 202.342951 108.688539) (xy 202.255735 108.865953) + (xy 202.204808 109.056972) (xy 202.192125 109.254257) (xy 201.6636 109.254257) (xy 201.6636 109.121154) (xy 201.625032 108.927261) + (xy 201.549379 108.744617) (xy 201.439547 108.580242) (xy 201.299758 108.440453) (xy 201.135383 108.330621) (xy 200.952739 108.254968) + (xy 200.945862 108.2536) (xy 202.924517 108.2536) (xy 202.782654 108.301954) (xy 202.668539 108.362951) (xy 202.581537 108.497168) + (xy 203.2 109.115631) (xy 203.818463 108.497168) (xy 203.731461 108.362951) (xy 203.554047 108.275735) (xy 203.471022 108.2536) + (xy 204.455096 108.2536) (xy 204.47 108.255068) (xy 204.484904 108.2536) (xy 204.529516 108.249206) (xy 204.586744 108.231846) + (xy 204.639487 108.203655) (xy 204.685716 108.165716) (xy 204.695226 108.154128) (xy 205.275477 107.573878) (xy 205.447261 107.645032) + (xy 205.641154 107.6836) (xy 205.838846 107.6836) (xy 206.032739 107.645032) (xy 206.215383 107.569379) (xy 206.379758 107.459547) + (xy 206.519547 107.319758) (xy 206.629379 107.155383) (xy 206.705032 106.972739) (xy 206.7436 106.778846) (xy 206.7436 106.581154) + (xy 206.705032 106.387261) (xy 206.629379 106.204617) (xy 206.519547 106.040242) (xy 206.379758 105.900453) (xy 206.215383 105.790621) + (xy 206.032739 105.714968) (xy 205.838846 105.6764) (xy 205.641154 105.6764) (xy 205.447261 105.714968) (xy 205.264617 105.790621) + (xy 205.100242 105.900453) (xy 204.960453 106.040242) (xy 204.850621 106.204617) (xy 204.774968 106.387261) (xy 204.7364 106.581154) + (xy 204.7364 106.778846) (xy 204.774968 106.972739) (xy 204.846122 107.144523) (xy 204.344246 107.6464) (xy 203.485862 107.6464) + (xy 203.492739 107.645032) (xy 203.675383 107.569379) (xy 203.839758 107.459547) (xy 203.979547 107.319758) (xy 204.089379 107.155383) + (xy 204.165032 106.972739) (xy 204.2036 106.778846) (xy 204.2036 106.581154) (xy 204.165032 106.387261) (xy 204.089379 106.204617) + (xy 203.979547 106.040242) (xy 203.839758 105.900453) (xy 203.675383 105.790621) (xy 203.492739 105.714968) (xy 203.485862 105.7136) + (xy 204.455096 105.7136) (xy 204.47 105.715068) (xy 204.484904 105.7136) (xy 204.529516 105.709206) (xy 204.586744 105.691846) + (xy 204.639487 105.663655) (xy 204.685716 105.625716) (xy 204.695226 105.614128) (xy 205.275477 105.033878) (xy 205.447261 105.105032) + (xy 205.641154 105.1436) (xy 205.838846 105.1436) (xy 206.032739 105.105032) (xy 206.215383 105.029379) (xy 206.379758 104.919547) + (xy 206.519547 104.779758) (xy 206.629379 104.615383) (xy 206.705032 104.432739) (xy 206.7436 104.238846) (xy 206.7436 104.041154) + (xy 206.705032 103.847261) (xy 206.629379 103.664617) (xy 206.519547 103.500242) (xy 206.379758 103.360453) (xy 206.215383 103.250621) + (xy 206.032739 103.174968) (xy 205.838846 103.1364) (xy 205.641154 103.1364) (xy 205.447261 103.174968) (xy 205.264617 103.250621) + (xy 205.100242 103.360453) (xy 204.960453 103.500242) (xy 204.850621 103.664617) (xy 204.774968 103.847261) (xy 204.7364 104.041154) + (xy 204.7364 104.238846) (xy 204.774968 104.432739) (xy 204.846122 104.604523) (xy 204.344246 105.1064) (xy 203.485862 105.1064) + (xy 203.492739 105.105032) (xy 203.675383 105.029379) (xy 203.839758 104.919547) (xy 203.979547 104.779758) (xy 204.089379 104.615383) + (xy 204.165032 104.432739) (xy 204.2036 104.238846) (xy 204.2036 104.041154) (xy 204.165032 103.847261) (xy 204.089379 103.664617) + (xy 203.979547 103.500242) (xy 203.839758 103.360453) (xy 203.675383 103.250621) (xy 203.492739 103.174968) (xy 203.485862 103.1736) + (xy 204.455096 103.1736) (xy 204.47 103.175068) (xy 204.484904 103.1736) (xy 204.529516 103.169206) (xy 204.586744 103.151846) + (xy 204.639487 103.123655) (xy 204.685716 103.085716) (xy 204.695226 103.074128) (xy 205.275477 102.493878) (xy 205.447261 102.565032) + (xy 205.641154 102.6036) (xy 205.838846 102.6036) (xy 206.032739 102.565032) (xy 206.215383 102.489379) (xy 206.379758 102.379547) + (xy 206.519547 102.239758) (xy 206.629379 102.075383) (xy 206.705032 101.892739) (xy 206.7436 101.698846) (xy 206.7436 101.501154) + (xy 206.705032 101.307261) (xy 206.629379 101.124617) (xy 206.519547 100.960242) (xy 206.379758 100.820453) (xy 206.215383 100.710621) + (xy 206.032739 100.634968) (xy 205.838846 100.5964) (xy 205.641154 100.5964) (xy 205.447261 100.634968) (xy 205.264617 100.710621) + (xy 205.100242 100.820453) (xy 204.960453 100.960242) (xy 204.850621 101.124617) (xy 204.774968 101.307261) (xy 204.7364 101.501154) + (xy 204.7364 101.698846) (xy 204.774968 101.892739) (xy 204.846122 102.064523) (xy 204.344246 102.5664) (xy 203.485862 102.5664) + (xy 203.492739 102.565032) (xy 203.675383 102.489379) (xy 203.839758 102.379547) (xy 203.979547 102.239758) (xy 204.089379 102.075383) + (xy 204.165032 101.892739) (xy 204.2036 101.698846) (xy 204.2036 101.501154) (xy 204.165032 101.307261) (xy 204.089379 101.124617) + (xy 203.979547 100.960242) (xy 203.839758 100.820453) (xy 203.675383 100.710621) (xy 203.492739 100.634968) (xy 203.485862 100.6336) + (xy 204.455096 100.6336) (xy 204.47 100.635068) (xy 204.484904 100.6336) (xy 204.529516 100.629206) (xy 204.586744 100.611846) + (xy 204.639487 100.583655) (xy 204.685716 100.545716) (xy 204.695226 100.534128) (xy 205.275477 99.953878) (xy 205.447261 100.025032) + (xy 205.641154 100.0636) (xy 205.838846 100.0636) (xy 206.032739 100.025032) (xy 206.215383 99.949379) (xy 206.379758 99.839547) + (xy 206.519547 99.699758) (xy 206.629379 99.535383) (xy 206.705032 99.352739) (xy 206.7436 99.158846) (xy 206.7436 98.961154) + (xy 206.705032 98.767261) (xy 206.629379 98.584617) (xy 206.519547 98.420242) (xy 206.379758 98.280453) (xy 206.215383 98.170621) + (xy 206.032739 98.094968) (xy 205.838846 98.0564) (xy 205.641154 98.0564) (xy 205.447261 98.094968) (xy 205.264617 98.170621) + (xy 205.100242 98.280453) (xy 204.960453 98.420242) (xy 204.850621 98.584617) (xy 204.774968 98.767261) (xy 204.7364 98.961154) + (xy 204.7364 99.158846) (xy 204.774968 99.352739) (xy 204.846122 99.524523) (xy 204.344246 100.0264) (xy 203.485862 100.0264) + (xy 203.492739 100.025032) (xy 203.675383 99.949379) (xy 203.839758 99.839547) (xy 203.979547 99.699758) (xy 204.089379 99.535383) + (xy 204.165032 99.352739) (xy 204.2036 99.158846) (xy 204.2036 98.961154) (xy 204.165032 98.767261) (xy 204.089379 98.584617) + (xy 203.979547 98.420242) (xy 203.839758 98.280453) (xy 203.675383 98.170621) (xy 203.492739 98.094968) (xy 203.485862 98.0936) + (xy 204.455096 98.0936) (xy 204.47 98.095068) (xy 204.484904 98.0936) (xy 204.529516 98.089206) (xy 204.586744 98.071846) + (xy 204.639487 98.043655) (xy 204.685716 98.005716) (xy 204.695226 97.994128) (xy 205.275477 97.413878) (xy 205.447261 97.485032) + (xy 205.641154 97.5236) (xy 205.838846 97.5236) (xy 206.032739 97.485032) (xy 206.215383 97.409379) (xy 206.379758 97.299547) + (xy 206.519547 97.159758) (xy 206.629379 96.995383) (xy 206.705032 96.812739) (xy 206.7436 96.618846) (xy 206.7436 96.421154) + (xy 206.705032 96.227261) (xy 206.629379 96.044617) (xy 206.519547 95.880242) (xy 206.379758 95.740453) (xy 206.215383 95.630621) + (xy 206.032739 95.554968) (xy 205.838846 95.5164) (xy 205.641154 95.5164) (xy 205.447261 95.554968) (xy 205.264617 95.630621) + (xy 205.100242 95.740453) (xy 204.960453 95.880242) (xy 204.850621 96.044617) (xy 204.774968 96.227261) (xy 204.7364 96.421154) + (xy 204.7364 96.618846) (xy 204.774968 96.812739) (xy 204.846122 96.984523) (xy 204.344246 97.4864) (xy 203.485862 97.4864) + (xy 203.492739 97.485032) (xy 203.675383 97.409379) (xy 203.839758 97.299547) (xy 203.979547 97.159758) (xy 204.089379 96.995383) + (xy 204.165032 96.812739) (xy 204.2036 96.618846) (xy 204.2036 96.421154) (xy 204.165032 96.227261) (xy 204.089379 96.044617) + (xy 203.979547 95.880242) (xy 203.839758 95.740453) (xy 203.675383 95.630621) (xy 203.492739 95.554968) (xy 203.485862 95.5536) + (xy 204.455096 95.5536) (xy 204.47 95.555068) (xy 204.484904 95.5536) (xy 204.529516 95.549206) (xy 204.586744 95.531846) + (xy 204.639487 95.503655) (xy 204.685716 95.465716) (xy 204.695226 95.454128) (xy 205.275477 94.873878) (xy 205.447261 94.945032) + (xy 205.641154 94.9836) (xy 205.838846 94.9836) (xy 206.032739 94.945032) (xy 206.215383 94.869379) (xy 206.379758 94.759547) + (xy 206.519547 94.619758) (xy 206.629379 94.455383) (xy 206.705032 94.272739) (xy 206.7436 94.078846) (xy 206.7436 93.881154) + (xy 206.705032 93.687261) (xy 206.629379 93.504617) (xy 206.519547 93.340242) (xy 206.379758 93.200453) (xy 206.215383 93.090621) + (xy 206.032739 93.014968) (xy 205.838846 92.9764) (xy 205.641154 92.9764) (xy 205.447261 93.014968) (xy 205.264617 93.090621) + (xy 205.100242 93.200453) (xy 204.960453 93.340242) (xy 204.850621 93.504617) (xy 204.774968 93.687261) (xy 204.7364 93.881154) + (xy 204.7364 94.078846) (xy 204.774968 94.272739) (xy 204.846122 94.444523) (xy 204.344246 94.9464) (xy 203.485862 94.9464) + (xy 203.492739 94.945032) (xy 203.675383 94.869379) (xy 203.839758 94.759547) (xy 203.979547 94.619758) (xy 204.089379 94.455383) + (xy 204.165032 94.272739) (xy 204.2036 94.078846) (xy 204.2036 93.881154) (xy 204.165032 93.687261) (xy 204.089379 93.504617) + (xy 203.979547 93.340242) (xy 203.839758 93.200453) (xy 203.675383 93.090621) (xy 203.492739 93.014968) (xy 203.485862 93.0136) + (xy 204.455096 93.0136) (xy 204.47 93.015068) (xy 204.484904 93.0136) (xy 204.529516 93.009206) (xy 204.586744 92.991846) + (xy 204.639487 92.963655) (xy 204.685716 92.925716) (xy 204.695226 92.914128) (xy 205.275477 92.333878) (xy 205.447261 92.405032) + (xy 205.641154 92.4436) (xy 205.838846 92.4436) (xy 206.032739 92.405032) (xy 206.215383 92.329379) (xy 206.379758 92.219547) + (xy 206.519547 92.079758) (xy 206.629379 91.915383) (xy 206.705032 91.732739) (xy 206.7436 91.538846) (xy 206.7436 91.341154) + (xy 206.705032 91.147261) (xy 206.629379 90.964617) (xy 206.519547 90.800242) (xy 206.379758 90.660453) (xy 206.215383 90.550621) + (xy 206.032739 90.474968) (xy 205.838846 90.4364) (xy 205.641154 90.4364) (xy 205.447261 90.474968) (xy 205.264617 90.550621) + (xy 205.100242 90.660453) (xy 204.960453 90.800242) (xy 204.850621 90.964617) (xy 204.774968 91.147261) (xy 204.7364 91.341154) + (xy 204.7364 91.538846) (xy 204.774968 91.732739) (xy 204.846122 91.904523) (xy 204.344246 92.4064) (xy 203.485862 92.4064) + (xy 203.492739 92.405032) (xy 203.675383 92.329379) (xy 203.839758 92.219547) (xy 203.979547 92.079758) (xy 204.089379 91.915383) + (xy 204.165032 91.732739) (xy 204.2036 91.538846) (xy 204.2036 91.341154) (xy 204.165032 91.147261) (xy 204.089379 90.964617) + (xy 203.979547 90.800242) (xy 203.839758 90.660453) (xy 203.675383 90.550621) (xy 203.492739 90.474968) (xy 203.485862 90.4736) + (xy 204.455096 90.4736) (xy 204.47 90.475068) (xy 204.484904 90.4736) (xy 204.529516 90.469206) (xy 204.586744 90.451846) + (xy 204.639487 90.423655) (xy 204.685716 90.385716) (xy 204.695226 90.374128) (xy 205.275477 89.793878) (xy 205.447261 89.865032) + (xy 205.641154 89.9036) (xy 205.838846 89.9036) (xy 206.032739 89.865032) (xy 206.215383 89.789379) (xy 206.379758 89.679547) + (xy 206.519547 89.539758) (xy 206.629379 89.375383) (xy 206.705032 89.192739) (xy 206.7436 88.998846) (xy 206.7436 88.801154) + (xy 206.705032 88.607261) (xy 206.629379 88.424617) (xy 206.519547 88.260242) (xy 206.379758 88.120453) (xy 206.215383 88.010621) + (xy 206.032739 87.934968) (xy 205.838846 87.8964) (xy 205.641154 87.8964) (xy 205.447261 87.934968) (xy 205.264617 88.010621) + (xy 205.100242 88.120453) (xy 204.960453 88.260242) (xy 204.850621 88.424617) (xy 204.774968 88.607261) (xy 204.7364 88.801154) + (xy 204.7364 88.998846) (xy 204.774968 89.192739) (xy 204.846122 89.364523) (xy 204.344246 89.8664) (xy 203.485862 89.8664) + (xy 203.492739 89.865032) (xy 203.675383 89.789379) (xy 203.839758 89.679547) (xy 203.979547 89.539758) (xy 204.089379 89.375383) + (xy 204.165032 89.192739) (xy 204.2036 88.998846) (xy 204.2036 88.801154) (xy 204.165032 88.607261) (xy 204.089379 88.424617) + (xy 203.979547 88.260242) (xy 203.839758 88.120453) (xy 203.675383 88.010621) (xy 203.492739 87.934968) (xy 203.485862 87.9336) + (xy 204.455096 87.9336) (xy 204.47 87.935068) (xy 204.484904 87.9336) (xy 204.529516 87.929206) (xy 204.586744 87.911846) + (xy 204.639487 87.883655) (xy 204.685716 87.845716) (xy 204.695226 87.834128) (xy 205.275477 87.253878) (xy 205.447261 87.325032) + (xy 205.641154 87.3636) (xy 205.838846 87.3636) (xy 206.032739 87.325032) (xy 206.215383 87.249379) (xy 206.379758 87.139547) + (xy 206.519547 86.999758) (xy 206.629379 86.835383) (xy 206.705032 86.652739) (xy 206.7436 86.458846) (xy 206.7436 86.261154) + (xy 206.705032 86.067261) (xy 206.629379 85.884617) (xy 206.519547 85.720242) (xy 206.379758 85.580453) (xy 206.215383 85.470621) + (xy 206.032739 85.394968) (xy 205.838846 85.3564) (xy 205.641154 85.3564) (xy 205.447261 85.394968) (xy 205.264617 85.470621) + (xy 205.100242 85.580453) (xy 204.960453 85.720242) (xy 204.850621 85.884617) (xy 204.774968 86.067261) (xy 204.7364 86.261154) + (xy 204.7364 86.458846) (xy 204.774968 86.652739) (xy 204.846122 86.824523) (xy 204.344246 87.3264) (xy 203.485862 87.3264) + (xy 203.492739 87.325032) (xy 203.675383 87.249379) (xy 203.839758 87.139547) (xy 203.979547 86.999758) (xy 204.089379 86.835383) + (xy 204.165032 86.652739) (xy 204.2036 86.458846) (xy 204.2036 86.261154) (xy 204.165032 86.067261) (xy 204.089379 85.884617) + (xy 203.979547 85.720242) (xy 203.839758 85.580453) (xy 203.675383 85.470621) (xy 203.492739 85.394968) (xy 203.485862 85.3936) + (xy 204.455096 85.3936) (xy 204.47 85.395068) (xy 204.484904 85.3936) (xy 204.529516 85.389206) (xy 204.586744 85.371846) + (xy 204.639487 85.343655) (xy 204.685716 85.305716) (xy 204.695226 85.294128) (xy 205.275477 84.713878) (xy 205.447261 84.785032) + (xy 205.641154 84.8236) (xy 205.838846 84.8236) (xy 206.032739 84.785032) (xy 206.215383 84.709379) (xy 206.379758 84.599547) + (xy 206.519547 84.459758) (xy 206.629379 84.295383) (xy 206.705032 84.112739) (xy 206.7436 83.918846) (xy 206.7436 83.721154) + (xy 206.705032 83.527261) (xy 206.629379 83.344617) (xy 206.519547 83.180242) (xy 206.379758 83.040453) (xy 206.215383 82.930621) + (xy 206.032739 82.854968) (xy 205.838846 82.8164) (xy 205.641154 82.8164) (xy 205.447261 82.854968) (xy 205.264617 82.930621) + (xy 205.100242 83.040453) (xy 204.960453 83.180242) (xy 204.850621 83.344617) (xy 204.774968 83.527261) (xy 204.7364 83.721154) + (xy 204.7364 83.918846) (xy 204.774968 84.112739) (xy 204.846122 84.284523) (xy 204.344246 84.7864) (xy 203.485862 84.7864) + (xy 203.492739 84.785032) (xy 203.675383 84.709379) (xy 203.839758 84.599547) (xy 203.979547 84.459758) (xy 204.089379 84.295383) + (xy 204.165032 84.112739) (xy 204.2036 83.918846) (xy 204.2036 83.721154) (xy 204.165032 83.527261) (xy 204.089379 83.344617) + (xy 203.979547 83.180242) (xy 203.839758 83.040453) (xy 203.675383 82.930621) (xy 203.492739 82.854968) (xy 203.485862 82.8536) + (xy 204.455096 82.8536) (xy 204.47 82.855068) (xy 204.484904 82.8536) (xy 204.529516 82.849206) (xy 204.586744 82.831846) + (xy 204.639487 82.803655) (xy 204.685716 82.765716) (xy 204.695226 82.754128) (xy 205.275477 82.173878) (xy 205.447261 82.245032) + (xy 205.641154 82.2836) (xy 205.838846 82.2836) (xy 206.032739 82.245032) (xy 206.215383 82.169379) (xy 206.379758 82.059547) + (xy 206.519547 81.919758) (xy 206.629379 81.755383) (xy 206.705032 81.572739) (xy 206.7436 81.378846) (xy 206.7436 81.181154) + (xy 206.705032 80.987261) (xy 206.629379 80.804617) (xy 206.519547 80.640242) (xy 206.379758 80.500453) (xy 206.215383 80.390621) + (xy 206.032739 80.314968) (xy 205.838846 80.2764) (xy 205.641154 80.2764) (xy 205.447261 80.314968) (xy 205.264617 80.390621) + (xy 205.100242 80.500453) (xy 204.960453 80.640242) (xy 204.850621 80.804617) (xy 204.774968 80.987261) (xy 204.7364 81.181154) + (xy 204.7364 81.378846) (xy 204.774968 81.572739) (xy 204.846122 81.744523) (xy 204.344246 82.2464) (xy 203.485862 82.2464) + (xy 203.492739 82.245032) (xy 203.675383 82.169379) (xy 203.839758 82.059547) (xy 203.979547 81.919758) (xy 204.089379 81.755383) + (xy 204.165032 81.572739) (xy 204.2036 81.378846) (xy 204.2036 81.181154) (xy 204.165032 80.987261) (xy 204.089379 80.804617) + (xy 203.979547 80.640242) (xy 203.839758 80.500453) (xy 203.675383 80.390621) (xy 203.492739 80.314968) (xy 203.485862 80.3136) + (xy 204.455096 80.3136) (xy 204.47 80.315068) (xy 204.484904 80.3136) (xy 204.529516 80.309206) (xy 204.586744 80.291846) + (xy 204.639487 80.263655) (xy 204.685716 80.225716) (xy 204.695226 80.214128) (xy 205.275477 79.633878) (xy 205.447261 79.705032) + (xy 205.641154 79.7436) (xy 205.838846 79.7436) (xy 206.032739 79.705032) (xy 206.215383 79.629379) (xy 206.379758 79.519547) + (xy 206.519547 79.379758) (xy 206.629379 79.215383) (xy 206.705032 79.032739) (xy 206.7436 78.838846) (xy 206.7436 78.641154) + (xy 206.705032 78.447261) (xy 206.629379 78.264617) (xy 206.519547 78.100242) (xy 206.379758 77.960453) (xy 206.215383 77.850621) + (xy 206.032739 77.774968) (xy 205.838846 77.7364) (xy 205.641154 77.7364) (xy 205.447261 77.774968) (xy 205.264617 77.850621) + (xy 205.100242 77.960453) (xy 204.960453 78.100242) (xy 204.850621 78.264617) (xy 204.774968 78.447261) (xy 204.7364 78.641154) + (xy 204.7364 78.838846) (xy 204.774968 79.032739) (xy 204.846122 79.204523) (xy 204.344246 79.7064) (xy 203.485862 79.7064) + (xy 203.492739 79.705032) (xy 203.675383 79.629379) (xy 203.839758 79.519547) (xy 203.979547 79.379758) (xy 204.089379 79.215383) + (xy 204.165032 79.032739) (xy 204.2036 78.838846) (xy 204.2036 78.641154) (xy 204.165032 78.447261) (xy 204.089379 78.264617) + (xy 203.979547 78.100242) (xy 203.839758 77.960453) (xy 203.675383 77.850621) (xy 203.492739 77.774968) (xy 203.485862 77.7736) + (xy 204.455096 77.7736) (xy 204.47 77.775068) (xy 204.484904 77.7736) (xy 204.529516 77.769206) (xy 204.586744 77.751846) + (xy 204.639487 77.723655) (xy 204.685716 77.685716) (xy 204.695226 77.674128) (xy 205.275477 77.093878) (xy 205.447261 77.165032) + (xy 205.641154 77.2036) (xy 205.838846 77.2036) (xy 206.032739 77.165032) (xy 206.215383 77.089379) (xy 206.379758 76.979547) + (xy 206.519547 76.839758) (xy 206.629379 76.675383) (xy 206.705032 76.492739) (xy 206.7436 76.298846) (xy 206.7436 76.101154) + (xy 206.705032 75.907261) (xy 206.629379 75.724617) (xy 206.519547 75.560242) (xy 206.379758 75.420453) (xy 206.215383 75.310621) + (xy 206.032739 75.234968) (xy 205.838846 75.1964) (xy 205.641154 75.1964) (xy 205.447261 75.234968) (xy 205.264617 75.310621) + (xy 205.100242 75.420453) (xy 204.960453 75.560242) (xy 204.850621 75.724617) (xy 204.774968 75.907261) (xy 204.7364 76.101154) + (xy 204.7364 76.298846) (xy 204.774968 76.492739) (xy 204.846122 76.664523) (xy 204.344246 77.1664) (xy 203.485862 77.1664) + (xy 203.492739 77.165032) (xy 203.675383 77.089379) (xy 203.839758 76.979547) (xy 203.979547 76.839758) (xy 204.089379 76.675383) + (xy 204.165032 76.492739) (xy 204.2036 76.298846) (xy 204.2036 76.101154) (xy 204.165032 75.907261) (xy 204.089379 75.724617) + (xy 203.979547 75.560242) (xy 203.839758 75.420453) (xy 203.675383 75.310621) (xy 203.492739 75.234968) (xy 203.485862 75.2336) + (xy 204.455096 75.2336) (xy 204.47 75.235068) (xy 204.484904 75.2336) (xy 204.529516 75.229206) (xy 204.586744 75.211846) + (xy 204.639487 75.183655) (xy 204.685716 75.145716) (xy 204.695226 75.134128) (xy 205.275477 74.553878) (xy 205.447261 74.625032) + (xy 205.641154 74.6636) (xy 205.838846 74.6636) (xy 206.032739 74.625032) (xy 206.215383 74.549379) (xy 206.379758 74.439547) + (xy 206.519547 74.299758) (xy 206.629379 74.135383) (xy 206.705032 73.952739) (xy 206.7436 73.758846) (xy 206.7436 73.561154) + (xy 206.705032 73.367261) (xy 206.629379 73.184617) (xy 206.519547 73.020242) (xy 206.379758 72.880453) (xy 206.215383 72.770621) + (xy 206.032739 72.694968) (xy 205.838846 72.6564) (xy 205.641154 72.6564) (xy 205.447261 72.694968) (xy 205.264617 72.770621) + (xy 205.100242 72.880453) (xy 204.960453 73.020242) (xy 204.850621 73.184617) (xy 204.774968 73.367261) (xy 204.7364 73.561154) + (xy 204.7364 73.758846) (xy 204.774968 73.952739) (xy 204.846122 74.124523) (xy 204.344246 74.6264) (xy 203.485862 74.6264) + (xy 203.492739 74.625032) (xy 203.675383 74.549379) (xy 203.839758 74.439547) (xy 203.979547 74.299758) (xy 204.089379 74.135383) + (xy 204.165032 73.952739) (xy 204.2036 73.758846) (xy 204.2036 73.561154) (xy 204.165032 73.367261) (xy 204.089379 73.184617) + (xy 203.979547 73.020242) (xy 203.839758 72.880453) (xy 203.675383 72.770621) (xy 203.492739 72.694968) (xy 203.485862 72.6936) + (xy 204.455096 72.6936) (xy 204.47 72.695068) (xy 204.484904 72.6936) (xy 204.529516 72.689206) (xy 204.586744 72.671846) + (xy 204.639487 72.643655) (xy 204.685716 72.605716) (xy 204.695226 72.594128) (xy 205.275477 72.013878) (xy 205.447261 72.085032) + (xy 205.641154 72.1236) (xy 205.838846 72.1236) (xy 206.032739 72.085032) (xy 206.215383 72.009379) (xy 206.379758 71.899547) + (xy 206.519547 71.759758) (xy 206.629379 71.595383) (xy 206.705032 71.412739) (xy 206.7436 71.218846) (xy 206.7436 71.021154) + (xy 206.705032 70.827261) (xy 206.629379 70.644617) (xy 206.519547 70.480242) (xy 206.379758 70.340453) (xy 206.215383 70.230621) + (xy 206.032739 70.154968) (xy 205.838846 70.1164) (xy 205.641154 70.1164) (xy 205.447261 70.154968) (xy 205.264617 70.230621) + (xy 205.100242 70.340453) (xy 204.960453 70.480242) (xy 204.850621 70.644617) (xy 204.774968 70.827261) (xy 204.7364 71.021154) + (xy 204.7364 71.218846) (xy 204.774968 71.412739) (xy 204.846122 71.584523) (xy 204.344246 72.0864) (xy 203.485862 72.0864) + (xy 203.492739 72.085032) (xy 203.675383 72.009379) (xy 203.839758 71.899547) (xy 203.979547 71.759758) (xy 204.089379 71.595383) + (xy 204.165032 71.412739) (xy 204.2036 71.218846) (xy 204.2036 71.021154) (xy 204.165032 70.827261) (xy 204.089379 70.644617) + (xy 203.979547 70.480242) (xy 203.839758 70.340453) (xy 203.675383 70.230621) (xy 203.492739 70.154968) (xy 203.485862 70.1536) + (xy 204.455096 70.1536) (xy 204.47 70.155068) (xy 204.484904 70.1536) (xy 204.529516 70.149206) (xy 204.586744 70.131846) + (xy 204.639487 70.103655) (xy 204.685716 70.065716) (xy 204.695226 70.054128) (xy 205.275477 69.473878) (xy 205.447261 69.545032) + (xy 205.641154 69.5836) (xy 205.838846 69.5836) (xy 206.032739 69.545032) (xy 206.215383 69.469379) (xy 206.379758 69.359547) + (xy 206.519547 69.219758) (xy 206.629379 69.055383) (xy 206.705032 68.872739) (xy 206.7436 68.678846) (xy 206.7436 68.481154) + (xy 206.705032 68.287261) (xy 206.629379 68.104617) (xy 206.519547 67.940242) (xy 206.379758 67.800453) (xy 206.215383 67.690621) + (xy 206.032739 67.614968) (xy 205.838846 67.5764) (xy 205.641154 67.5764) (xy 205.447261 67.614968) (xy 205.264617 67.690621) + (xy 205.100242 67.800453) (xy 204.960453 67.940242) (xy 204.850621 68.104617) (xy 204.774968 68.287261) (xy 204.7364 68.481154) + (xy 204.7364 68.678846) (xy 204.774968 68.872739) (xy 204.846122 69.044523) (xy 204.344246 69.5464) (xy 203.485862 69.5464) + (xy 203.492739 69.545032) (xy 203.675383 69.469379) (xy 203.839758 69.359547) (xy 203.979547 69.219758) (xy 204.089379 69.055383) + (xy 204.165032 68.872739) (xy 204.2036 68.678846) (xy 204.2036 68.481154) (xy 204.165032 68.287261) (xy 204.089379 68.104617) + (xy 203.979547 67.940242) (xy 203.839758 67.800453) (xy 203.675383 67.690621) (xy 203.492739 67.614968) (xy 203.485862 67.6136) + (xy 204.455096 67.6136) (xy 204.47 67.615068) (xy 204.484904 67.6136) (xy 204.529516 67.609206) (xy 204.586744 67.591846) + (xy 204.639487 67.563655) (xy 204.685716 67.525716) (xy 204.695226 67.514128) (xy 205.275477 66.933878) (xy 205.447261 67.005032) + (xy 205.641154 67.0436) (xy 205.838846 67.0436) (xy 206.032739 67.005032) (xy 206.215383 66.929379) (xy 206.379758 66.819547) + (xy 206.519547 66.679758) (xy 206.629379 66.515383) (xy 206.705032 66.332739) (xy 206.7436 66.138846) (xy 206.7436 65.941154) + (xy 206.705032 65.747261) (xy 206.629379 65.564617) (xy 206.519547 65.400242) (xy 206.379758 65.260453) (xy 206.215383 65.150621) + (xy 206.032739 65.074968) (xy 205.838846 65.0364) (xy 205.641154 65.0364) (xy 205.447261 65.074968) (xy 205.264617 65.150621) + (xy 205.100242 65.260453) (xy 204.960453 65.400242) (xy 204.850621 65.564617) (xy 204.774968 65.747261) (xy 204.7364 65.941154) + (xy 204.7364 66.138846) (xy 204.774968 66.332739) (xy 204.846122 66.504523) (xy 204.344246 67.0064) (xy 203.485862 67.0064) + (xy 203.492739 67.005032) (xy 203.675383 66.929379) (xy 203.839758 66.819547) (xy 203.979547 66.679758) (xy 204.089379 66.515383) + (xy 204.165032 66.332739) (xy 204.2036 66.138846) (xy 204.2036 65.941154) (xy 204.165032 65.747261) (xy 204.089379 65.564617) + (xy 203.979547 65.400242) (xy 203.839758 65.260453) (xy 203.675383 65.150621) (xy 203.492739 65.074968) (xy 203.298846 65.0364) + (xy 203.101154 65.0364) (xy 202.907261 65.074968) (xy 202.724617 65.150621) (xy 202.560242 65.260453) (xy 202.420453 65.400242) + (xy 202.310621 65.564617) (xy 202.234968 65.747261) (xy 202.1964 65.941154) (xy 202.1964 66.138846) (xy 202.234968 66.332739) + (xy 202.310621 66.515383) (xy 202.420453 66.679758) (xy 202.560242 66.819547) (xy 202.724617 66.929379) (xy 202.907261 67.005032) + (xy 202.914138 67.0064) (xy 200.945862 67.0064) (xy 200.952739 67.005032) (xy 201.135383 66.929379) (xy 201.299758 66.819547) + (xy 201.439547 66.679758) (xy 201.549379 66.515383) (xy 201.625032 66.332739) (xy 201.6636 66.138846) (xy 201.6636 65.941154) + (xy 201.625032 65.747261) (xy 201.549379 65.564617) (xy 201.439547 65.400242) (xy 201.299758 65.260453) (xy 201.135383 65.150621) + (xy 200.952739 65.074968) (xy 200.758846 65.0364) (xy 200.561154 65.0364) (xy 200.367261 65.074968) (xy 200.184617 65.150621) + (xy 200.020242 65.260453) (xy 199.880453 65.400242) (xy 199.770621 65.564617) (xy 199.694968 65.747261) (xy 199.6564 65.941154) + (xy 199.6564 66.138846) (xy 199.694968 66.332739) (xy 199.770621 66.515383) (xy 199.880453 66.679758) (xy 200.020242 66.819547) + (xy 200.184617 66.929379) (xy 200.367261 67.005032) (xy 200.374138 67.0064) (xy 199.404903 67.0064) (xy 199.389999 67.004932) + (xy 199.330483 67.010794) (xy 199.307669 67.017715) (xy 199.273256 67.028154) (xy 199.220513 67.056345) (xy 199.174284 67.094284) + (xy 199.164779 67.105866) (xy 196.074372 70.196274) (xy 196.062784 70.205784) (xy 196.024845 70.252014) (xy 195.996654 70.304757) + (xy 195.981214 70.355655) (xy 195.979294 70.361985) (xy 195.973432 70.4215) (xy 195.9749 70.436404) (xy 195.974901 91.377744) + (xy 192.137367 95.215279) (xy 192.125785 95.224784) (xy 192.087846 95.271013) (xy 192.063952 95.315716) (xy 192.059655 95.323756) + (xy 192.042294 95.380985) (xy 192.036432 95.4405) (xy 192.037901 95.455414) (xy 192.0379 95.932745) (xy 191.998868 95.971777) + (xy 192.004706 95.9125) (xy 192.004706 95.6875) (xy 191.998131 95.620739) (xy 191.978657 95.556543) (xy 191.947034 95.497381) + (xy 191.904476 95.445524) (xy 191.852619 95.402966) (xy 191.793457 95.371343) (xy 191.729261 95.351869) (xy 191.6625 95.345294) + (xy 191.55831 95.345294) (xy 191.570951 95.303624) (xy 191.5786 95.225962) (xy 191.5786 94.921028) (xy 191.61342 94.8786) + (xy 191.952063 94.8786) (xy 191.977664 94.873508) (xy 192.003624 94.870951) (xy 192.028582 94.86338) (xy 192.054187 94.858287) + (xy 192.078309 94.848296) (xy 192.103265 94.840725) (xy 192.126265 94.828431) (xy 192.150386 94.81844) (xy 192.172093 94.803936) + (xy 192.195095 94.791641) (xy 192.215257 94.775094) (xy 192.236963 94.760591) (xy 192.255421 94.742133) (xy 192.275585 94.725585) + (xy 192.292133 94.705421) (xy 192.310591 94.686963) (xy 192.325094 94.665257) (xy 192.341641 94.645095) (xy 192.353936 94.622093) + (xy 192.36844 94.600386) (xy 192.378431 94.576265) (xy 192.390725 94.553265) (xy 192.398296 94.528309) (xy 192.408287 94.504187) + (xy 192.41338 94.478582) (xy 192.420951 94.453624) (xy 192.423508 94.427664) (xy 192.4286 94.402063) (xy 192.4286 94.375962) + (xy 192.431157 94.35) (xy 192.4286 94.324038) (xy 192.4286 94.297937) (xy 192.423508 94.272336) (xy 192.420951 94.246376) + (xy 192.41338 94.221418) (xy 192.408287 94.195813) (xy 192.398296 94.171691) (xy 192.390725 94.146735) (xy 192.378431 94.123735) + (xy 192.36844 94.099614) (xy 192.353936 94.077907) (xy 192.341641 94.054905) (xy 192.325094 94.034743) (xy 192.310591 94.013037) + (xy 192.292133 93.994579) (xy 192.275585 93.974415) (xy 192.255421 93.957867) (xy 192.236963 93.939409) (xy 192.215257 93.924906) + (xy 192.195095 93.908359) (xy 192.172093 93.896064) (xy 192.150386 93.88156) (xy 192.126265 93.871569) (xy 192.103265 93.859275) + (xy 192.078309 93.851704) (xy 192.054187 93.841713) (xy 192.028582 93.83662) (xy 192.003624 93.829049) (xy 191.977664 93.826492) + (xy 191.952063 93.8214) (xy 191.61342 93.8214) (xy 191.575187 93.774813) (xy 191.5286 93.73658) (xy 191.5286 93.673495) + (xy 191.530914 93.649999) (xy 191.5286 93.626503) (xy 191.5286 93.626496) (xy 191.521674 93.556178) (xy 191.494308 93.465962) + (xy 191.449866 93.382818) (xy 191.390058 93.309942) (xy 191.3718 93.294958) (xy 191.271755 93.194913) (xy 191.271753 93.19491) + (xy 191.20509 93.128247) (xy 191.185432 93.115112) (xy 191.167181 93.100134) (xy 191.146359 93.089005) (xy 191.126702 93.07587) + (xy 191.10486 93.066823) (xy 191.084038 93.055693) (xy 191.061446 93.04884) (xy 191.039603 93.039792) (xy 191.016414 93.03518) + (xy 190.993821 93.028326) (xy 190.970324 93.026012) (xy 190.947138 93.0214) (xy 190.923496 93.0214) (xy 190.9 93.019086) + (xy 190.876504 93.0214) (xy 190.852862 93.0214) (xy 190.829676 93.026012) (xy 190.806179 93.028326) (xy 190.783586 93.03518) + (xy 190.760397 93.039792) (xy 190.738554 93.04884) (xy 190.715962 93.055693) (xy 190.69514 93.066823) (xy 190.673298 93.07587) + (xy 190.653641 93.089005) (xy 190.632819 93.100134) (xy 190.614568 93.115112) (xy 190.59491 93.128247) (xy 190.578193 93.144964) + (xy 190.559942 93.159942) (xy 190.544964 93.178193) (xy 190.528247 93.19491) (xy 190.515112 93.214568) (xy 190.500134 93.232819) + (xy 190.489005 93.253641) (xy 190.47587 93.273298) (xy 190.466823 93.29514) (xy 190.455693 93.315962) (xy 190.44884 93.338554) + (xy 190.439792 93.360397) (xy 190.43518 93.383586) (xy 190.428326 93.406179) (xy 190.426012 93.429676) (xy 190.4214 93.452862) + (xy 190.4214 93.476504) (xy 190.419086 93.5) (xy 190.4214 93.523496) (xy 190.4214 93.547138) (xy 190.426012 93.570324) + (xy 190.428326 93.593821) (xy 190.43518 93.616414) (xy 190.439792 93.639603) (xy 190.44884 93.661446) (xy 190.455693 93.684038) + (xy 190.466823 93.70486) (xy 190.47587 93.726702) (xy 190.489005 93.746359) (xy 190.500134 93.767181) (xy 190.515112 93.785432) + (xy 190.515555 93.786094) (xy 190.469819 93.841824) (xy 190.428955 93.918275) (xy 190.403791 94.00123) (xy 190.395294 94.0875) + (xy 190.395294 94.6125) (xy 190.403791 94.69877) (xy 190.428955 94.781725) (xy 190.469819 94.858176) (xy 190.5214 94.921028) + (xy 190.5214 95.225961) (xy 190.529049 95.303623) (xy 190.54169 95.345294) (xy 190.4375 95.345294) (xy 190.42627 95.3464) + (xy 190.105556 95.3464) (xy 190.089603 95.339792) (xy 189.997138 95.3214) (xy 189.902862 95.3214) (xy 189.810397 95.339792) + (xy 189.723298 95.37587) (xy 189.64491 95.428247) (xy 189.578247 95.49491) (xy 189.52587 95.573298) (xy 189.489792 95.660397) + (xy 189.4714 95.752862) (xy 189.4714 95.847138) (xy 189.489792 95.939603) (xy 189.52587 96.026702) (xy 189.578247 96.10509) + (xy 189.64491 96.171753) (xy 189.723298 96.22413) (xy 189.810397 96.260208) (xy 189.902862 96.2786) (xy 189.997138 96.2786) + (xy 190.089603 96.260208) (xy 190.105556 96.2536) (xy 190.107068 96.2536) (xy 190.101869 96.270739) (xy 190.095294 96.3375) + (xy 190.095294 96.5625) (xy 190.101869 96.629261) (xy 190.121343 96.693457) (xy 190.152966 96.752619) (xy 190.171334 96.775) + (xy 190.152966 96.797381) (xy 190.121343 96.856543) (xy 190.101869 96.920739) (xy 190.095294 96.9875) (xy 190.095294 97.2125) + (xy 190.101869 97.279261) (xy 190.121343 97.343457) (xy 190.152966 97.402619) (xy 190.171334 97.425) (xy 190.152966 97.447381) + (xy 190.121343 97.506543) (xy 190.101869 97.570739) (xy 190.095294 97.6375) (xy 190.095294 97.8625) (xy 190.101869 97.929261) + (xy 190.121343 97.993457) (xy 190.152966 98.052619) (xy 190.171334 98.075) (xy 190.152966 98.097381) (xy 190.121343 98.156543) + (xy 190.101869 98.220739) (xy 190.095294 98.2875) (xy 190.095294 98.5125) (xy 190.101869 98.579261) (xy 190.121343 98.643457) + (xy 190.152966 98.702619) (xy 190.171334 98.725) (xy 190.152966 98.747381) (xy 190.121343 98.806543) (xy 190.101869 98.870739) + (xy 190.095294 98.9375) (xy 190.095294 99.1625) (xy 190.101869 99.229261) (xy 190.121343 99.293457) (xy 190.152966 99.352619) + (xy 190.171334 99.375) (xy 190.152966 99.397381) (xy 190.121343 99.456543) (xy 190.101869 99.520739) (xy 190.095294 99.5875) + (xy 190.095294 99.8125) (xy 190.101869 99.879261) (xy 190.121343 99.943457) (xy 190.152966 100.002619) (xy 190.171334 100.025) + (xy 190.152966 100.047381) (xy 190.121343 100.106543) (xy 190.101869 100.170739) (xy 190.095294 100.2375) (xy 190.095294 100.4625) + (xy 190.101869 100.529261) (xy 190.121343 100.593457) (xy 190.152966 100.652619) (xy 190.171334 100.675) (xy 190.152966 100.697381) + (xy 190.121343 100.756543) (xy 190.101869 100.820739) (xy 190.095294 100.8875) (xy 190.095294 101.1125) (xy 190.101869 101.179261) + (xy 190.121343 101.243457) (xy 190.152966 101.302619) (xy 190.171334 101.325) (xy 190.153771 101.3464) (xy 189.822749 101.3464) + (xy 189.821753 101.34491) (xy 189.75509 101.278247) (xy 189.676702 101.22587) (xy 189.589603 101.189792) (xy 189.497138 101.1714) + (xy 189.402862 101.1714) (xy 189.310397 101.189792) (xy 189.223298 101.22587) (xy 189.14491 101.278247) (xy 189.078247 101.34491) + (xy 189.02587 101.423298) (xy 188.989792 101.510397) (xy 188.9714 101.602862) (xy 188.9714 101.697138) (xy 188.97175 101.698896) + (xy 187.845867 102.824779) (xy 187.834285 102.834284) (xy 187.796346 102.880513) (xy 187.775859 102.918843) (xy 187.768155 102.933256) + (xy 187.750794 102.990485) (xy 187.744932 103.05) (xy 187.746401 103.064914) (xy 187.7464 109.235096) (xy 187.744932 109.25) + (xy 187.7464 109.264903) (xy 187.750794 109.309515) (xy 187.768154 109.366743) (xy 187.796345 109.419486) (xy 187.834284 109.465716) + (xy 187.845872 109.475226) (xy 189.120645 110.75) (xy 187.595867 112.274779) (xy 187.584285 112.284284) (xy 187.546346 112.330513) + (xy 187.520717 112.378463) (xy 187.518155 112.383256) (xy 187.500794 112.440485) (xy 187.494932 112.5) (xy 187.0536 112.5) + (xy 187.0536 112.022749) (xy 187.05509 112.021753) (xy 187.121753 111.95509) (xy 187.17413 111.876702) (xy 187.210208 111.789603) + (xy 187.2286 111.697138) (xy 187.2286 111.602862) (xy 187.210208 111.510397) (xy 187.17413 111.423298) (xy 187.121753 111.34491) + (xy 187.05509 111.278247) (xy 187.0536 111.277251) (xy 187.0536 105.314903) (xy 187.055068 105.299999) (xy 187.049206 105.240483) + (xy 187.038469 105.20509) (xy 187.031846 105.183256) (xy 187.003655 105.130513) (xy 186.965716 105.084284) (xy 186.954139 105.074783) + (xy 186.779355 104.9) (xy 186.95414 104.725216) (xy 186.965716 104.715716) (xy 187.003655 104.669487) (xy 187.031846 104.616744) + (xy 187.049206 104.559516) (xy 187.050584 104.545524) (xy 187.055068 104.500001) (xy 187.0536 104.485097) (xy 187.0536 96.364903) + (xy 187.055068 96.349999) (xy 187.049206 96.290483) (xy 187.038017 96.2536) (xy 187.031846 96.233256) (xy 187.003655 96.180513) + (xy 186.965716 96.134284) (xy 186.954139 96.124783) (xy 186.425226 95.595872) (xy 186.415716 95.584284) (xy 186.369487 95.546345) + (xy 186.316744 95.518154) (xy 186.259516 95.500794) (xy 186.214904 95.4964) (xy 186.2 95.494932) (xy 186.185096 95.4964) + (xy 186.046229 95.4964) (xy 186.004476 95.445524) (xy 185.952619 95.402966) (xy 185.893457 95.371343) (xy 185.829261 95.351869) + (xy 185.7625 95.345294) (xy 184.5375 95.345294) (xy 184.470739 95.351869) (xy 184.406543 95.371343) (xy 184.347381 95.402966) + (xy 184.295524 95.445524) (xy 184.252966 95.497381) (xy 184.221343 95.556543) (xy 184.201869 95.620739) (xy 184.195294 95.6875) + (xy 184.195294 95.9125) (xy 184.201869 95.979261) (xy 184.221343 96.043457) (xy 184.252966 96.102619) (xy 184.271334 96.125) + (xy 184.253771 96.1464) (xy 183.980443 96.1464) (xy 184.021753 96.10509) (xy 184.07413 96.026702) (xy 184.110208 95.939603) + (xy 184.1286 95.847138) (xy 184.1286 95.752862) (xy 184.110208 95.660397) (xy 184.07413 95.573298) (xy 184.021753 95.49491) + (xy 183.95509 95.428247) (xy 183.876702 95.37587) (xy 183.789603 95.339792) (xy 183.697138 95.3214) (xy 183.602862 95.3214) + (xy 183.510397 95.339792) (xy 183.494444 95.3464) (xy 183.17373 95.3464) (xy 183.1625 95.345294) (xy 183.05831 95.345294) + (xy 183.070951 95.303624) (xy 183.0786 95.225962) (xy 183.0786 94.921028) (xy 183.11342 94.8786) (xy 183.452063 94.8786) + (xy 183.477664 94.873508) (xy 183.503624 94.870951) (xy 183.528582 94.86338) (xy 183.554187 94.858287) (xy 183.578309 94.848296) + (xy 183.603265 94.840725) (xy 183.626265 94.828431) (xy 183.634548 94.825) (xy 188.895294 94.825) (xy 188.899708 94.869813) + (xy 188.912779 94.912905) (xy 188.934006 94.952618) (xy 188.962573 94.987427) (xy 188.997382 95.015994) (xy 189.037095 95.037221) + (xy 189.080187 95.050292) (xy 189.125 95.054706) (xy 189.41905 95.0536) (xy 189.4762 94.99645) (xy 189.4762 94.4238) + (xy 189.6238 94.4238) (xy 189.6238 94.99645) (xy 189.68095 95.0536) (xy 189.975 95.054706) (xy 190.019813 95.050292) + (xy 190.062905 95.037221) (xy 190.102618 95.015994) (xy 190.137427 94.987427) (xy 190.165994 94.952618) (xy 190.187221 94.912905) + (xy 190.200292 94.869813) (xy 190.204706 94.825) (xy 190.2036 94.48095) (xy 190.14645 94.4238) (xy 189.6238 94.4238) + (xy 189.4762 94.4238) (xy 188.95355 94.4238) (xy 188.8964 94.48095) (xy 188.895294 94.825) (xy 183.634548 94.825) + (xy 183.650386 94.81844) (xy 183.672093 94.803936) (xy 183.695095 94.791641) (xy 183.715257 94.775094) (xy 183.736963 94.760591) + (xy 183.755421 94.742133) (xy 183.775585 94.725585) (xy 183.792133 94.705421) (xy 183.810591 94.686963) (xy 183.825094 94.665257) + (xy 183.841641 94.645095) (xy 183.853936 94.622093) (xy 183.86844 94.600386) (xy 183.878431 94.576265) (xy 183.890725 94.553265) + (xy 183.898296 94.528309) (xy 183.908287 94.504187) (xy 183.91338 94.478582) (xy 183.920951 94.453624) (xy 183.923508 94.427664) + (xy 183.9286 94.402063) (xy 183.9286 94.375962) (xy 183.931157 94.35) (xy 183.9286 94.324038) (xy 183.9286 94.297937) + (xy 183.923508 94.272336) (xy 183.920951 94.246376) (xy 183.91338 94.221418) (xy 183.908287 94.195813) (xy 183.898296 94.171691) + (xy 183.890725 94.146735) (xy 183.878431 94.123735) (xy 183.86844 94.099614) (xy 183.853936 94.077907) (xy 183.841641 94.054905) + (xy 183.825094 94.034743) (xy 183.810591 94.013037) (xy 183.792133 93.994579) (xy 183.775585 93.974415) (xy 183.755421 93.957867) + (xy 183.736963 93.939409) (xy 183.715257 93.924906) (xy 183.695095 93.908359) (xy 183.672093 93.896064) (xy 183.650386 93.88156) + (xy 183.634549 93.875) (xy 188.895294 93.875) (xy 188.8964 94.21905) (xy 188.95355 94.2762) (xy 189.4762 94.2762) + (xy 189.4762 93.70355) (xy 189.6238 93.70355) (xy 189.6238 94.2762) (xy 190.14645 94.2762) (xy 190.2036 94.21905) + (xy 190.204706 93.875) (xy 190.200292 93.830187) (xy 190.187221 93.787095) (xy 190.165994 93.747382) (xy 190.137427 93.712573) + (xy 190.102618 93.684006) (xy 190.062905 93.662779) (xy 190.019813 93.649708) (xy 189.975 93.645294) (xy 189.68095 93.6464) + (xy 189.6238 93.70355) (xy 189.4762 93.70355) (xy 189.41905 93.6464) (xy 189.125 93.645294) (xy 189.080187 93.649708) + (xy 189.037095 93.662779) (xy 188.997382 93.684006) (xy 188.962573 93.712573) (xy 188.934006 93.747382) (xy 188.912779 93.787095) + (xy 188.899708 93.830187) (xy 188.895294 93.875) (xy 183.634549 93.875) (xy 183.626265 93.871569) (xy 183.603265 93.859275) + (xy 183.578309 93.851704) (xy 183.554187 93.841713) (xy 183.528582 93.83662) (xy 183.503624 93.829049) (xy 183.477664 93.826492) + (xy 183.452063 93.8214) (xy 183.11342 93.8214) (xy 183.075187 93.774813) (xy 183.0286 93.73658) (xy 183.0286 93.673495) + (xy 183.030914 93.649999) (xy 183.0286 93.626503) (xy 183.0286 93.626496) (xy 183.021674 93.556178) (xy 182.994308 93.465962) + (xy 182.949866 93.382818) (xy 182.890058 93.309942) (xy 182.8718 93.294958) (xy 182.771755 93.194913) (xy 182.771753 93.19491) + (xy 182.70509 93.128247) (xy 182.685432 93.115112) (xy 182.667181 93.100134) (xy 182.646359 93.089005) (xy 182.626702 93.07587) + (xy 182.60486 93.066823) (xy 182.584038 93.055693) (xy 182.561446 93.04884) (xy 182.539603 93.039792) (xy 182.516414 93.03518) + (xy 182.493821 93.028326) (xy 182.470324 93.026012) (xy 182.447138 93.0214) (xy 182.423496 93.0214) (xy 182.4 93.019086) + (xy 182.376504 93.0214) (xy 182.352862 93.0214) (xy 182.329676 93.026012) (xy 182.306179 93.028326) (xy 182.283586 93.03518) + (xy 182.260397 93.039792) (xy 182.238554 93.04884) (xy 182.215962 93.055693) (xy 182.19514 93.066823) (xy 182.173298 93.07587) + (xy 182.153641 93.089005) (xy 182.132819 93.100134) (xy 182.114568 93.115112) (xy 182.09491 93.128247) (xy 182.078193 93.144964) + (xy 182.059942 93.159942) (xy 182.044964 93.178193) (xy 182.028247 93.19491) (xy 182.015112 93.214568) (xy 182.000134 93.232819) + (xy 181.989005 93.253641) (xy 181.97587 93.273298) (xy 181.966823 93.29514) (xy 181.955693 93.315962) (xy 181.94884 93.338554) + (xy 181.939792 93.360397) (xy 181.93518 93.383586) (xy 181.928326 93.406179) (xy 181.926012 93.429676) (xy 181.9214 93.452862) + (xy 181.9214 93.476504) (xy 181.919086 93.5) (xy 181.9214 93.523496) (xy 181.9214 93.547138) (xy 181.926012 93.570324) + (xy 181.928326 93.593821) (xy 181.93518 93.616414) (xy 181.939792 93.639603) (xy 181.94884 93.661446) (xy 181.955693 93.684038) + (xy 181.966823 93.70486) (xy 181.97587 93.726702) (xy 181.989005 93.746359) (xy 182.000134 93.767181) (xy 182.015112 93.785432) + (xy 182.015555 93.786094) (xy 181.969819 93.841824) (xy 181.928955 93.918275) (xy 181.903791 94.00123) (xy 181.895294 94.0875) + (xy 181.895294 94.6125) (xy 181.903791 94.69877) (xy 181.928955 94.781725) (xy 181.969819 94.858176) (xy 182.0214 94.921028) + (xy 182.0214 95.225961) (xy 182.029049 95.303623) (xy 182.04169 95.345294) (xy 181.9375 95.345294) (xy 181.92627 95.3464) + (xy 181.605556 95.3464) (xy 181.589603 95.339792) (xy 181.497138 95.3214) (xy 181.402862 95.3214) (xy 181.310397 95.339792) + (xy 181.223298 95.37587) (xy 181.14491 95.428247) (xy 181.078247 95.49491) (xy 181.02587 95.573298) (xy 180.989792 95.660397) + (xy 180.9714 95.752862) (xy 180.9714 95.847138) (xy 180.989792 95.939603) (xy 181.02587 96.026702) (xy 181.078247 96.10509) + (xy 181.14491 96.171753) (xy 181.223298 96.22413) (xy 181.310397 96.260208) (xy 181.402862 96.2786) (xy 181.497138 96.2786) + (xy 181.589603 96.260208) (xy 181.605556 96.2536) (xy 181.607068 96.2536) (xy 181.601869 96.270739) (xy 181.595294 96.3375) + (xy 181.595294 96.5625) (xy 181.601869 96.629261) (xy 181.621343 96.693457) (xy 181.652966 96.752619) (xy 181.671334 96.775) + (xy 181.652966 96.797381) (xy 181.621343 96.856543) (xy 181.601869 96.920739) (xy 181.595294 96.9875) (xy 181.595294 97.2125) + (xy 181.601869 97.279261) (xy 181.621343 97.343457) (xy 181.652966 97.402619) (xy 181.671334 97.425) (xy 181.652966 97.447381) + (xy 181.621343 97.506543) (xy 181.601869 97.570739) (xy 181.595294 97.6375) (xy 181.595294 97.8625) (xy 181.601869 97.929261) + (xy 181.621343 97.993457) (xy 181.652966 98.052619) (xy 181.671334 98.075) (xy 181.652966 98.097381) (xy 181.621343 98.156543) + (xy 181.601869 98.220739) (xy 181.595294 98.2875) (xy 181.595294 98.5125) (xy 181.601869 98.579261) (xy 181.621343 98.643457) + (xy 181.652966 98.702619) (xy 181.671334 98.725) (xy 181.652966 98.747381) (xy 181.621343 98.806543) (xy 181.601869 98.870739) + (xy 181.595294 98.9375) (xy 181.595294 99.1625) (xy 181.601869 99.229261) (xy 181.621343 99.293457) (xy 181.652966 99.352619) + (xy 181.671334 99.375) (xy 181.652966 99.397381) (xy 181.621343 99.456543) (xy 181.601869 99.520739) (xy 181.595294 99.5875) + (xy 181.595294 99.8125) (xy 181.601869 99.879261) (xy 181.621343 99.943457) (xy 181.652966 100.002619) (xy 181.671334 100.025) + (xy 181.652966 100.047381) (xy 181.621343 100.106543) (xy 181.601869 100.170739) (xy 181.595294 100.2375) (xy 181.595294 100.4625) + (xy 181.601869 100.529261) (xy 181.621343 100.593457) (xy 181.652966 100.652619) (xy 181.671334 100.675) (xy 181.652966 100.697381) + (xy 181.621343 100.756543) (xy 181.601869 100.820739) (xy 181.595294 100.8875) (xy 181.595294 101.1125) (xy 181.601869 101.179261) + (xy 181.621343 101.243457) (xy 181.652966 101.302619) (xy 181.671334 101.325) (xy 181.653771 101.3464) (xy 180.325756 101.3464) + (xy 179.9536 100.974246) (xy 179.9536 94.825) (xy 180.395294 94.825) (xy 180.399708 94.869813) (xy 180.412779 94.912905) + (xy 180.434006 94.952618) (xy 180.462573 94.987427) (xy 180.497382 95.015994) (xy 180.537095 95.037221) (xy 180.580187 95.050292) + (xy 180.625 95.054706) (xy 180.91905 95.0536) (xy 180.9762 94.99645) (xy 180.9762 94.4238) (xy 181.1238 94.4238) + (xy 181.1238 94.99645) (xy 181.18095 95.0536) (xy 181.475 95.054706) (xy 181.519813 95.050292) (xy 181.562905 95.037221) + (xy 181.602618 95.015994) (xy 181.637427 94.987427) (xy 181.665994 94.952618) (xy 181.687221 94.912905) (xy 181.700292 94.869813) + (xy 181.704706 94.825) (xy 181.7036 94.48095) (xy 181.64645 94.4238) (xy 181.1238 94.4238) (xy 180.9762 94.4238) + (xy 180.45355 94.4238) (xy 180.3964 94.48095) (xy 180.395294 94.825) (xy 179.9536 94.825) (xy 179.9536 93.875) + (xy 180.395294 93.875) (xy 180.3964 94.21905) (xy 180.45355 94.2762) (xy 180.9762 94.2762) (xy 180.9762 93.70355) + (xy 181.1238 93.70355) (xy 181.1238 94.2762) (xy 181.64645 94.2762) (xy 181.7036 94.21905) (xy 181.704706 93.875) + (xy 181.700292 93.830187) (xy 181.687221 93.787095) (xy 181.665994 93.747382) (xy 181.637427 93.712573) (xy 181.602618 93.684006) + (xy 181.562905 93.662779) (xy 181.519813 93.649708) (xy 181.475 93.645294) (xy 181.18095 93.6464) (xy 181.1238 93.70355) + (xy 180.9762 93.70355) (xy 180.91905 93.6464) (xy 180.625 93.645294) (xy 180.580187 93.649708) (xy 180.537095 93.662779) + (xy 180.497382 93.684006) (xy 180.462573 93.712573) (xy 180.434006 93.747382) (xy 180.412779 93.787095) (xy 180.399708 93.830187) + (xy 180.395294 93.875) (xy 179.9536 93.875) (xy 179.9536 92.775) (xy 184.195294 92.775) (xy 184.199708 92.819813) + (xy 184.212779 92.862905) (xy 184.234006 92.902618) (xy 184.262573 92.937427) (xy 184.297382 92.965994) (xy 184.337095 92.987221) + (xy 184.380187 93.000292) (xy 184.425 93.004706) (xy 185.01905 93.0036) (xy 185.0762 92.94645) (xy 185.0762 92.6238) + (xy 185.2238 92.6238) (xy 185.2238 92.94645) (xy 185.28095 93.0036) (xy 185.875 93.004706) (xy 185.919813 93.000292) + (xy 185.962905 92.987221) (xy 186.002618 92.965994) (xy 186.037427 92.937427) (xy 186.065994 92.902618) (xy 186.087221 92.862905) + (xy 186.100292 92.819813) (xy 186.104706 92.775) (xy 186.1036 92.68095) (xy 186.04645 92.6238) (xy 185.2238 92.6238) + (xy 185.0762 92.6238) (xy 184.25355 92.6238) (xy 184.1964 92.68095) (xy 184.195294 92.775) (xy 179.9536 92.775) + (xy 179.9536 86.652862) (xy 183.5714 86.652862) (xy 183.5714 86.747138) (xy 183.589792 86.839603) (xy 183.62587 86.926702) + (xy 183.678247 87.00509) (xy 183.74491 87.071753) (xy 183.823298 87.12413) (xy 183.910397 87.160208) (xy 184.002862 87.1786) + (xy 184.097138 87.1786) (xy 184.189603 87.160208) (xy 184.207285 87.152884) (xy 184.201869 87.170739) (xy 184.195294 87.2375) + (xy 184.195294 87.4625) (xy 184.201869 87.529261) (xy 184.221343 87.593457) (xy 184.252966 87.652619) (xy 184.271334 87.675) + (xy 184.252966 87.697381) (xy 184.221343 87.756543) (xy 184.201869 87.820739) (xy 184.195294 87.8875) (xy 184.195294 88.1125) + (xy 184.201869 88.179261) (xy 184.221343 88.243457) (xy 184.252966 88.302619) (xy 184.271334 88.325) (xy 184.252966 88.347381) + (xy 184.221343 88.406543) (xy 184.201869 88.470739) (xy 184.195294 88.5375) (xy 184.195294 88.7625) (xy 184.201869 88.829261) + (xy 184.221343 88.893457) (xy 184.252966 88.952619) (xy 184.271334 88.975) (xy 184.252966 88.997381) (xy 184.221343 89.056543) + (xy 184.201869 89.120739) (xy 184.195294 89.1875) (xy 184.195294 89.4125) (xy 184.201869 89.479261) (xy 184.221343 89.543457) + (xy 184.252966 89.602619) (xy 184.271334 89.625) (xy 184.252966 89.647381) (xy 184.221343 89.706543) (xy 184.201869 89.770739) + (xy 184.195294 89.8375) (xy 184.195294 90.0625) (xy 184.201869 90.129261) (xy 184.221343 90.193457) (xy 184.252966 90.252619) + (xy 184.271334 90.275) (xy 184.252966 90.297381) (xy 184.221343 90.356543) (xy 184.201869 90.420739) (xy 184.195294 90.4875) + (xy 184.195294 90.7125) (xy 184.201869 90.779261) (xy 184.221343 90.843457) (xy 184.252966 90.902619) (xy 184.271334 90.925) + (xy 184.252966 90.947381) (xy 184.221343 91.006543) (xy 184.201869 91.070739) (xy 184.195294 91.1375) (xy 184.195294 91.3625) + (xy 184.201869 91.429261) (xy 184.221343 91.493457) (xy 184.252966 91.552619) (xy 184.271334 91.575) (xy 184.252966 91.597381) + (xy 184.221343 91.656543) (xy 184.201869 91.720739) (xy 184.195294 91.7875) (xy 184.195294 92.0125) (xy 184.201869 92.079261) + (xy 184.221343 92.143457) (xy 184.243793 92.185457) (xy 184.234006 92.197382) (xy 184.212779 92.237095) (xy 184.199708 92.280187) + (xy 184.195294 92.325) (xy 184.1964 92.41905) (xy 184.25355 92.4762) (xy 185.0762 92.4762) (xy 185.0762 92.4562) + (xy 185.2238 92.4562) (xy 185.2238 92.4762) (xy 186.04645 92.4762) (xy 186.1036 92.41905) (xy 186.104706 92.325) + (xy 186.100292 92.280187) (xy 186.091435 92.250987) (xy 186.109516 92.249206) (xy 186.166744 92.231846) (xy 186.219487 92.203655) + (xy 186.265716 92.165716) (xy 186.275226 92.154128) (xy 189.054134 89.375221) (xy 189.065716 89.365716) (xy 189.103655 89.319487) + (xy 189.131846 89.266744) (xy 189.149206 89.209516) (xy 189.1536 89.164904) (xy 189.155068 89.15) (xy 189.1536 89.135096) + (xy 189.1536 86.652862) (xy 189.4714 86.652862) (xy 189.4714 86.747138) (xy 189.489792 86.839603) (xy 189.52587 86.926702) + (xy 189.578247 87.00509) (xy 189.64491 87.071753) (xy 189.723298 87.12413) (xy 189.810397 87.160208) (xy 189.902862 87.1786) + (xy 189.997138 87.1786) (xy 190.089603 87.160208) (xy 190.105556 87.1536) (xy 190.107068 87.1536) (xy 190.101869 87.170739) + (xy 190.095294 87.2375) (xy 190.095294 87.4625) (xy 190.101869 87.529261) (xy 190.107285 87.547116) (xy 190.089603 87.539792) + (xy 189.997138 87.5214) (xy 189.902862 87.5214) (xy 189.810397 87.539792) (xy 189.723298 87.57587) (xy 189.64491 87.628247) + (xy 189.578247 87.69491) (xy 189.52587 87.773298) (xy 189.489792 87.860397) (xy 189.4714 87.952862) (xy 189.4714 88.047138) + (xy 189.489792 88.139603) (xy 189.52587 88.226702) (xy 189.578247 88.30509) (xy 189.64491 88.371753) (xy 189.723298 88.42413) + (xy 189.810397 88.460208) (xy 189.902862 88.4786) (xy 189.997138 88.4786) (xy 190.089603 88.460208) (xy 190.107285 88.452884) + (xy 190.101869 88.470739) (xy 190.095294 88.5375) (xy 190.095294 88.7625) (xy 190.101869 88.829261) (xy 190.107285 88.847116) + (xy 190.089603 88.839792) (xy 189.997138 88.8214) (xy 189.902862 88.8214) (xy 189.810397 88.839792) (xy 189.723298 88.87587) + (xy 189.64491 88.928247) (xy 189.578247 88.99491) (xy 189.52587 89.073298) (xy 189.489792 89.160397) (xy 189.4714 89.252862) + (xy 189.4714 89.347138) (xy 189.489792 89.439603) (xy 189.52587 89.526702) (xy 189.578247 89.60509) (xy 189.64491 89.671753) + (xy 189.723298 89.72413) (xy 189.810397 89.760208) (xy 189.902862 89.7786) (xy 189.997138 89.7786) (xy 190.089603 89.760208) + (xy 190.107285 89.752884) (xy 190.101869 89.770739) (xy 190.095294 89.8375) (xy 190.095294 90.0625) (xy 190.101869 90.129261) + (xy 190.107285 90.147116) (xy 190.089603 90.139792) (xy 189.997138 90.1214) (xy 189.902862 90.1214) (xy 189.810397 90.139792) + (xy 189.723298 90.17587) (xy 189.64491 90.228247) (xy 189.578247 90.29491) (xy 189.52587 90.373298) (xy 189.489792 90.460397) + (xy 189.4714 90.552862) (xy 189.4714 90.647138) (xy 189.489792 90.739603) (xy 189.52587 90.826702) (xy 189.578247 90.90509) + (xy 189.64491 90.971753) (xy 189.723298 91.02413) (xy 189.810397 91.060208) (xy 189.902862 91.0786) (xy 189.997138 91.0786) + (xy 190.089603 91.060208) (xy 190.107285 91.052884) (xy 190.101869 91.070739) (xy 190.095294 91.1375) (xy 190.095294 91.3625) + (xy 190.101869 91.429261) (xy 190.107285 91.447116) (xy 190.089603 91.439792) (xy 189.997138 91.4214) (xy 189.902862 91.4214) + (xy 189.810397 91.439792) (xy 189.723298 91.47587) (xy 189.64491 91.528247) (xy 189.578247 91.59491) (xy 189.52587 91.673298) + (xy 189.489792 91.760397) (xy 189.4714 91.852862) (xy 189.4714 91.947138) (xy 189.489792 92.039603) (xy 189.52587 92.126702) + (xy 189.578247 92.20509) (xy 189.64491 92.271753) (xy 189.723298 92.32413) (xy 189.810397 92.360208) (xy 189.902862 92.3786) + (xy 189.997138 92.3786) (xy 190.089603 92.360208) (xy 190.107285 92.352884) (xy 190.101869 92.370739) (xy 190.095294 92.4375) + (xy 190.095294 92.6625) (xy 190.101869 92.729261) (xy 190.121343 92.793457) (xy 190.152966 92.852619) (xy 190.195524 92.904476) + (xy 190.247381 92.947034) (xy 190.306543 92.978657) (xy 190.370739 92.998131) (xy 190.4375 93.004706) (xy 191.6625 93.004706) + (xy 191.729261 92.998131) (xy 191.793457 92.978657) (xy 191.852619 92.947034) (xy 191.866139 92.935938) (xy 191.923298 92.97413) + (xy 192.010397 93.010208) (xy 192.102862 93.0286) (xy 192.197138 93.0286) (xy 192.289603 93.010208) (xy 192.376702 92.97413) + (xy 192.45509 92.921753) (xy 192.521753 92.85509) (xy 192.57413 92.776702) (xy 192.610208 92.689603) (xy 192.6286 92.597138) + (xy 192.6286 92.502862) (xy 192.610208 92.410397) (xy 192.57413 92.323298) (xy 192.521753 92.24491) (xy 192.45509 92.178247) + (xy 192.376702 92.12587) (xy 192.289603 92.089792) (xy 192.197138 92.0714) (xy 192.102862 92.0714) (xy 192.010397 92.089792) + (xy 191.992715 92.097116) (xy 191.998131 92.079261) (xy 192.004706 92.0125) (xy 192.004706 91.7875) (xy 191.998131 91.720739) + (xy 191.978657 91.656543) (xy 191.972147 91.644364) (xy 192.010397 91.660208) (xy 192.102862 91.6786) (xy 192.197138 91.6786) + (xy 192.289603 91.660208) (xy 192.376702 91.62413) (xy 192.45509 91.571753) (xy 192.521753 91.50509) (xy 192.57413 91.426702) + (xy 192.610208 91.339603) (xy 192.6286 91.247138) (xy 192.6286 91.152862) (xy 192.610208 91.060397) (xy 192.57413 90.973298) + (xy 192.521753 90.89491) (xy 192.45509 90.828247) (xy 192.376702 90.77587) (xy 192.289603 90.739792) (xy 192.197138 90.7214) + (xy 192.102862 90.7214) (xy 192.010397 90.739792) (xy 192.001662 90.74341) (xy 192.004706 90.7125) (xy 192.004706 90.4875) + (xy 191.998131 90.420739) (xy 191.992715 90.402884) (xy 192.010397 90.410208) (xy 192.102862 90.4286) (xy 192.197138 90.4286) + (xy 192.289603 90.410208) (xy 192.376702 90.37413) (xy 192.45509 90.321753) (xy 192.521753 90.25509) (xy 192.57413 90.176702) + (xy 192.610208 90.089603) (xy 192.6286 89.997138) (xy 192.6286 89.902862) (xy 192.610208 89.810397) (xy 192.57413 89.723298) + (xy 192.521753 89.64491) (xy 192.45509 89.578247) (xy 192.376702 89.52587) (xy 192.289603 89.489792) (xy 192.197138 89.4714) + (xy 192.102862 89.4714) (xy 192.010397 89.489792) (xy 191.992715 89.497116) (xy 191.998131 89.479261) (xy 192.004706 89.4125) + (xy 192.004706 89.1875) (xy 191.998131 89.120739) (xy 191.992715 89.102884) (xy 192.010397 89.110208) (xy 192.102862 89.1286) + (xy 192.197138 89.1286) (xy 192.289603 89.110208) (xy 192.376702 89.07413) (xy 192.45509 89.021753) (xy 192.521753 88.95509) + (xy 192.57413 88.876702) (xy 192.610208 88.789603) (xy 192.6286 88.697138) (xy 192.6286 88.602862) (xy 192.610208 88.510397) + (xy 192.57413 88.423298) (xy 192.521753 88.34491) (xy 192.45509 88.278247) (xy 192.376702 88.22587) (xy 192.289603 88.189792) + (xy 192.197138 88.1714) (xy 192.102862 88.1714) (xy 192.010397 88.189792) (xy 191.992715 88.197116) (xy 191.998131 88.179261) + (xy 192.004706 88.1125) (xy 192.004706 87.8875) (xy 191.998131 87.820739) (xy 191.978657 87.756543) (xy 191.947034 87.697381) + (xy 191.928666 87.675) (xy 191.946229 87.6536) (xy 192.577251 87.6536) (xy 192.578247 87.65509) (xy 192.64491 87.721753) + (xy 192.723298 87.77413) (xy 192.810397 87.810208) (xy 192.902862 87.8286) (xy 192.997138 87.8286) (xy 193.089603 87.810208) + (xy 193.176702 87.77413) (xy 193.25509 87.721753) (xy 193.321753 87.65509) (xy 193.37413 87.576702) (xy 193.410208 87.489603) + (xy 193.4286 87.397138) (xy 193.4286 87.302862) (xy 193.410208 87.210397) (xy 193.37413 87.123298) (xy 193.321753 87.04491) + (xy 193.25509 86.978247) (xy 193.176702 86.92587) (xy 193.089603 86.889792) (xy 192.997138 86.8714) (xy 192.902862 86.8714) + (xy 192.810397 86.889792) (xy 192.723298 86.92587) (xy 192.64491 86.978247) (xy 192.578247 87.04491) (xy 192.577251 87.0464) + (xy 192.480443 87.0464) (xy 192.521753 87.00509) (xy 192.57413 86.926702) (xy 192.610208 86.839603) (xy 192.6286 86.747138) + (xy 192.6286 86.652862) (xy 192.610208 86.560397) (xy 192.57413 86.473298) (xy 192.521753 86.39491) (xy 192.45509 86.328247) + (xy 192.376702 86.27587) (xy 192.289603 86.239792) (xy 192.197138 86.2214) (xy 192.102862 86.2214) (xy 192.010397 86.239792) + (xy 191.994444 86.2464) (xy 191.67373 86.2464) (xy 191.6625 86.245294) (xy 191.55831 86.245294) (xy 191.570951 86.203624) + (xy 191.5786 86.125962) (xy 191.5786 85.821028) (xy 191.61342 85.7786) (xy 191.952063 85.7786) (xy 191.977664 85.773508) + (xy 192.003624 85.770951) (xy 192.028582 85.76338) (xy 192.054187 85.758287) (xy 192.078309 85.748296) (xy 192.103265 85.740725) + (xy 192.126265 85.728431) (xy 192.150386 85.71844) (xy 192.172093 85.703936) (xy 192.195095 85.691641) (xy 192.215257 85.675094) + (xy 192.236963 85.660591) (xy 192.255421 85.642133) (xy 192.275585 85.625585) (xy 192.292133 85.605421) (xy 192.310591 85.586963) + (xy 192.325094 85.565257) (xy 192.341641 85.545095) (xy 192.353936 85.522093) (xy 192.36844 85.500386) (xy 192.378431 85.476265) + (xy 192.390725 85.453265) (xy 192.398296 85.428309) (xy 192.408287 85.404187) (xy 192.41338 85.378582) (xy 192.420951 85.353624) + (xy 192.423508 85.327664) (xy 192.4286 85.302063) (xy 192.4286 85.275962) (xy 192.431157 85.25) (xy 192.4286 85.224038) + (xy 192.4286 85.197937) (xy 192.423508 85.172336) (xy 192.420951 85.146376) (xy 192.41338 85.121418) (xy 192.408287 85.095813) + (xy 192.398296 85.071691) (xy 192.390725 85.046735) (xy 192.378431 85.023735) (xy 192.36844 84.999614) (xy 192.353936 84.977907) + (xy 192.341641 84.954905) (xy 192.325094 84.934743) (xy 192.310591 84.913037) (xy 192.292133 84.894579) (xy 192.275585 84.874415) + (xy 192.255421 84.857867) (xy 192.236963 84.839409) (xy 192.215257 84.824906) (xy 192.195095 84.808359) (xy 192.172093 84.796064) + (xy 192.150386 84.78156) (xy 192.126265 84.771569) (xy 192.103265 84.759275) (xy 192.078309 84.751704) (xy 192.054187 84.741713) + (xy 192.028582 84.73662) (xy 192.003624 84.729049) (xy 191.977664 84.726492) (xy 191.952063 84.7214) (xy 191.61342 84.7214) + (xy 191.575187 84.674813) (xy 191.5286 84.63658) (xy 191.5286 84.573495) (xy 191.530914 84.549999) (xy 191.5286 84.526503) + (xy 191.5286 84.526496) (xy 191.521674 84.456178) (xy 191.494308 84.365962) (xy 191.449866 84.282818) (xy 191.390058 84.209942) + (xy 191.3718 84.194958) (xy 191.271755 84.094913) (xy 191.271753 84.09491) (xy 191.20509 84.028247) (xy 191.185432 84.015112) + (xy 191.167181 84.000134) (xy 191.146359 83.989005) (xy 191.126702 83.97587) (xy 191.10486 83.966823) (xy 191.084038 83.955693) + (xy 191.061446 83.94884) (xy 191.039603 83.939792) (xy 191.016414 83.93518) (xy 190.993821 83.928326) (xy 190.970324 83.926012) + (xy 190.947138 83.9214) (xy 190.923496 83.9214) (xy 190.9 83.919086) (xy 190.876504 83.9214) (xy 190.852862 83.9214) + (xy 190.829676 83.926012) (xy 190.806179 83.928326) (xy 190.783586 83.93518) (xy 190.760397 83.939792) (xy 190.738554 83.94884) + (xy 190.715962 83.955693) (xy 190.69514 83.966823) (xy 190.673298 83.97587) (xy 190.653641 83.989005) (xy 190.632819 84.000134) + (xy 190.614568 84.015112) (xy 190.59491 84.028247) (xy 190.578193 84.044964) (xy 190.559942 84.059942) (xy 190.544964 84.078193) + (xy 190.528247 84.09491) (xy 190.515112 84.114568) (xy 190.500134 84.132819) (xy 190.489005 84.153641) (xy 190.47587 84.173298) + (xy 190.466823 84.19514) (xy 190.455693 84.215962) (xy 190.44884 84.238554) (xy 190.439792 84.260397) (xy 190.43518 84.283586) + (xy 190.428326 84.306179) (xy 190.426012 84.329676) (xy 190.4214 84.352862) (xy 190.4214 84.376504) (xy 190.419086 84.4) + (xy 190.4214 84.423496) (xy 190.4214 84.447138) (xy 190.426012 84.470324) (xy 190.428326 84.493821) (xy 190.43518 84.516414) + (xy 190.439792 84.539603) (xy 190.44884 84.561446) (xy 190.455693 84.584038) (xy 190.466823 84.60486) (xy 190.47587 84.626702) + (xy 190.489005 84.646359) (xy 190.500134 84.667181) (xy 190.515112 84.685432) (xy 190.515555 84.686094) (xy 190.469819 84.741824) + (xy 190.428955 84.818275) (xy 190.403791 84.90123) (xy 190.395294 84.9875) (xy 190.395294 85.5125) (xy 190.403791 85.59877) + (xy 190.428955 85.681725) (xy 190.469819 85.758176) (xy 190.5214 85.821028) (xy 190.5214 86.125962) (xy 190.52905 86.203624) + (xy 190.541691 86.245294) (xy 190.4375 86.245294) (xy 190.42627 86.2464) (xy 190.105556 86.2464) (xy 190.089603 86.239792) + (xy 189.997138 86.2214) (xy 189.902862 86.2214) (xy 189.810397 86.239792) (xy 189.723298 86.27587) (xy 189.64491 86.328247) + (xy 189.578247 86.39491) (xy 189.52587 86.473298) (xy 189.489792 86.560397) (xy 189.4714 86.652862) (xy 189.1536 86.652862) + (xy 189.1536 85.954598) (xy 189.41905 85.9536) (xy 189.4762 85.89645) (xy 189.4762 85.3238) (xy 189.6238 85.3238) + (xy 189.6238 85.89645) (xy 189.68095 85.9536) (xy 189.975 85.954706) (xy 190.019813 85.950292) (xy 190.062905 85.937221) + (xy 190.102618 85.915994) (xy 190.137427 85.887427) (xy 190.165994 85.852618) (xy 190.187221 85.812905) (xy 190.200292 85.769813) + (xy 190.204706 85.725) (xy 190.2036 85.38095) (xy 190.14645 85.3238) (xy 189.6238 85.3238) (xy 189.4762 85.3238) + (xy 189.4562 85.3238) (xy 189.4562 85.1762) (xy 189.4762 85.1762) (xy 189.4762 84.60355) (xy 189.6238 84.60355) + (xy 189.6238 85.1762) (xy 190.14645 85.1762) (xy 190.2036 85.11905) (xy 190.204706 84.775) (xy 190.200292 84.730187) + (xy 190.187221 84.687095) (xy 190.165994 84.647382) (xy 190.137427 84.612573) (xy 190.102618 84.584006) (xy 190.062905 84.562779) + (xy 190.019813 84.549708) (xy 189.975 84.545294) (xy 189.68095 84.5464) (xy 189.6238 84.60355) (xy 189.4762 84.60355) + (xy 189.41905 84.5464) (xy 189.1536 84.545402) (xy 189.1536 83.975754) (xy 189.504425 83.62493) (xy 189.52587 83.676702) + (xy 189.578247 83.75509) (xy 189.64491 83.821753) (xy 189.723298 83.87413) (xy 189.810397 83.910208) (xy 189.902862 83.9286) + (xy 189.997138 83.9286) (xy 190.089603 83.910208) (xy 190.176702 83.87413) (xy 190.233861 83.835938) (xy 190.247381 83.847034) + (xy 190.306543 83.878657) (xy 190.370739 83.898131) (xy 190.4375 83.904706) (xy 191.6625 83.904706) (xy 191.729261 83.898131) + (xy 191.793457 83.878657) (xy 191.852619 83.847034) (xy 191.904476 83.804476) (xy 191.947034 83.752619) (xy 191.978657 83.693457) + (xy 191.998131 83.629261) (xy 192.004706 83.5625) (xy 192.004706 83.3375) (xy 191.998131 83.270739) (xy 191.978657 83.206543) + (xy 191.947034 83.147381) (xy 191.928666 83.125) (xy 191.946229 83.1036) (xy 192.577251 83.1036) (xy 192.578247 83.10509) + (xy 192.64491 83.171753) (xy 192.723298 83.22413) (xy 192.810397 83.260208) (xy 192.902862 83.2786) (xy 192.997138 83.2786) + (xy 193.089603 83.260208) (xy 193.176702 83.22413) (xy 193.25509 83.171753) (xy 193.321753 83.10509) (xy 193.37413 83.026702) + (xy 193.410208 82.939603) (xy 193.4286 82.847138) (xy 193.4286 82.752862) (xy 193.410208 82.660397) (xy 193.37413 82.573298) + (xy 193.321753 82.49491) (xy 193.25509 82.428247) (xy 193.176702 82.37587) (xy 193.089603 82.339792) (xy 192.997138 82.3214) + (xy 192.902862 82.3214) (xy 192.810397 82.339792) (xy 192.723298 82.37587) (xy 192.64491 82.428247) (xy 192.578247 82.49491) + (xy 192.577251 82.4964) (xy 192.480443 82.4964) (xy 192.521753 82.45509) (xy 192.57413 82.376702) (xy 192.610208 82.289603) + (xy 192.6286 82.197138) (xy 192.6286 82.102862) (xy 192.610208 82.010397) (xy 192.57413 81.923298) (xy 192.521753 81.84491) + (xy 192.480443 81.8036) (xy 192.577251 81.8036) (xy 192.578247 81.80509) (xy 192.64491 81.871753) (xy 192.723298 81.92413) + (xy 192.810397 81.960208) (xy 192.902862 81.9786) (xy 192.997138 81.9786) (xy 193.089603 81.960208) (xy 193.176702 81.92413) + (xy 193.25509 81.871753) (xy 193.321753 81.80509) (xy 193.37413 81.726702) (xy 193.410208 81.639603) (xy 193.4286 81.547138) + (xy 193.4286 81.452862) (xy 193.410208 81.360397) (xy 193.37413 81.273298) (xy 193.321753 81.19491) (xy 193.25509 81.128247) + (xy 193.176702 81.07587) (xy 193.089603 81.039792) (xy 192.997138 81.0214) (xy 192.902862 81.0214) (xy 192.810397 81.039792) + (xy 192.723298 81.07587) (xy 192.64491 81.128247) (xy 192.578247 81.19491) (xy 192.577251 81.1964) (xy 192.480443 81.1964) + (xy 192.521753 81.15509) (xy 192.57413 81.076702) (xy 192.610208 80.989603) (xy 192.6286 80.897138) (xy 192.6286 80.802862) + (xy 192.610208 80.710397) (xy 192.57413 80.623298) (xy 192.521753 80.54491) (xy 192.480443 80.5036) (xy 192.577251 80.5036) + (xy 192.578247 80.50509) (xy 192.64491 80.571753) (xy 192.723298 80.62413) (xy 192.810397 80.660208) (xy 192.902862 80.6786) + (xy 192.997138 80.6786) (xy 193.089603 80.660208) (xy 193.176702 80.62413) (xy 193.25509 80.571753) (xy 193.321753 80.50509) + (xy 193.37413 80.426702) (xy 193.410208 80.339603) (xy 193.4286 80.247138) (xy 193.4286 80.152862) (xy 193.410208 80.060397) + (xy 193.37413 79.973298) (xy 193.321753 79.89491) (xy 193.25509 79.828247) (xy 193.176702 79.77587) (xy 193.089603 79.739792) + (xy 192.997138 79.7214) (xy 192.902862 79.7214) (xy 192.810397 79.739792) (xy 192.723298 79.77587) (xy 192.64491 79.828247) + (xy 192.578247 79.89491) (xy 192.577251 79.8964) (xy 192.480443 79.8964) (xy 192.521753 79.85509) (xy 192.57413 79.776702) + (xy 192.610208 79.689603) (xy 192.6286 79.597138) (xy 192.6286 79.502862) (xy 192.610208 79.410397) (xy 192.57413 79.323298) + (xy 192.521753 79.24491) (xy 192.480443 79.2036) (xy 192.577251 79.2036) (xy 192.578247 79.20509) (xy 192.64491 79.271753) + (xy 192.723298 79.32413) (xy 192.810397 79.360208) (xy 192.902862 79.3786) (xy 192.997138 79.3786) (xy 193.089603 79.360208) + (xy 193.176702 79.32413) (xy 193.25509 79.271753) (xy 193.321753 79.20509) (xy 193.37413 79.126702) (xy 193.410208 79.039603) + (xy 193.4286 78.947138) (xy 193.4286 78.852862) (xy 193.410208 78.760397) (xy 193.37413 78.673298) (xy 193.321753 78.59491) + (xy 193.25509 78.528247) (xy 193.176702 78.47587) (xy 193.089603 78.439792) (xy 192.997138 78.4214) (xy 192.902862 78.4214) + (xy 192.810397 78.439792) (xy 192.723298 78.47587) (xy 192.64491 78.528247) (xy 192.578247 78.59491) (xy 192.577251 78.5964) + (xy 192.480443 78.5964) (xy 192.521753 78.55509) (xy 192.57413 78.476702) (xy 192.610208 78.389603) (xy 192.6286 78.297138) + (xy 192.6286 78.202862) (xy 192.610208 78.110397) (xy 192.57413 78.023298) (xy 192.521753 77.94491) (xy 192.45509 77.878247) + (xy 192.376702 77.82587) (xy 192.289603 77.789792) (xy 192.197138 77.7714) (xy 192.102862 77.7714) (xy 192.010397 77.789792) + (xy 191.992715 77.797116) (xy 191.998131 77.779261) (xy 192.004706 77.7125) (xy 192.004706 77.4875) (xy 191.998131 77.420739) + (xy 191.978657 77.356543) (xy 191.947034 77.297381) (xy 191.904476 77.245524) (xy 191.852619 77.202966) (xy 191.793457 77.171343) + (xy 191.729261 77.151869) (xy 191.6625 77.145294) (xy 191.55831 77.145294) (xy 191.570951 77.103624) (xy 191.5786 77.025962) + (xy 191.5786 76.721028) (xy 191.61342 76.6786) (xy 191.952063 76.6786) (xy 191.977664 76.673508) (xy 192.003624 76.670951) + (xy 192.028582 76.66338) (xy 192.054187 76.658287) (xy 192.078309 76.648296) (xy 192.103265 76.640725) (xy 192.126265 76.628431) + (xy 192.150386 76.61844) (xy 192.172093 76.603936) (xy 192.195095 76.591641) (xy 192.215257 76.575094) (xy 192.236963 76.560591) + (xy 192.255421 76.542133) (xy 192.275585 76.525585) (xy 192.292133 76.505421) (xy 192.310591 76.486963) (xy 192.325094 76.465257) + (xy 192.341641 76.445095) (xy 192.353936 76.422093) (xy 192.36844 76.400386) (xy 192.378431 76.376265) (xy 192.390725 76.353265) + (xy 192.398296 76.328309) (xy 192.408287 76.304187) (xy 192.41338 76.278582) (xy 192.420951 76.253624) (xy 192.423508 76.227664) + (xy 192.4286 76.202063) (xy 192.4286 76.175962) (xy 192.431157 76.15) (xy 192.4286 76.124038) (xy 192.4286 76.097937) + (xy 192.423508 76.072336) (xy 192.420951 76.046376) (xy 192.41338 76.021418) (xy 192.408287 75.995813) (xy 192.398296 75.971691) + (xy 192.390725 75.946735) (xy 192.378431 75.923735) (xy 192.36844 75.899614) (xy 192.353936 75.877907) (xy 192.341641 75.854905) + (xy 192.325094 75.834743) (xy 192.310591 75.813037) (xy 192.292133 75.794579) (xy 192.275585 75.774415) (xy 192.255421 75.757867) + (xy 192.236963 75.739409) (xy 192.215257 75.724906) (xy 192.195095 75.708359) (xy 192.172093 75.696064) (xy 192.150386 75.68156) + (xy 192.126265 75.671569) (xy 192.103265 75.659275) (xy 192.078309 75.651704) (xy 192.054187 75.641713) (xy 192.028582 75.63662) + (xy 192.003624 75.629049) (xy 191.977664 75.626492) (xy 191.952063 75.6214) (xy 191.61342 75.6214) (xy 191.575187 75.574813) + (xy 191.5286 75.53658) (xy 191.5286 75.473495) (xy 191.530914 75.449999) (xy 191.5286 75.426503) (xy 191.5286 75.426496) + (xy 191.521674 75.356178) (xy 191.494308 75.265962) (xy 191.449866 75.182818) (xy 191.390058 75.109942) (xy 191.3718 75.094958) + (xy 191.271755 74.994913) (xy 191.271753 74.99491) (xy 191.20509 74.928247) (xy 191.185432 74.915112) (xy 191.167181 74.900134) + (xy 191.146359 74.889005) (xy 191.126702 74.87587) (xy 191.10486 74.866823) (xy 191.084038 74.855693) (xy 191.061446 74.84884) + (xy 191.039603 74.839792) (xy 191.016414 74.83518) (xy 190.993821 74.828326) (xy 190.970324 74.826012) (xy 190.947138 74.8214) + (xy 190.923496 74.8214) (xy 190.9 74.819086) (xy 190.876504 74.8214) (xy 190.852862 74.8214) (xy 190.829676 74.826012) + (xy 190.806179 74.828326) (xy 190.783586 74.83518) (xy 190.760397 74.839792) (xy 190.738554 74.84884) (xy 190.715962 74.855693) + (xy 190.69514 74.866823) (xy 190.673298 74.87587) (xy 190.653641 74.889005) (xy 190.632819 74.900134) (xy 190.614568 74.915112) + (xy 190.59491 74.928247) (xy 190.578193 74.944964) (xy 190.559942 74.959942) (xy 190.544964 74.978193) (xy 190.528247 74.99491) + (xy 190.515112 75.014568) (xy 190.500134 75.032819) (xy 190.489005 75.053641) (xy 190.47587 75.073298) (xy 190.466823 75.09514) + (xy 190.455693 75.115962) (xy 190.44884 75.138554) (xy 190.439792 75.160397) (xy 190.43518 75.183586) (xy 190.428326 75.206179) + (xy 190.426012 75.229676) (xy 190.4214 75.252862) (xy 190.4214 75.276504) (xy 190.419086 75.3) (xy 190.4214 75.323496) + (xy 190.4214 75.347138) (xy 190.426012 75.370324) (xy 190.428326 75.393821) (xy 190.43518 75.416414) (xy 190.439792 75.439603) + (xy 190.44884 75.461446) (xy 190.455693 75.484038) (xy 190.466823 75.50486) (xy 190.47587 75.526702) (xy 190.489005 75.546359) + (xy 190.500134 75.567181) (xy 190.515112 75.585432) (xy 190.515555 75.586094) (xy 190.469819 75.641824) (xy 190.428955 75.718275) + (xy 190.403791 75.80123) (xy 190.395294 75.8875) (xy 190.395294 76.4125) (xy 190.403791 76.49877) (xy 190.428955 76.581725) + (xy 190.469819 76.658176) (xy 190.5214 76.721028) (xy 190.5214 77.025962) (xy 190.52905 77.103624) (xy 190.541691 77.145294) + (xy 190.4375 77.145294) (xy 190.42627 77.1464) (xy 190.105556 77.1464) (xy 190.089603 77.139792) (xy 189.997138 77.1214) + (xy 189.902862 77.1214) (xy 189.810397 77.139792) (xy 189.723298 77.17587) (xy 189.64491 77.228247) (xy 189.578247 77.29491) + (xy 189.52587 77.373298) (xy 189.489792 77.460397) (xy 189.4714 77.552862) (xy 189.4714 77.647138) (xy 189.489792 77.739603) + (xy 189.52587 77.826702) (xy 189.578247 77.90509) (xy 189.64491 77.971753) (xy 189.723298 78.02413) (xy 189.810397 78.060208) + (xy 189.902862 78.0786) (xy 189.94121 78.0786) (xy 189.934284 78.084284) (xy 189.924779 78.095866) (xy 186.545867 81.474779) + (xy 186.534285 81.484284) (xy 186.496346 81.530513) (xy 186.480906 81.5594) (xy 186.468155 81.583256) (xy 186.450794 81.640485) + (xy 186.444932 81.7) (xy 186.446401 81.714914) (xy 186.4464 86.824245) (xy 186.174246 87.0964) (xy 186.073235 87.0964) + (xy 186.047034 87.047381) (xy 186.028666 87.025) (xy 186.047034 87.002619) (xy 186.078657 86.943457) (xy 186.098131 86.879261) + (xy 186.104706 86.8125) (xy 186.104706 86.5875) (xy 186.098131 86.520739) (xy 186.078657 86.456543) (xy 186.047034 86.397381) + (xy 186.004476 86.345524) (xy 185.952619 86.302966) (xy 185.893457 86.271343) (xy 185.829261 86.251869) (xy 185.7625 86.245294) + (xy 184.5375 86.245294) (xy 184.470739 86.251869) (xy 184.406543 86.271343) (xy 184.347381 86.302966) (xy 184.333861 86.314062) + (xy 184.276702 86.27587) (xy 184.189603 86.239792) (xy 184.097138 86.2214) (xy 184.002862 86.2214) (xy 183.910397 86.239792) + (xy 183.823298 86.27587) (xy 183.74491 86.328247) (xy 183.678247 86.39491) (xy 183.62587 86.473298) (xy 183.589792 86.560397) + (xy 183.5714 86.652862) (xy 179.9536 86.652862) (xy 179.9536 85.525754) (xy 181.351104 84.12825) (xy 181.352862 84.1286) + (xy 181.447138 84.1286) (xy 181.539603 84.110208) (xy 181.626702 84.07413) (xy 181.70509 84.021753) (xy 181.771753 83.95509) + (xy 181.82008 83.882763) (xy 181.870739 83.898131) (xy 181.9375 83.904706) (xy 183.1625 83.904706) (xy 183.229261 83.898131) + (xy 183.293457 83.878657) (xy 183.352619 83.847034) (xy 183.404476 83.804476) (xy 183.447034 83.752619) (xy 183.478657 83.693457) + (xy 183.484255 83.675) (xy 184.195294 83.675) (xy 184.199708 83.719813) (xy 184.212779 83.762905) (xy 184.234006 83.802618) + (xy 184.262573 83.837427) (xy 184.297382 83.865994) (xy 184.337095 83.887221) (xy 184.380187 83.900292) (xy 184.425 83.904706) + (xy 185.01905 83.9036) (xy 185.0762 83.84645) (xy 185.0762 83.5238) (xy 185.2238 83.5238) (xy 185.2238 83.84645) + (xy 185.28095 83.9036) (xy 185.875 83.904706) (xy 185.919813 83.900292) (xy 185.962905 83.887221) (xy 186.002618 83.865994) + (xy 186.037427 83.837427) (xy 186.065994 83.802618) (xy 186.087221 83.762905) (xy 186.100292 83.719813) (xy 186.104706 83.675) + (xy 186.1036 83.58095) (xy 186.04645 83.5238) (xy 185.2238 83.5238) (xy 185.0762 83.5238) (xy 184.25355 83.5238) + (xy 184.1964 83.58095) (xy 184.195294 83.675) (xy 183.484255 83.675) (xy 183.498131 83.629261) (xy 183.504706 83.5625) + (xy 183.504706 83.3375) (xy 183.498131 83.270739) (xy 183.478657 83.206543) (xy 183.447034 83.147381) (xy 183.428666 83.125) + (xy 183.446229 83.1036) (xy 184.230682 83.1036) (xy 184.212779 83.137095) (xy 184.199708 83.180187) (xy 184.195294 83.225) + (xy 184.1964 83.31905) (xy 184.25355 83.3762) (xy 185.0762 83.3762) (xy 185.0762 83.3562) (xy 185.2238 83.3562) + (xy 185.2238 83.3762) (xy 186.04645 83.3762) (xy 186.1036 83.31905) (xy 186.104706 83.225) (xy 186.100292 83.180187) + (xy 186.087221 83.137095) (xy 186.065994 83.097382) (xy 186.056207 83.085457) (xy 186.078657 83.043457) (xy 186.098131 82.979261) + (xy 186.104706 82.9125) (xy 186.104706 82.6875) (xy 186.098131 82.620739) (xy 186.078657 82.556543) (xy 186.047034 82.497381) + (xy 186.028666 82.475) (xy 186.047034 82.452619) (xy 186.078657 82.393457) (xy 186.098131 82.329261) (xy 186.104706 82.2625) + (xy 186.104706 82.0375) (xy 186.098131 81.970739) (xy 186.078657 81.906543) (xy 186.047034 81.847381) (xy 186.028666 81.825) + (xy 186.047034 81.802619) (xy 186.078657 81.743457) (xy 186.098131 81.679261) (xy 186.104706 81.6125) (xy 186.104706 81.3875) + (xy 186.098131 81.320739) (xy 186.078657 81.256543) (xy 186.047034 81.197381) (xy 186.028666 81.175) (xy 186.047034 81.152619) + (xy 186.078657 81.093457) (xy 186.098131 81.029261) (xy 186.104706 80.9625) (xy 186.104706 80.7375) (xy 186.098131 80.670739) + (xy 186.078657 80.606543) (xy 186.047034 80.547381) (xy 186.028666 80.525) (xy 186.047034 80.502619) (xy 186.078657 80.443457) + (xy 186.098131 80.379261) (xy 186.104706 80.3125) (xy 186.104706 80.0875) (xy 186.098131 80.020739) (xy 186.078657 79.956543) + (xy 186.047034 79.897381) (xy 186.028666 79.875) (xy 186.047034 79.852619) (xy 186.078657 79.793457) (xy 186.098131 79.729261) + (xy 186.104706 79.6625) (xy 186.104706 79.4375) (xy 186.098131 79.370739) (xy 186.078657 79.306543) (xy 186.047034 79.247381) + (xy 186.028666 79.225) (xy 186.047034 79.202619) (xy 186.078657 79.143457) (xy 186.098131 79.079261) (xy 186.104706 79.0125) + (xy 186.104706 78.7875) (xy 186.098131 78.720739) (xy 186.078657 78.656543) (xy 186.047034 78.597381) (xy 186.028666 78.575) + (xy 186.047034 78.552619) (xy 186.078657 78.493457) (xy 186.098131 78.429261) (xy 186.104706 78.3625) (xy 186.104706 78.1375) + (xy 186.098131 78.070739) (xy 186.078657 78.006543) (xy 186.047034 77.947381) (xy 186.028666 77.925) (xy 186.046229 77.9036) + (xy 186.385096 77.9036) (xy 186.4 77.905068) (xy 186.414904 77.9036) (xy 186.459516 77.899206) (xy 186.516744 77.881846) + (xy 186.569487 77.853655) (xy 186.615716 77.815716) (xy 186.625226 77.804128) (xy 187.504139 76.925217) (xy 187.515716 76.915716) + (xy 187.553655 76.869487) (xy 187.581846 76.816744) (xy 187.599206 76.759516) (xy 187.599675 76.754756) (xy 187.605068 76.700001) + (xy 187.6036 76.685097) (xy 187.6036 76.625) (xy 188.895294 76.625) (xy 188.899708 76.669813) (xy 188.912779 76.712905) + (xy 188.934006 76.752618) (xy 188.962573 76.787427) (xy 188.997382 76.815994) (xy 189.037095 76.837221) (xy 189.080187 76.850292) + (xy 189.125 76.854706) (xy 189.41905 76.8536) (xy 189.4762 76.79645) (xy 189.4762 76.2238) (xy 189.6238 76.2238) + (xy 189.6238 76.79645) (xy 189.68095 76.8536) (xy 189.975 76.854706) (xy 190.019813 76.850292) (xy 190.062905 76.837221) + (xy 190.102618 76.815994) (xy 190.137427 76.787427) (xy 190.165994 76.752618) (xy 190.187221 76.712905) (xy 190.200292 76.669813) + (xy 190.204706 76.625) (xy 190.2036 76.28095) (xy 190.14645 76.2238) (xy 189.6238 76.2238) (xy 189.4762 76.2238) + (xy 188.95355 76.2238) (xy 188.8964 76.28095) (xy 188.895294 76.625) (xy 187.6036 76.625) (xy 187.6036 75.675) + (xy 188.895294 75.675) (xy 188.8964 76.01905) (xy 188.95355 76.0762) (xy 189.4762 76.0762) (xy 189.4762 75.50355) + (xy 189.6238 75.50355) (xy 189.6238 76.0762) (xy 190.14645 76.0762) (xy 190.2036 76.01905) (xy 190.204706 75.675) + (xy 190.200292 75.630187) (xy 190.187221 75.587095) (xy 190.165994 75.547382) (xy 190.137427 75.512573) (xy 190.102618 75.484006) + (xy 190.062905 75.462779) (xy 190.019813 75.449708) (xy 189.975 75.445294) (xy 189.68095 75.4464) (xy 189.6238 75.50355) + (xy 189.4762 75.50355) (xy 189.41905 75.4464) (xy 189.125 75.445294) (xy 189.080187 75.449708) (xy 189.037095 75.462779) + (xy 188.997382 75.484006) (xy 188.962573 75.512573) (xy 188.934006 75.547382) (xy 188.912779 75.587095) (xy 188.899708 75.630187) + (xy 188.895294 75.675) (xy 187.6036 75.675) (xy 187.6036 73.464904) (xy 187.605068 73.45) (xy 187.599206 73.390484) + (xy 187.581846 73.333255) (xy 187.553655 73.280513) (xy 187.550527 73.276702) (xy 187.515716 73.234284) (xy 187.504135 73.22478) + (xy 186.7536 72.474246) (xy 186.7536 70.732954) (xy 189.89384 73.873195) (xy 189.810397 73.889792) (xy 189.723298 73.92587) + (xy 189.64491 73.978247) (xy 189.578247 74.04491) (xy 189.52587 74.123298) (xy 189.489792 74.210397) (xy 189.4714 74.302862) + (xy 189.4714 74.397138) (xy 189.489792 74.489603) (xy 189.52587 74.576702) (xy 189.578247 74.65509) (xy 189.64491 74.721753) + (xy 189.723298 74.77413) (xy 189.810397 74.810208) (xy 189.902862 74.8286) (xy 189.997138 74.8286) (xy 190.089603 74.810208) + (xy 190.176702 74.77413) (xy 190.233861 74.735938) (xy 190.247381 74.747034) (xy 190.306543 74.778657) (xy 190.370739 74.798131) + (xy 190.4375 74.804706) (xy 191.6625 74.804706) (xy 191.729261 74.798131) (xy 191.793457 74.778657) (xy 191.852619 74.747034) + (xy 191.904476 74.704476) (xy 191.947034 74.652619) (xy 191.978657 74.593457) (xy 191.998131 74.529261) (xy 192.004706 74.4625) + (xy 192.004706 74.2375) (xy 191.998131 74.170739) (xy 191.978657 74.106543) (xy 191.947034 74.047381) (xy 191.928666 74.025) + (xy 191.946229 74.0036) (xy 192.577251 74.0036) (xy 192.578247 74.00509) (xy 192.64491 74.071753) (xy 192.723298 74.12413) + (xy 192.810397 74.160208) (xy 192.902862 74.1786) (xy 192.997138 74.1786) (xy 193.089603 74.160208) (xy 193.176702 74.12413) + (xy 193.25509 74.071753) (xy 193.321753 74.00509) (xy 193.37413 73.926702) (xy 193.410208 73.839603) (xy 193.4286 73.747138) + (xy 193.4286 73.652862) (xy 193.410208 73.560397) (xy 193.37413 73.473298) (xy 193.321753 73.39491) (xy 193.25509 73.328247) + (xy 193.176702 73.27587) (xy 193.089603 73.239792) (xy 192.997138 73.2214) (xy 192.902862 73.2214) (xy 192.810397 73.239792) + (xy 192.723298 73.27587) (xy 192.64491 73.328247) (xy 192.578247 73.39491) (xy 192.577251 73.3964) (xy 192.480443 73.3964) + (xy 192.521753 73.35509) (xy 192.57413 73.276702) (xy 192.610208 73.189603) (xy 192.6286 73.097138) (xy 192.6286 73.002862) + (xy 192.610208 72.910397) (xy 192.57413 72.823298) (xy 192.521753 72.74491) (xy 192.480443 72.7036) (xy 192.577251 72.7036) + (xy 192.578247 72.70509) (xy 192.64491 72.771753) (xy 192.723298 72.82413) (xy 192.810397 72.860208) (xy 192.902862 72.8786) + (xy 192.997138 72.8786) (xy 193.089603 72.860208) (xy 193.176702 72.82413) (xy 193.25509 72.771753) (xy 193.321753 72.70509) + (xy 193.37413 72.626702) (xy 193.410208 72.539603) (xy 193.4286 72.447138) (xy 193.4286 72.352862) (xy 193.410208 72.260397) + (xy 193.37413 72.173298) (xy 193.321753 72.09491) (xy 193.25509 72.028247) (xy 193.176702 71.97587) (xy 193.089603 71.939792) + (xy 192.997138 71.9214) (xy 192.902862 71.9214) (xy 192.810397 71.939792) (xy 192.723298 71.97587) (xy 192.64491 72.028247) + (xy 192.578247 72.09491) (xy 192.577251 72.0964) (xy 192.480443 72.0964) (xy 192.521753 72.05509) (xy 192.57413 71.976702) + (xy 192.610208 71.889603) (xy 192.6286 71.797138) (xy 192.6286 71.702862) (xy 192.610208 71.610397) (xy 192.57413 71.523298) + (xy 192.521753 71.44491) (xy 192.480443 71.4036) (xy 192.577251 71.4036) (xy 192.578247 71.40509) (xy 192.64491 71.471753) + (xy 192.723298 71.52413) (xy 192.810397 71.560208) (xy 192.902862 71.5786) (xy 192.997138 71.5786) (xy 193.089603 71.560208) + (xy 193.176702 71.52413) (xy 193.25509 71.471753) (xy 193.321753 71.40509) (xy 193.37413 71.326702) (xy 193.410208 71.239603) + (xy 193.4286 71.147138) (xy 193.4286 71.052862) (xy 193.410208 70.960397) (xy 193.37413 70.873298) (xy 193.321753 70.79491) + (xy 193.25509 70.728247) (xy 193.176702 70.67587) (xy 193.089603 70.639792) (xy 192.997138 70.6214) (xy 192.902862 70.6214) + (xy 192.810397 70.639792) (xy 192.723298 70.67587) (xy 192.64491 70.728247) (xy 192.578247 70.79491) (xy 192.577251 70.7964) + (xy 192.480443 70.7964) (xy 192.521753 70.75509) (xy 192.57413 70.676702) (xy 192.610208 70.589603) (xy 192.6286 70.497138) + (xy 192.6286 70.402862) (xy 192.610208 70.310397) (xy 192.57413 70.223298) (xy 192.521753 70.14491) (xy 192.480443 70.1036) + (xy 192.577251 70.1036) (xy 192.578247 70.10509) (xy 192.64491 70.171753) (xy 192.723298 70.22413) (xy 192.810397 70.260208) + (xy 192.902862 70.2786) (xy 192.997138 70.2786) (xy 193.089603 70.260208) (xy 193.176702 70.22413) (xy 193.25509 70.171753) + (xy 193.321753 70.10509) (xy 193.37413 70.026702) (xy 193.410208 69.939603) (xy 193.4286 69.847138) (xy 193.4286 69.752862) + (xy 193.410208 69.660397) (xy 193.37413 69.573298) (xy 193.321753 69.49491) (xy 193.25509 69.428247) (xy 193.176702 69.37587) + (xy 193.089603 69.339792) (xy 192.997138 69.3214) (xy 192.902862 69.3214) (xy 192.810397 69.339792) (xy 192.723298 69.37587) + (xy 192.64491 69.428247) (xy 192.578247 69.49491) (xy 192.577251 69.4964) (xy 192.480443 69.4964) (xy 192.521753 69.45509) + (xy 192.57413 69.376702) (xy 192.610208 69.289603) (xy 192.6286 69.197138) (xy 192.6286 69.102862) (xy 192.610208 69.010397) + (xy 192.57413 68.923298) (xy 192.521753 68.84491) (xy 192.45509 68.778247) (xy 192.376702 68.72587) (xy 192.289603 68.689792) + (xy 192.197138 68.6714) (xy 192.102862 68.6714) (xy 192.010397 68.689792) (xy 191.992715 68.697116) (xy 191.998131 68.679261) + (xy 192.004706 68.6125) (xy 192.004706 68.3875) (xy 191.998131 68.320739) (xy 191.978657 68.256543) (xy 191.947034 68.197381) + (xy 191.904476 68.145524) (xy 191.852619 68.102966) (xy 191.793457 68.071343) (xy 191.729261 68.051869) (xy 191.6625 68.045294) + (xy 191.55831 68.045294) (xy 191.570951 68.003624) (xy 191.5786 67.925962) (xy 191.5786 67.621028) (xy 191.61342 67.5786) + (xy 191.952063 67.5786) (xy 191.977664 67.573508) (xy 192.003624 67.570951) (xy 192.028582 67.56338) (xy 192.054187 67.558287) + (xy 192.078309 67.548296) (xy 192.103265 67.540725) (xy 192.126265 67.528431) (xy 192.150386 67.51844) (xy 192.172093 67.503936) + (xy 192.195095 67.491641) (xy 192.215257 67.475094) (xy 192.236963 67.460591) (xy 192.255421 67.442133) (xy 192.275585 67.425585) + (xy 192.292133 67.405421) (xy 192.310591 67.386963) (xy 192.325094 67.365257) (xy 192.341641 67.345095) (xy 192.353936 67.322093) + (xy 192.36844 67.300386) (xy 192.378431 67.276265) (xy 192.390725 67.253265) (xy 192.398296 67.228309) (xy 192.408287 67.204187) + (xy 192.41338 67.178582) (xy 192.420951 67.153624) (xy 192.423508 67.127664) (xy 192.4286 67.102063) (xy 192.4286 67.075962) + (xy 192.431157 67.05) (xy 192.4286 67.024038) (xy 192.4286 66.997937) (xy 192.423508 66.972336) (xy 192.420951 66.946376) + (xy 192.41338 66.921418) (xy 192.408287 66.895813) (xy 192.398296 66.871691) (xy 192.390725 66.846735) (xy 192.378431 66.823735) + (xy 192.36844 66.799614) (xy 192.353936 66.777907) (xy 192.341641 66.754905) (xy 192.325094 66.734743) (xy 192.310591 66.713037) + (xy 192.292133 66.694579) (xy 192.275585 66.674415) (xy 192.255421 66.657867) (xy 192.236963 66.639409) (xy 192.215257 66.624906) + (xy 192.195095 66.608359) (xy 192.172093 66.596064) (xy 192.150386 66.58156) (xy 192.126265 66.571569) (xy 192.103265 66.559275) + (xy 192.078309 66.551704) (xy 192.054187 66.541713) (xy 192.028582 66.53662) (xy 192.003624 66.529049) (xy 191.977664 66.526492) + (xy 191.952063 66.5214) (xy 191.61342 66.5214) (xy 191.575187 66.474813) (xy 191.5286 66.43658) (xy 191.5286 66.373495) + (xy 191.530914 66.349999) (xy 191.5286 66.326503) (xy 191.5286 66.326496) (xy 191.521674 66.256178) (xy 191.494308 66.165962) + (xy 191.449866 66.082818) (xy 191.390058 66.009942) (xy 191.3718 65.994958) (xy 191.271755 65.894913) (xy 191.271753 65.89491) + (xy 191.20509 65.828247) (xy 191.185432 65.815112) (xy 191.167181 65.800134) (xy 191.146359 65.789005) (xy 191.126702 65.77587) + (xy 191.10486 65.766823) (xy 191.084038 65.755693) (xy 191.061446 65.74884) (xy 191.039603 65.739792) (xy 191.016414 65.73518) + (xy 190.993821 65.728326) (xy 190.970324 65.726012) (xy 190.947138 65.7214) (xy 190.923496 65.7214) (xy 190.9 65.719086) + (xy 190.876504 65.7214) (xy 190.852862 65.7214) (xy 190.829676 65.726012) (xy 190.806179 65.728326) (xy 190.783586 65.73518) + (xy 190.760397 65.739792) (xy 190.738554 65.74884) (xy 190.715962 65.755693) (xy 190.69514 65.766823) (xy 190.673298 65.77587) + (xy 190.653641 65.789005) (xy 190.632819 65.800134) (xy 190.614568 65.815112) (xy 190.59491 65.828247) (xy 190.578193 65.844964) + (xy 190.559942 65.859942) (xy 190.544964 65.878193) (xy 190.528247 65.89491) (xy 190.515112 65.914568) (xy 190.500134 65.932819) + (xy 190.489005 65.953641) (xy 190.47587 65.973298) (xy 190.466823 65.99514) (xy 190.455693 66.015962) (xy 190.44884 66.038554) + (xy 190.439792 66.060397) (xy 190.43518 66.083586) (xy 190.428326 66.106179) (xy 190.426012 66.129676) (xy 190.4214 66.152862) + (xy 190.4214 66.176504) (xy 190.419086 66.2) (xy 190.4214 66.223496) (xy 190.4214 66.247138) (xy 190.426012 66.270324) + (xy 190.428326 66.293821) (xy 190.43518 66.316414) (xy 190.439792 66.339603) (xy 190.44884 66.361446) (xy 190.455693 66.384038) + (xy 190.466823 66.40486) (xy 190.47587 66.426702) (xy 190.489005 66.446359) (xy 190.500134 66.467181) (xy 190.515112 66.485432) + (xy 190.515555 66.486094) (xy 190.469819 66.541824) (xy 190.428955 66.618275) (xy 190.403791 66.70123) (xy 190.395294 66.7875) + (xy 190.395294 67.3125) (xy 190.403791 67.39877) (xy 190.428955 67.481725) (xy 190.469819 67.558176) (xy 190.5214 67.621028) + (xy 190.5214 67.925962) (xy 190.52905 68.003624) (xy 190.541691 68.045294) (xy 190.4375 68.045294) (xy 190.42627 68.0464) + (xy 190.105556 68.0464) (xy 190.089603 68.039792) (xy 189.997138 68.0214) (xy 189.902862 68.0214) (xy 189.810397 68.039792) + (xy 189.723298 68.07587) (xy 189.64491 68.128247) (xy 189.578247 68.19491) (xy 189.52587 68.273298) (xy 189.512333 68.305979) + (xy 189.1536 67.947246) (xy 189.1536 67.754598) (xy 189.41905 67.7536) (xy 189.4762 67.69645) (xy 189.4762 67.1238) + (xy 189.6238 67.1238) (xy 189.6238 67.69645) (xy 189.68095 67.7536) (xy 189.975 67.754706) (xy 190.019813 67.750292) + (xy 190.062905 67.737221) (xy 190.102618 67.715994) (xy 190.137427 67.687427) (xy 190.165994 67.652618) (xy 190.187221 67.612905) + (xy 190.200292 67.569813) (xy 190.204706 67.525) (xy 190.2036 67.18095) (xy 190.14645 67.1238) (xy 189.6238 67.1238) + (xy 189.4762 67.1238) (xy 189.4562 67.1238) (xy 189.4562 66.9762) (xy 189.4762 66.9762) (xy 189.4762 66.40355) + (xy 189.6238 66.40355) (xy 189.6238 66.9762) (xy 190.14645 66.9762) (xy 190.2036 66.91905) (xy 190.204706 66.575) + (xy 190.200292 66.530187) (xy 190.187221 66.487095) (xy 190.165994 66.447382) (xy 190.137427 66.412573) (xy 190.102618 66.384006) + (xy 190.062905 66.362779) (xy 190.019813 66.349708) (xy 189.975 66.345294) (xy 189.68095 66.3464) (xy 189.6238 66.40355) + (xy 189.4762 66.40355) (xy 189.41905 66.3464) (xy 189.1536 66.345402) (xy 189.1536 62.864904) (xy 189.155068 62.85) + (xy 189.149206 62.790484) (xy 189.147783 62.785792) (xy 189.131846 62.733256) (xy 189.103655 62.680513) (xy 189.065716 62.634284) + (xy 189.054134 62.624779) (xy 186.30616 59.876806) (xy 186.389603 59.860208) (xy 186.476702 59.82413) (xy 186.55509 59.771753) + (xy 186.621753 59.70509) (xy 186.67413 59.626702) (xy 186.710208 59.539603) (xy 186.7286 59.447138) (xy 186.7286 59.352862) + (xy 189.4714 59.352862) (xy 189.4714 59.447138) (xy 189.489792 59.539603) (xy 189.52587 59.626702) (xy 189.578247 59.70509) + (xy 189.64491 59.771753) (xy 189.723298 59.82413) (xy 189.810397 59.860208) (xy 189.902862 59.8786) (xy 189.997138 59.8786) + (xy 190.089603 59.860208) (xy 190.105556 59.8536) (xy 190.107068 59.8536) (xy 190.101869 59.870739) (xy 190.095294 59.9375) + (xy 190.095294 60.1625) (xy 190.101869 60.229261) (xy 190.107285 60.247116) (xy 190.089603 60.239792) (xy 189.997138 60.2214) + (xy 189.902862 60.2214) (xy 189.810397 60.239792) (xy 189.723298 60.27587) (xy 189.64491 60.328247) (xy 189.578247 60.39491) + (xy 189.52587 60.473298) (xy 189.489792 60.560397) (xy 189.4714 60.652862) (xy 189.4714 60.747138) (xy 189.489792 60.839603) + (xy 189.52587 60.926702) (xy 189.578247 61.00509) (xy 189.64491 61.071753) (xy 189.723298 61.12413) (xy 189.810397 61.160208) + (xy 189.902862 61.1786) (xy 189.997138 61.1786) (xy 190.089603 61.160208) (xy 190.107285 61.152884) (xy 190.101869 61.170739) + (xy 190.095294 61.2375) (xy 190.095294 61.4625) (xy 190.101869 61.529261) (xy 190.107285 61.547116) (xy 190.089603 61.539792) + (xy 189.997138 61.5214) (xy 189.902862 61.5214) (xy 189.810397 61.539792) (xy 189.723298 61.57587) (xy 189.64491 61.628247) + (xy 189.578247 61.69491) (xy 189.52587 61.773298) (xy 189.489792 61.860397) (xy 189.4714 61.952862) (xy 189.4714 62.047138) + (xy 189.489792 62.139603) (xy 189.52587 62.226702) (xy 189.578247 62.30509) (xy 189.64491 62.371753) (xy 189.723298 62.42413) + (xy 189.810397 62.460208) (xy 189.902862 62.4786) (xy 189.997138 62.4786) (xy 190.089603 62.460208) (xy 190.107285 62.452884) + (xy 190.101869 62.470739) (xy 190.095294 62.5375) (xy 190.095294 62.7625) (xy 190.101869 62.829261) (xy 190.107285 62.847116) + (xy 190.089603 62.839792) (xy 189.997138 62.8214) (xy 189.902862 62.8214) (xy 189.810397 62.839792) (xy 189.723298 62.87587) + (xy 189.64491 62.928247) (xy 189.578247 62.99491) (xy 189.52587 63.073298) (xy 189.489792 63.160397) (xy 189.4714 63.252862) + (xy 189.4714 63.347138) (xy 189.489792 63.439603) (xy 189.52587 63.526702) (xy 189.578247 63.60509) (xy 189.64491 63.671753) + (xy 189.723298 63.72413) (xy 189.810397 63.760208) (xy 189.902862 63.7786) (xy 189.997138 63.7786) (xy 190.089603 63.760208) + (xy 190.107285 63.752884) (xy 190.101869 63.770739) (xy 190.095294 63.8375) (xy 190.095294 64.0625) (xy 190.101869 64.129261) + (xy 190.107285 64.147116) (xy 190.089603 64.139792) (xy 189.997138 64.1214) (xy 189.902862 64.1214) (xy 189.810397 64.139792) + (xy 189.723298 64.17587) (xy 189.64491 64.228247) (xy 189.578247 64.29491) (xy 189.52587 64.373298) (xy 189.489792 64.460397) + (xy 189.4714 64.552862) (xy 189.4714 64.647138) (xy 189.489792 64.739603) (xy 189.52587 64.826702) (xy 189.578247 64.90509) + (xy 189.64491 64.971753) (xy 189.723298 65.02413) (xy 189.810397 65.060208) (xy 189.902862 65.0786) (xy 189.997138 65.0786) + (xy 190.089603 65.060208) (xy 190.107285 65.052884) (xy 190.101869 65.070739) (xy 190.095294 65.1375) (xy 190.095294 65.3625) + (xy 190.101869 65.429261) (xy 190.121343 65.493457) (xy 190.152966 65.552619) (xy 190.195524 65.604476) (xy 190.247381 65.647034) + (xy 190.306543 65.678657) (xy 190.370739 65.698131) (xy 190.4375 65.704706) (xy 191.6625 65.704706) (xy 191.729261 65.698131) + (xy 191.793457 65.678657) (xy 191.852619 65.647034) (xy 191.866139 65.635938) (xy 191.923298 65.67413) (xy 192.010397 65.710208) + (xy 192.102862 65.7286) (xy 192.197138 65.7286) (xy 192.289603 65.710208) (xy 192.376702 65.67413) (xy 192.45509 65.621753) + (xy 192.521753 65.55509) (xy 192.57413 65.476702) (xy 192.610208 65.389603) (xy 192.6286 65.297138) (xy 192.6286 65.202862) + (xy 192.610208 65.110397) (xy 192.57413 65.023298) (xy 192.521753 64.94491) (xy 192.45509 64.878247) (xy 192.376702 64.82587) + (xy 192.289603 64.789792) (xy 192.197138 64.7714) (xy 192.102862 64.7714) (xy 192.010397 64.789792) (xy 191.992715 64.797116) + (xy 191.998131 64.779261) (xy 192.004706 64.7125) (xy 192.004706 64.4875) (xy 191.998131 64.420739) (xy 191.992715 64.402884) + (xy 192.010397 64.410208) (xy 192.102862 64.4286) (xy 192.197138 64.4286) (xy 192.289603 64.410208) (xy 192.376702 64.37413) + (xy 192.45509 64.321753) (xy 192.521753 64.25509) (xy 192.57413 64.176702) (xy 192.610208 64.089603) (xy 192.6286 63.997138) + (xy 192.6286 63.902862) (xy 192.610208 63.810397) (xy 192.57413 63.723298) (xy 192.521753 63.64491) (xy 192.45509 63.578247) + (xy 192.376702 63.52587) (xy 192.289603 63.489792) (xy 192.197138 63.4714) (xy 192.102862 63.4714) (xy 192.010397 63.489792) + (xy 191.992715 63.497116) (xy 191.998131 63.479261) (xy 192.004706 63.4125) (xy 192.004706 63.401154) (xy 199.6564 63.401154) + (xy 199.6564 63.598846) (xy 199.694968 63.792739) (xy 199.770621 63.975383) (xy 199.880453 64.139758) (xy 200.020242 64.279547) + (xy 200.184617 64.389379) (xy 200.367261 64.465032) (xy 200.561154 64.5036) (xy 200.758846 64.5036) (xy 200.952739 64.465032) + (xy 201.135383 64.389379) (xy 201.299758 64.279547) (xy 201.439547 64.139758) (xy 201.549379 63.975383) (xy 201.625032 63.792739) + (xy 201.6636 63.598846) (xy 201.6636 63.401154) (xy 202.1964 63.401154) (xy 202.1964 63.598846) (xy 202.234968 63.792739) + (xy 202.310621 63.975383) (xy 202.420453 64.139758) (xy 202.560242 64.279547) (xy 202.724617 64.389379) (xy 202.907261 64.465032) + (xy 203.101154 64.5036) (xy 203.298846 64.5036) (xy 203.492739 64.465032) (xy 203.675383 64.389379) (xy 203.839758 64.279547) + (xy 203.979547 64.139758) (xy 204.089379 63.975383) (xy 204.165032 63.792739) (xy 204.2036 63.598846) (xy 204.2036 63.401154) + (xy 204.165032 63.207261) (xy 204.089379 63.024617) (xy 203.979547 62.860242) (xy 203.839758 62.720453) (xy 203.675383 62.610621) + (xy 203.492739 62.534968) (xy 203.298846 62.4964) (xy 203.101154 62.4964) (xy 202.907261 62.534968) (xy 202.724617 62.610621) + (xy 202.560242 62.720453) (xy 202.420453 62.860242) (xy 202.310621 63.024617) (xy 202.234968 63.207261) (xy 202.1964 63.401154) + (xy 201.6636 63.401154) (xy 201.625032 63.207261) (xy 201.549379 63.024617) (xy 201.439547 62.860242) (xy 201.299758 62.720453) + (xy 201.135383 62.610621) (xy 200.952739 62.534968) (xy 200.758846 62.4964) (xy 200.561154 62.4964) (xy 200.367261 62.534968) + (xy 200.184617 62.610621) (xy 200.020242 62.720453) (xy 199.880453 62.860242) (xy 199.770621 63.024617) (xy 199.694968 63.207261) + (xy 199.6564 63.401154) (xy 192.004706 63.401154) (xy 192.004706 63.1875) (xy 191.998131 63.120739) (xy 191.992715 63.102884) + (xy 192.010397 63.110208) (xy 192.102862 63.1286) (xy 192.197138 63.1286) (xy 192.289603 63.110208) (xy 192.376702 63.07413) + (xy 192.45509 63.021753) (xy 192.521753 62.95509) (xy 192.57413 62.876702) (xy 192.610208 62.789603) (xy 192.6286 62.697138) + (xy 192.6286 62.602862) (xy 192.610208 62.510397) (xy 192.57413 62.423298) (xy 192.521753 62.34491) (xy 192.45509 62.278247) + (xy 192.376702 62.22587) (xy 192.289603 62.189792) (xy 192.197138 62.1714) (xy 192.102862 62.1714) (xy 192.010397 62.189792) + (xy 191.992715 62.197116) (xy 191.998131 62.179261) (xy 192.004706 62.1125) (xy 192.004706 61.8875) (xy 191.998131 61.820739) + (xy 191.992715 61.802884) (xy 192.010397 61.810208) (xy 192.102862 61.8286) (xy 192.197138 61.8286) (xy 192.289603 61.810208) + (xy 192.376702 61.77413) (xy 192.45509 61.721753) (xy 192.521753 61.65509) (xy 192.57413 61.576702) (xy 192.610208 61.489603) + (xy 192.6286 61.397138) (xy 192.6286 61.302862) (xy 192.610208 61.210397) (xy 192.57413 61.123298) (xy 192.521753 61.04491) + (xy 192.45509 60.978247) (xy 192.376702 60.92587) (xy 192.289603 60.889792) (xy 192.197138 60.8714) (xy 192.102862 60.8714) + (xy 192.010397 60.889792) (xy 191.992715 60.897116) (xy 191.998131 60.879261) (xy 191.999914 60.861154) (xy 199.6564 60.861154) + (xy 199.6564 61.058846) (xy 199.694968 61.252739) (xy 199.770621 61.435383) (xy 199.880453 61.599758) (xy 200.020242 61.739547) + (xy 200.184617 61.849379) (xy 200.367261 61.925032) (xy 200.561154 61.9636) (xy 200.758846 61.9636) (xy 200.952739 61.925032) + (xy 201.135383 61.849379) (xy 201.299758 61.739547) (xy 201.439547 61.599758) (xy 201.549379 61.435383) (xy 201.625032 61.252739) + (xy 201.6636 61.058846) (xy 201.6636 60.861154) (xy 202.1964 60.861154) (xy 202.1964 61.058846) (xy 202.234968 61.252739) + (xy 202.310621 61.435383) (xy 202.420453 61.599758) (xy 202.560242 61.739547) (xy 202.724617 61.849379) (xy 202.907261 61.925032) + (xy 203.101154 61.9636) (xy 203.298846 61.9636) (xy 203.492739 61.925032) (xy 203.675383 61.849379) (xy 203.839758 61.739547) + (xy 203.979547 61.599758) (xy 204.089379 61.435383) (xy 204.165032 61.252739) (xy 204.2036 61.058846) (xy 204.2036 60.861154) + (xy 204.165032 60.667261) (xy 204.089379 60.484617) (xy 203.979547 60.320242) (xy 203.839758 60.180453) (xy 203.675383 60.070621) + (xy 203.492739 59.994968) (xy 203.298846 59.9564) (xy 203.101154 59.9564) (xy 202.907261 59.994968) (xy 202.724617 60.070621) + (xy 202.560242 60.180453) (xy 202.420453 60.320242) (xy 202.310621 60.484617) (xy 202.234968 60.667261) (xy 202.1964 60.861154) + (xy 201.6636 60.861154) (xy 201.625032 60.667261) (xy 201.549379 60.484617) (xy 201.439547 60.320242) (xy 201.299758 60.180453) + (xy 201.135383 60.070621) (xy 200.952739 59.994968) (xy 200.758846 59.9564) (xy 200.561154 59.9564) (xy 200.367261 59.994968) + (xy 200.184617 60.070621) (xy 200.020242 60.180453) (xy 199.880453 60.320242) (xy 199.770621 60.484617) (xy 199.694968 60.667261) + (xy 199.6564 60.861154) (xy 191.999914 60.861154) (xy 192.004706 60.8125) (xy 192.004706 60.5875) (xy 191.998131 60.520739) + (xy 191.978657 60.456543) (xy 191.947034 60.397381) (xy 191.928666 60.375) (xy 191.946229 60.3536) (xy 192.577251 60.3536) + (xy 192.578247 60.35509) (xy 192.64491 60.421753) (xy 192.723298 60.47413) (xy 192.810397 60.510208) (xy 192.902862 60.5286) + (xy 192.997138 60.5286) (xy 193.089603 60.510208) (xy 193.176702 60.47413) (xy 193.25509 60.421753) (xy 193.321753 60.35509) + (xy 193.37413 60.276702) (xy 193.410208 60.189603) (xy 193.4286 60.097138) (xy 193.4286 60.002862) (xy 193.410208 59.910397) + (xy 193.37413 59.823298) (xy 193.321753 59.74491) (xy 193.25509 59.678247) (xy 193.176702 59.62587) (xy 193.089603 59.589792) + (xy 192.997138 59.5714) (xy 192.902862 59.5714) (xy 192.810397 59.589792) (xy 192.723298 59.62587) (xy 192.64491 59.678247) + (xy 192.578247 59.74491) (xy 192.577251 59.7464) (xy 192.480443 59.7464) (xy 192.521753 59.70509) (xy 192.57413 59.626702) + (xy 192.610208 59.539603) (xy 192.6286 59.447138) (xy 192.6286 59.352862) (xy 192.610208 59.260397) (xy 192.57413 59.173298) + (xy 192.521753 59.09491) (xy 192.45509 59.028247) (xy 192.376702 58.97587) (xy 192.289603 58.939792) (xy 192.197138 58.9214) + (xy 192.102862 58.9214) (xy 192.010397 58.939792) (xy 191.994444 58.9464) (xy 191.67373 58.9464) (xy 191.6625 58.945294) + (xy 191.55831 58.945294) (xy 191.570951 58.903624) (xy 191.5786 58.825962) (xy 191.5786 58.521028) (xy 191.61342 58.4786) + (xy 191.952063 58.4786) (xy 191.977664 58.473508) (xy 192.003624 58.470951) (xy 192.028582 58.46338) (xy 192.054187 58.458287) + (xy 192.078309 58.448296) (xy 192.103265 58.440725) (xy 192.126265 58.428431) (xy 192.150386 58.41844) (xy 192.172093 58.403936) + (xy 192.195095 58.391641) (xy 192.215257 58.375094) (xy 192.236963 58.360591) (xy 192.255421 58.342133) (xy 192.275585 58.325585) + (xy 192.279221 58.321154) (xy 199.6564 58.321154) (xy 199.6564 58.518846) (xy 199.694968 58.712739) (xy 199.770621 58.895383) + (xy 199.880453 59.059758) (xy 200.020242 59.199547) (xy 200.184617 59.309379) (xy 200.367261 59.385032) (xy 200.561154 59.4236) + (xy 200.758846 59.4236) (xy 200.952739 59.385032) (xy 201.135383 59.309379) (xy 201.299758 59.199547) (xy 201.356473 59.142832) + (xy 202.581537 59.142832) (xy 202.668539 59.277049) (xy 202.845953 59.364265) (xy 203.036972 59.415192) (xy 203.234257 59.427875) + (xy 203.430225 59.401826) (xy 203.617346 59.338046) (xy 203.731461 59.277049) (xy 203.818463 59.142832) (xy 203.2 58.524369) + (xy 202.581537 59.142832) (xy 201.356473 59.142832) (xy 201.439547 59.059758) (xy 201.549379 58.895383) (xy 201.625032 58.712739) + (xy 201.6636 58.518846) (xy 201.6636 58.454257) (xy 202.192125 58.454257) (xy 202.218174 58.650225) (xy 202.281954 58.837346) + (xy 202.342951 58.951461) (xy 202.477168 59.038463) (xy 203.095631 58.42) (xy 203.304369 58.42) (xy 203.922832 59.038463) + (xy 204.057049 58.951461) (xy 204.144265 58.774047) (xy 204.195192 58.583028) (xy 204.207875 58.385743) (xy 204.181826 58.189775) + (xy 204.118046 58.002654) (xy 204.057049 57.888539) (xy 203.922832 57.801537) (xy 203.304369 58.42) (xy 203.095631 58.42) + (xy 202.477168 57.801537) (xy 202.342951 57.888539) (xy 202.255735 58.065953) (xy 202.204808 58.256972) (xy 202.192125 58.454257) + (xy 201.6636 58.454257) (xy 201.6636 58.321154) (xy 201.625032 58.127261) (xy 201.549379 57.944617) (xy 201.439547 57.780242) + (xy 201.356473 57.697168) (xy 202.581537 57.697168) (xy 203.2 58.315631) (xy 203.818463 57.697168) (xy 203.731461 57.562951) + (xy 203.554047 57.475735) (xy 203.363028 57.424808) (xy 203.165743 57.412125) (xy 202.969775 57.438174) (xy 202.782654 57.501954) + (xy 202.668539 57.562951) (xy 202.581537 57.697168) (xy 201.356473 57.697168) (xy 201.299758 57.640453) (xy 201.135383 57.530621) + (xy 200.952739 57.454968) (xy 200.758846 57.4164) (xy 200.561154 57.4164) (xy 200.367261 57.454968) (xy 200.184617 57.530621) + (xy 200.020242 57.640453) (xy 199.880453 57.780242) (xy 199.770621 57.944617) (xy 199.694968 58.127261) (xy 199.6564 58.321154) + (xy 192.279221 58.321154) (xy 192.292133 58.305421) (xy 192.310591 58.286963) (xy 192.325094 58.265257) (xy 192.341641 58.245095) + (xy 192.353936 58.222093) (xy 192.36844 58.200386) (xy 192.378431 58.176265) (xy 192.390725 58.153265) (xy 192.398296 58.128309) + (xy 192.408287 58.104187) (xy 192.41338 58.078582) (xy 192.420951 58.053624) (xy 192.423508 58.027664) (xy 192.4286 58.002063) + (xy 192.4286 57.975962) (xy 192.431157 57.95) (xy 192.4286 57.924038) (xy 192.4286 57.897937) (xy 192.423508 57.872336) + (xy 192.420951 57.846376) (xy 192.41338 57.821418) (xy 192.408287 57.795813) (xy 192.398296 57.771691) (xy 192.390725 57.746735) + (xy 192.378431 57.723735) (xy 192.36844 57.699614) (xy 192.353936 57.677907) (xy 192.341641 57.654905) (xy 192.325094 57.634743) + (xy 192.310591 57.613037) (xy 192.292133 57.594579) (xy 192.275585 57.574415) (xy 192.255421 57.557867) (xy 192.236963 57.539409) + (xy 192.215257 57.524906) (xy 192.195095 57.508359) (xy 192.172093 57.496064) (xy 192.150386 57.48156) (xy 192.126265 57.471569) + (xy 192.103265 57.459275) (xy 192.078309 57.451704) (xy 192.054187 57.441713) (xy 192.028582 57.43662) (xy 192.003624 57.429049) + (xy 191.977664 57.426492) (xy 191.952063 57.4214) (xy 191.61342 57.4214) (xy 191.575187 57.374813) (xy 191.5286 57.33658) + (xy 191.5286 57.273495) (xy 191.530914 57.249999) (xy 191.5286 57.226503) (xy 191.5286 57.226496) (xy 191.521674 57.156178) + (xy 191.494308 57.065962) (xy 191.449866 56.982818) (xy 191.390058 56.909942) (xy 191.3718 56.894958) (xy 191.271755 56.794913) + (xy 191.271753 56.79491) (xy 191.20509 56.728247) (xy 191.185432 56.715112) (xy 191.167181 56.700134) (xy 191.146359 56.689005) + (xy 191.126702 56.67587) (xy 191.10486 56.666823) (xy 191.084038 56.655693) (xy 191.061446 56.64884) (xy 191.039603 56.639792) + (xy 191.016414 56.63518) (xy 190.993821 56.628326) (xy 190.970324 56.626012) (xy 190.947138 56.6214) (xy 190.923496 56.6214) + (xy 190.9 56.619086) (xy 190.876504 56.6214) (xy 190.852862 56.6214) (xy 190.829676 56.626012) (xy 190.806179 56.628326) + (xy 190.783586 56.63518) (xy 190.760397 56.639792) (xy 190.738554 56.64884) (xy 190.715962 56.655693) (xy 190.69514 56.666823) + (xy 190.673298 56.67587) (xy 190.653641 56.689005) (xy 190.632819 56.700134) (xy 190.614568 56.715112) (xy 190.59491 56.728247) + (xy 190.578193 56.744964) (xy 190.559942 56.759942) (xy 190.544964 56.778193) (xy 190.528247 56.79491) (xy 190.515112 56.814568) + (xy 190.500134 56.832819) (xy 190.489005 56.853641) (xy 190.47587 56.873298) (xy 190.466823 56.89514) (xy 190.455693 56.915962) + (xy 190.44884 56.938554) (xy 190.439792 56.960397) (xy 190.43518 56.983586) (xy 190.428326 57.006179) (xy 190.426012 57.029676) + (xy 190.4214 57.052862) (xy 190.4214 57.076504) (xy 190.419086 57.1) (xy 190.4214 57.123496) (xy 190.4214 57.147138) + (xy 190.426012 57.170324) (xy 190.428326 57.193821) (xy 190.43518 57.216414) (xy 190.439792 57.239603) (xy 190.44884 57.261446) + (xy 190.455693 57.284038) (xy 190.466823 57.30486) (xy 190.47587 57.326702) (xy 190.489005 57.346359) (xy 190.500134 57.367181) + (xy 190.515112 57.385432) (xy 190.515555 57.386094) (xy 190.469819 57.441824) (xy 190.428955 57.518275) (xy 190.403791 57.60123) + (xy 190.395294 57.6875) (xy 190.395294 58.2125) (xy 190.403791 58.29877) (xy 190.428955 58.381725) (xy 190.469819 58.458176) + (xy 190.5214 58.521028) (xy 190.5214 58.825961) (xy 190.529049 58.903623) (xy 190.54169 58.945294) (xy 190.4375 58.945294) + (xy 190.42627 58.9464) (xy 190.105556 58.9464) (xy 190.089603 58.939792) (xy 189.997138 58.9214) (xy 189.902862 58.9214) + (xy 189.810397 58.939792) (xy 189.723298 58.97587) (xy 189.64491 59.028247) (xy 189.578247 59.09491) (xy 189.52587 59.173298) + (xy 189.489792 59.260397) (xy 189.4714 59.352862) (xy 186.7286 59.352862) (xy 186.710208 59.260397) (xy 186.67413 59.173298) + (xy 186.621753 59.09491) (xy 186.55509 59.028247) (xy 186.476702 58.97587) (xy 186.389603 58.939792) (xy 186.297138 58.9214) + (xy 186.202862 58.9214) (xy 186.110397 58.939792) (xy 186.023298 58.97587) (xy 185.966139 59.014062) (xy 185.952619 59.002966) + (xy 185.893457 58.971343) (xy 185.829261 58.951869) (xy 185.7625 58.945294) (xy 184.5375 58.945294) (xy 184.470739 58.951869) + (xy 184.406543 58.971343) (xy 184.347381 59.002966) (xy 184.295524 59.045524) (xy 184.252966 59.097381) (xy 184.221343 59.156543) + (xy 184.201869 59.220739) (xy 184.195294 59.2875) (xy 184.195294 59.5125) (xy 184.201869 59.579261) (xy 184.221343 59.643457) + (xy 184.252966 59.702619) (xy 184.271334 59.725) (xy 184.252966 59.747381) (xy 184.221343 59.806543) (xy 184.201869 59.870739) + (xy 184.195294 59.9375) (xy 184.195294 60.1625) (xy 184.201869 60.229261) (xy 184.221343 60.293457) (xy 184.252966 60.352619) + (xy 184.271334 60.375) (xy 184.252966 60.397381) (xy 184.221343 60.456543) (xy 184.201869 60.520739) (xy 184.195294 60.5875) + (xy 184.195294 60.8125) (xy 184.201869 60.879261) (xy 184.221343 60.943457) (xy 184.252966 61.002619) (xy 184.271334 61.025) + (xy 184.252966 61.047381) (xy 184.221343 61.106543) (xy 184.201869 61.170739) (xy 184.195294 61.2375) (xy 184.195294 61.4625) + (xy 184.201869 61.529261) (xy 184.221343 61.593457) (xy 184.252966 61.652619) (xy 184.271334 61.675) (xy 184.252966 61.697381) + (xy 184.221343 61.756543) (xy 184.201869 61.820739) (xy 184.195294 61.8875) (xy 184.195294 62.1125) (xy 184.201869 62.179261) + (xy 184.221343 62.243457) (xy 184.252966 62.302619) (xy 184.271334 62.325) (xy 184.252966 62.347381) (xy 184.221343 62.406543) + (xy 184.201869 62.470739) (xy 184.195294 62.5375) (xy 184.195294 62.7625) (xy 184.201869 62.829261) (xy 184.221343 62.893457) + (xy 184.252966 62.952619) (xy 184.271334 62.975) (xy 184.252966 62.997381) (xy 184.221343 63.056543) (xy 184.201869 63.120739) + (xy 184.195294 63.1875) (xy 184.195294 63.4125) (xy 184.201869 63.479261) (xy 184.221343 63.543457) (xy 184.252966 63.602619) + (xy 184.271334 63.625) (xy 184.252966 63.647381) (xy 184.221343 63.706543) (xy 184.201869 63.770739) (xy 184.195294 63.8375) + (xy 184.195294 64.0625) (xy 184.201869 64.129261) (xy 184.221343 64.193457) (xy 184.252966 64.252619) (xy 184.271334 64.275) + (xy 184.252966 64.297381) (xy 184.221343 64.356543) (xy 184.201869 64.420739) (xy 184.195294 64.4875) (xy 184.195294 64.7125) + (xy 184.201869 64.779261) (xy 184.221343 64.843457) (xy 184.243793 64.885457) (xy 184.234006 64.897382) (xy 184.212779 64.937095) + (xy 184.199708 64.980187) (xy 184.195294 65.025) (xy 184.1964 65.11905) (xy 184.25355 65.1762) (xy 185.0762 65.1762) + (xy 185.0762 65.1562) (xy 185.2238 65.1562) (xy 185.2238 65.1762) (xy 186.04645 65.1762) (xy 186.1036 65.11905) + (xy 186.104706 65.025) (xy 186.100292 64.980187) (xy 186.087221 64.937095) (xy 186.069318 64.9036) (xy 186.174246 64.9036) + (xy 186.4464 65.175755) (xy 186.446401 68.467046) (xy 186.275225 68.295871) (xy 186.265716 68.284284) (xy 186.219487 68.246345) + (xy 186.166744 68.218154) (xy 186.109516 68.200794) (xy 186.064904 68.1964) (xy 186.05 68.194932) (xy 186.045396 68.195385) + (xy 186.004476 68.145524) (xy 185.952619 68.102966) (xy 185.893457 68.071343) (xy 185.829261 68.051869) (xy 185.7625 68.045294) + (xy 185.4536 68.045294) (xy 185.4536 67.664903) (xy 185.455068 67.649999) (xy 185.449206 67.590483) (xy 185.438061 67.553744) + (xy 185.431846 67.533256) (xy 185.403655 67.480513) (xy 185.365716 67.434284) (xy 185.354139 67.424783) (xy 185.22825 67.298895) + (xy 185.2286 67.297138) (xy 185.2286 67.202862) (xy 185.210208 67.110397) (xy 185.17413 67.023298) (xy 185.121753 66.94491) + (xy 185.05509 66.878247) (xy 184.976702 66.82587) (xy 184.889603 66.789792) (xy 184.797138 66.7714) (xy 184.702862 66.7714) + (xy 184.610397 66.789792) (xy 184.523298 66.82587) (xy 184.44491 66.878247) (xy 184.378247 66.94491) (xy 184.32587 67.023298) + (xy 184.289792 67.110397) (xy 184.2714 67.202862) (xy 184.2714 67.297138) (xy 184.289792 67.389603) (xy 184.32587 67.476702) + (xy 184.378247 67.55509) (xy 184.44491 67.621753) (xy 184.523298 67.67413) (xy 184.610397 67.710208) (xy 184.702862 67.7286) + (xy 184.797138 67.7286) (xy 184.798895 67.72825) (xy 184.846401 67.775756) (xy 184.846401 68.045294) (xy 184.5375 68.045294) + (xy 184.470739 68.051869) (xy 184.406543 68.071343) (xy 184.347381 68.102966) (xy 184.295524 68.145524) (xy 184.252966 68.197381) + (xy 184.221343 68.256543) (xy 184.201869 68.320739) (xy 184.195294 68.3875) (xy 184.195294 68.6125) (xy 184.201869 68.679261) + (xy 184.221343 68.743457) (xy 184.252966 68.802619) (xy 184.271334 68.825) (xy 184.253771 68.8464) (xy 183.980443 68.8464) + (xy 184.021753 68.80509) (xy 184.07413 68.726702) (xy 184.110208 68.639603) (xy 184.1286 68.547138) (xy 184.1286 68.452862) + (xy 184.110208 68.360397) (xy 184.07413 68.273298) (xy 184.021753 68.19491) (xy 183.95509 68.128247) (xy 183.876702 68.07587) + (xy 183.789603 68.039792) (xy 183.697138 68.0214) (xy 183.602862 68.0214) (xy 183.510397 68.039792) (xy 183.494444 68.0464) + (xy 183.17373 68.0464) (xy 183.1625 68.045294) (xy 183.05831 68.045294) (xy 183.070951 68.003624) (xy 183.0786 67.925962) + (xy 183.0786 67.621028) (xy 183.11342 67.5786) (xy 183.452063 67.5786) (xy 183.477664 67.573508) (xy 183.503624 67.570951) + (xy 183.528582 67.56338) (xy 183.554187 67.558287) (xy 183.578309 67.548296) (xy 183.603265 67.540725) (xy 183.626265 67.528431) + (xy 183.650386 67.51844) (xy 183.672093 67.503936) (xy 183.695095 67.491641) (xy 183.715257 67.475094) (xy 183.736963 67.460591) + (xy 183.755421 67.442133) (xy 183.775585 67.425585) (xy 183.792133 67.405421) (xy 183.810591 67.386963) (xy 183.825094 67.365257) + (xy 183.841641 67.345095) (xy 183.853936 67.322093) (xy 183.86844 67.300386) (xy 183.878431 67.276265) (xy 183.890725 67.253265) + (xy 183.898296 67.228309) (xy 183.908287 67.204187) (xy 183.91338 67.178582) (xy 183.920951 67.153624) (xy 183.923508 67.127664) + (xy 183.9286 67.102063) (xy 183.9286 67.075962) (xy 183.931157 67.05) (xy 183.9286 67.024038) (xy 183.9286 66.997937) + (xy 183.923508 66.972336) (xy 183.920951 66.946376) (xy 183.91338 66.921418) (xy 183.908287 66.895813) (xy 183.898296 66.871691) + (xy 183.890725 66.846735) (xy 183.878431 66.823735) (xy 183.86844 66.799614) (xy 183.853936 66.777907) (xy 183.841641 66.754905) + (xy 183.825094 66.734743) (xy 183.810591 66.713037) (xy 183.792133 66.694579) (xy 183.775585 66.674415) (xy 183.755421 66.657867) + (xy 183.736963 66.639409) (xy 183.715257 66.624906) (xy 183.695095 66.608359) (xy 183.672093 66.596064) (xy 183.650386 66.58156) + (xy 183.626265 66.571569) (xy 183.603265 66.559275) (xy 183.578309 66.551704) (xy 183.554187 66.541713) (xy 183.528582 66.53662) + (xy 183.503624 66.529049) (xy 183.477664 66.526492) (xy 183.452063 66.5214) (xy 183.11342 66.5214) (xy 183.075187 66.474813) + (xy 183.0286 66.43658) (xy 183.0286 66.373495) (xy 183.030914 66.349999) (xy 183.0286 66.326503) (xy 183.0286 66.326496) + (xy 183.021674 66.256178) (xy 182.994308 66.165962) (xy 182.949866 66.082818) (xy 182.890058 66.009942) (xy 182.8718 65.994958) + (xy 182.771755 65.894913) (xy 182.771753 65.89491) (xy 182.70509 65.828247) (xy 182.685432 65.815112) (xy 182.667181 65.800134) + (xy 182.646359 65.789005) (xy 182.626702 65.77587) (xy 182.60486 65.766823) (xy 182.584038 65.755693) (xy 182.561446 65.74884) + (xy 182.539603 65.739792) (xy 182.516414 65.73518) (xy 182.493821 65.728326) (xy 182.470324 65.726012) (xy 182.447138 65.7214) + (xy 182.423496 65.7214) (xy 182.4 65.719086) (xy 182.376504 65.7214) (xy 182.352862 65.7214) (xy 182.329676 65.726012) + (xy 182.306179 65.728326) (xy 182.283586 65.73518) (xy 182.260397 65.739792) (xy 182.238554 65.74884) (xy 182.215962 65.755693) + (xy 182.19514 65.766823) (xy 182.173298 65.77587) (xy 182.153641 65.789005) (xy 182.132819 65.800134) (xy 182.114568 65.815112) + (xy 182.09491 65.828247) (xy 182.078193 65.844964) (xy 182.059942 65.859942) (xy 182.044964 65.878193) (xy 182.028247 65.89491) + (xy 182.015112 65.914568) (xy 182.000134 65.932819) (xy 181.989005 65.953641) (xy 181.97587 65.973298) (xy 181.966823 65.99514) + (xy 181.955693 66.015962) (xy 181.94884 66.038554) (xy 181.939792 66.060397) (xy 181.93518 66.083586) (xy 181.928326 66.106179) + (xy 181.926012 66.129676) (xy 181.9214 66.152862) (xy 181.9214 66.176504) (xy 181.919086 66.2) (xy 181.9214 66.223496) + (xy 181.9214 66.247138) (xy 181.926012 66.270324) (xy 181.928326 66.293821) (xy 181.93518 66.316414) (xy 181.939792 66.339603) + (xy 181.94884 66.361446) (xy 181.955693 66.384038) (xy 181.966823 66.40486) (xy 181.97587 66.426702) (xy 181.989005 66.446359) + (xy 182.000134 66.467181) (xy 182.015112 66.485432) (xy 182.015555 66.486094) (xy 181.969819 66.541824) (xy 181.928955 66.618275) + (xy 181.903791 66.70123) (xy 181.895294 66.7875) (xy 181.895294 67.3125) (xy 181.903791 67.39877) (xy 181.928955 67.481725) + (xy 181.969819 67.558176) (xy 182.0214 67.621028) (xy 182.0214 67.925962) (xy 182.02905 68.003624) (xy 182.041691 68.045294) + (xy 181.9375 68.045294) (xy 181.870739 68.051869) (xy 181.806543 68.071343) (xy 181.747381 68.102966) (xy 181.695524 68.145524) + (xy 181.652966 68.197381) (xy 181.621343 68.256543) (xy 181.601869 68.320739) (xy 181.595294 68.3875) (xy 181.595294 68.6125) + (xy 181.601869 68.679261) (xy 181.621343 68.743457) (xy 181.652966 68.802619) (xy 181.671334 68.825) (xy 181.652966 68.847381) + (xy 181.621343 68.906543) (xy 181.601869 68.970739) (xy 181.595294 69.0375) (xy 181.595294 69.2625) (xy 181.601869 69.329261) + (xy 181.621343 69.393457) (xy 181.652966 69.452619) (xy 181.671334 69.475) (xy 181.652966 69.497381) (xy 181.621343 69.556543) + (xy 181.601869 69.620739) (xy 181.595294 69.6875) (xy 181.595294 69.9125) (xy 181.601869 69.979261) (xy 181.621343 70.043457) + (xy 181.652966 70.102619) (xy 181.671334 70.125) (xy 181.652966 70.147381) (xy 181.621343 70.206543) (xy 181.601869 70.270739) + (xy 181.595294 70.3375) (xy 181.595294 70.5625) (xy 181.601869 70.629261) (xy 181.621343 70.693457) (xy 181.652966 70.752619) + (xy 181.671334 70.775) (xy 181.652966 70.797381) (xy 181.621343 70.856543) (xy 181.601869 70.920739) (xy 181.595294 70.9875) + (xy 181.595294 71.2125) (xy 181.601869 71.279261) (xy 181.621343 71.343457) (xy 181.652966 71.402619) (xy 181.671334 71.425) + (xy 181.652966 71.447381) (xy 181.621343 71.506543) (xy 181.601869 71.570739) (xy 181.595294 71.6375) (xy 181.595294 71.8625) + (xy 181.601869 71.929261) (xy 181.621343 71.993457) (xy 181.652966 72.052619) (xy 181.671334 72.075) (xy 181.652966 72.097381) + (xy 181.621343 72.156543) (xy 181.601869 72.220739) (xy 181.595294 72.2875) (xy 181.595294 72.5125) (xy 181.601869 72.579261) + (xy 181.621343 72.643457) (xy 181.652966 72.702619) (xy 181.671334 72.725) (xy 181.652966 72.747381) (xy 181.621343 72.806543) + (xy 181.601869 72.870739) (xy 181.595294 72.9375) (xy 181.595294 73.1625) (xy 181.601869 73.229261) (xy 181.621343 73.293457) + (xy 181.652966 73.352619) (xy 181.671334 73.375) (xy 181.652966 73.397381) (xy 181.621343 73.456543) (xy 181.601869 73.520739) + (xy 181.595294 73.5875) (xy 181.595294 73.8125) (xy 181.601869 73.879261) (xy 181.621343 73.943457) (xy 181.652966 74.002619) + (xy 181.671334 74.025) (xy 181.652966 74.047381) (xy 181.621343 74.106543) (xy 181.601869 74.170739) (xy 181.595294 74.2375) + (xy 181.595294 74.4625) (xy 181.601869 74.529261) (xy 181.621343 74.593457) (xy 181.652966 74.652619) (xy 181.695524 74.704476) + (xy 181.747381 74.747034) (xy 181.806543 74.778657) (xy 181.870739 74.798131) (xy 181.9375 74.804706) (xy 183.1625 74.804706) + (xy 183.229261 74.798131) (xy 183.293457 74.778657) (xy 183.329218 74.759542) (xy 183.334285 74.765716) (xy 183.345867 74.775221) + (xy 184.0964 75.525755) (xy 184.096401 75.927251) (xy 184.09491 75.928247) (xy 184.028247 75.99491) (xy 183.97587 76.073298) + (xy 183.939792 76.160397) (xy 183.9214 76.252862) (xy 183.9214 76.347138) (xy 183.939792 76.439603) (xy 183.97587 76.526702) + (xy 184.028247 76.60509) (xy 184.09491 76.671753) (xy 184.173298 76.72413) (xy 184.260397 76.760208) (xy 184.352862 76.7786) + (xy 184.447138 76.7786) (xy 184.539603 76.760208) (xy 184.626702 76.72413) (xy 184.70509 76.671753) (xy 184.771753 76.60509) + (xy 184.82413 76.526702) (xy 184.860208 76.439603) (xy 184.8786 76.347138) (xy 184.8786 76.252862) (xy 184.860208 76.160397) + (xy 184.82413 76.073298) (xy 184.771753 75.99491) (xy 184.70509 75.928247) (xy 184.7036 75.927251) (xy 184.7036 75.414904) + (xy 184.705068 75.4) (xy 184.699206 75.340484) (xy 184.681846 75.283255) (xy 184.653655 75.230513) (xy 184.65035 75.226486) + (xy 184.615716 75.184284) (xy 184.604135 75.17478) (xy 184.004355 74.575) (xy 184.195294 74.575) (xy 184.199708 74.619813) + (xy 184.212779 74.662905) (xy 184.234006 74.702618) (xy 184.262573 74.737427) (xy 184.297382 74.765994) (xy 184.337095 74.787221) + (xy 184.380187 74.800292) (xy 184.425 74.804706) (xy 185.01905 74.8036) (xy 185.0762 74.74645) (xy 185.0762 74.4238) + (xy 185.2238 74.4238) (xy 185.2238 74.74645) (xy 185.28095 74.8036) (xy 185.875 74.804706) (xy 185.919813 74.800292) + (xy 185.962905 74.787221) (xy 186.002618 74.765994) (xy 186.037427 74.737427) (xy 186.065994 74.702618) (xy 186.087221 74.662905) + (xy 186.100292 74.619813) (xy 186.104706 74.575) (xy 186.1036 74.48095) (xy 186.04645 74.4238) (xy 185.2238 74.4238) + (xy 185.0762 74.4238) (xy 184.25355 74.4238) (xy 184.1964 74.48095) (xy 184.195294 74.575) (xy 184.004355 74.575) + (xy 183.852415 74.423061) (xy 183.849206 74.390483) (xy 183.842005 74.366745) (xy 183.831846 74.333256) (xy 183.803655 74.280513) + (xy 183.765716 74.234284) (xy 183.754134 74.224779) (xy 183.675225 74.145871) (xy 183.665716 74.134284) (xy 183.619487 74.096345) + (xy 183.566744 74.068154) (xy 183.509516 74.050794) (xy 183.464904 74.0464) (xy 183.45 74.044932) (xy 183.445396 74.045385) + (xy 183.428666 74.025) (xy 183.446229 74.0036) (xy 184.230682 74.0036) (xy 184.212779 74.037095) (xy 184.199708 74.080187) + (xy 184.195294 74.125) (xy 184.1964 74.21905) (xy 184.25355 74.2762) (xy 185.0762 74.2762) (xy 185.0762 74.2562) + (xy 185.2238 74.2562) (xy 185.2238 74.2762) (xy 186.04645 74.2762) (xy 186.1036 74.21905) (xy 186.104706 74.125) + (xy 186.100292 74.080187) (xy 186.087221 74.037095) (xy 186.065994 73.997382) (xy 186.056207 73.985457) (xy 186.078657 73.943457) + (xy 186.098131 73.879261) (xy 186.104706 73.8125) (xy 186.104706 73.5875) (xy 186.098131 73.520739) (xy 186.078657 73.456543) + (xy 186.047034 73.397381) (xy 186.028666 73.375) (xy 186.047034 73.352619) (xy 186.078657 73.293457) (xy 186.098131 73.229261) + (xy 186.104706 73.1625) (xy 186.104706 72.9375) (xy 186.098131 72.870739) (xy 186.078657 72.806543) (xy 186.047034 72.747381) + (xy 186.028666 72.725) (xy 186.047034 72.702619) (xy 186.078657 72.643457) (xy 186.098131 72.579261) (xy 186.104706 72.5125) + (xy 186.104706 72.2875) (xy 186.098131 72.220739) (xy 186.078657 72.156543) (xy 186.047034 72.097381) (xy 186.028666 72.075) + (xy 186.047034 72.052619) (xy 186.078657 71.993457) (xy 186.098131 71.929261) (xy 186.104706 71.8625) (xy 186.104706 71.6375) + (xy 186.098131 71.570739) (xy 186.078657 71.506543) (xy 186.047034 71.447381) (xy 186.028666 71.425) (xy 186.047034 71.402619) + (xy 186.078657 71.343457) (xy 186.098131 71.279261) (xy 186.104706 71.2125) (xy 186.104706 70.9875) (xy 186.098131 70.920739) + (xy 186.078657 70.856543) (xy 186.047034 70.797381) (xy 186.028666 70.775) (xy 186.047034 70.752619) (xy 186.078657 70.693457) + (xy 186.098131 70.629261) (xy 186.104706 70.5625) (xy 186.104706 70.3375) (xy 186.098131 70.270739) (xy 186.078657 70.206543) + (xy 186.047034 70.147381) (xy 186.028666 70.125) (xy 186.047034 70.102619) (xy 186.078657 70.043457) (xy 186.098131 69.979261) + (xy 186.104706 69.9125) (xy 186.104706 69.6875) (xy 186.098131 69.620739) (xy 186.078657 69.556543) (xy 186.047034 69.497381) + (xy 186.028666 69.475) (xy 186.047034 69.452619) (xy 186.078657 69.393457) (xy 186.098131 69.329261) (xy 186.104706 69.2625) + (xy 186.104706 69.0375) (xy 186.098868 68.978223) (xy 186.1464 69.025756) (xy 186.146401 72.585086) (xy 186.144932 72.6) + (xy 186.150794 72.659515) (xy 186.166297 72.710618) (xy 186.168155 72.716744) (xy 186.196346 72.769487) (xy 186.234285 72.815716) + (xy 186.245867 72.825221) (xy 186.9964 73.575755) (xy 186.996401 76.574243) (xy 186.274246 77.2964) (xy 186.046229 77.2964) + (xy 186.004476 77.245524) (xy 185.952619 77.202966) (xy 185.893457 77.171343) (xy 185.829261 77.151869) (xy 185.7625 77.145294) + (xy 184.5375 77.145294) (xy 184.470739 77.151869) (xy 184.406543 77.171343) (xy 184.347381 77.202966) (xy 184.295524 77.245524) + (xy 184.252966 77.297381) (xy 184.221343 77.356543) (xy 184.201869 77.420739) (xy 184.195294 77.4875) (xy 184.195294 77.7125) + (xy 184.201869 77.779261) (xy 184.221343 77.843457) (xy 184.252966 77.902619) (xy 184.271334 77.925) (xy 184.253771 77.9464) + (xy 183.980443 77.9464) (xy 184.021753 77.90509) (xy 184.07413 77.826702) (xy 184.110208 77.739603) (xy 184.1286 77.647138) + (xy 184.1286 77.552862) (xy 184.110208 77.460397) (xy 184.07413 77.373298) (xy 184.021753 77.29491) (xy 183.95509 77.228247) + (xy 183.876702 77.17587) (xy 183.789603 77.139792) (xy 183.697138 77.1214) (xy 183.602862 77.1214) (xy 183.510397 77.139792) + (xy 183.494444 77.1464) (xy 183.17373 77.1464) (xy 183.1625 77.145294) (xy 183.05831 77.145294) (xy 183.070951 77.103624) + (xy 183.0786 77.025962) (xy 183.0786 76.721028) (xy 183.11342 76.6786) (xy 183.452063 76.6786) (xy 183.477664 76.673508) + (xy 183.503624 76.670951) (xy 183.528582 76.66338) (xy 183.554187 76.658287) (xy 183.578309 76.648296) (xy 183.603265 76.640725) + (xy 183.626265 76.628431) (xy 183.650386 76.61844) (xy 183.672093 76.603936) (xy 183.695095 76.591641) (xy 183.715257 76.575094) + (xy 183.736963 76.560591) (xy 183.755421 76.542133) (xy 183.775585 76.525585) (xy 183.792133 76.505421) (xy 183.810591 76.486963) + (xy 183.825094 76.465257) (xy 183.841641 76.445095) (xy 183.853936 76.422093) (xy 183.86844 76.400386) (xy 183.878431 76.376265) + (xy 183.890725 76.353265) (xy 183.898296 76.328309) (xy 183.908287 76.304187) (xy 183.91338 76.278582) (xy 183.920951 76.253624) + (xy 183.923508 76.227664) (xy 183.9286 76.202063) (xy 183.9286 76.175962) (xy 183.931157 76.15) (xy 183.9286 76.124038) + (xy 183.9286 76.097937) (xy 183.923508 76.072336) (xy 183.920951 76.046376) (xy 183.91338 76.021418) (xy 183.908287 75.995813) + (xy 183.898296 75.971691) (xy 183.890725 75.946735) (xy 183.878431 75.923735) (xy 183.86844 75.899614) (xy 183.853936 75.877907) + (xy 183.841641 75.854905) (xy 183.825094 75.834743) (xy 183.810591 75.813037) (xy 183.792133 75.794579) (xy 183.775585 75.774415) + (xy 183.755421 75.757867) (xy 183.736963 75.739409) (xy 183.715257 75.724906) (xy 183.695095 75.708359) (xy 183.672093 75.696064) + (xy 183.650386 75.68156) (xy 183.626265 75.671569) (xy 183.603265 75.659275) (xy 183.578309 75.651704) (xy 183.554187 75.641713) + (xy 183.528582 75.63662) (xy 183.503624 75.629049) (xy 183.477664 75.626492) (xy 183.452063 75.6214) (xy 183.11342 75.6214) + (xy 183.075187 75.574813) (xy 183.0286 75.53658) (xy 183.0286 75.515911) (xy 183.033177 75.50486) (xy 183.044307 75.484038) + (xy 183.05116 75.461446) (xy 183.060208 75.439603) (xy 183.06482 75.416414) (xy 183.071674 75.393821) (xy 183.073988 75.370324) + (xy 183.0786 75.347138) (xy 183.0786 75.323496) (xy 183.080914 75.3) (xy 183.0786 75.276504) (xy 183.0786 75.252862) + (xy 183.073988 75.229676) (xy 183.071674 75.206179) (xy 183.06482 75.183586) (xy 183.060208 75.160397) (xy 183.05116 75.138554) + (xy 183.044307 75.115962) (xy 183.033177 75.09514) (xy 183.02413 75.073298) (xy 183.010995 75.053641) (xy 182.999866 75.032819) + (xy 182.984888 75.014568) (xy 182.971753 74.99491) (xy 182.955036 74.978193) (xy 182.940058 74.959942) (xy 182.921807 74.944964) + (xy 182.90509 74.928247) (xy 182.885432 74.915112) (xy 182.867181 74.900134) (xy 182.846359 74.889005) (xy 182.826702 74.87587) + (xy 182.80486 74.866823) (xy 182.784038 74.855693) (xy 182.761446 74.84884) (xy 182.739603 74.839792) (xy 182.716414 74.83518) + (xy 182.693821 74.828326) (xy 182.670324 74.826012) (xy 182.647138 74.8214) (xy 182.623496 74.8214) (xy 182.6 74.819086) + (xy 182.576504 74.8214) (xy 182.552862 74.8214) (xy 182.529676 74.826012) (xy 182.506179 74.828326) (xy 182.483586 74.83518) + (xy 182.460397 74.839792) (xy 182.438554 74.84884) (xy 182.415962 74.855693) (xy 182.39514 74.866823) (xy 182.373298 74.87587) + (xy 182.353641 74.889005) (xy 182.332819 74.900134) (xy 182.314568 74.915112) (xy 182.29491 74.928247) (xy 182.228247 74.99491) + (xy 182.228245 74.994913) (xy 182.228195 74.994963) (xy 182.209943 75.009942) (xy 182.194964 75.028194) (xy 182.194959 75.028199) + (xy 182.150134 75.082819) (xy 182.105693 75.165962) (xy 182.078326 75.256179) (xy 182.069086 75.35) (xy 182.071401 75.373505) + (xy 182.071401 75.53658) (xy 182.024813 75.574813) (xy 181.969819 75.641824) (xy 181.928955 75.718275) (xy 181.903791 75.80123) + (xy 181.895294 75.8875) (xy 181.895294 76.4125) (xy 181.903791 76.49877) (xy 181.928955 76.581725) (xy 181.969819 76.658176) + (xy 182.0214 76.721028) (xy 182.0214 77.025962) (xy 182.02905 77.103624) (xy 182.041691 77.145294) (xy 181.9375 77.145294) + (xy 181.870739 77.151869) (xy 181.806543 77.171343) (xy 181.747381 77.202966) (xy 181.695524 77.245524) (xy 181.652966 77.297381) + (xy 181.621343 77.356543) (xy 181.601869 77.420739) (xy 181.595294 77.4875) (xy 181.595294 77.7125) (xy 181.601869 77.779261) + (xy 181.621343 77.843457) (xy 181.652966 77.902619) (xy 181.671334 77.925) (xy 181.652966 77.947381) (xy 181.621343 78.006543) + (xy 181.601869 78.070739) (xy 181.595294 78.1375) (xy 181.595294 78.3625) (xy 181.601869 78.429261) (xy 181.621343 78.493457) + (xy 181.652966 78.552619) (xy 181.671334 78.575) (xy 181.652966 78.597381) (xy 181.621343 78.656543) (xy 181.601869 78.720739) + (xy 181.595294 78.7875) (xy 181.595294 79.0125) (xy 181.601869 79.079261) (xy 181.621343 79.143457) (xy 181.652966 79.202619) + (xy 181.671334 79.225) (xy 181.652966 79.247381) (xy 181.621343 79.306543) (xy 181.601869 79.370739) (xy 181.595294 79.4375) + (xy 181.595294 79.6625) (xy 181.601869 79.729261) (xy 181.621343 79.793457) (xy 181.652966 79.852619) (xy 181.671334 79.875) + (xy 181.652966 79.897381) (xy 181.621343 79.956543) (xy 181.601869 80.020739) (xy 181.595294 80.0875) (xy 181.595294 80.3125) + (xy 181.601869 80.379261) (xy 181.621343 80.443457) (xy 181.652966 80.502619) (xy 181.671334 80.525) (xy 181.652966 80.547381) + (xy 181.621343 80.606543) (xy 181.601869 80.670739) (xy 181.595294 80.7375) (xy 181.595294 80.9625) (xy 181.601869 81.029261) + (xy 181.621343 81.093457) (xy 181.652966 81.152619) (xy 181.671334 81.175) (xy 181.652966 81.197381) (xy 181.621343 81.256543) + (xy 181.601869 81.320739) (xy 181.595294 81.3875) (xy 181.595294 81.6125) (xy 181.601869 81.679261) (xy 181.621343 81.743457) + (xy 181.652966 81.802619) (xy 181.671334 81.825) (xy 181.652966 81.847381) (xy 181.621343 81.906543) (xy 181.601869 81.970739) + (xy 181.595294 82.0375) (xy 181.595294 82.2625) (xy 181.601869 82.329261) (xy 181.621343 82.393457) (xy 181.652966 82.452619) + (xy 181.671334 82.475) (xy 181.652966 82.497381) (xy 181.621343 82.556543) (xy 181.601869 82.620739) (xy 181.595294 82.6875) + (xy 181.595294 82.9125) (xy 181.601869 82.979261) (xy 181.621343 83.043457) (xy 181.652966 83.102619) (xy 181.671334 83.125) + (xy 181.653771 83.1464) (xy 181.614904 83.1464) (xy 181.6 83.144932) (xy 181.575136 83.147381) (xy 181.540484 83.150794) + (xy 181.483256 83.168154) (xy 181.469034 83.175755) (xy 181.447138 83.1714) (xy 181.352862 83.1714) (xy 181.260397 83.189792) + (xy 181.173298 83.22587) (xy 181.09491 83.278247) (xy 181.028247 83.34491) (xy 180.97587 83.423298) (xy 180.939792 83.510397) + (xy 180.9214 83.602862) (xy 180.9214 83.697138) (xy 180.92175 83.698896) (xy 179.445872 85.174774) (xy 179.434284 85.184284) + (xy 179.396345 85.230514) (xy 179.368154 85.283257) (xy 179.354493 85.328292) (xy 179.350794 85.340485) (xy 179.344932 85.4) + (xy 179.3464 85.414904) (xy 179.346401 101.085086) (xy 179.344932 101.1) (xy 179.350794 101.159515) (xy 179.364702 101.205361) + (xy 179.368155 101.216744) (xy 179.396346 101.269487) (xy 179.434285 101.315716) (xy 179.445867 101.325221) (xy 179.770645 101.65) + (xy 179.195867 102.224779) (xy 179.184285 102.234284) (xy 179.146346 102.280513) (xy 179.138651 102.29491) (xy 179.118155 102.333256) + (xy 179.100794 102.390485) (xy 179.094932 102.45) (xy 179.096401 102.464914) (xy 179.0964 109.935096) (xy 179.094932 109.95) + (xy 179.098208 109.983256) (xy 179.100794 110.009515) (xy 179.118154 110.066743) (xy 179.146345 110.119486) (xy 179.184284 110.165716) + (xy 179.195872 110.175226) (xy 179.770645 110.75) (xy 179.045867 111.474779) (xy 179.034285 111.484284) (xy 178.996346 111.530513) + (xy 178.985506 111.550794) (xy 178.968155 111.583256) (xy 178.950794 111.640485) (xy 178.944932 111.7) (xy 169.229355 111.7) + (xy 168.825226 111.295872) (xy 168.815716 111.284284) (xy 168.769487 111.246345) (xy 168.716744 111.218154) (xy 168.659516 111.200794) + (xy 168.614904 111.1964) (xy 168.6 111.194932) (xy 168.585096 111.1964) (xy 166.092955 111.1964) (xy 166.314355 110.975) + (xy 175.695294 110.975) (xy 175.699708 111.019813) (xy 175.712779 111.062905) (xy 175.734006 111.102618) (xy 175.762573 111.137427) + (xy 175.797382 111.165994) (xy 175.837095 111.187221) (xy 175.880187 111.200292) (xy 175.925 111.204706) (xy 176.51905 111.2036) + (xy 176.5762 111.14645) (xy 176.5762 110.8238) (xy 176.7238 110.8238) (xy 176.7238 111.14645) (xy 176.78095 111.2036) + (xy 177.375 111.204706) (xy 177.419813 111.200292) (xy 177.462905 111.187221) (xy 177.502618 111.165994) (xy 177.537427 111.137427) + (xy 177.565994 111.102618) (xy 177.587221 111.062905) (xy 177.600292 111.019813) (xy 177.604706 110.975) (xy 177.6036 110.88095) + (xy 177.54645 110.8238) (xy 176.7238 110.8238) (xy 176.5762 110.8238) (xy 175.75355 110.8238) (xy 175.6964 110.88095) + (xy 175.695294 110.975) (xy 166.314355 110.975) (xy 166.885755 110.4036) (xy 175.730682 110.4036) (xy 175.712779 110.437095) + (xy 175.699708 110.480187) (xy 175.695294 110.525) (xy 175.6964 110.61905) (xy 175.75355 110.6762) (xy 176.5762 110.6762) + (xy 176.5762 110.6562) (xy 176.7238 110.6562) (xy 176.7238 110.6762) (xy 177.54645 110.6762) (xy 177.6036 110.61905) + (xy 177.604706 110.525) (xy 177.600292 110.480187) (xy 177.587221 110.437095) (xy 177.565994 110.397382) (xy 177.556207 110.385457) + (xy 177.578657 110.343457) (xy 177.598131 110.279261) (xy 177.604706 110.2125) (xy 177.604706 109.9875) (xy 177.598131 109.920739) + (xy 177.578657 109.856543) (xy 177.547034 109.797381) (xy 177.528666 109.775) (xy 177.547034 109.752619) (xy 177.578657 109.693457) + (xy 177.598131 109.629261) (xy 177.604706 109.5625) (xy 177.604706 109.3375) (xy 177.598131 109.270739) (xy 177.578657 109.206543) + (xy 177.547034 109.147381) (xy 177.528666 109.125) (xy 177.547034 109.102619) (xy 177.578657 109.043457) (xy 177.598131 108.979261) + (xy 177.604706 108.9125) (xy 177.604706 108.6875) (xy 177.598131 108.620739) (xy 177.578657 108.556543) (xy 177.547034 108.497381) + (xy 177.528666 108.475) (xy 177.547034 108.452619) (xy 177.578657 108.393457) (xy 177.598131 108.329261) (xy 177.604706 108.2625) + (xy 177.604706 108.0375) (xy 177.598131 107.970739) (xy 177.578657 107.906543) (xy 177.547034 107.847381) (xy 177.528666 107.825) + (xy 177.547034 107.802619) (xy 177.578657 107.743457) (xy 177.598131 107.679261) (xy 177.604706 107.6125) (xy 177.604706 107.3875) + (xy 177.598131 107.320739) (xy 177.578657 107.256543) (xy 177.547034 107.197381) (xy 177.528666 107.175) (xy 177.547034 107.152619) + (xy 177.578657 107.093457) (xy 177.598131 107.029261) (xy 177.604706 106.9625) (xy 177.604706 106.7375) (xy 177.598131 106.670739) + (xy 177.578657 106.606543) (xy 177.547034 106.547381) (xy 177.528666 106.525) (xy 177.547034 106.502619) (xy 177.578657 106.443457) + (xy 177.598131 106.379261) (xy 177.604706 106.3125) (xy 177.604706 106.0875) (xy 177.598131 106.020739) (xy 177.578657 105.956543) + (xy 177.547034 105.897381) (xy 177.528666 105.875) (xy 177.547034 105.852619) (xy 177.578657 105.793457) (xy 177.598131 105.729261) + (xy 177.604706 105.6625) (xy 177.604706 105.4375) (xy 177.598131 105.370739) (xy 177.578657 105.306543) (xy 177.556207 105.264543) + (xy 177.565994 105.252618) (xy 177.587221 105.212905) (xy 177.600292 105.169813) (xy 177.604706 105.125) (xy 177.6036 105.03095) + (xy 177.54645 104.9738) (xy 176.7238 104.9738) (xy 176.7238 104.9938) (xy 176.5762 104.9938) (xy 176.5762 104.9738) + (xy 175.75355 104.9738) (xy 175.6964 105.03095) (xy 175.695294 105.125) (xy 175.699708 105.169813) (xy 175.712779 105.212905) + (xy 175.730682 105.2464) (xy 169.312454 105.2464) (xy 169.883854 104.675) (xy 175.695294 104.675) (xy 175.6964 104.76905) + (xy 175.75355 104.8262) (xy 176.5762 104.8262) (xy 176.5762 104.50355) (xy 176.7238 104.50355) (xy 176.7238 104.8262) + (xy 177.54645 104.8262) (xy 177.6036 104.76905) (xy 177.604706 104.675) (xy 177.600292 104.630187) (xy 177.587221 104.587095) + (xy 177.565994 104.547382) (xy 177.537427 104.512573) (xy 177.502618 104.484006) (xy 177.462905 104.462779) (xy 177.419813 104.449708) + (xy 177.375 104.445294) (xy 176.78095 104.4464) (xy 176.7238 104.50355) (xy 176.5762 104.50355) (xy 176.51905 104.4464) + (xy 175.925 104.445294) (xy 175.880187 104.449708) (xy 175.837095 104.462779) (xy 175.797382 104.484006) (xy 175.762573 104.512573) + (xy 175.734006 104.547382) (xy 175.712779 104.587095) (xy 175.699708 104.630187) (xy 175.695294 104.675) (xy 169.883854 104.675) + (xy 172.683854 101.875) (xy 175.695294 101.875) (xy 175.699708 101.919813) (xy 175.712779 101.962905) (xy 175.734006 102.002618) + (xy 175.762573 102.037427) (xy 175.797382 102.065994) (xy 175.837095 102.087221) (xy 175.880187 102.100292) (xy 175.925 102.104706) + (xy 176.51905 102.1036) (xy 176.5762 102.04645) (xy 176.5762 101.7238) (xy 176.7238 101.7238) (xy 176.7238 102.04645) + (xy 176.78095 102.1036) (xy 177.375 102.104706) (xy 177.419813 102.100292) (xy 177.462905 102.087221) (xy 177.502618 102.065994) + (xy 177.537427 102.037427) (xy 177.565994 102.002618) (xy 177.587221 101.962905) (xy 177.600292 101.919813) (xy 177.604706 101.875) + (xy 177.6036 101.78095) (xy 177.54645 101.7238) (xy 176.7238 101.7238) (xy 176.5762 101.7238) (xy 175.75355 101.7238) + (xy 175.6964 101.78095) (xy 175.695294 101.875) (xy 172.683854 101.875) (xy 173.905255 100.6536) (xy 174.219557 100.6536) + (xy 174.178247 100.69491) (xy 174.12587 100.773298) (xy 174.089792 100.860397) (xy 174.0714 100.952862) (xy 174.0714 101.047138) + (xy 174.089792 101.139603) (xy 174.12587 101.226702) (xy 174.178247 101.30509) (xy 174.24491 101.371753) (xy 174.323298 101.42413) + (xy 174.410397 101.460208) (xy 174.502862 101.4786) (xy 174.597138 101.4786) (xy 174.689603 101.460208) (xy 174.776702 101.42413) + (xy 174.85509 101.371753) (xy 174.921753 101.30509) (xy 174.922749 101.3036) (xy 175.730682 101.3036) (xy 175.712779 101.337095) + (xy 175.699708 101.380187) (xy 175.695294 101.425) (xy 175.6964 101.51905) (xy 175.75355 101.5762) (xy 176.5762 101.5762) + (xy 176.5762 101.5562) (xy 176.7238 101.5562) (xy 176.7238 101.5762) (xy 177.54645 101.5762) (xy 177.6036 101.51905) + (xy 177.604706 101.425) (xy 177.600292 101.380187) (xy 177.587221 101.337095) (xy 177.565994 101.297382) (xy 177.556207 101.285457) + (xy 177.578657 101.243457) (xy 177.598131 101.179261) (xy 177.604706 101.1125) (xy 177.604706 100.8875) (xy 177.598131 100.820739) + (xy 177.578657 100.756543) (xy 177.547034 100.697381) (xy 177.528666 100.675) (xy 177.547034 100.652619) (xy 177.578657 100.593457) + (xy 177.598131 100.529261) (xy 177.604706 100.4625) (xy 177.604706 100.2375) (xy 177.598131 100.170739) (xy 177.578657 100.106543) + (xy 177.547034 100.047381) (xy 177.528666 100.025) (xy 177.547034 100.002619) (xy 177.578657 99.943457) (xy 177.598131 99.879261) + (xy 177.604706 99.8125) (xy 177.604706 99.5875) (xy 177.598131 99.520739) (xy 177.578657 99.456543) (xy 177.547034 99.397381) + (xy 177.528666 99.375) (xy 177.547034 99.352619) (xy 177.578657 99.293457) (xy 177.598131 99.229261) (xy 177.604706 99.1625) + (xy 177.604706 98.9375) (xy 177.598131 98.870739) (xy 177.578657 98.806543) (xy 177.547034 98.747381) (xy 177.528666 98.725) + (xy 177.547034 98.702619) (xy 177.578657 98.643457) (xy 177.598131 98.579261) (xy 177.604706 98.5125) (xy 177.604706 98.2875) + (xy 177.598131 98.220739) (xy 177.578657 98.156543) (xy 177.547034 98.097381) (xy 177.528666 98.075) (xy 177.547034 98.052619) + (xy 177.578657 97.993457) (xy 177.598131 97.929261) (xy 177.604706 97.8625) (xy 177.604706 97.6375) (xy 177.598131 97.570739) + (xy 177.578657 97.506543) (xy 177.547034 97.447381) (xy 177.528666 97.425) (xy 177.547034 97.402619) (xy 177.578657 97.343457) + (xy 177.598131 97.279261) (xy 177.604706 97.2125) (xy 177.604706 96.9875) (xy 177.598131 96.920739) (xy 177.578657 96.856543) + (xy 177.547034 96.797381) (xy 177.528666 96.775) (xy 177.547034 96.752619) (xy 177.578657 96.693457) (xy 177.598131 96.629261) + (xy 177.604706 96.5625) (xy 177.604706 96.3375) (xy 177.598131 96.270739) (xy 177.578657 96.206543) (xy 177.556207 96.164543) + (xy 177.565994 96.152618) (xy 177.587221 96.112905) (xy 177.600292 96.069813) (xy 177.604706 96.025) (xy 177.6036 95.93095) + (xy 177.54645 95.8738) (xy 176.7238 95.8738) (xy 176.7238 95.8938) (xy 176.5762 95.8938) (xy 176.5762 95.8738) + (xy 175.75355 95.8738) (xy 175.6964 95.93095) (xy 175.695294 96.025) (xy 175.699708 96.069813) (xy 175.712779 96.112905) + (xy 175.730682 96.1464) (xy 165.819903 96.1464) (xy 165.804999 96.144932) (xy 165.761109 96.149255) (xy 165.745484 96.150794) + (xy 165.688256 96.168154) (xy 165.635513 96.196345) (xy 165.589284 96.234284) (xy 165.579783 96.245861) (xy 162.639246 99.1864) + (xy 161.453151 99.1864) (xy 161.440803 99.145693) (xy 161.405714 99.080047) (xy 161.358493 99.022507) (xy 161.300953 98.975286) + (xy 161.235307 98.940197) (xy 161.164077 98.91859) (xy 161.09 98.911294) (xy 159.69 98.911294) (xy 159.615923 98.91859) + (xy 159.544693 98.940197) (xy 159.479047 98.975286) (xy 159.421507 99.022507) (xy 159.374286 99.080047) (xy 159.34363 99.1374) + (xy 159.249749 99.1374) (xy 159.248753 99.13591) (xy 159.18209 99.069247) (xy 159.103702 99.01687) (xy 159.016603 98.980792) + (xy 158.924138 98.9624) (xy 158.829862 98.9624) (xy 158.737397 98.980792) (xy 158.650298 99.01687) (xy 158.57191 99.069247) + (xy 158.505247 99.13591) (xy 158.45287 99.214298) (xy 158.416792 99.301397) (xy 158.3984 99.393862) (xy 158.3984 99.488138) + (xy 158.416792 99.580603) (xy 158.45287 99.667702) (xy 158.505247 99.74609) (xy 158.57191 99.812753) (xy 158.650298 99.86513) + (xy 158.737397 99.901208) (xy 158.829862 99.9196) (xy 158.924138 99.9196) (xy 159.016603 99.901208) (xy 159.103702 99.86513) + (xy 159.18209 99.812753) (xy 159.248753 99.74609) (xy 159.249749 99.7446) (xy 159.34363 99.7446) (xy 159.374286 99.801953) + (xy 159.421507 99.859493) (xy 159.479047 99.906714) (xy 159.544693 99.941803) (xy 159.615923 99.96341) (xy 159.69 99.970706) + (xy 161.09 99.970706) (xy 161.164077 99.96341) (xy 161.235307 99.941803) (xy 161.300953 99.906714) (xy 161.358493 99.859493) + (xy 161.405714 99.801953) (xy 161.410179 99.7936) (xy 162.750096 99.7936) (xy 162.765 99.795068) (xy 162.779904 99.7936) + (xy 162.824516 99.789206) (xy 162.881744 99.771846) (xy 162.934487 99.743655) (xy 162.980716 99.705716) (xy 162.990226 99.694128) + (xy 165.930756 96.7536) (xy 175.753771 96.7536) (xy 175.771334 96.775) (xy 175.753771 96.7964) (xy 167.074904 96.7964) + (xy 167.06 96.794932) (xy 167.045096 96.7964) (xy 167.000484 96.800794) (xy 166.943256 96.818154) (xy 166.890513 96.846345) + (xy 166.844284 96.884284) (xy 166.834779 96.895866) (xy 163.274246 100.4564) (xy 161.453151 100.4564) (xy 161.440803 100.415693) + (xy 161.405714 100.350047) (xy 161.358493 100.292507) (xy 161.300953 100.245286) (xy 161.235307 100.210197) (xy 161.164077 100.18859) + (xy 161.09 100.181294) (xy 159.69 100.181294) (xy 159.615923 100.18859) (xy 159.544693 100.210197) (xy 159.479047 100.245286) + (xy 159.421507 100.292507) (xy 159.374286 100.350047) (xy 159.34363 100.4074) (xy 159.249749 100.4074) (xy 159.248753 100.40591) + (xy 159.18209 100.339247) (xy 159.103702 100.28687) (xy 159.016603 100.250792) (xy 158.924138 100.2324) (xy 158.829862 100.2324) + (xy 158.737397 100.250792) (xy 158.650298 100.28687) (xy 158.57191 100.339247) (xy 158.505247 100.40591) (xy 158.45287 100.484298) + (xy 158.416792 100.571397) (xy 158.3984 100.663862) (xy 158.3984 100.758138) (xy 158.416792 100.850603) (xy 158.45287 100.937702) + (xy 158.505247 101.01609) (xy 158.57191 101.082753) (xy 158.650298 101.13513) (xy 158.737397 101.171208) (xy 158.829862 101.1896) + (xy 158.924138 101.1896) (xy 159.016603 101.171208) (xy 159.103702 101.13513) (xy 159.18209 101.082753) (xy 159.248753 101.01609) + (xy 159.249749 101.0146) (xy 159.34363 101.0146) (xy 159.374286 101.071953) (xy 159.421507 101.129493) (xy 159.479047 101.176714) + (xy 159.544693 101.211803) (xy 159.615923 101.23341) (xy 159.69 101.240706) (xy 161.09 101.240706) (xy 161.164077 101.23341) + (xy 161.235307 101.211803) (xy 161.300953 101.176714) (xy 161.358493 101.129493) (xy 161.405714 101.071953) (xy 161.410179 101.0636) + (xy 163.385096 101.0636) (xy 163.4 101.065068) (xy 163.414904 101.0636) (xy 163.459516 101.059206) (xy 163.516744 101.041846) + (xy 163.569487 101.013655) (xy 163.615716 100.975716) (xy 163.625226 100.964128) (xy 167.185755 97.4036) (xy 175.753771 97.4036) + (xy 175.771334 97.425) (xy 175.753771 97.4464) (xy 167.313904 97.4464) (xy 167.299 97.444932) (xy 167.284096 97.4464) + (xy 167.239484 97.450794) (xy 167.182256 97.468154) (xy 167.129513 97.496345) (xy 167.083284 97.534284) (xy 167.073779 97.545866) + (xy 162.942246 101.6774) (xy 161.43637 101.6774) (xy 161.405714 101.620047) (xy 161.358493 101.562507) (xy 161.300953 101.515286) + (xy 161.235307 101.480197) (xy 161.164077 101.45859) (xy 161.09 101.451294) (xy 159.69 101.451294) (xy 159.615923 101.45859) + (xy 159.544693 101.480197) (xy 159.479047 101.515286) (xy 159.421507 101.562507) (xy 159.374286 101.620047) (xy 159.34363 101.6774) + (xy 159.249749 101.6774) (xy 159.248753 101.67591) (xy 159.18209 101.609247) (xy 159.103702 101.55687) (xy 159.016603 101.520792) + (xy 158.924138 101.5024) (xy 158.829862 101.5024) (xy 158.737397 101.520792) (xy 158.650298 101.55687) (xy 158.57191 101.609247) + (xy 158.505247 101.67591) (xy 158.45287 101.754298) (xy 158.416792 101.841397) (xy 158.3984 101.933862) (xy 158.3984 102.028138) + (xy 158.416792 102.120603) (xy 158.45287 102.207702) (xy 158.505247 102.28609) (xy 158.57191 102.352753) (xy 158.650298 102.40513) + (xy 158.737397 102.441208) (xy 158.829862 102.4596) (xy 158.924138 102.4596) (xy 159.016603 102.441208) (xy 159.103702 102.40513) + (xy 159.18209 102.352753) (xy 159.248753 102.28609) (xy 159.249749 102.2846) (xy 159.34363 102.2846) (xy 159.374286 102.341953) + (xy 159.421507 102.399493) (xy 159.479047 102.446714) (xy 159.544693 102.481803) (xy 159.615923 102.50341) (xy 159.69 102.510706) + (xy 161.09 102.510706) (xy 161.164077 102.50341) (xy 161.235307 102.481803) (xy 161.300953 102.446714) (xy 161.358493 102.399493) + (xy 161.405714 102.341953) (xy 161.43637 102.2846) (xy 163.053096 102.2846) (xy 163.068 102.286068) (xy 163.082904 102.2846) + (xy 163.127516 102.280206) (xy 163.184744 102.262846) (xy 163.237487 102.234655) (xy 163.283716 102.196716) (xy 163.293226 102.185128) + (xy 167.424755 98.0536) (xy 175.753771 98.0536) (xy 175.771334 98.075) (xy 175.753771 98.0964) (xy 174.414903 98.0964) + (xy 174.399999 98.094932) (xy 174.340484 98.100794) (xy 174.283256 98.118154) (xy 174.230513 98.146345) (xy 174.184284 98.184284) + (xy 174.174783 98.195861) (xy 166.121246 106.2494) (xy 165.225755 106.2494) (xy 164.452355 105.476) (xy 164.678294 105.476) + (xy 164.682708 105.520813) (xy 164.695779 105.563905) (xy 164.717006 105.603618) (xy 164.745573 105.638427) (xy 164.780382 105.666994) + (xy 164.820095 105.688221) (xy 164.863187 105.701292) (xy 164.908 105.705706) (xy 165.47705 105.7046) (xy 165.5342 105.64745) + (xy 165.5342 105.0248) (xy 165.6818 105.0248) (xy 165.6818 105.64745) (xy 165.73895 105.7046) (xy 166.308 105.705706) + (xy 166.352813 105.701292) (xy 166.395905 105.688221) (xy 166.435618 105.666994) (xy 166.470427 105.638427) (xy 166.498994 105.603618) + (xy 166.520221 105.563905) (xy 166.533292 105.520813) (xy 166.537706 105.476) (xy 166.5366 105.08195) (xy 166.47945 105.0248) + (xy 165.6818 105.0248) (xy 165.5342 105.0248) (xy 164.73655 105.0248) (xy 164.6794 105.08195) (xy 164.678294 105.476) + (xy 164.452355 105.476) (xy 163.402355 104.426) (xy 164.678294 104.426) (xy 164.6794 104.82005) (xy 164.73655 104.8772) + (xy 165.5342 104.8772) (xy 165.5342 104.25455) (xy 165.6818 104.25455) (xy 165.6818 104.8772) (xy 166.47945 104.8772) + (xy 166.5366 104.82005) (xy 166.537706 104.426) (xy 166.533292 104.381187) (xy 166.520221 104.338095) (xy 166.498994 104.298382) + (xy 166.470427 104.263573) (xy 166.435618 104.235006) (xy 166.395905 104.213779) (xy 166.352813 104.200708) (xy 166.308 104.196294) + (xy 165.73895 104.1974) (xy 165.6818 104.25455) (xy 165.5342 104.25455) (xy 165.47705 104.1974) (xy 164.908 104.196294) + (xy 164.863187 104.200708) (xy 164.820095 104.213779) (xy 164.780382 104.235006) (xy 164.745573 104.263573) (xy 164.717006 104.298382) + (xy 164.695779 104.338095) (xy 164.682708 104.381187) (xy 164.678294 104.426) (xy 163.402355 104.426) (xy 163.293226 104.316872) + (xy 163.283716 104.305284) (xy 163.237487 104.267345) (xy 163.184744 104.239154) (xy 163.127516 104.221794) (xy 163.082904 104.2174) + (xy 163.068 104.215932) (xy 163.053096 104.2174) (xy 161.43637 104.2174) (xy 161.405714 104.160047) (xy 161.358493 104.102507) + (xy 161.300953 104.055286) (xy 161.235307 104.020197) (xy 161.164077 103.99859) (xy 161.09 103.991294) (xy 159.69 103.991294) + (xy 159.615923 103.99859) (xy 159.544693 104.020197) (xy 159.479047 104.055286) (xy 159.421507 104.102507) (xy 159.374286 104.160047) + (xy 159.34363 104.2174) (xy 159.249749 104.2174) (xy 159.248753 104.21591) (xy 159.18209 104.149247) (xy 159.103702 104.09687) + (xy 159.016603 104.060792) (xy 158.924138 104.0424) (xy 158.829862 104.0424) (xy 158.737397 104.060792) (xy 158.650298 104.09687) + (xy 158.57191 104.149247) (xy 158.505247 104.21591) (xy 158.45287 104.294298) (xy 158.416792 104.381397) (xy 158.3984 104.473862) + (xy 158.3984 104.568138) (xy 158.416792 104.660603) (xy 158.45287 104.747702) (xy 158.505247 104.82609) (xy 158.57191 104.892753) + (xy 158.650298 104.94513) (xy 158.737397 104.981208) (xy 158.829862 104.9996) (xy 158.924138 104.9996) (xy 159.016603 104.981208) + (xy 159.103702 104.94513) (xy 159.18209 104.892753) (xy 159.248753 104.82609) (xy 159.249749 104.8246) (xy 159.34363 104.8246) + (xy 159.374286 104.881953) (xy 159.421507 104.939493) (xy 159.479047 104.986714) (xy 159.544693 105.021803) (xy 159.615923 105.04341) + (xy 159.69 105.050706) (xy 161.09 105.050706) (xy 161.164077 105.04341) (xy 161.235307 105.021803) (xy 161.300953 104.986714) + (xy 161.358493 104.939493) (xy 161.405714 104.881953) (xy 161.43637 104.8246) (xy 162.942246 104.8246) (xy 163.605046 105.4874) + (xy 161.43637 105.4874) (xy 161.405714 105.430047) (xy 161.358493 105.372507) (xy 161.300953 105.325286) (xy 161.235307 105.290197) + (xy 161.164077 105.26859) (xy 161.09 105.261294) (xy 159.69 105.261294) (xy 159.615923 105.26859) (xy 159.544693 105.290197) + (xy 159.479047 105.325286) (xy 159.421507 105.372507) (xy 159.374286 105.430047) (xy 159.34363 105.4874) (xy 159.249749 105.4874) + (xy 159.248753 105.48591) (xy 159.18209 105.419247) (xy 159.103702 105.36687) (xy 159.016603 105.330792) (xy 158.924138 105.3124) + (xy 158.829862 105.3124) (xy 158.828104 105.31275) (xy 157.1486 103.633246) (xy 157.1486 103.251) (xy 158.345843 103.251) + (xy 158.3484 103.276961) (xy 158.3484 103.303063) (xy 158.353492 103.328664) (xy 158.356049 103.354624) (xy 158.36362 103.379582) + (xy 158.368713 103.405187) (xy 158.378704 103.429309) (xy 158.386275 103.454265) (xy 158.398569 103.477265) (xy 158.40856 103.501386) + (xy 158.423064 103.523093) (xy 158.435359 103.546095) (xy 158.451906 103.566257) (xy 158.466409 103.587963) (xy 158.484864 103.606418) + (xy 158.501415 103.626585) (xy 158.521579 103.643133) (xy 158.540037 103.661591) (xy 158.561743 103.676094) (xy 158.581905 103.692641) + (xy 158.604907 103.704936) (xy 158.626614 103.71944) (xy 158.650735 103.729431) (xy 158.673735 103.741725) (xy 158.698691 103.749296) + (xy 158.722813 103.759287) (xy 158.748418 103.76438) (xy 158.773376 103.771951) (xy 158.799336 103.774508) (xy 158.824937 103.7796) + (xy 159.678771 103.7796) (xy 159.69 103.780706) (xy 161.09 103.780706) (xy 161.101229 103.7796) (xy 161.389959 103.7796) + (xy 161.410933 103.790811) (xy 161.436746 103.808059) (xy 161.465428 103.819939) (xy 161.492781 103.83456) (xy 161.522462 103.843564) + (xy 161.551144 103.855444) (xy 161.581591 103.8615) (xy 161.611273 103.870504) (xy 161.642145 103.873545) (xy 161.672588 103.8796) + (xy 164.844462 103.8796) (xy 164.897045 103.922754) (xy 164.982141 103.968239) (xy 165.074475 103.996248) (xy 165.1705 104.005706) + (xy 166.0455 104.005706) (xy 166.141525 103.996248) (xy 166.233859 103.968239) (xy 166.318955 103.922754) (xy 166.393542 103.861542) + (xy 166.40704 103.845094) (xy 166.414037 103.852091) (xy 166.435749 103.866599) (xy 166.455906 103.883141) (xy 166.478903 103.895433) + (xy 166.500614 103.90994) (xy 166.524739 103.919933) (xy 166.547736 103.932225) (xy 166.572689 103.939795) (xy 166.596813 103.949787) + (xy 166.622418 103.95488) (xy 166.647376 103.962451) (xy 166.673336 103.965008) (xy 166.698937 103.9701) (xy 166.725038 103.9701) + (xy 166.751 103.972657) (xy 166.776962 103.9701) (xy 166.803063 103.9701) (xy 166.828665 103.965008) (xy 166.854623 103.962451) + (xy 166.87958 103.95488) (xy 166.905187 103.949787) (xy 166.929309 103.939795) (xy 166.954265 103.932225) (xy 166.977264 103.919932) + (xy 167.001386 103.90994) (xy 167.023096 103.895434) (xy 167.046094 103.883141) (xy 167.06625 103.866599) (xy 167.087963 103.852091) + (xy 167.106429 103.833625) (xy 167.126584 103.817084) (xy 167.143125 103.796929) (xy 167.161591 103.778463) (xy 167.176099 103.75675) + (xy 167.192641 103.736594) (xy 167.204934 103.713596) (xy 167.21944 103.691886) (xy 167.229432 103.667764) (xy 167.241725 103.644765) + (xy 167.249295 103.619809) (xy 167.259287 103.595687) (xy 167.26438 103.57008) (xy 167.271951 103.545123) (xy 167.274508 103.519165) + (xy 167.2796 103.493563) (xy 167.2796 103.467462) (xy 167.282157 103.4415) (xy 167.2796 103.415538) (xy 167.2796 103.389437) + (xy 167.274508 103.363836) (xy 167.271951 103.337876) (xy 167.26438 103.312918) (xy 167.259287 103.287313) (xy 167.249295 103.263189) + (xy 167.241725 103.238236) (xy 167.229433 103.215239) (xy 167.21944 103.191114) (xy 167.204933 103.169403) (xy 167.192641 103.146406) + (xy 167.176099 103.126249) (xy 167.161591 103.104537) (xy 167.087963 103.030909) (xy 167.087957 103.030905) (xy 166.952642 102.89559) + (xy 166.936085 102.875415) (xy 166.855595 102.809359) (xy 166.763765 102.760275) (xy 166.664124 102.730049) (xy 166.586462 102.7224) + (xy 166.5605 102.719843) (xy 166.534538 102.7224) (xy 166.458685 102.7224) (xy 166.454754 102.715045) (xy 166.393542 102.640458) + (xy 166.318955 102.579246) (xy 166.233859 102.533761) (xy 166.141525 102.505752) (xy 166.1366 102.505267) (xy 166.1366 102.246437) + (xy 166.131508 102.220836) (xy 166.128951 102.194876) (xy 166.12138 102.169918) (xy 166.116287 102.144313) (xy 166.106296 102.120191) + (xy 166.098725 102.095235) (xy 166.086431 102.072235) (xy 166.07644 102.048114) (xy 166.061936 102.026407) (xy 166.049641 102.003405) + (xy 166.033094 101.983243) (xy 166.018591 101.961537) (xy 166.000133 101.943079) (xy 165.983585 101.922915) (xy 165.963421 101.906367) + (xy 165.944963 101.887909) (xy 165.923257 101.873406) (xy 165.903095 101.856859) (xy 165.880093 101.844564) (xy 165.858386 101.83006) + (xy 165.834265 101.820069) (xy 165.811265 101.807775) (xy 165.786309 101.800204) (xy 165.762187 101.790213) (xy 165.736582 101.78512) + (xy 165.711624 101.777549) (xy 165.685664 101.774992) (xy 165.660063 101.7699) (xy 165.633962 101.7699) (xy 165.608 101.767343) + (xy 165.582038 101.7699) (xy 165.555937 101.7699) (xy 165.530335 101.774992) (xy 165.504377 101.777549) (xy 165.47942 101.78512) + (xy 165.453813 101.790213) (xy 165.429689 101.800206) (xy 165.404736 101.807775) (xy 165.381739 101.820067) (xy 165.357614 101.83006) + (xy 165.335903 101.844567) (xy 165.312906 101.856859) (xy 165.292748 101.873402) (xy 165.271037 101.887909) (xy 165.252575 101.906371) + (xy 165.232416 101.922915) (xy 165.215872 101.943074) (xy 165.197409 101.961537) (xy 165.182901 101.983249) (xy 165.16636 102.003405) + (xy 165.154069 102.026399) (xy 165.13956 102.048114) (xy 165.129565 102.072243) (xy 165.117276 102.095235) (xy 165.109708 102.120182) + (xy 165.099713 102.144313) (xy 165.094618 102.169927) (xy 165.08705 102.194876) (xy 165.084494 102.220826) (xy 165.0794 102.246437) + (xy 165.0794 102.350563) (xy 165.079401 102.350568) (xy 165.079401 102.505267) (xy 165.074475 102.505752) (xy 164.982141 102.533761) + (xy 164.897045 102.579246) (xy 164.844462 102.6224) (xy 161.672588 102.6224) (xy 161.642145 102.628455) (xy 161.611273 102.631496) + (xy 161.581591 102.6405) (xy 161.551144 102.646556) (xy 161.522462 102.658436) (xy 161.492781 102.66744) (xy 161.465428 102.682061) + (xy 161.436746 102.693941) (xy 161.410933 102.711189) (xy 161.389959 102.7224) (xy 161.101229 102.7224) (xy 161.09 102.721294) + (xy 159.69 102.721294) (xy 159.678771 102.7224) (xy 158.824937 102.7224) (xy 158.799336 102.727492) (xy 158.773376 102.730049) + (xy 158.748418 102.73762) (xy 158.722813 102.742713) (xy 158.698691 102.752704) (xy 158.673735 102.760275) (xy 158.650735 102.772569) + (xy 158.626614 102.78256) (xy 158.604907 102.797064) (xy 158.581905 102.809359) (xy 158.561743 102.825906) (xy 158.540037 102.840409) + (xy 158.52158 102.858866) (xy 158.501415 102.875415) (xy 158.484867 102.895579) (xy 158.466409 102.914037) (xy 158.451906 102.935743) + (xy 158.435359 102.955905) (xy 158.423064 102.978907) (xy 158.40856 103.000614) (xy 158.398569 103.024735) (xy 158.386275 103.047735) + (xy 158.378704 103.072691) (xy 158.368713 103.096813) (xy 158.36362 103.122418) (xy 158.356049 103.147376) (xy 158.353492 103.173336) + (xy 158.3484 103.198937) (xy 158.3484 103.225038) (xy 158.345843 103.251) (xy 157.1486 103.251) (xy 157.1486 98.471) + (xy 159.310294 98.471) (xy 159.314708 98.515813) (xy 159.327779 98.558905) (xy 159.349006 98.598618) (xy 159.377573 98.633427) + (xy 159.412382 98.661994) (xy 159.452095 98.683221) (xy 159.495187 98.696292) (xy 159.54 98.700706) (xy 160.25905 98.6996) + (xy 160.3162 98.64245) (xy 160.3162 98.2448) (xy 160.4638 98.2448) (xy 160.4638 98.64245) (xy 160.52095 98.6996) + (xy 161.24 98.700706) (xy 161.284813 98.696292) (xy 161.327905 98.683221) (xy 161.367618 98.661994) (xy 161.402427 98.633427) + (xy 161.430994 98.598618) (xy 161.452221 98.558905) (xy 161.465292 98.515813) (xy 161.469706 98.471) (xy 161.4686 98.30195) + (xy 161.41145 98.2448) (xy 160.4638 98.2448) (xy 160.3162 98.2448) (xy 159.36855 98.2448) (xy 159.3114 98.30195) + (xy 159.310294 98.471) (xy 157.1486 98.471) (xy 157.1486 97.871) (xy 159.310294 97.871) (xy 159.3114 98.04005) + (xy 159.36855 98.0972) (xy 160.3162 98.0972) (xy 160.3162 97.69955) (xy 160.4638 97.69955) (xy 160.4638 98.0972) + (xy 161.41145 98.0972) (xy 161.4686 98.04005) (xy 161.469706 97.871) (xy 161.465292 97.826187) (xy 161.452221 97.783095) + (xy 161.430994 97.743382) (xy 161.402427 97.708573) (xy 161.367618 97.680006) (xy 161.327905 97.658779) (xy 161.284813 97.645708) + (xy 161.24 97.641294) (xy 160.52095 97.6424) (xy 160.4638 97.69955) (xy 160.3162 97.69955) (xy 160.25905 97.6424) + (xy 159.54 97.641294) (xy 159.495187 97.645708) (xy 159.452095 97.658779) (xy 159.412382 97.680006) (xy 159.377573 97.708573) + (xy 159.349006 97.743382) (xy 159.327779 97.783095) (xy 159.314708 97.826187) (xy 159.310294 97.871) (xy 157.1486 97.871) + (xy 157.1486 97.201) (xy 159.310294 97.201) (xy 159.314708 97.245813) (xy 159.327779 97.288905) (xy 159.349006 97.328618) + (xy 159.377573 97.363427) (xy 159.412382 97.391994) (xy 159.452095 97.413221) (xy 159.495187 97.426292) (xy 159.54 97.430706) + (xy 160.25905 97.4296) (xy 160.3162 97.37245) (xy 160.3162 96.9748) (xy 160.4638 96.9748) (xy 160.4638 97.37245) + (xy 160.52095 97.4296) (xy 161.24 97.430706) (xy 161.284813 97.426292) (xy 161.327905 97.413221) (xy 161.367618 97.391994) + (xy 161.402427 97.363427) (xy 161.430994 97.328618) (xy 161.452221 97.288905) (xy 161.465292 97.245813) (xy 161.469706 97.201) + (xy 161.4686 97.03195) (xy 161.41145 96.9748) (xy 160.4638 96.9748) (xy 160.3162 96.9748) (xy 159.36855 96.9748) + (xy 159.3114 97.03195) (xy 159.310294 97.201) (xy 157.1486 97.201) (xy 157.1486 96.601) (xy 159.310294 96.601) + (xy 159.3114 96.77005) (xy 159.36855 96.8272) (xy 160.3162 96.8272) (xy 160.3162 96.42955) (xy 160.4638 96.42955) + (xy 160.4638 96.8272) (xy 161.41145 96.8272) (xy 161.4686 96.77005) (xy 161.469706 96.601) (xy 161.465292 96.556187) + (xy 161.452221 96.513095) (xy 161.430994 96.473382) (xy 161.402427 96.438573) (xy 161.367618 96.410006) (xy 161.327905 96.388779) + (xy 161.284813 96.375708) (xy 161.24 96.371294) (xy 160.52095 96.3724) (xy 160.4638 96.42955) (xy 160.3162 96.42955) + (xy 160.25905 96.3724) (xy 159.54 96.371294) (xy 159.495187 96.375708) (xy 159.452095 96.388779) (xy 159.412382 96.410006) + (xy 159.377573 96.438573) (xy 159.349006 96.473382) (xy 159.327779 96.513095) (xy 159.314708 96.556187) (xy 159.310294 96.601) + (xy 157.1486 96.601) (xy 157.1486 92.482511) (xy 157.184693 92.501803) (xy 157.255923 92.52341) (xy 157.33 92.530706) + (xy 157.63 92.530706) (xy 157.704077 92.52341) (xy 157.775307 92.501803) (xy 157.840953 92.466714) (xy 157.898493 92.419493) + (xy 157.945714 92.361953) (xy 157.980803 92.296307) (xy 158.00241 92.225077) (xy 158.009706 92.151) (xy 158.009706 90.751) + (xy 158.00241 90.676923) (xy 157.980803 90.605693) (xy 157.945714 90.540047) (xy 157.898493 90.482507) (xy 157.840953 90.435286) + (xy 157.7836 90.40463) (xy 157.7836 90.295754) (xy 158.875756 89.2036) (xy 163.307096 89.2036) (xy 163.322 89.205068) + (xy 163.336904 89.2036) (xy 163.381516 89.199206) (xy 163.438744 89.181846) (xy 163.491487 89.153655) (xy 163.537716 89.115716) + (xy 163.547226 89.104128) (xy 170.765135 81.88622) (xy 170.776716 81.876716) (xy 170.814655 81.830487) (xy 170.842846 81.777744) + (xy 170.860206 81.720516) (xy 170.862043 81.701869) (xy 170.866068 81.661) (xy 170.8646 81.646096) (xy 170.8646 77.484903) + (xy 170.866068 77.469999) (xy 170.860206 77.410484) (xy 170.852258 77.384284) (xy 170.842846 77.353256) (xy 170.814655 77.300513) + (xy 170.776716 77.254284) (xy 170.765139 77.244783) (xy 169.516226 75.995872) (xy 169.506716 75.984284) (xy 169.460487 75.946345) + (xy 169.407744 75.918154) (xy 169.350516 75.900794) (xy 169.305904 75.8964) (xy 169.291 75.894932) (xy 169.276096 75.8964) + (xy 169.06137 75.8964) (xy 169.030714 75.839047) (xy 168.983493 75.781507) (xy 168.925953 75.734286) (xy 168.860307 75.699197) + (xy 168.789077 75.67759) (xy 168.715 75.670294) (xy 167.54 75.670294) (xy 167.465923 75.67759) (xy 167.394693 75.699197) + (xy 167.329047 75.734286) (xy 167.271507 75.781507) (xy 167.224286 75.839047) (xy 167.19363 75.8964) (xy 166.527506 75.8964) + (xy 166.245975 75.614871) (xy 166.236466 75.603284) (xy 166.190237 75.565345) (xy 166.137494 75.537154) (xy 166.080266 75.519794) + (xy 166.035654 75.5154) (xy 166.02075 75.513932) (xy 166.005846 75.5154) (xy 165.797256 75.5154) (xy 162.9906 72.708746) + (xy 162.9906 72.531904) (xy 162.992068 72.517) (xy 162.986206 72.457484) (xy 162.983789 72.449515) (xy 162.968846 72.400256) + (xy 162.940655 72.347513) (xy 162.902716 72.301284) (xy 162.891135 72.29178) (xy 162.79949 72.200136) (xy 162.850202 72.17913) + (xy 162.92859 72.126753) (xy 162.941001 72.114342) (xy 162.941345 72.114986) (xy 162.979284 72.161216) (xy 162.990872 72.170726) + (xy 165.954282 75.134138) (xy 165.963784 75.145716) (xy 166.010013 75.183655) (xy 166.062756 75.211846) (xy 166.095063 75.221646) + (xy 166.119983 75.229206) (xy 166.179499 75.235068) (xy 166.194403 75.2336) (xy 167.19363 75.2336) (xy 167.224286 75.290953) + (xy 167.271507 75.348493) (xy 167.329047 75.395714) (xy 167.394693 75.430803) (xy 167.465923 75.45241) (xy 167.54 75.459706) + (xy 168.715 75.459706) (xy 168.789077 75.45241) (xy 168.860307 75.430803) (xy 168.925953 75.395714) (xy 168.983493 75.348493) + (xy 169.030714 75.290953) (xy 169.06137 75.2336) (xy 169.101746 75.2336) (xy 171.019401 77.151256) (xy 171.0194 82.170244) + (xy 162.042246 91.1474) (xy 159.279706 91.1474) (xy 159.279706 90.751) (xy 159.27241 90.676923) (xy 159.250803 90.605693) + (xy 159.215714 90.540047) (xy 159.168493 90.482507) (xy 159.110953 90.435286) (xy 159.045307 90.400197) (xy 158.974077 90.37859) + (xy 158.9 90.371294) (xy 158.6 90.371294) (xy 158.525923 90.37859) (xy 158.454693 90.400197) (xy 158.389047 90.435286) + (xy 158.331507 90.482507) (xy 158.284286 90.540047) (xy 158.249197 90.605693) (xy 158.22759 90.676923) (xy 158.220294 90.751) + (xy 158.220294 92.151) (xy 158.22759 92.225077) (xy 158.249197 92.296307) (xy 158.284286 92.361953) (xy 158.331507 92.419493) + (xy 158.389047 92.466714) (xy 158.454693 92.501803) (xy 158.525923 92.52341) (xy 158.6 92.530706) (xy 158.9 92.530706) + (xy 158.974077 92.52341) (xy 159.045307 92.501803) (xy 159.110953 92.466714) (xy 159.168493 92.419493) (xy 159.215714 92.361953) + (xy 159.250803 92.296307) (xy 159.27241 92.225077) (xy 159.279706 92.151) (xy 159.279706 91.7546) (xy 162.153096 91.7546) + (xy 162.168 91.756068) (xy 162.182904 91.7546) (xy 162.227516 91.750206) (xy 162.284744 91.732846) (xy 162.337487 91.704655) + (xy 162.383716 91.666716) (xy 162.393226 91.655128) (xy 171.52714 82.521216) (xy 171.538716 82.511716) (xy 171.576655 82.465487) + (xy 171.604846 82.412744) (xy 171.622206 82.355516) (xy 171.622749 82.35) (xy 171.628068 82.296001) (xy 171.6266 82.281097) + (xy 171.6266 77.040404) (xy 171.628068 77.0255) (xy 171.622206 76.965984) (xy 171.604846 76.908755) (xy 171.576655 76.856013) + (xy 171.574675 76.8536) (xy 171.538716 76.809784) (xy 171.527134 76.800279) (xy 169.452726 74.725872) (xy 169.443216 74.714284) + (xy 169.396987 74.676345) (xy 169.344244 74.648154) (xy 169.287016 74.630794) (xy 169.242404 74.6264) (xy 169.2275 74.624932) + (xy 169.212596 74.6264) (xy 169.06137 74.6264) (xy 169.030714 74.569047) (xy 168.983493 74.511507) (xy 168.925953 74.464286) + (xy 168.860307 74.429197) (xy 168.789077 74.40759) (xy 168.715 74.400294) (xy 167.54 74.400294) (xy 167.465923 74.40759) + (xy 167.394693 74.429197) (xy 167.329047 74.464286) (xy 167.271507 74.511507) (xy 167.224286 74.569047) (xy 167.19363 74.6264) + (xy 166.305256 74.6264) (xy 163.906595 72.227741) (xy 163.969603 72.215208) (xy 164.056702 72.17913) (xy 164.13509 72.126753) + (xy 164.201753 72.06009) (xy 164.232614 72.013903) (xy 164.249284 72.034216) (xy 164.260872 72.043726) (xy 166.081282 73.864138) + (xy 166.090784 73.875716) (xy 166.137013 73.913655) (xy 166.189756 73.941846) (xy 166.234131 73.955307) (xy 166.246984 73.959206) + (xy 166.306499 73.965068) (xy 166.321403 73.9636) (xy 167.19363 73.9636) (xy 167.224286 74.020953) (xy 167.271507 74.078493) + (xy 167.329047 74.125714) (xy 167.394693 74.160803) (xy 167.465923 74.18241) (xy 167.54 74.189706) (xy 168.715 74.189706) + (xy 168.789077 74.18241) (xy 168.860307 74.160803) (xy 168.925953 74.125714) (xy 168.983493 74.078493) (xy 169.030714 74.020953) + (xy 169.06137 73.9636) (xy 169.165246 73.9636) (xy 171.7814 76.579755) (xy 171.781401 82.805244) (xy 161.799246 92.7874) + (xy 161.43637 92.7874) (xy 161.405714 92.730047) (xy 161.358493 92.672507) (xy 161.300953 92.625286) (xy 161.235307 92.590197) + (xy 161.164077 92.56859) (xy 161.09 92.561294) (xy 159.69 92.561294) (xy 159.615923 92.56859) (xy 159.544693 92.590197) + (xy 159.479047 92.625286) (xy 159.421507 92.672507) (xy 159.374286 92.730047) (xy 159.339197 92.795693) (xy 159.31759 92.866923) + (xy 159.310294 92.941) (xy 159.310294 93.241) (xy 159.31759 93.315077) (xy 159.339197 93.386307) (xy 159.374286 93.451953) + (xy 159.421507 93.509493) (xy 159.479047 93.556714) (xy 159.544693 93.591803) (xy 159.615923 93.61341) (xy 159.69 93.620706) + (xy 161.09 93.620706) (xy 161.164077 93.61341) (xy 161.235307 93.591803) (xy 161.300953 93.556714) (xy 161.358493 93.509493) + (xy 161.405714 93.451953) (xy 161.43637 93.3946) (xy 161.910096 93.3946) (xy 161.925 93.396068) (xy 161.939904 93.3946) + (xy 161.984516 93.390206) (xy 162.041744 93.372846) (xy 162.094487 93.344655) (xy 162.140716 93.306716) (xy 162.150226 93.295128) + (xy 172.289134 83.156221) (xy 172.300716 83.146716) (xy 172.338655 83.100487) (xy 172.366846 83.047744) (xy 172.384206 82.990516) + (xy 172.3886 82.945904) (xy 172.3886 82.945903) (xy 172.390068 82.931) (xy 172.3886 82.916096) (xy 172.3886 76.468904) + (xy 172.390068 76.454) (xy 172.384206 76.394484) (xy 172.37567 76.366346) (xy 172.366846 76.337256) (xy 172.338655 76.284513) + (xy 172.300716 76.238284) (xy 172.289135 76.22878) (xy 169.516226 73.455872) (xy 169.506716 73.444284) (xy 169.460487 73.406345) + (xy 169.407744 73.378154) (xy 169.350516 73.360794) (xy 169.305904 73.3564) (xy 169.291 73.354932) (xy 169.276096 73.3564) + (xy 169.06137 73.3564) (xy 169.030714 73.299047) (xy 168.983493 73.241507) (xy 168.925953 73.194286) (xy 168.860307 73.159197) + (xy 168.789077 73.13759) (xy 168.715 73.130294) (xy 167.54 73.130294) (xy 167.465923 73.13759) (xy 167.394693 73.159197) + (xy 167.329047 73.194286) (xy 167.271507 73.241507) (xy 167.224286 73.299047) (xy 167.19363 73.3564) (xy 166.432256 73.3564) + (xy 165.27599 72.200136) (xy 165.326702 72.17913) (xy 165.40509 72.126753) (xy 165.471753 72.06009) (xy 165.52413 71.981702) + (xy 165.545136 71.93099) (xy 166.208282 72.594138) (xy 166.217784 72.605716) (xy 166.264013 72.643655) (xy 166.316756 72.671846) + (xy 166.365021 72.686487) (xy 166.373984 72.689206) (xy 166.433499 72.695068) (xy 166.448403 72.6936) (xy 167.19363 72.6936) + (xy 167.224286 72.750953) (xy 167.271507 72.808493) (xy 167.329047 72.855714) (xy 167.394693 72.890803) (xy 167.465923 72.91241) + (xy 167.54 72.919706) (xy 168.715 72.919706) (xy 168.789077 72.91241) (xy 168.860307 72.890803) (xy 168.925953 72.855714) + (xy 168.983493 72.808493) (xy 169.030714 72.750953) (xy 169.06137 72.6936) (xy 169.165246 72.6936) (xy 172.543401 76.071757) + (xy 172.5434 83.440244) (xy 161.926246 94.0574) (xy 161.43637 94.0574) (xy 161.405714 94.000047) (xy 161.358493 93.942507) + (xy 161.300953 93.895286) (xy 161.235307 93.860197) (xy 161.164077 93.83859) (xy 161.09 93.831294) (xy 159.69 93.831294) + (xy 159.615923 93.83859) (xy 159.544693 93.860197) (xy 159.479047 93.895286) (xy 159.421507 93.942507) (xy 159.374286 94.000047) + (xy 159.339197 94.065693) (xy 159.31759 94.136923) (xy 159.310294 94.211) (xy 159.310294 94.511) (xy 159.31759 94.585077) + (xy 159.339197 94.656307) (xy 159.374286 94.721953) (xy 159.421507 94.779493) (xy 159.479047 94.826714) (xy 159.544693 94.861803) + (xy 159.615923 94.88341) (xy 159.69 94.890706) (xy 161.09 94.890706) (xy 161.164077 94.88341) (xy 161.235307 94.861803) + (xy 161.300953 94.826714) (xy 161.358493 94.779493) (xy 161.405714 94.721953) (xy 161.43637 94.6646) (xy 162.037096 94.6646) + (xy 162.052 94.666068) (xy 162.066904 94.6646) (xy 162.111516 94.660206) (xy 162.168744 94.642846) (xy 162.221487 94.614655) + (xy 162.267716 94.576716) (xy 162.277226 94.565128) (xy 173.05114 83.791216) (xy 173.062716 83.781716) (xy 173.100655 83.735487) + (xy 173.128846 83.682744) (xy 173.141665 83.640485) (xy 173.146206 83.625517) (xy 173.152068 83.566001) (xy 173.1506 83.551096) + (xy 173.1506 75.960903) (xy 173.152068 75.945999) (xy 173.146206 75.886483) (xy 173.138646 75.861563) (xy 173.128846 75.829256) + (xy 173.100655 75.776513) (xy 173.062716 75.730284) (xy 173.051139 75.720783) (xy 169.516226 72.185872) (xy 169.506716 72.174284) + (xy 169.460487 72.136345) (xy 169.407744 72.108154) (xy 169.350516 72.090794) (xy 169.305904 72.0864) (xy 169.291 72.084932) + (xy 169.276096 72.0864) (xy 169.06137 72.0864) (xy 169.030714 72.029047) (xy 168.983493 71.971507) (xy 168.925953 71.924286) + (xy 168.860307 71.889197) (xy 168.789077 71.86759) (xy 168.715 71.860294) (xy 167.54 71.860294) (xy 167.465923 71.86759) + (xy 167.394693 71.889197) (xy 167.329047 71.924286) (xy 167.271507 71.971507) (xy 167.224286 72.029047) (xy 167.19363 72.0864) + (xy 166.559256 72.0864) (xy 166.0386 71.565746) (xy 166.0386 71.556511) (xy 166.074693 71.575803) (xy 166.145923 71.59741) + (xy 166.22 71.604706) (xy 166.52 71.604706) (xy 166.594077 71.59741) (xy 166.665307 71.575803) (xy 166.730953 71.540714) + (xy 166.788493 71.493493) (xy 166.835714 71.435953) (xy 166.870803 71.370307) (xy 166.89241 71.299077) (xy 166.899706 71.225) + (xy 166.899706 70.9411) (xy 169.190746 70.9411) (xy 173.305401 75.055756) (xy 173.3054 84.075245) (xy 162.053246 95.3274) + (xy 161.43637 95.3274) (xy 161.405714 95.270047) (xy 161.358493 95.212507) (xy 161.300953 95.165286) (xy 161.235307 95.130197) + (xy 161.164077 95.10859) (xy 161.09 95.101294) (xy 159.69 95.101294) (xy 159.615923 95.10859) (xy 159.544693 95.130197) + (xy 159.479047 95.165286) (xy 159.421507 95.212507) (xy 159.374286 95.270047) (xy 159.339197 95.335693) (xy 159.31759 95.406923) + (xy 159.310294 95.481) (xy 159.310294 95.781) (xy 159.31759 95.855077) (xy 159.339197 95.926307) (xy 159.374286 95.991953) + (xy 159.421507 96.049493) (xy 159.479047 96.096714) (xy 159.544693 96.131803) (xy 159.615923 96.15341) (xy 159.69 96.160706) + (xy 161.09 96.160706) (xy 161.164077 96.15341) (xy 161.235307 96.131803) (xy 161.300953 96.096714) (xy 161.358493 96.049493) + (xy 161.405714 95.991953) (xy 161.43637 95.9346) (xy 162.164096 95.9346) (xy 162.179 95.936068) (xy 162.193904 95.9346) + (xy 162.238516 95.930206) (xy 162.295744 95.912846) (xy 162.348487 95.884655) (xy 162.394716 95.846716) (xy 162.404226 95.835128) + (xy 162.664354 95.575) (xy 175.695294 95.575) (xy 175.6964 95.66905) (xy 175.75355 95.7262) (xy 176.5762 95.7262) + (xy 176.5762 95.40355) (xy 176.7238 95.40355) (xy 176.7238 95.7262) (xy 177.54645 95.7262) (xy 177.6036 95.66905) + (xy 177.604706 95.575) (xy 177.600292 95.530187) (xy 177.587221 95.487095) (xy 177.565994 95.447382) (xy 177.537427 95.412573) + (xy 177.502618 95.384006) (xy 177.462905 95.362779) (xy 177.419813 95.349708) (xy 177.375 95.345294) (xy 176.78095 95.3464) + (xy 176.7238 95.40355) (xy 176.5762 95.40355) (xy 176.51905 95.3464) (xy 175.925 95.345294) (xy 175.880187 95.349708) + (xy 175.837095 95.362779) (xy 175.797382 95.384006) (xy 175.762573 95.412573) (xy 175.734006 95.447382) (xy 175.712779 95.487095) + (xy 175.699708 95.530187) (xy 175.695294 95.575) (xy 162.664354 95.575) (xy 173.813135 84.42622) (xy 173.824716 84.416716) + (xy 173.862655 84.370487) (xy 173.890846 84.317744) (xy 173.908206 84.260516) (xy 173.9126 84.215904) (xy 173.9126 84.215903) + (xy 173.914068 84.201001) (xy 173.9126 84.186097) (xy 173.9126 83.675) (xy 175.695294 83.675) (xy 175.699708 83.719813) + (xy 175.712779 83.762905) (xy 175.734006 83.802618) (xy 175.762573 83.837427) (xy 175.797382 83.865994) (xy 175.837095 83.887221) + (xy 175.880187 83.900292) (xy 175.925 83.904706) (xy 176.51905 83.9036) (xy 176.5762 83.84645) (xy 176.5762 83.5238) + (xy 176.7238 83.5238) (xy 176.7238 83.84645) (xy 176.78095 83.9036) (xy 177.375 83.904706) (xy 177.419813 83.900292) + (xy 177.462905 83.887221) (xy 177.502618 83.865994) (xy 177.537427 83.837427) (xy 177.565994 83.802618) (xy 177.587221 83.762905) + (xy 177.600292 83.719813) (xy 177.604706 83.675) (xy 177.6036 83.58095) (xy 177.54645 83.5238) (xy 176.7238 83.5238) + (xy 176.5762 83.5238) (xy 175.75355 83.5238) (xy 175.6964 83.58095) (xy 175.695294 83.675) (xy 173.9126 83.675) + (xy 173.9126 77.375) (xy 175.695294 77.375) (xy 175.6964 77.46905) (xy 175.75355 77.5262) (xy 176.5762 77.5262) + (xy 176.5762 77.20355) (xy 176.7238 77.20355) (xy 176.7238 77.5262) (xy 177.54645 77.5262) (xy 177.6036 77.46905) + (xy 177.604706 77.375) (xy 177.600292 77.330187) (xy 177.587221 77.287095) (xy 177.565994 77.247382) (xy 177.537427 77.212573) + (xy 177.502618 77.184006) (xy 177.462905 77.162779) (xy 177.419813 77.149708) (xy 177.375 77.145294) (xy 176.78095 77.1464) + (xy 176.7238 77.20355) (xy 176.5762 77.20355) (xy 176.51905 77.1464) (xy 175.925 77.145294) (xy 175.880187 77.149708) + (xy 175.837095 77.162779) (xy 175.797382 77.184006) (xy 175.762573 77.212573) (xy 175.734006 77.247382) (xy 175.712779 77.287095) + (xy 175.699708 77.330187) (xy 175.695294 77.375) (xy 173.9126 77.375) (xy 173.9126 74.944904) (xy 173.914068 74.93) + (xy 173.908206 74.870484) (xy 173.903719 74.855693) (xy 173.890846 74.813256) (xy 173.862655 74.760513) (xy 173.824716 74.714284) + (xy 173.813134 74.704779) (xy 173.683355 74.575) (xy 175.695294 74.575) (xy 175.699708 74.619813) (xy 175.712779 74.662905) + (xy 175.734006 74.702618) (xy 175.762573 74.737427) (xy 175.797382 74.765994) (xy 175.837095 74.787221) (xy 175.880187 74.800292) + (xy 175.925 74.804706) (xy 176.51905 74.8036) (xy 176.5762 74.74645) (xy 176.5762 74.4238) (xy 176.7238 74.4238) + (xy 176.7238 74.74645) (xy 176.78095 74.8036) (xy 177.375 74.804706) (xy 177.419813 74.800292) (xy 177.462905 74.787221) + (xy 177.502618 74.765994) (xy 177.537427 74.737427) (xy 177.565994 74.702618) (xy 177.587221 74.662905) (xy 177.600292 74.619813) + (xy 177.604706 74.575) (xy 177.6036 74.48095) (xy 177.54645 74.4238) (xy 176.7238 74.4238) (xy 176.5762 74.4238) + (xy 175.75355 74.4238) (xy 175.6964 74.48095) (xy 175.695294 74.575) (xy 173.683355 74.575) (xy 169.541726 70.433372) + (xy 169.532216 70.421784) (xy 169.485987 70.383845) (xy 169.433244 70.355654) (xy 169.376016 70.338294) (xy 169.331404 70.3339) + (xy 169.3165 70.332432) (xy 169.301596 70.3339) (xy 166.899706 70.3339) (xy 166.899706 70.05) (xy 166.89241 69.975923) + (xy 166.870803 69.904693) (xy 166.835714 69.839047) (xy 166.788493 69.781507) (xy 166.730953 69.734286) (xy 166.6736 69.70363) + (xy 166.6736 68.275) (xy 175.695294 68.275) (xy 175.6964 68.36905) (xy 175.75355 68.4262) (xy 176.5762 68.4262) + (xy 176.5762 68.10355) (xy 176.7238 68.10355) (xy 176.7238 68.4262) (xy 177.54645 68.4262) (xy 177.6036 68.36905) + (xy 177.604706 68.275) (xy 177.600292 68.230187) (xy 177.587221 68.187095) (xy 177.565994 68.147382) (xy 177.537427 68.112573) + (xy 177.502618 68.084006) (xy 177.462905 68.062779) (xy 177.419813 68.049708) (xy 177.375 68.045294) (xy 176.78095 68.0464) + (xy 176.7238 68.10355) (xy 176.5762 68.10355) (xy 176.51905 68.0464) (xy 175.925 68.045294) (xy 175.880187 68.049708) + (xy 175.837095 68.062779) (xy 175.797382 68.084006) (xy 175.762573 68.112573) (xy 175.734006 68.147382) (xy 175.712779 68.187095) + (xy 175.699708 68.230187) (xy 175.695294 68.275) (xy 166.6736 68.275) (xy 166.6736 66.927754) (xy 169.11414 64.487216) + (xy 169.125716 64.477716) (xy 169.163655 64.431487) (xy 169.191846 64.378744) (xy 169.201674 64.346345) (xy 169.209206 64.321517) + (xy 169.215068 64.262001) (xy 169.2136 64.247097) (xy 169.2136 63.091613) (xy 169.245 63.094706) (xy 169.41405 63.0936) + (xy 169.4712 63.03645) (xy 169.4712 61.4148) (xy 169.6188 61.4148) (xy 169.6188 63.03645) (xy 169.67595 63.0936) + (xy 169.845 63.094706) (xy 169.889813 63.090292) (xy 169.932905 63.077221) (xy 169.972618 63.055994) (xy 170.007427 63.027427) + (xy 170.035994 62.992618) (xy 170.057221 62.952905) (xy 170.070292 62.909813) (xy 170.074706 62.865) (xy 170.0736 61.47195) + (xy 170.01645 61.4148) (xy 169.6188 61.4148) (xy 169.4712 61.4148) (xy 169.4512 61.4148) (xy 169.4512 61.2672) + (xy 169.4712 61.2672) (xy 169.4712 59.64555) (xy 169.6188 59.64555) (xy 169.6188 61.2672) (xy 170.01645 61.2672) + (xy 170.0736 61.21005) (xy 170.074706 59.817) (xy 170.070292 59.772187) (xy 170.057221 59.729095) (xy 170.035994 59.689382) + (xy 170.007427 59.654573) (xy 169.972618 59.626006) (xy 169.932905 59.604779) (xy 169.889813 59.591708) (xy 169.845 59.587294) + (xy 169.67595 59.5884) (xy 169.6188 59.64555) (xy 169.4712 59.64555) (xy 169.41405 59.5884) (xy 169.245 59.587294) + (xy 169.2136 59.590387) (xy 169.2136 59.323904) (xy 169.215068 59.309) (xy 169.209206 59.249484) (xy 169.200486 59.220739) + (xy 169.191846 59.192256) (xy 169.163655 59.139513) (xy 169.125716 59.093284) (xy 169.114133 59.083779) (xy 168.5786 58.548246) + (xy 168.5786 57.268749) (xy 168.58009 57.267753) (xy 168.646753 57.20109) (xy 168.69913 57.122702) (xy 168.735208 57.035603) + (xy 168.7536 56.943138) (xy 168.7536 56.848862) (xy 168.735208 56.756397) (xy 168.69913 56.669298) (xy 168.681682 56.643186) + (xy 168.693493 56.633493) (xy 168.740714 56.575953) (xy 168.775803 56.510307) (xy 168.79741 56.439077) (xy 168.804706 56.365) + (xy 168.804706 54.991) (xy 169.013843 54.991) (xy 169.015294 55.005732) (xy 169.015294 56.365) (xy 169.016401 56.376236) + (xy 169.016401 56.907432) (xy 169.0164 56.907437) (xy 169.0164 57.011563) (xy 169.021494 57.037174) (xy 169.02405 57.063124) + (xy 169.031618 57.088073) (xy 169.036713 57.113687) (xy 169.046708 57.137818) (xy 169.054276 57.162765) (xy 169.066565 57.185757) + (xy 169.07656 57.209886) (xy 169.091069 57.231601) (xy 169.10336 57.254595) (xy 169.119901 57.274751) (xy 169.134409 57.296463) + (xy 169.152872 57.314926) (xy 169.169416 57.335085) (xy 169.189575 57.351629) (xy 169.208037 57.370091) (xy 169.229748 57.384598) + (xy 169.249906 57.401141) (xy 169.272903 57.413433) (xy 169.294614 57.42794) (xy 169.318739 57.437933) (xy 169.341736 57.450225) + (xy 169.366689 57.457794) (xy 169.390813 57.467787) (xy 169.41642 57.47288) (xy 169.441377 57.480451) (xy 169.467335 57.483008) + (xy 169.492937 57.4881) (xy 169.519038 57.4881) (xy 169.545 57.490657) (xy 169.570962 57.4881) (xy 169.597063 57.4881) + (xy 169.622664 57.483008) (xy 169.648624 57.480451) (xy 169.673582 57.47288) (xy 169.699187 57.467787) (xy 169.723309 57.457796) + (xy 169.748265 57.450225) (xy 169.771265 57.437931) (xy 169.795386 57.42794) (xy 169.817093 57.413436) (xy 169.840095 57.401141) + (xy 169.860257 57.384594) (xy 169.881963 57.370091) (xy 169.900421 57.351633) (xy 169.920585 57.335085) (xy 169.937133 57.314921) + (xy 169.955591 57.296463) (xy 169.970094 57.274757) (xy 169.986641 57.254595) (xy 169.998936 57.231593) (xy 170.009354 57.216) + (xy 171.155294 57.216) (xy 171.159708 57.260813) (xy 171.172779 57.303905) (xy 171.194006 57.343618) (xy 171.222573 57.378427) + (xy 171.257382 57.406994) (xy 171.297095 57.428221) (xy 171.340187 57.441292) (xy 171.385 57.445706) (xy 171.95405 57.4446) + (xy 172.0112 57.38745) (xy 172.0112 56.7648) (xy 172.1588 56.7648) (xy 172.1588 57.38745) (xy 172.21595 57.4446) + (xy 172.785 57.445706) (xy 172.829813 57.441292) (xy 172.872905 57.428221) (xy 172.912618 57.406994) (xy 172.947427 57.378427) + (xy 172.975994 57.343618) (xy 172.997221 57.303905) (xy 173.010292 57.260813) (xy 173.014706 57.216) (xy 173.0136 56.82195) + (xy 172.95645 56.7648) (xy 172.1588 56.7648) (xy 172.0112 56.7648) (xy 171.21355 56.7648) (xy 171.1564 56.82195) + (xy 171.155294 57.216) (xy 170.009354 57.216) (xy 170.01344 57.209886) (xy 170.023431 57.185765) (xy 170.035725 57.162765) + (xy 170.043296 57.137809) (xy 170.053287 57.113687) (xy 170.05838 57.088082) (xy 170.065951 57.063124) (xy 170.068508 57.037164) + (xy 170.0736 57.011563) (xy 170.0736 56.376229) (xy 170.074706 56.365) (xy 170.074706 56.166) (xy 171.155294 56.166) + (xy 171.1564 56.56005) (xy 171.21355 56.6172) (xy 172.0112 56.6172) (xy 172.0112 55.99455) (xy 172.1588 55.99455) + (xy 172.1588 56.6172) (xy 172.95645 56.6172) (xy 173.0136 56.56005) (xy 173.014706 56.166) (xy 173.010292 56.121187) + (xy 172.997221 56.078095) (xy 172.975994 56.038382) (xy 172.947427 56.003573) (xy 172.912618 55.975006) (xy 172.872905 55.953779) + (xy 172.829813 55.940708) (xy 172.785 55.936294) (xy 172.21595 55.9374) (xy 172.1588 55.99455) (xy 172.0112 55.99455) + (xy 171.95405 55.9374) (xy 171.385 55.936294) (xy 171.340187 55.940708) (xy 171.297095 55.953779) (xy 171.257382 55.975006) + (xy 171.222573 56.003573) (xy 171.194006 56.038382) (xy 171.172779 56.078095) (xy 171.159708 56.121187) (xy 171.155294 56.166) + (xy 170.074706 56.166) (xy 170.074706 55.5196) (xy 171.234315 55.5196) (xy 171.238246 55.526955) (xy 171.299458 55.601542) + (xy 171.374045 55.662754) (xy 171.459141 55.708239) (xy 171.551475 55.736248) (xy 171.6475 55.745706) (xy 172.5225 55.745706) + (xy 172.618525 55.736248) (xy 172.710859 55.708239) (xy 172.795955 55.662754) (xy 172.870542 55.601542) (xy 172.88404 55.585094) + (xy 172.891037 55.592091) (xy 172.912749 55.606599) (xy 172.932906 55.623141) (xy 172.955903 55.635433) (xy 172.977614 55.64994) + (xy 173.001739 55.659933) (xy 173.024736 55.672225) (xy 173.049689 55.679795) (xy 173.073813 55.689787) (xy 173.099418 55.69488) + (xy 173.124376 55.702451) (xy 173.150336 55.705008) (xy 173.175937 55.7101) (xy 173.202038 55.7101) (xy 173.228 55.712657) + (xy 173.253962 55.7101) (xy 173.280063 55.7101) (xy 173.305665 55.705008) (xy 173.331623 55.702451) (xy 173.35658 55.69488) + (xy 173.382187 55.689787) (xy 173.406309 55.679795) (xy 173.431265 55.672225) (xy 173.454264 55.659932) (xy 173.478386 55.64994) + (xy 173.500096 55.635434) (xy 173.523094 55.623141) (xy 173.54325 55.606599) (xy 173.564963 55.592091) (xy 173.583429 55.573625) + (xy 173.603584 55.557084) (xy 173.620125 55.536929) (xy 173.638591 55.518463) (xy 173.653099 55.49675) (xy 173.669641 55.476594) + (xy 173.681934 55.453596) (xy 173.69644 55.431886) (xy 173.706432 55.407764) (xy 173.718725 55.384765) (xy 173.726295 55.359809) + (xy 173.736287 55.335687) (xy 173.74138 55.31008) (xy 173.748951 55.285123) (xy 173.751508 55.259165) (xy 173.7566 55.233563) + (xy 173.7566 55.207462) (xy 173.759157 55.1815) (xy 173.7566 55.155538) (xy 173.7566 55.129437) (xy 173.751508 55.103836) + (xy 173.748951 55.077876) (xy 173.74138 55.052918) (xy 173.736287 55.027313) (xy 173.726295 55.003189) (xy 173.718725 54.978236) + (xy 173.706433 54.955239) (xy 173.69644 54.931114) (xy 173.681933 54.909403) (xy 173.669641 54.886406) (xy 173.653099 54.866249) + (xy 173.638591 54.844537) (xy 173.564963 54.770909) (xy 173.564957 54.770905) (xy 173.429642 54.63559) (xy 173.413085 54.615415) + (xy 173.332595 54.549359) (xy 173.240765 54.500275) (xy 173.141124 54.470049) (xy 173.063462 54.4624) (xy 173.0375 54.459843) + (xy 173.011538 54.4624) (xy 172.935685 54.4624) (xy 172.931754 54.455045) (xy 172.870542 54.380458) (xy 172.795955 54.319246) + (xy 172.710859 54.273761) (xy 172.618525 54.245752) (xy 172.6136 54.245267) (xy 172.6136 53.986437) (xy 172.608508 53.960836) + (xy 172.605951 53.934876) (xy 172.59838 53.909918) (xy 172.593287 53.884313) (xy 172.583296 53.860191) (xy 172.575725 53.835235) + (xy 172.563431 53.812235) (xy 172.55344 53.788114) (xy 172.538936 53.766407) (xy 172.526641 53.743405) (xy 172.510094 53.723243) + (xy 172.495591 53.701537) (xy 172.477133 53.683079) (xy 172.460585 53.662915) (xy 172.440421 53.646367) (xy 172.421963 53.627909) + (xy 172.400257 53.613406) (xy 172.380095 53.596859) (xy 172.357093 53.584564) (xy 172.335386 53.57006) (xy 172.311265 53.560069) + (xy 172.288265 53.547775) (xy 172.263309 53.540204) (xy 172.239187 53.530213) (xy 172.213582 53.52512) (xy 172.188624 53.517549) + (xy 172.162664 53.514992) (xy 172.137063 53.5099) (xy 172.110962 53.5099) (xy 172.085 53.507343) (xy 172.059038 53.5099) + (xy 172.032937 53.5099) (xy 172.007335 53.514992) (xy 171.981377 53.517549) (xy 171.95642 53.52512) (xy 171.930813 53.530213) + (xy 171.906689 53.540206) (xy 171.881736 53.547775) (xy 171.858739 53.560067) (xy 171.834614 53.57006) (xy 171.812903 53.584567) + (xy 171.789906 53.596859) (xy 171.769748 53.613402) (xy 171.748037 53.627909) (xy 171.729575 53.646371) (xy 171.709416 53.662915) + (xy 171.692872 53.683074) (xy 171.674409 53.701537) (xy 171.659901 53.723249) (xy 171.64336 53.743405) (xy 171.631069 53.766399) + (xy 171.61656 53.788114) (xy 171.606565 53.812243) (xy 171.594276 53.835235) (xy 171.586708 53.860182) (xy 171.576713 53.884313) + (xy 171.571618 53.909927) (xy 171.56405 53.934876) (xy 171.561494 53.960826) (xy 171.5564 53.986437) (xy 171.5564 54.090563) + (xy 171.556401 54.090568) (xy 171.556401 54.245267) (xy 171.551475 54.245752) (xy 171.459141 54.273761) (xy 171.374045 54.319246) + (xy 171.299458 54.380458) (xy 171.238246 54.455045) (xy 171.234315 54.4624) (xy 170.074706 54.4624) (xy 170.074706 53.617) + (xy 170.0736 53.605771) (xy 170.0736 52.970437) (xy 170.068508 52.944836) (xy 170.065951 52.918876) (xy 170.05838 52.893918) + (xy 170.053287 52.868313) (xy 170.043296 52.844191) (xy 170.035725 52.819235) (xy 170.023431 52.796235) (xy 170.01344 52.772114) + (xy 169.998936 52.750407) (xy 169.986641 52.727405) (xy 169.970094 52.707243) (xy 169.955591 52.685537) (xy 169.937133 52.667079) + (xy 169.920585 52.646915) (xy 169.900421 52.630367) (xy 169.881963 52.611909) (xy 169.860257 52.597406) (xy 169.840095 52.580859) + (xy 169.817093 52.568564) (xy 169.795386 52.55406) (xy 169.771265 52.544069) (xy 169.748265 52.531775) (xy 169.723309 52.524204) + (xy 169.699187 52.514213) (xy 169.673582 52.50912) (xy 169.648624 52.501549) (xy 169.622664 52.498992) (xy 169.597063 52.4939) + (xy 169.570962 52.4939) (xy 169.545 52.491343) (xy 169.519038 52.4939) (xy 169.492937 52.4939) (xy 169.467335 52.498992) + (xy 169.441377 52.501549) (xy 169.41642 52.50912) (xy 169.390813 52.514213) (xy 169.366689 52.524206) (xy 169.341736 52.531775) + (xy 169.318739 52.544067) (xy 169.294614 52.55406) (xy 169.272903 52.568567) (xy 169.249906 52.580859) (xy 169.229748 52.597402) + (xy 169.208037 52.611909) (xy 169.189575 52.630371) (xy 169.169416 52.646915) (xy 169.152872 52.667074) (xy 169.134409 52.685537) + (xy 169.119901 52.707249) (xy 169.10336 52.727405) (xy 169.091069 52.750399) (xy 169.07656 52.772114) (xy 169.066565 52.796243) + (xy 169.054276 52.819235) (xy 169.046708 52.844182) (xy 169.036713 52.868313) (xy 169.031618 52.893927) (xy 169.02405 52.918876) + (xy 169.021494 52.944826) (xy 169.0164 52.970437) (xy 169.0164 53.074563) (xy 169.016401 53.074568) (xy 169.016401 53.605764) + (xy 169.015294 53.617) (xy 169.015294 54.976268) (xy 169.013843 54.991) (xy 168.804706 54.991) (xy 168.804706 53.617) + (xy 168.79741 53.542923) (xy 168.775803 53.471693) (xy 168.740714 53.406047) (xy 168.693493 53.348507) (xy 168.635953 53.301286) + (xy 168.570307 53.266197) (xy 168.499077 53.24459) (xy 168.425 53.237294) (xy 168.125 53.237294) (xy 168.050923 53.24459) + (xy 167.979693 53.266197) (xy 167.914047 53.301286) (xy 167.856507 53.348507) (xy 167.809286 53.406047) (xy 167.774197 53.471693) + (xy 167.75259 53.542923) (xy 167.745294 53.617) (xy 167.745294 56.365) (xy 167.75259 56.439077) (xy 167.774197 56.510307) + (xy 167.809286 56.575953) (xy 167.856507 56.633493) (xy 167.868318 56.643186) (xy 167.85087 56.669298) (xy 167.814792 56.756397) + (xy 167.7964 56.848862) (xy 167.7964 56.943138) (xy 167.814792 57.035603) (xy 167.85087 57.122702) (xy 167.903247 57.20109) + (xy 167.96991 57.267753) (xy 167.971401 57.268749) (xy 167.9714 58.659096) (xy 167.969932 58.674) (xy 167.972544 58.700514) + (xy 167.975794 58.733515) (xy 167.993154 58.790743) (xy 168.021345 58.843486) (xy 168.059284 58.889716) (xy 168.070872 58.899226) + (xy 168.14544 58.973794) (xy 168.135397 58.975792) (xy 168.048298 59.01187) (xy 167.96991 59.064247) (xy 167.903247 59.13091) + (xy 167.895363 59.142709) (xy 167.893655 59.139513) (xy 167.855716 59.093284) (xy 167.844133 59.083779) (xy 167.3086 58.548246) + (xy 167.3086 57.268749) (xy 167.31009 57.267753) (xy 167.376753 57.20109) (xy 167.42913 57.122702) (xy 167.465208 57.035603) + (xy 167.4836 56.943138) (xy 167.4836 56.848862) (xy 167.465208 56.756397) (xy 167.42913 56.669298) (xy 167.411682 56.643186) + (xy 167.423493 56.633493) (xy 167.470714 56.575953) (xy 167.505803 56.510307) (xy 167.52741 56.439077) (xy 167.534706 56.365) + (xy 167.534706 53.617) (xy 167.52741 53.542923) (xy 167.505803 53.471693) (xy 167.470714 53.406047) (xy 167.423493 53.348507) + (xy 167.365953 53.301286) (xy 167.300307 53.266197) (xy 167.229077 53.24459) (xy 167.155 53.237294) (xy 166.855 53.237294) + (xy 166.780923 53.24459) (xy 166.709693 53.266197) (xy 166.644047 53.301286) (xy 166.586507 53.348507) (xy 166.539286 53.406047) + (xy 166.504197 53.471693) (xy 166.48259 53.542923) (xy 166.475294 53.617) (xy 166.475294 56.365) (xy 166.48259 56.439077) + (xy 166.504197 56.510307) (xy 166.539286 56.575953) (xy 166.586507 56.633493) (xy 166.598318 56.643186) (xy 166.58087 56.669298) + (xy 166.544792 56.756397) (xy 166.5264 56.848862) (xy 166.5264 56.943138) (xy 166.544792 57.035603) (xy 166.58087 57.122702) + (xy 166.633247 57.20109) (xy 166.69991 57.267753) (xy 166.701401 57.268749) (xy 166.7014 58.659096) (xy 166.699932 58.674) + (xy 166.702544 58.700514) (xy 166.705794 58.733515) (xy 166.723154 58.790743) (xy 166.751345 58.843486) (xy 166.789284 58.889716) + (xy 166.800872 58.899226) (xy 166.87544 58.973794) (xy 166.865397 58.975792) (xy 166.778298 59.01187) (xy 166.69991 59.064247) + (xy 166.633247 59.13091) (xy 166.625363 59.142709) (xy 166.623655 59.139513) (xy 166.585716 59.093284) (xy 166.574135 59.08378) + (xy 166.0386 58.548246) (xy 166.0386 57.268749) (xy 166.04009 57.267753) (xy 166.106753 57.20109) (xy 166.15913 57.122702) + (xy 166.195208 57.035603) (xy 166.2136 56.943138) (xy 166.2136 56.848862) (xy 166.195208 56.756397) (xy 166.15913 56.669298) + (xy 166.141682 56.643186) (xy 166.153493 56.633493) (xy 166.200714 56.575953) (xy 166.235803 56.510307) (xy 166.25741 56.439077) + (xy 166.264706 56.365) (xy 166.264706 53.617) (xy 166.25741 53.542923) (xy 166.235803 53.471693) (xy 166.200714 53.406047) + (xy 166.153493 53.348507) (xy 166.095953 53.301286) (xy 166.030307 53.266197) (xy 165.959077 53.24459) (xy 165.885 53.237294) + (xy 165.585 53.237294) (xy 165.510923 53.24459) (xy 165.439693 53.266197) (xy 165.374047 53.301286) (xy 165.316507 53.348507) + (xy 165.269286 53.406047) (xy 165.234197 53.471693) (xy 165.21259 53.542923) (xy 165.205294 53.617) (xy 165.205294 56.365) + (xy 165.21259 56.439077) (xy 165.234197 56.510307) (xy 165.269286 56.575953) (xy 165.316507 56.633493) (xy 165.328318 56.643186) + (xy 165.31087 56.669298) (xy 165.274792 56.756397) (xy 165.2564 56.848862) (xy 165.2564 56.943138) (xy 165.274792 57.035603) + (xy 165.31087 57.122702) (xy 165.363247 57.20109) (xy 165.42991 57.267753) (xy 165.4314 57.268749) (xy 165.431401 58.659086) + (xy 165.429932 58.674) (xy 165.435794 58.733515) (xy 165.441783 58.753256) (xy 165.453155 58.790744) (xy 165.481346 58.843487) + (xy 165.519285 58.889716) (xy 165.530867 58.899221) (xy 165.60544 58.973794) (xy 165.595397 58.975792) (xy 165.508298 59.01187) + (xy 165.42991 59.064247) (xy 165.363247 59.13091) (xy 165.31087 59.209298) (xy 165.274792 59.296397) (xy 165.272794 59.30644) + (xy 164.7686 58.802246) (xy 164.7686 56.71137) (xy 164.825953 56.680714) (xy 164.883493 56.633493) (xy 164.930714 56.575953) + (xy 164.965803 56.510307) (xy 164.98741 56.439077) (xy 164.994706 56.365) (xy 164.994706 53.617) (xy 164.98741 53.542923) + (xy 164.965803 53.471693) (xy 164.930714 53.406047) (xy 164.883493 53.348507) (xy 164.825953 53.301286) (xy 164.760307 53.266197) + (xy 164.689077 53.24459) (xy 164.615 53.237294) (xy 164.315 53.237294) (xy 164.240923 53.24459) (xy 164.169693 53.266197) + (xy 164.104047 53.301286) (xy 164.046507 53.348507) (xy 163.999286 53.406047) (xy 163.964197 53.471693) (xy 163.94259 53.542923) + (xy 163.935294 53.617) (xy 163.935294 56.365) (xy 163.94259 56.439077) (xy 163.964197 56.510307) (xy 163.999286 56.575953) + (xy 164.046507 56.633493) (xy 164.104047 56.680714) (xy 164.161401 56.711371) (xy 164.1614 58.913096) (xy 164.159932 58.928) + (xy 164.162243 58.951461) (xy 164.165794 58.987515) (xy 164.183154 59.044743) (xy 164.211345 59.097486) (xy 164.249284 59.143716) + (xy 164.260872 59.153226) (xy 164.707965 59.600319) (xy 164.689077 59.59459) (xy 164.615 59.587294) (xy 164.315 59.587294) + (xy 164.240923 59.59459) (xy 164.169693 59.616197) (xy 164.104047 59.651286) (xy 164.046507 59.698507) (xy 163.999286 59.756047) + (xy 163.964197 59.821693) (xy 163.94259 59.892923) (xy 163.935294 59.967) (xy 163.935294 62.715) (xy 163.94259 62.789077) + (xy 163.964197 62.860307) (xy 163.999286 62.925953) (xy 164.046507 62.983493) (xy 164.058318 62.993186) (xy 164.04087 63.019298) + (xy 164.004792 63.106397) (xy 163.9864 63.198862) (xy 163.9864 63.293138) (xy 164.004792 63.385603) (xy 164.04087 63.472702) + (xy 164.093247 63.55109) (xy 164.15991 63.617753) (xy 164.161401 63.618749) (xy 164.1614 64.136244) (xy 159.767246 68.5304) + (xy 158.891904 68.5304) (xy 158.877 68.528932) (xy 158.862096 68.5304) (xy 158.817484 68.534794) (xy 158.760256 68.552154) + (xy 158.707513 68.580345) (xy 158.661284 68.618284) (xy 158.651779 68.629866) (xy 157.910867 69.370779) (xy 157.899285 69.380284) + (xy 157.861346 69.426513) (xy 157.845282 69.456568) (xy 157.833155 69.479256) (xy 157.815794 69.536485) (xy 157.809932 69.596) + (xy 157.811401 69.610914) (xy 157.811401 69.71849) (xy 157.775307 69.699197) (xy 157.704077 69.67759) (xy 157.63 69.670294) + (xy 157.33 69.670294) (xy 157.255923 69.67759) (xy 157.184693 69.699197) (xy 157.119047 69.734286) (xy 157.061507 69.781507) + (xy 157.014286 69.839047) (xy 156.979197 69.904693) (xy 156.95759 69.975923) (xy 156.950294 70.05) (xy 156.950294 71.225) + (xy 156.95759 71.299077) (xy 156.979197 71.370307) (xy 157.014286 71.435953) (xy 157.061507 71.493493) (xy 157.072886 71.502831) + (xy 157.05587 71.528298) (xy 157.019792 71.615397) (xy 157.0014 71.707862) (xy 157.0014 71.802138) (xy 157.019792 71.894603) + (xy 157.05587 71.981702) (xy 157.108247 72.06009) (xy 157.17491 72.126753) (xy 157.176401 72.127749) (xy 157.176401 72.248086) + (xy 157.174932 72.263) (xy 157.177945 72.293591) (xy 156.68825 71.803896) (xy 156.6886 71.802138) (xy 156.6886 71.707862) + (xy 156.670208 71.615397) (xy 156.63413 71.528298) (xy 156.617114 71.502831) (xy 156.628493 71.493493) (xy 156.675714 71.435953) + (xy 156.710803 71.370307) (xy 156.73241 71.299077) (xy 156.739706 71.225) (xy 156.739706 70.05) (xy 156.73241 69.975923) + (xy 156.710803 69.904693) (xy 156.675714 69.839047) (xy 156.628493 69.781507) (xy 156.570953 69.734286) (xy 156.505307 69.699197) + (xy 156.434077 69.67759) (xy 156.36 69.670294) (xy 156.06 69.670294) (xy 155.985923 69.67759) (xy 155.914693 69.699197) + (xy 155.849047 69.734286) (xy 155.791507 69.781507) (xy 155.744286 69.839047) (xy 155.709197 69.904693) (xy 155.68759 69.975923) + (xy 155.680294 70.05) (xy 155.680294 71.225) (xy 155.68759 71.299077) (xy 155.709197 71.370307) (xy 155.744286 71.435953) + (xy 155.791507 71.493493) (xy 155.802886 71.502831) (xy 155.78587 71.528298) (xy 155.749792 71.615397) (xy 155.7314 71.707862) + (xy 155.7314 71.802138) (xy 155.749792 71.894603) (xy 155.78587 71.981702) (xy 155.838247 72.06009) (xy 155.864557 72.0864) + (xy 155.38637 72.0864) (xy 155.355714 72.029047) (xy 155.308493 71.971507) (xy 155.250953 71.924286) (xy 155.185307 71.889197) + (xy 155.114077 71.86759) (xy 155.04 71.860294) (xy 154.852394 71.860294) (xy 154.85613 71.854702) (xy 154.892208 71.767603) + (xy 154.9106 71.675138) (xy 154.9106 71.580862) (xy 154.892208 71.488397) (xy 154.85613 71.401298) (xy 154.803753 71.32291) + (xy 154.73709 71.256247) (xy 154.658702 71.20387) (xy 154.571603 71.167792) (xy 154.479138 71.1494) (xy 154.384862 71.1494) + (xy 154.292397 71.167792) (xy 154.205298 71.20387) (xy 154.12691 71.256247) (xy 154.060247 71.32291) (xy 154.00787 71.401298) + (xy 153.971792 71.488397) (xy 153.9534 71.580862) (xy 153.9534 71.675138) (xy 153.971792 71.767603) (xy 154.00787 71.854702) + (xy 154.011606 71.860294) (xy 153.865 71.860294) (xy 153.790923 71.86759) (xy 153.719693 71.889197) (xy 153.654047 71.924286) + (xy 153.596507 71.971507) (xy 153.549286 72.029047) (xy 153.514197 72.094693) (xy 153.49259 72.165923) (xy 153.485294 72.24) + (xy 153.485294 72.54) (xy 153.49259 72.614077) (xy 153.514197 72.685307) (xy 153.549286 72.750953) (xy 153.596507 72.808493) + (xy 153.654047 72.855714) (xy 153.719693 72.890803) (xy 153.790923 72.91241) (xy 153.865 72.919706) (xy 155.04 72.919706) + (xy 155.114077 72.91241) (xy 155.185307 72.890803) (xy 155.250953 72.855714) (xy 155.308493 72.808493) (xy 155.355714 72.750953) + (xy 155.38637 72.6936) (xy 156.211246 72.6936) (xy 162.891401 79.373756) (xy 162.891401 80.299558) (xy 162.86509 80.273247) + (xy 162.786702 80.22087) (xy 162.699603 80.184792) (xy 162.607138 80.1664) (xy 162.512862 80.1664) (xy 162.420397 80.184792) + (xy 162.333298 80.22087) (xy 162.25491 80.273247) (xy 162.2286 80.299557) (xy 162.2286 79.135904) (xy 162.230068 79.121) + (xy 162.224206 79.061484) (xy 162.212878 79.024141) (xy 162.206846 79.004256) (xy 162.178655 78.951513) (xy 162.140716 78.905284) + (xy 162.129134 78.895779) (xy 159.229226 75.995872) (xy 159.219716 75.984284) (xy 159.173487 75.946345) (xy 159.120744 75.918154) + (xy 159.063516 75.900794) (xy 159.018904 75.8964) (xy 159.004 75.894932) (xy 158.989096 75.8964) (xy 156.04048 75.8964) + (xy 156.035208 75.869897) (xy 155.99913 75.782798) (xy 155.946753 75.70441) (xy 155.88009 75.637747) (xy 155.801702 75.58537) + (xy 155.714603 75.549292) (xy 155.622138 75.5309) (xy 155.527862 75.5309) (xy 155.435397 75.549292) (xy 155.348298 75.58537) + (xy 155.26991 75.637747) (xy 155.203247 75.70441) (xy 155.201092 75.707635) (xy 155.185307 75.699197) (xy 155.114077 75.67759) + (xy 155.04 75.670294) (xy 153.865 75.670294) (xy 153.790923 75.67759) (xy 153.719693 75.699197) (xy 153.654047 75.734286) + (xy 153.596507 75.781507) (xy 153.549286 75.839047) (xy 153.514197 75.904693) (xy 153.49259 75.975923) (xy 153.485294 76.05) + (xy 153.485294 76.35) (xy 153.49259 76.424077) (xy 153.514197 76.495307) (xy 153.549286 76.560953) (xy 153.596507 76.618493) + (xy 153.654047 76.665714) (xy 153.719693 76.700803) (xy 153.790923 76.72241) (xy 153.865 76.729706) (xy 155.04 76.729706) + (xy 155.114077 76.72241) (xy 155.185307 76.700803) (xy 155.250953 76.665714) (xy 155.308493 76.618493) (xy 155.355714 76.560953) + (xy 155.385646 76.504955) (xy 155.399404 76.5036) (xy 155.444016 76.499206) (xy 155.499334 76.482425) (xy 155.527862 76.4881) + (xy 155.622138 76.4881) (xy 155.650666 76.482425) (xy 155.693131 76.495307) (xy 155.705984 76.499206) (xy 155.7655 76.505068) + (xy 155.780404 76.5036) (xy 158.878246 76.5036) (xy 161.621401 79.246756) (xy 161.621401 80.299558) (xy 161.59509 80.273247) + (xy 161.516702 80.22087) (xy 161.429603 80.184792) (xy 161.337138 80.1664) (xy 161.242862 80.1664) (xy 161.150397 80.184792) + (xy 161.063298 80.22087) (xy 160.98491 80.273247) (xy 160.918247 80.33991) (xy 160.86587 80.418298) (xy 160.829792 80.505397) + (xy 160.8114 80.597862) (xy 160.8114 80.692138) (xy 160.829792 80.784603) (xy 160.86587 80.871702) (xy 160.882886 80.897169) + (xy 160.871507 80.906507) (xy 160.824286 80.964047) (xy 160.789197 81.029693) (xy 160.76759 81.100923) (xy 160.760294 81.175) + (xy 160.760294 82.35) (xy 160.76759 82.424077) (xy 160.789197 82.495307) (xy 160.824286 82.560953) (xy 160.871507 82.618493) + (xy 160.929047 82.665714) (xy 160.9864 82.69637) (xy 160.9864 83.059244) (xy 160.783246 83.2624) (xy 154.573903 83.2624) + (xy 154.558999 83.260932) (xy 154.499484 83.266794) (xy 154.442256 83.284154) (xy 154.389513 83.312345) (xy 154.343284 83.350284) + (xy 154.333783 83.361861) (xy 153.337896 84.35775) (xy 153.336138 84.3574) (xy 153.241862 84.3574) (xy 153.149397 84.375792) + (xy 153.062298 84.41187) (xy 152.98391 84.464247) (xy 152.917247 84.53091) (xy 152.86487 84.609298) (xy 152.828792 84.696397) + (xy 152.8104 84.788862) (xy 152.8104 84.883138) (xy 152.828792 84.975603) (xy 152.86487 85.062702) (xy 152.917247 85.14109) + (xy 152.98391 85.207753) (xy 153.062298 85.26013) (xy 153.149397 85.296208) (xy 153.241862 85.3146) (xy 153.336138 85.3146) + (xy 153.428603 85.296208) (xy 153.515702 85.26013) (xy 153.59409 85.207753) (xy 153.660753 85.14109) (xy 153.71313 85.062702) + (xy 153.749208 84.975603) (xy 153.7676 84.883138) (xy 153.7676 84.788862) (xy 153.76725 84.787104) (xy 154.096794 84.45756) + (xy 154.098792 84.467603) (xy 154.13487 84.554702) (xy 154.187247 84.63309) (xy 154.25391 84.699753) (xy 154.332298 84.75213) + (xy 154.419397 84.788208) (xy 154.42944 84.790206) (xy 153.909396 85.31025) (xy 153.907638 85.3099) (xy 153.813362 85.3099) + (xy 153.720897 85.328292) (xy 153.633798 85.36437) (xy 153.55541 85.416747) (xy 153.488747 85.48341) (xy 153.43637 85.561798) + (xy 153.400292 85.648897) (xy 153.3819 85.741362) (xy 153.3819 85.835638) (xy 153.400292 85.928103) (xy 153.43637 86.015202) + (xy 153.488747 86.09359) (xy 153.55541 86.160253) (xy 153.633798 86.21263) (xy 153.720897 86.248708) (xy 153.73094 86.250706) + (xy 153.671246 86.3104) (xy 153.407749 86.3104) (xy 153.406753 86.30891) (xy 153.34009 86.242247) (xy 153.261702 86.18987) + (xy 153.174603 86.153792) (xy 153.082138 86.1354) (xy 152.987862 86.1354) (xy 152.895397 86.153792) (xy 152.808298 86.18987) + (xy 152.7536 86.226418) (xy 152.7536 82.106903) (xy 152.755068 82.091999) (xy 152.749206 82.032484) (xy 152.748608 82.030513) + (xy 152.731846 81.975256) (xy 152.703655 81.922513) (xy 152.665716 81.876284) (xy 152.654139 81.866783) (xy 150.647356 79.86) + (xy 153.485294 79.86) (xy 153.485294 80.16) (xy 153.49259 80.234077) (xy 153.514197 80.305307) (xy 153.549286 80.370953) + (xy 153.596507 80.428493) (xy 153.654047 80.475714) (xy 153.719693 80.510803) (xy 153.790923 80.53241) (xy 153.865 80.539706) + (xy 154.011606 80.539706) (xy 154.00787 80.545298) (xy 153.971792 80.632397) (xy 153.9534 80.724862) (xy 153.9534 80.819138) + (xy 153.971792 80.911603) (xy 154.00787 80.998702) (xy 154.060247 81.07709) (xy 154.12691 81.143753) (xy 154.205298 81.19613) + (xy 154.292397 81.232208) (xy 154.384862 81.2506) (xy 154.479138 81.2506) (xy 154.571603 81.232208) (xy 154.658702 81.19613) + (xy 154.690325 81.175) (xy 155.680294 81.175) (xy 155.680294 82.35) (xy 155.68759 82.424077) (xy 155.709197 82.495307) + (xy 155.744286 82.560953) (xy 155.791507 82.618493) (xy 155.849047 82.665714) (xy 155.914693 82.700803) (xy 155.985923 82.72241) + (xy 156.06 82.729706) (xy 156.36 82.729706) (xy 156.434077 82.72241) (xy 156.505307 82.700803) (xy 156.570953 82.665714) + (xy 156.628493 82.618493) (xy 156.675714 82.560953) (xy 156.710803 82.495307) (xy 156.73241 82.424077) (xy 156.739706 82.35) + (xy 156.739706 81.175) (xy 156.950294 81.175) (xy 156.950294 82.35) (xy 156.95759 82.424077) (xy 156.979197 82.495307) + (xy 157.014286 82.560953) (xy 157.061507 82.618493) (xy 157.119047 82.665714) (xy 157.184693 82.700803) (xy 157.255923 82.72241) + (xy 157.33 82.729706) (xy 157.63 82.729706) (xy 157.704077 82.72241) (xy 157.775307 82.700803) (xy 157.840953 82.665714) + (xy 157.898493 82.618493) (xy 157.945714 82.560953) (xy 157.980803 82.495307) (xy 158.00241 82.424077) (xy 158.009706 82.35) + (xy 158.009706 81.175) (xy 158.220294 81.175) (xy 158.220294 82.35) (xy 158.22759 82.424077) (xy 158.249197 82.495307) + (xy 158.284286 82.560953) (xy 158.331507 82.618493) (xy 158.389047 82.665714) (xy 158.454693 82.700803) (xy 158.525923 82.72241) + (xy 158.6 82.729706) (xy 158.9 82.729706) (xy 158.974077 82.72241) (xy 159.045307 82.700803) (xy 159.110953 82.665714) + (xy 159.168493 82.618493) (xy 159.215714 82.560953) (xy 159.250803 82.495307) (xy 159.27241 82.424077) (xy 159.279706 82.35) + (xy 159.279706 81.175) (xy 159.490294 81.175) (xy 159.490294 82.35) (xy 159.49759 82.424077) (xy 159.519197 82.495307) + (xy 159.554286 82.560953) (xy 159.601507 82.618493) (xy 159.659047 82.665714) (xy 159.724693 82.700803) (xy 159.795923 82.72241) + (xy 159.87 82.729706) (xy 160.17 82.729706) (xy 160.244077 82.72241) (xy 160.315307 82.700803) (xy 160.380953 82.665714) + (xy 160.438493 82.618493) (xy 160.485714 82.560953) (xy 160.520803 82.495307) (xy 160.54241 82.424077) (xy 160.549706 82.35) + (xy 160.549706 81.175) (xy 160.54241 81.100923) (xy 160.520803 81.029693) (xy 160.485714 80.964047) (xy 160.438493 80.906507) + (xy 160.427114 80.897169) (xy 160.44413 80.871702) (xy 160.480208 80.784603) (xy 160.4986 80.692138) (xy 160.4986 80.597862) + (xy 160.480208 80.505397) (xy 160.44413 80.418298) (xy 160.391753 80.33991) (xy 160.32509 80.273247) (xy 160.246702 80.22087) + (xy 160.159603 80.184792) (xy 160.067138 80.1664) (xy 159.972862 80.1664) (xy 159.880397 80.184792) (xy 159.793298 80.22087) + (xy 159.71491 80.273247) (xy 159.648247 80.33991) (xy 159.59587 80.418298) (xy 159.559792 80.505397) (xy 159.5414 80.597862) + (xy 159.5414 80.692138) (xy 159.559792 80.784603) (xy 159.59587 80.871702) (xy 159.612886 80.897169) (xy 159.601507 80.906507) + (xy 159.554286 80.964047) (xy 159.519197 81.029693) (xy 159.49759 81.100923) (xy 159.490294 81.175) (xy 159.279706 81.175) + (xy 159.27241 81.100923) (xy 159.250803 81.029693) (xy 159.215714 80.964047) (xy 159.168493 80.906507) (xy 159.157114 80.897169) + (xy 159.17413 80.871702) (xy 159.210208 80.784603) (xy 159.2286 80.692138) (xy 159.2286 80.597862) (xy 159.210208 80.505397) + (xy 159.17413 80.418298) (xy 159.121753 80.33991) (xy 159.05509 80.273247) (xy 158.976702 80.22087) (xy 158.889603 80.184792) + (xy 158.797138 80.1664) (xy 158.702862 80.1664) (xy 158.610397 80.184792) (xy 158.523298 80.22087) (xy 158.44491 80.273247) + (xy 158.378247 80.33991) (xy 158.32587 80.418298) (xy 158.289792 80.505397) (xy 158.2714 80.597862) (xy 158.2714 80.692138) + (xy 158.289792 80.784603) (xy 158.32587 80.871702) (xy 158.342886 80.897169) (xy 158.331507 80.906507) (xy 158.284286 80.964047) + (xy 158.249197 81.029693) (xy 158.22759 81.100923) (xy 158.220294 81.175) (xy 158.009706 81.175) (xy 158.00241 81.100923) + (xy 157.980803 81.029693) (xy 157.945714 80.964047) (xy 157.898493 80.906507) (xy 157.887114 80.897169) (xy 157.90413 80.871702) + (xy 157.940208 80.784603) (xy 157.9586 80.692138) (xy 157.9586 80.597862) (xy 157.940208 80.505397) (xy 157.90413 80.418298) + (xy 157.851753 80.33991) (xy 157.78509 80.273247) (xy 157.706702 80.22087) (xy 157.619603 80.184792) (xy 157.527138 80.1664) + (xy 157.432862 80.1664) (xy 157.340397 80.184792) (xy 157.253298 80.22087) (xy 157.17491 80.273247) (xy 157.108247 80.33991) + (xy 157.05587 80.418298) (xy 157.019792 80.505397) (xy 157.0014 80.597862) (xy 157.0014 80.692138) (xy 157.019792 80.784603) + (xy 157.05587 80.871702) (xy 157.072886 80.897169) (xy 157.061507 80.906507) (xy 157.014286 80.964047) (xy 156.979197 81.029693) + (xy 156.95759 81.100923) (xy 156.950294 81.175) (xy 156.739706 81.175) (xy 156.73241 81.100923) (xy 156.710803 81.029693) + (xy 156.675714 80.964047) (xy 156.628493 80.906507) (xy 156.617114 80.897169) (xy 156.63413 80.871702) (xy 156.670208 80.784603) + (xy 156.6886 80.692138) (xy 156.6886 80.597862) (xy 156.670208 80.505397) (xy 156.63413 80.418298) (xy 156.581753 80.33991) + (xy 156.51509 80.273247) (xy 156.436702 80.22087) (xy 156.349603 80.184792) (xy 156.257138 80.1664) (xy 156.162862 80.1664) + (xy 156.070397 80.184792) (xy 155.983298 80.22087) (xy 155.90491 80.273247) (xy 155.838247 80.33991) (xy 155.78587 80.418298) + (xy 155.749792 80.505397) (xy 155.7314 80.597862) (xy 155.7314 80.692138) (xy 155.749792 80.784603) (xy 155.78587 80.871702) + (xy 155.802886 80.897169) (xy 155.791507 80.906507) (xy 155.744286 80.964047) (xy 155.709197 81.029693) (xy 155.68759 81.100923) + (xy 155.680294 81.175) (xy 154.690325 81.175) (xy 154.73709 81.143753) (xy 154.803753 81.07709) (xy 154.85613 80.998702) + (xy 154.892208 80.911603) (xy 154.9106 80.819138) (xy 154.9106 80.724862) (xy 154.892208 80.632397) (xy 154.85613 80.545298) + (xy 154.852394 80.539706) (xy 155.04 80.539706) (xy 155.114077 80.53241) (xy 155.185307 80.510803) (xy 155.250953 80.475714) + (xy 155.308493 80.428493) (xy 155.355714 80.370953) (xy 155.390803 80.305307) (xy 155.41241 80.234077) (xy 155.419706 80.16) + (xy 155.419706 79.86) (xy 155.41241 79.785923) (xy 155.390803 79.714693) (xy 155.355714 79.649047) (xy 155.308493 79.591507) + (xy 155.250953 79.544286) (xy 155.185307 79.509197) (xy 155.114077 79.48759) (xy 155.04 79.480294) (xy 153.865 79.480294) + (xy 153.790923 79.48759) (xy 153.719693 79.509197) (xy 153.654047 79.544286) (xy 153.596507 79.591507) (xy 153.549286 79.649047) + (xy 153.514197 79.714693) (xy 153.49259 79.785923) (xy 153.485294 79.86) (xy 150.647356 79.86) (xy 149.377356 78.59) + (xy 153.485294 78.59) (xy 153.485294 78.89) (xy 153.49259 78.964077) (xy 153.514197 79.035307) (xy 153.549286 79.100953) + (xy 153.596507 79.158493) (xy 153.654047 79.205714) (xy 153.719693 79.240803) (xy 153.790923 79.26241) (xy 153.865 79.269706) + (xy 155.04 79.269706) (xy 155.114077 79.26241) (xy 155.185307 79.240803) (xy 155.250953 79.205714) (xy 155.308493 79.158493) + (xy 155.355714 79.100953) (xy 155.3706 79.073103) (xy 155.411798 79.10063) (xy 155.498897 79.136708) (xy 155.591362 79.1551) + (xy 155.685638 79.1551) (xy 155.778103 79.136708) (xy 155.865202 79.10063) (xy 155.94359 79.048253) (xy 156.010253 78.98159) + (xy 156.06263 78.903202) (xy 156.098708 78.816103) (xy 156.1171 78.723638) (xy 156.1171 78.629362) (xy 156.098708 78.536897) + (xy 156.06263 78.449798) (xy 156.010253 78.37141) (xy 155.94359 78.304747) (xy 155.865202 78.25237) (xy 155.778103 78.216292) + (xy 155.685638 78.1979) (xy 155.591362 78.1979) (xy 155.498897 78.216292) (xy 155.411798 78.25237) (xy 155.33341 78.304747) + (xy 155.31217 78.325987) (xy 155.308493 78.321507) (xy 155.250953 78.274286) (xy 155.185307 78.239197) (xy 155.114077 78.21759) + (xy 155.04 78.210294) (xy 153.865 78.210294) (xy 153.790923 78.21759) (xy 153.719693 78.239197) (xy 153.654047 78.274286) + (xy 153.596507 78.321507) (xy 153.549286 78.379047) (xy 153.514197 78.444693) (xy 153.49259 78.515923) (xy 153.485294 78.59) + (xy 149.377356 78.59) (xy 147.942356 77.155) (xy 148.422294 77.155) (xy 148.426708 77.199813) (xy 148.439779 77.242905) + (xy 148.461006 77.282618) (xy 148.489573 77.317427) (xy 148.524382 77.345994) (xy 148.564095 77.367221) (xy 148.607187 77.380292) + (xy 148.652 77.384706) (xy 149.22105 77.3836) (xy 149.2782 77.32645) (xy 149.2782 76.7038) (xy 149.4258 76.7038) + (xy 149.4258 77.32645) (xy 149.48295 77.3836) (xy 150.052 77.384706) (xy 150.096813 77.380292) (xy 150.139905 77.367221) + (xy 150.179618 77.345994) (xy 150.211291 77.32) (xy 153.485294 77.32) (xy 153.485294 77.62) (xy 153.49259 77.694077) + (xy 153.514197 77.765307) (xy 153.549286 77.830953) (xy 153.596507 77.888493) (xy 153.654047 77.935714) (xy 153.719693 77.970803) + (xy 153.790923 77.99241) (xy 153.865 77.999706) (xy 155.04 77.999706) (xy 155.114077 77.99241) (xy 155.185307 77.970803) + (xy 155.247882 77.937356) (xy 155.266747 77.96559) (xy 155.33341 78.032253) (xy 155.411798 78.08463) (xy 155.498897 78.120708) + (xy 155.591362 78.1391) (xy 155.685638 78.1391) (xy 155.778103 78.120708) (xy 155.865202 78.08463) (xy 155.94359 78.032253) + (xy 156.010253 77.96559) (xy 156.06263 77.887202) (xy 156.098708 77.800103) (xy 156.1171 77.707638) (xy 156.1171 77.613362) + (xy 156.098708 77.520897) (xy 156.06263 77.433798) (xy 156.010253 77.35541) (xy 155.94359 77.288747) (xy 155.865202 77.23637) + (xy 155.778103 77.200292) (xy 155.685638 77.1819) (xy 155.591362 77.1819) (xy 155.562834 77.187575) (xy 155.507516 77.170794) + (xy 155.462904 77.1664) (xy 155.448 77.164932) (xy 155.433096 77.1664) (xy 155.38637 77.1664) (xy 155.355714 77.109047) + (xy 155.308493 77.051507) (xy 155.250953 77.004286) (xy 155.185307 76.969197) (xy 155.114077 76.94759) (xy 155.04 76.940294) + (xy 153.865 76.940294) (xy 153.790923 76.94759) (xy 153.719693 76.969197) (xy 153.654047 77.004286) (xy 153.596507 77.051507) + (xy 153.549286 77.109047) (xy 153.514197 77.174693) (xy 153.49259 77.245923) (xy 153.485294 77.32) (xy 150.211291 77.32) + (xy 150.214427 77.317427) (xy 150.242994 77.282618) (xy 150.264221 77.242905) (xy 150.277292 77.199813) (xy 150.281706 77.155) + (xy 150.2806 76.76095) (xy 150.22345 76.7038) (xy 149.4258 76.7038) (xy 149.2782 76.7038) (xy 148.48055 76.7038) + (xy 148.4234 76.76095) (xy 148.422294 77.155) (xy 147.942356 77.155) (xy 146.892356 76.105) (xy 148.422294 76.105) + (xy 148.4234 76.49905) (xy 148.48055 76.5562) (xy 149.2782 76.5562) (xy 149.2782 75.93355) (xy 149.4258 75.93355) + (xy 149.4258 76.5562) (xy 150.22345 76.5562) (xy 150.2806 76.49905) (xy 150.281706 76.105) (xy 150.277292 76.060187) + (xy 150.264221 76.017095) (xy 150.242994 75.977382) (xy 150.214427 75.942573) (xy 150.179618 75.914006) (xy 150.139905 75.892779) + (xy 150.096813 75.879708) (xy 150.052 75.875294) (xy 149.48295 75.8764) (xy 149.4258 75.93355) (xy 149.2782 75.93355) + (xy 149.22105 75.8764) (xy 148.652 75.875294) (xy 148.607187 75.879708) (xy 148.564095 75.892779) (xy 148.524382 75.914006) + (xy 148.489573 75.942573) (xy 148.461006 75.977382) (xy 148.439779 76.017095) (xy 148.426708 76.060187) (xy 148.422294 76.105) + (xy 146.892356 76.105) (xy 145.907855 75.1205) (xy 147.677843 75.1205) (xy 147.6804 75.146462) (xy 147.6804 75.172563) + (xy 147.685492 75.198165) (xy 147.688049 75.224123) (xy 147.69562 75.24908) (xy 147.700713 75.274687) (xy 147.710706 75.298811) + (xy 147.718275 75.323764) (xy 147.730567 75.346761) (xy 147.74056 75.370886) (xy 147.755067 75.392597) (xy 147.767359 75.415594) + (xy 147.783901 75.43575) (xy 147.798409 75.457463) (xy 147.816875 75.475929) (xy 147.833416 75.496084) (xy 147.853571 75.512625) + (xy 147.872037 75.531091) (xy 147.89375 75.545599) (xy 147.913906 75.562141) (xy 147.936903 75.574433) (xy 147.958614 75.58894) + (xy 147.982739 75.598933) (xy 148.005736 75.611225) (xy 148.030689 75.618794) (xy 148.054813 75.628787) (xy 148.08042 75.63388) + (xy 148.105377 75.641451) (xy 148.131335 75.644008) (xy 148.156937 75.6491) (xy 148.183038 75.6491) (xy 148.209 75.651657) + (xy 148.234962 75.6491) (xy 148.261063 75.6491) (xy 148.286665 75.644008) (xy 148.312623 75.641451) (xy 148.33758 75.63388) + (xy 148.363187 75.628787) (xy 148.387311 75.618794) (xy 148.412264 75.611225) (xy 148.435261 75.598933) (xy 148.459386 75.58894) + (xy 148.481097 75.574433) (xy 148.504094 75.562141) (xy 148.524251 75.545599) (xy 148.545963 75.531091) (xy 148.55296 75.524094) + (xy 148.566458 75.540542) (xy 148.641045 75.601754) (xy 148.726141 75.647239) (xy 148.818475 75.675248) (xy 148.9145 75.684706) + (xy 149.7895 75.684706) (xy 149.885525 75.675248) (xy 149.977859 75.647239) (xy 150.062955 75.601754) (xy 150.137542 75.540542) + (xy 150.198754 75.465955) (xy 150.202685 75.4586) (xy 153.853771 75.4586) (xy 153.865 75.459706) (xy 155.04 75.459706) + (xy 155.051229 75.4586) (xy 155.690563 75.4586) (xy 155.716164 75.453508) (xy 155.742124 75.450951) (xy 155.767082 75.44338) + (xy 155.792687 75.438287) (xy 155.816809 75.428296) (xy 155.841765 75.420725) (xy 155.864765 75.408431) (xy 155.888886 75.39844) + (xy 155.910593 75.383936) (xy 155.933595 75.371641) (xy 155.953757 75.355094) (xy 155.975463 75.340591) (xy 155.993921 75.322133) + (xy 156.014085 75.305585) (xy 156.030636 75.285418) (xy 156.049091 75.266963) (xy 156.063594 75.245257) (xy 156.080141 75.225095) + (xy 156.092436 75.202093) (xy 156.10694 75.180386) (xy 156.116931 75.156265) (xy 156.129225 75.133265) (xy 156.136796 75.108309) + (xy 156.146787 75.084187) (xy 156.15188 75.058582) (xy 156.159451 75.033624) (xy 156.162008 75.007664) (xy 156.1671 74.982063) + (xy 156.1671 74.955961) (xy 156.169657 74.93) (xy 156.1671 74.904038) (xy 156.1671 74.877937) (xy 156.162008 74.852336) + (xy 156.159451 74.826376) (xy 156.15188 74.801418) (xy 156.146787 74.775813) (xy 156.136796 74.751691) (xy 156.129225 74.726735) + (xy 156.116931 74.703735) (xy 156.10694 74.679614) (xy 156.092436 74.657907) (xy 156.080141 74.634905) (xy 156.063594 74.614743) + (xy 156.049091 74.593037) (xy 156.030633 74.574579) (xy 156.014085 74.554415) (xy 155.99392 74.537866) (xy 155.975463 74.519409) + (xy 155.953757 74.504906) (xy 155.933595 74.488359) (xy 155.910593 74.476064) (xy 155.888886 74.46156) (xy 155.864765 74.451569) + (xy 155.841765 74.439275) (xy 155.816809 74.431704) (xy 155.792687 74.421713) (xy 155.767082 74.41662) (xy 155.742124 74.409049) + (xy 155.716164 74.406492) (xy 155.690563 74.4014) (xy 155.051229 74.4014) (xy 155.04 74.400294) (xy 153.865 74.400294) + (xy 153.853771 74.4014) (xy 150.202685 74.4014) (xy 150.198754 74.394045) (xy 150.137542 74.319458) (xy 150.062955 74.258246) + (xy 149.977859 74.212761) (xy 149.885525 74.184752) (xy 149.7895 74.175294) (xy 148.9145 74.175294) (xy 148.818475 74.184752) + (xy 148.726141 74.212761) (xy 148.641045 74.258246) (xy 148.566458 74.319458) (xy 148.505246 74.394045) (xy 148.501315 74.4014) + (xy 148.425462 74.4014) (xy 148.3995 74.398843) (xy 148.373538 74.4014) (xy 148.295876 74.409049) (xy 148.196235 74.439275) + (xy 148.104405 74.488359) (xy 148.023915 74.554415) (xy 148.007358 74.57459) (xy 147.872043 74.709905) (xy 147.872037 74.709909) + (xy 147.798409 74.783537) (xy 147.783901 74.805249) (xy 147.767359 74.825406) (xy 147.755067 74.848403) (xy 147.74056 74.870114) + (xy 147.730567 74.894239) (xy 147.718275 74.917236) (xy 147.710706 74.942189) (xy 147.700713 74.966313) (xy 147.69562 74.99192) + (xy 147.688049 75.016877) (xy 147.685492 75.042835) (xy 147.6804 75.068437) (xy 147.6804 75.094538) (xy 147.677843 75.1205) + (xy 145.907855 75.1205) (xy 144.9566 74.169246) (xy 144.9566 73.517612) (xy 152.27065 73.517612) (xy 152.27065 73.611888) + (xy 152.289042 73.704353) (xy 152.32512 73.791452) (xy 152.377497 73.86984) (xy 152.44416 73.936503) (xy 152.522548 73.98888) + (xy 152.609647 74.024958) (xy 152.702112 74.04335) (xy 152.796388 74.04335) (xy 152.888853 74.024958) (xy 152.975952 73.98888) + (xy 153.013786 73.9636) (xy 153.51863 73.9636) (xy 153.549286 74.020953) (xy 153.596507 74.078493) (xy 153.654047 74.125714) + (xy 153.719693 74.160803) (xy 153.790923 74.18241) (xy 153.865 74.189706) (xy 155.04 74.189706) (xy 155.114077 74.18241) + (xy 155.185307 74.160803) (xy 155.250953 74.125714) (xy 155.308493 74.078493) (xy 155.355714 74.020953) (xy 155.390803 73.955307) + (xy 155.41241 73.884077) (xy 155.419706 73.81) (xy 155.419706 73.51) (xy 155.41241 73.435923) (xy 155.390803 73.364693) + (xy 155.355714 73.299047) (xy 155.308493 73.241507) (xy 155.250953 73.194286) (xy 155.185307 73.159197) (xy 155.114077 73.13759) + (xy 155.04 73.130294) (xy 153.865 73.130294) (xy 153.790923 73.13759) (xy 153.719693 73.159197) (xy 153.654047 73.194286) + (xy 153.596507 73.241507) (xy 153.549286 73.299047) (xy 153.51863 73.3564) (xy 153.180982 73.3564) (xy 153.17338 73.338048) + (xy 153.121003 73.25966) (xy 153.05434 73.192997) (xy 152.975952 73.14062) (xy 152.888853 73.104542) (xy 152.796388 73.08615) + (xy 152.702112 73.08615) (xy 152.609647 73.104542) (xy 152.522548 73.14062) (xy 152.44416 73.192997) (xy 152.377497 73.25966) + (xy 152.32512 73.338048) (xy 152.289042 73.425147) (xy 152.27065 73.517612) (xy 144.9566 73.517612) (xy 144.9566 72.785904) + (xy 144.958068 72.771) (xy 144.952206 72.711484) (xy 144.948481 72.699206) (xy 144.934846 72.654256) (xy 144.906655 72.601513) + (xy 144.868716 72.555284) (xy 144.857134 72.545779) (xy 142.744726 70.433372) (xy 142.735216 70.421784) (xy 142.688987 70.383845) + (xy 142.636244 70.355654) (xy 142.579016 70.338294) (xy 142.534404 70.3339) (xy 142.5195 70.332432) (xy 142.504596 70.3339) + (xy 141.499706 70.3339) (xy 141.499706 70.05) (xy 141.49241 69.975923) (xy 141.470803 69.904693) (xy 141.435714 69.839047) + (xy 141.388493 69.781507) (xy 141.330953 69.734286) (xy 141.2736 69.70363) (xy 141.2736 65.911754) (xy 143.714139 63.471217) + (xy 143.725716 63.461716) (xy 143.763655 63.415487) (xy 143.791846 63.362744) (xy 143.809206 63.305516) (xy 143.8136 63.260904) + (xy 143.8136 63.260903) (xy 143.815068 63.246001) (xy 143.8136 63.231096) (xy 143.8136 63.091613) (xy 143.845 63.094706) + (xy 144.01405 63.0936) (xy 144.0712 63.03645) (xy 144.0712 61.4148) (xy 144.2188 61.4148) (xy 144.2188 63.03645) + (xy 144.27595 63.0936) (xy 144.445 63.094706) (xy 144.489813 63.090292) (xy 144.532905 63.077221) (xy 144.572618 63.055994) + (xy 144.607427 63.027427) (xy 144.635994 62.992618) (xy 144.657221 62.952905) (xy 144.670292 62.909813) (xy 144.674706 62.865) + (xy 152.505294 62.865) (xy 152.509708 62.909813) (xy 152.522779 62.952905) (xy 152.544006 62.992618) (xy 152.572573 63.027427) + (xy 152.607382 63.055994) (xy 152.647095 63.077221) (xy 152.690187 63.090292) (xy 152.735 63.094706) (xy 152.90405 63.0936) + (xy 152.9612 63.03645) (xy 152.9612 61.4148) (xy 153.1088 61.4148) (xy 153.1088 63.03645) (xy 153.16595 63.0936) + (xy 153.335 63.094706) (xy 153.379813 63.090292) (xy 153.422905 63.077221) (xy 153.462618 63.055994) (xy 153.497427 63.027427) + (xy 153.525994 62.992618) (xy 153.547221 62.952905) (xy 153.560292 62.909813) (xy 153.564706 62.865) (xy 153.5636 61.47195) + (xy 153.50645 61.4148) (xy 153.1088 61.4148) (xy 152.9612 61.4148) (xy 152.56355 61.4148) (xy 152.5064 61.47195) + (xy 152.505294 62.865) (xy 144.674706 62.865) (xy 144.6736 61.47195) (xy 144.61645 61.4148) (xy 144.2188 61.4148) + (xy 144.0712 61.4148) (xy 144.0512 61.4148) (xy 144.0512 61.2672) (xy 144.0712 61.2672) (xy 144.0712 59.64555) + (xy 144.2188 59.64555) (xy 144.2188 61.2672) (xy 144.61645 61.2672) (xy 144.6736 61.21005) (xy 144.674706 59.817) + (xy 152.505294 59.817) (xy 152.5064 61.21005) (xy 152.56355 61.2672) (xy 152.9612 61.2672) (xy 152.9612 59.64555) + (xy 153.1088 59.64555) (xy 153.1088 61.2672) (xy 153.50645 61.2672) (xy 153.5636 61.21005) (xy 153.564586 59.967) + (xy 153.775294 59.967) (xy 153.775294 62.715) (xy 153.78259 62.789077) (xy 153.804197 62.860307) (xy 153.839286 62.925953) + (xy 153.886507 62.983493) (xy 153.944047 63.030714) (xy 154.009693 63.065803) (xy 154.080923 63.08741) (xy 154.155 63.094706) + (xy 154.455 63.094706) (xy 154.529077 63.08741) (xy 154.600307 63.065803) (xy 154.665953 63.030714) (xy 154.723493 62.983493) + (xy 154.770714 62.925953) (xy 154.805803 62.860307) (xy 154.82741 62.789077) (xy 154.834706 62.715) (xy 154.834706 59.967) + (xy 155.045294 59.967) (xy 155.045294 62.715) (xy 155.05259 62.789077) (xy 155.074197 62.860307) (xy 155.109286 62.925953) + (xy 155.156507 62.983493) (xy 155.214047 63.030714) (xy 155.279693 63.065803) (xy 155.350923 63.08741) (xy 155.425 63.094706) + (xy 155.725 63.094706) (xy 155.799077 63.08741) (xy 155.870307 63.065803) (xy 155.935953 63.030714) (xy 155.993493 62.983493) + (xy 156.040714 62.925953) (xy 156.075803 62.860307) (xy 156.09741 62.789077) (xy 156.104706 62.715) (xy 156.104706 59.967) + (xy 156.315294 59.967) (xy 156.315294 62.715) (xy 156.32259 62.789077) (xy 156.344197 62.860307) (xy 156.379286 62.925953) + (xy 156.426507 62.983493) (xy 156.484047 63.030714) (xy 156.549693 63.065803) (xy 156.620923 63.08741) (xy 156.695 63.094706) + (xy 156.995 63.094706) (xy 157.069077 63.08741) (xy 157.140307 63.065803) (xy 157.205953 63.030714) (xy 157.263493 62.983493) + (xy 157.310714 62.925953) (xy 157.345803 62.860307) (xy 157.36741 62.789077) (xy 157.374706 62.715) (xy 157.374706 59.967) + (xy 157.585294 59.967) (xy 157.585294 62.715) (xy 157.59259 62.789077) (xy 157.614197 62.860307) (xy 157.649286 62.925953) + (xy 157.696507 62.983493) (xy 157.754047 63.030714) (xy 157.819693 63.065803) (xy 157.890923 63.08741) (xy 157.965 63.094706) + (xy 158.265 63.094706) (xy 158.339077 63.08741) (xy 158.410307 63.065803) (xy 158.475953 63.030714) (xy 158.533493 62.983493) + (xy 158.580714 62.925953) (xy 158.615803 62.860307) (xy 158.63741 62.789077) (xy 158.644706 62.715) (xy 158.644706 59.967) + (xy 158.855294 59.967) (xy 158.855294 62.715) (xy 158.86259 62.789077) (xy 158.884197 62.860307) (xy 158.919286 62.925953) + (xy 158.966507 62.983493) (xy 159.024047 63.030714) (xy 159.089693 63.065803) (xy 159.160923 63.08741) (xy 159.235 63.094706) + (xy 159.535 63.094706) (xy 159.609077 63.08741) (xy 159.680307 63.065803) (xy 159.745953 63.030714) (xy 159.803493 62.983493) + (xy 159.850714 62.925953) (xy 159.885803 62.860307) (xy 159.90741 62.789077) (xy 159.914706 62.715) (xy 159.914706 59.967) + (xy 160.125294 59.967) (xy 160.125294 62.715) (xy 160.13259 62.789077) (xy 160.154197 62.860307) (xy 160.189286 62.925953) + (xy 160.236507 62.983493) (xy 160.294047 63.030714) (xy 160.359693 63.065803) (xy 160.430923 63.08741) (xy 160.505 63.094706) + (xy 160.805 63.094706) (xy 160.879077 63.08741) (xy 160.950307 63.065803) (xy 161.015953 63.030714) (xy 161.073493 62.983493) + (xy 161.120714 62.925953) (xy 161.155803 62.860307) (xy 161.17741 62.789077) (xy 161.184706 62.715) (xy 161.184706 59.967) + (xy 161.395294 59.967) (xy 161.395294 62.715) (xy 161.40259 62.789077) (xy 161.424197 62.860307) (xy 161.459286 62.925953) + (xy 161.506507 62.983493) (xy 161.564047 63.030714) (xy 161.629693 63.065803) (xy 161.700923 63.08741) (xy 161.775 63.094706) + (xy 162.075 63.094706) (xy 162.149077 63.08741) (xy 162.220307 63.065803) (xy 162.285953 63.030714) (xy 162.343493 62.983493) + (xy 162.390714 62.925953) (xy 162.425803 62.860307) (xy 162.44741 62.789077) (xy 162.454706 62.715) (xy 162.454706 59.967) + (xy 162.665294 59.967) (xy 162.665294 62.715) (xy 162.67259 62.789077) (xy 162.694197 62.860307) (xy 162.729286 62.925953) + (xy 162.776507 62.983493) (xy 162.834047 63.030714) (xy 162.899693 63.065803) (xy 162.970923 63.08741) (xy 163.045 63.094706) + (xy 163.345 63.094706) (xy 163.419077 63.08741) (xy 163.490307 63.065803) (xy 163.555953 63.030714) (xy 163.613493 62.983493) + (xy 163.660714 62.925953) (xy 163.695803 62.860307) (xy 163.71741 62.789077) (xy 163.724706 62.715) (xy 163.724706 59.967) + (xy 163.71741 59.892923) (xy 163.695803 59.821693) (xy 163.660714 59.756047) (xy 163.613493 59.698507) (xy 163.555953 59.651286) + (xy 163.4986 59.62063) (xy 163.4986 58.284749) (xy 163.50009 58.283753) (xy 163.566753 58.21709) (xy 163.61913 58.138702) + (xy 163.655208 58.051603) (xy 163.6736 57.959138) (xy 163.6736 57.864862) (xy 163.655208 57.772397) (xy 163.61913 57.685298) + (xy 163.566753 57.60691) (xy 163.50009 57.540247) (xy 163.421702 57.48787) (xy 163.334603 57.451792) (xy 163.242138 57.4334) + (xy 163.147862 57.4334) (xy 163.055397 57.451792) (xy 162.968298 57.48787) (xy 162.88991 57.540247) (xy 162.823247 57.60691) + (xy 162.77087 57.685298) (xy 162.734792 57.772397) (xy 162.7164 57.864862) (xy 162.7164 57.959138) (xy 162.734792 58.051603) + (xy 162.77087 58.138702) (xy 162.823247 58.21709) (xy 162.88991 58.283753) (xy 162.891401 58.284749) (xy 162.891401 59.620629) + (xy 162.834047 59.651286) (xy 162.776507 59.698507) (xy 162.729286 59.756047) (xy 162.694197 59.821693) (xy 162.67259 59.892923) + (xy 162.665294 59.967) (xy 162.454706 59.967) (xy 162.44741 59.892923) (xy 162.425803 59.821693) (xy 162.390714 59.756047) + (xy 162.343493 59.698507) (xy 162.331682 59.688814) (xy 162.34913 59.662702) (xy 162.385208 59.575603) (xy 162.4036 59.483138) + (xy 162.4036 59.388862) (xy 162.385208 59.296397) (xy 162.34913 59.209298) (xy 162.296753 59.13091) (xy 162.23009 59.064247) + (xy 162.151702 59.01187) (xy 162.064603 58.975792) (xy 161.972138 58.9574) (xy 161.877862 58.9574) (xy 161.785397 58.975792) + (xy 161.698298 59.01187) (xy 161.61991 59.064247) (xy 161.553247 59.13091) (xy 161.50087 59.209298) (xy 161.464792 59.296397) + (xy 161.4464 59.388862) (xy 161.4464 59.483138) (xy 161.464792 59.575603) (xy 161.50087 59.662702) (xy 161.518318 59.688814) + (xy 161.506507 59.698507) (xy 161.459286 59.756047) (xy 161.424197 59.821693) (xy 161.40259 59.892923) (xy 161.395294 59.967) + (xy 161.184706 59.967) (xy 161.17741 59.892923) (xy 161.155803 59.821693) (xy 161.120714 59.756047) (xy 161.073493 59.698507) + (xy 161.061682 59.688814) (xy 161.07913 59.662702) (xy 161.115208 59.575603) (xy 161.1336 59.483138) (xy 161.1336 59.388862) + (xy 161.115208 59.296397) (xy 161.07913 59.209298) (xy 161.026753 59.13091) (xy 160.96009 59.064247) (xy 160.881702 59.01187) + (xy 160.794603 58.975792) (xy 160.702138 58.9574) (xy 160.607862 58.9574) (xy 160.515397 58.975792) (xy 160.428298 59.01187) + (xy 160.34991 59.064247) (xy 160.283247 59.13091) (xy 160.23087 59.209298) (xy 160.194792 59.296397) (xy 160.1764 59.388862) + (xy 160.1764 59.483138) (xy 160.194792 59.575603) (xy 160.23087 59.662702) (xy 160.248318 59.688814) (xy 160.236507 59.698507) + (xy 160.189286 59.756047) (xy 160.154197 59.821693) (xy 160.13259 59.892923) (xy 160.125294 59.967) (xy 159.914706 59.967) + (xy 159.90741 59.892923) (xy 159.885803 59.821693) (xy 159.850714 59.756047) (xy 159.803493 59.698507) (xy 159.791682 59.688814) + (xy 159.80913 59.662702) (xy 159.845208 59.575603) (xy 159.8636 59.483138) (xy 159.8636 59.388862) (xy 159.845208 59.296397) + (xy 159.80913 59.209298) (xy 159.756753 59.13091) (xy 159.69009 59.064247) (xy 159.611702 59.01187) (xy 159.524603 58.975792) + (xy 159.432138 58.9574) (xy 159.337862 58.9574) (xy 159.245397 58.975792) (xy 159.158298 59.01187) (xy 159.07991 59.064247) + (xy 159.013247 59.13091) (xy 158.96087 59.209298) (xy 158.924792 59.296397) (xy 158.9064 59.388862) (xy 158.9064 59.483138) + (xy 158.924792 59.575603) (xy 158.96087 59.662702) (xy 158.978318 59.688814) (xy 158.966507 59.698507) (xy 158.919286 59.756047) + (xy 158.884197 59.821693) (xy 158.86259 59.892923) (xy 158.855294 59.967) (xy 158.644706 59.967) (xy 158.63741 59.892923) + (xy 158.615803 59.821693) (xy 158.580714 59.756047) (xy 158.533493 59.698507) (xy 158.521682 59.688814) (xy 158.53913 59.662702) + (xy 158.575208 59.575603) (xy 158.5936 59.483138) (xy 158.5936 59.388862) (xy 158.575208 59.296397) (xy 158.53913 59.209298) + (xy 158.486753 59.13091) (xy 158.42009 59.064247) (xy 158.341702 59.01187) (xy 158.254603 58.975792) (xy 158.162138 58.9574) + (xy 158.067862 58.9574) (xy 157.975397 58.975792) (xy 157.888298 59.01187) (xy 157.80991 59.064247) (xy 157.743247 59.13091) + (xy 157.69087 59.209298) (xy 157.654792 59.296397) (xy 157.6364 59.388862) (xy 157.6364 59.483138) (xy 157.654792 59.575603) + (xy 157.69087 59.662702) (xy 157.708318 59.688814) (xy 157.696507 59.698507) (xy 157.649286 59.756047) (xy 157.614197 59.821693) + (xy 157.59259 59.892923) (xy 157.585294 59.967) (xy 157.374706 59.967) (xy 157.36741 59.892923) (xy 157.345803 59.821693) + (xy 157.310714 59.756047) (xy 157.263493 59.698507) (xy 157.251682 59.688814) (xy 157.26913 59.662702) (xy 157.305208 59.575603) + (xy 157.3236 59.483138) (xy 157.3236 59.388862) (xy 157.305208 59.296397) (xy 157.26913 59.209298) (xy 157.216753 59.13091) + (xy 157.15009 59.064247) (xy 157.071702 59.01187) (xy 156.984603 58.975792) (xy 156.892138 58.9574) (xy 156.797862 58.9574) + (xy 156.705397 58.975792) (xy 156.618298 59.01187) (xy 156.53991 59.064247) (xy 156.473247 59.13091) (xy 156.42087 59.209298) + (xy 156.384792 59.296397) (xy 156.3664 59.388862) (xy 156.3664 59.483138) (xy 156.384792 59.575603) (xy 156.42087 59.662702) + (xy 156.438318 59.688814) (xy 156.426507 59.698507) (xy 156.379286 59.756047) (xy 156.344197 59.821693) (xy 156.32259 59.892923) + (xy 156.315294 59.967) (xy 156.104706 59.967) (xy 156.09741 59.892923) (xy 156.075803 59.821693) (xy 156.040714 59.756047) + (xy 155.993493 59.698507) (xy 155.981682 59.688814) (xy 155.99913 59.662702) (xy 156.035208 59.575603) (xy 156.0536 59.483138) + (xy 156.0536 59.388862) (xy 156.035208 59.296397) (xy 155.99913 59.209298) (xy 155.946753 59.13091) (xy 155.88009 59.064247) + (xy 155.801702 59.01187) (xy 155.714603 58.975792) (xy 155.622138 58.9574) (xy 155.527862 58.9574) (xy 155.435397 58.975792) + (xy 155.348298 59.01187) (xy 155.26991 59.064247) (xy 155.203247 59.13091) (xy 155.15087 59.209298) (xy 155.114792 59.296397) + (xy 155.0964 59.388862) (xy 155.0964 59.483138) (xy 155.114792 59.575603) (xy 155.15087 59.662702) (xy 155.168318 59.688814) + (xy 155.156507 59.698507) (xy 155.109286 59.756047) (xy 155.074197 59.821693) (xy 155.05259 59.892923) (xy 155.045294 59.967) + (xy 154.834706 59.967) (xy 154.82741 59.892923) (xy 154.805803 59.821693) (xy 154.770714 59.756047) (xy 154.723493 59.698507) + (xy 154.711682 59.688814) (xy 154.72913 59.662702) (xy 154.765208 59.575603) (xy 154.7836 59.483138) (xy 154.7836 59.388862) + (xy 154.765208 59.296397) (xy 154.72913 59.209298) (xy 154.676753 59.13091) (xy 154.61009 59.064247) (xy 154.531702 59.01187) + (xy 154.444603 58.975792) (xy 154.352138 58.9574) (xy 154.257862 58.9574) (xy 154.165397 58.975792) (xy 154.078298 59.01187) + (xy 153.99991 59.064247) (xy 153.933247 59.13091) (xy 153.88087 59.209298) (xy 153.844792 59.296397) (xy 153.8264 59.388862) + (xy 153.8264 59.483138) (xy 153.844792 59.575603) (xy 153.88087 59.662702) (xy 153.898318 59.688814) (xy 153.886507 59.698507) + (xy 153.839286 59.756047) (xy 153.804197 59.821693) (xy 153.78259 59.892923) (xy 153.775294 59.967) (xy 153.564586 59.967) + (xy 153.564706 59.817) (xy 153.560292 59.772187) (xy 153.547221 59.729095) (xy 153.525994 59.689382) (xy 153.497427 59.654573) + (xy 153.462618 59.626006) (xy 153.422905 59.604779) (xy 153.379813 59.591708) (xy 153.335 59.587294) (xy 153.16595 59.5884) + (xy 153.1088 59.64555) (xy 152.9612 59.64555) (xy 152.90405 59.5884) (xy 152.735 59.587294) (xy 152.690187 59.591708) + (xy 152.647095 59.604779) (xy 152.607382 59.626006) (xy 152.572573 59.654573) (xy 152.544006 59.689382) (xy 152.522779 59.729095) + (xy 152.509708 59.772187) (xy 152.505294 59.817) (xy 144.674706 59.817) (xy 144.670292 59.772187) (xy 144.657221 59.729095) + (xy 144.635994 59.689382) (xy 144.607427 59.654573) (xy 144.572618 59.626006) (xy 144.532905 59.604779) (xy 144.489813 59.591708) + (xy 144.445 59.587294) (xy 144.27595 59.5884) (xy 144.2188 59.64555) (xy 144.0712 59.64555) (xy 144.01405 59.5884) + (xy 143.845 59.587294) (xy 143.8136 59.590387) (xy 143.8136 59.577904) (xy 143.815068 59.563) (xy 143.809206 59.503484) + (xy 143.795868 59.459516) (xy 143.791846 59.446256) (xy 143.763655 59.393513) (xy 143.725716 59.347284) (xy 143.714135 59.33778) + (xy 143.1786 58.802246) (xy 143.1786 56.71137) (xy 143.235953 56.680714) (xy 143.293493 56.633493) (xy 143.340714 56.575953) + (xy 143.375803 56.510307) (xy 143.39741 56.439077) (xy 143.404706 56.365) (xy 143.404706 53.617) (xy 143.39741 53.542923) + (xy 143.375803 53.471693) (xy 143.340714 53.406047) (xy 143.293493 53.348507) (xy 143.235953 53.301286) (xy 143.222995 53.29436) + (xy 143.633652 52.883703) (xy 143.631618 52.893927) (xy 143.62405 52.918876) (xy 143.621494 52.944826) (xy 143.6164 52.970437) + (xy 143.6164 53.074563) (xy 143.616401 53.074568) (xy 143.616401 53.605764) (xy 143.615294 53.617) (xy 143.615294 54.976268) + (xy 143.613843 54.991) (xy 143.615294 55.005732) (xy 143.615294 56.365) (xy 143.616401 56.376236) (xy 143.616401 56.907432) + (xy 143.6164 56.907437) (xy 143.6164 57.011563) (xy 143.621494 57.037174) (xy 143.62405 57.063124) (xy 143.631618 57.088073) + (xy 143.636713 57.113687) (xy 143.646708 57.137818) (xy 143.654276 57.162765) (xy 143.666565 57.185757) (xy 143.67656 57.209886) + (xy 143.691069 57.231601) (xy 143.70336 57.254595) (xy 143.719901 57.274751) (xy 143.734409 57.296463) (xy 143.752872 57.314926) + (xy 143.769416 57.335085) (xy 143.789575 57.351629) (xy 143.808037 57.370091) (xy 143.829748 57.384598) (xy 143.849906 57.401141) + (xy 143.872903 57.413433) (xy 143.894614 57.42794) (xy 143.918739 57.437933) (xy 143.941736 57.450225) (xy 143.966689 57.457794) + (xy 143.990813 57.467787) (xy 144.01642 57.47288) (xy 144.041377 57.480451) (xy 144.067335 57.483008) (xy 144.092937 57.4881) + (xy 144.119038 57.4881) (xy 144.145 57.490657) (xy 144.170962 57.4881) (xy 144.197063 57.4881) (xy 144.222664 57.483008) + (xy 144.248624 57.480451) (xy 144.273582 57.47288) (xy 144.299187 57.467787) (xy 144.323309 57.457796) (xy 144.348265 57.450225) + (xy 144.371265 57.437931) (xy 144.395386 57.42794) (xy 144.417093 57.413436) (xy 144.440095 57.401141) (xy 144.460257 57.384594) + (xy 144.481963 57.370091) (xy 144.500421 57.351633) (xy 144.520585 57.335085) (xy 144.537133 57.314921) (xy 144.555591 57.296463) + (xy 144.570094 57.274757) (xy 144.586641 57.254595) (xy 144.598936 57.231593) (xy 144.609354 57.216) (xy 145.755294 57.216) + (xy 145.759708 57.260813) (xy 145.772779 57.303905) (xy 145.794006 57.343618) (xy 145.822573 57.378427) (xy 145.857382 57.406994) + (xy 145.897095 57.428221) (xy 145.940187 57.441292) (xy 145.985 57.445706) (xy 146.55405 57.4446) (xy 146.6112 57.38745) + (xy 146.6112 56.7648) (xy 146.7588 56.7648) (xy 146.7588 57.38745) (xy 146.81595 57.4446) (xy 147.385 57.445706) + (xy 147.429813 57.441292) (xy 147.472905 57.428221) (xy 147.512618 57.406994) (xy 147.547427 57.378427) (xy 147.575994 57.343618) + (xy 147.597221 57.303905) (xy 147.610292 57.260813) (xy 147.614706 57.216) (xy 149.565294 57.216) (xy 149.569708 57.260813) + (xy 149.582779 57.303905) (xy 149.604006 57.343618) (xy 149.632573 57.378427) (xy 149.667382 57.406994) (xy 149.707095 57.428221) + (xy 149.750187 57.441292) (xy 149.795 57.445706) (xy 150.36405 57.4446) (xy 150.4212 57.38745) (xy 150.4212 56.7648) + (xy 150.5688 56.7648) (xy 150.5688 57.38745) (xy 150.62595 57.4446) (xy 151.195 57.445706) (xy 151.239813 57.441292) + (xy 151.282905 57.428221) (xy 151.322618 57.406994) (xy 151.357427 57.378427) (xy 151.385994 57.343618) (xy 151.407221 57.303905) + (xy 151.420292 57.260813) (xy 151.424706 57.216) (xy 151.4236 56.82195) (xy 151.36645 56.7648) (xy 150.5688 56.7648) + (xy 150.4212 56.7648) (xy 149.62355 56.7648) (xy 149.5664 56.82195) (xy 149.565294 57.216) (xy 147.614706 57.216) + (xy 147.6136 56.82195) (xy 147.55645 56.7648) (xy 146.7588 56.7648) (xy 146.6112 56.7648) (xy 145.81355 56.7648) + (xy 145.7564 56.82195) (xy 145.755294 57.216) (xy 144.609354 57.216) (xy 144.61344 57.209886) (xy 144.623431 57.185765) + (xy 144.635725 57.162765) (xy 144.643296 57.137809) (xy 144.653287 57.113687) (xy 144.65838 57.088082) (xy 144.665951 57.063124) + (xy 144.668508 57.037164) (xy 144.6736 57.011563) (xy 144.6736 56.376229) (xy 144.674706 56.365) (xy 144.674706 56.166) + (xy 145.755294 56.166) (xy 145.7564 56.56005) (xy 145.81355 56.6172) (xy 146.6112 56.6172) (xy 146.6112 55.99455) + (xy 146.7588 55.99455) (xy 146.7588 56.6172) (xy 147.55645 56.6172) (xy 147.6136 56.56005) (xy 147.614706 56.166) + (xy 149.565294 56.166) (xy 149.5664 56.56005) (xy 149.62355 56.6172) (xy 150.4212 56.6172) (xy 150.4212 55.99455) + (xy 150.5688 55.99455) (xy 150.5688 56.6172) (xy 151.36645 56.6172) (xy 151.4236 56.56005) (xy 151.424706 56.166) + (xy 151.420292 56.121187) (xy 151.407221 56.078095) (xy 151.385994 56.038382) (xy 151.357427 56.003573) (xy 151.322618 55.975006) + (xy 151.282905 55.953779) (xy 151.239813 55.940708) (xy 151.195 55.936294) (xy 150.62595 55.9374) (xy 150.5688 55.99455) + (xy 150.4212 55.99455) (xy 150.36405 55.9374) (xy 149.795 55.936294) (xy 149.750187 55.940708) (xy 149.707095 55.953779) + (xy 149.667382 55.975006) (xy 149.632573 56.003573) (xy 149.604006 56.038382) (xy 149.582779 56.078095) (xy 149.569708 56.121187) + (xy 149.565294 56.166) (xy 147.614706 56.166) (xy 147.610292 56.121187) (xy 147.597221 56.078095) (xy 147.575994 56.038382) + (xy 147.547427 56.003573) (xy 147.512618 55.975006) (xy 147.472905 55.953779) (xy 147.429813 55.940708) (xy 147.385 55.936294) + (xy 146.81595 55.9374) (xy 146.7588 55.99455) (xy 146.6112 55.99455) (xy 146.55405 55.9374) (xy 145.985 55.936294) + (xy 145.940187 55.940708) (xy 145.897095 55.953779) (xy 145.857382 55.975006) (xy 145.822573 56.003573) (xy 145.794006 56.038382) + (xy 145.772779 56.078095) (xy 145.759708 56.121187) (xy 145.755294 56.166) (xy 144.674706 56.166) (xy 144.674706 55.577419) + (xy 144.783773 55.610504) (xy 144.876125 55.6196) (xy 145.921462 55.6196) (xy 145.974045 55.662754) (xy 146.059141 55.708239) + (xy 146.151475 55.736248) (xy 146.2475 55.745706) (xy 147.1225 55.745706) (xy 147.218525 55.736248) (xy 147.310859 55.708239) + (xy 147.395955 55.662754) (xy 147.470542 55.601542) (xy 147.48404 55.585094) (xy 147.491037 55.592091) (xy 147.512749 55.606599) + (xy 147.532906 55.623141) (xy 147.555903 55.635433) (xy 147.577614 55.64994) (xy 147.601739 55.659933) (xy 147.624736 55.672225) + (xy 147.649689 55.679795) (xy 147.673813 55.689787) (xy 147.699418 55.69488) (xy 147.724376 55.702451) (xy 147.750336 55.705008) + (xy 147.775937 55.7101) (xy 147.802038 55.7101) (xy 147.828 55.712657) (xy 147.853962 55.7101) (xy 147.880063 55.7101) + (xy 147.905665 55.705008) (xy 147.931623 55.702451) (xy 147.95658 55.69488) (xy 147.982187 55.689787) (xy 148.006309 55.679795) + (xy 148.031265 55.672225) (xy 148.054264 55.659932) (xy 148.078386 55.64994) (xy 148.100096 55.635434) (xy 148.123094 55.623141) + (xy 148.14325 55.606599) (xy 148.164963 55.592091) (xy 148.183429 55.573625) (xy 148.203584 55.557084) (xy 148.220125 55.536929) + (xy 148.238591 55.518463) (xy 148.253099 55.49675) (xy 148.269641 55.476594) (xy 148.281934 55.453596) (xy 148.29644 55.431886) + (xy 148.306432 55.407764) (xy 148.318725 55.384765) (xy 148.326295 55.359809) (xy 148.336287 55.335687) (xy 148.34138 55.31008) + (xy 148.348951 55.285123) (xy 148.351508 55.259165) (xy 148.3566 55.233563) (xy 148.3566 55.207462) (xy 148.359157 55.1815) + (xy 148.820843 55.1815) (xy 148.8234 55.207462) (xy 148.8234 55.233563) (xy 148.828492 55.259165) (xy 148.831049 55.285123) + (xy 148.83862 55.31008) (xy 148.843713 55.335687) (xy 148.853706 55.359811) (xy 148.861275 55.384764) (xy 148.873567 55.407761) + (xy 148.88356 55.431886) (xy 148.898067 55.453597) (xy 148.910359 55.476594) (xy 148.926901 55.49675) (xy 148.941409 55.518463) + (xy 148.959875 55.536929) (xy 148.976416 55.557084) (xy 148.996571 55.573625) (xy 149.015037 55.592091) (xy 149.03675 55.606599) + (xy 149.056906 55.623141) (xy 149.079903 55.635433) (xy 149.101614 55.64994) (xy 149.125739 55.659933) (xy 149.148736 55.672225) + (xy 149.173689 55.679794) (xy 149.197813 55.689787) (xy 149.22342 55.69488) (xy 149.248377 55.702451) (xy 149.274335 55.705008) + (xy 149.299937 55.7101) (xy 149.326038 55.7101) (xy 149.352 55.712657) (xy 149.377962 55.7101) (xy 149.404063 55.7101) + (xy 149.429665 55.705008) (xy 149.455623 55.702451) (xy 149.48058 55.69488) (xy 149.506187 55.689787) (xy 149.530311 55.679794) + (xy 149.555264 55.672225) (xy 149.578261 55.659933) (xy 149.602386 55.64994) (xy 149.624097 55.635433) (xy 149.647094 55.623141) + (xy 149.667251 55.606599) (xy 149.688963 55.592091) (xy 149.69596 55.585094) (xy 149.709458 55.601542) (xy 149.784045 55.662754) + (xy 149.869141 55.708239) (xy 149.961475 55.736248) (xy 150.0575 55.745706) (xy 150.9325 55.745706) (xy 151.028525 55.736248) + (xy 151.120859 55.708239) (xy 151.205955 55.662754) (xy 151.258538 55.6196) (xy 152.303875 55.6196) (xy 152.396227 55.610504) + (xy 152.505294 55.577419) (xy 152.505294 56.365) (xy 152.506401 56.376236) (xy 152.506401 56.907432) (xy 152.5064 56.907437) + (xy 152.5064 57.011563) (xy 152.511494 57.037174) (xy 152.51405 57.063124) (xy 152.521618 57.088073) (xy 152.526713 57.113687) + (xy 152.536708 57.137818) (xy 152.544276 57.162765) (xy 152.556565 57.185757) (xy 152.56656 57.209886) (xy 152.581069 57.231601) + (xy 152.59336 57.254595) (xy 152.609901 57.274751) (xy 152.624409 57.296463) (xy 152.642872 57.314926) (xy 152.659416 57.335085) + (xy 152.679575 57.351629) (xy 152.698037 57.370091) (xy 152.719748 57.384598) (xy 152.739906 57.401141) (xy 152.762903 57.413433) + (xy 152.784614 57.42794) (xy 152.808739 57.437933) (xy 152.831736 57.450225) (xy 152.856689 57.457794) (xy 152.880813 57.467787) + (xy 152.90642 57.47288) (xy 152.931377 57.480451) (xy 152.957335 57.483008) (xy 152.982937 57.4881) (xy 153.009038 57.4881) + (xy 153.035 57.490657) (xy 153.060962 57.4881) (xy 153.087063 57.4881) (xy 153.112664 57.483008) (xy 153.138624 57.480451) + (xy 153.163582 57.47288) (xy 153.189187 57.467787) (xy 153.213309 57.457796) (xy 153.238265 57.450225) (xy 153.261265 57.437931) + (xy 153.285386 57.42794) (xy 153.307093 57.413436) (xy 153.330095 57.401141) (xy 153.350257 57.384594) (xy 153.371963 57.370091) + (xy 153.390421 57.351633) (xy 153.410585 57.335085) (xy 153.427133 57.314921) (xy 153.445591 57.296463) (xy 153.460094 57.274757) + (xy 153.476641 57.254595) (xy 153.488936 57.231593) (xy 153.50344 57.209886) (xy 153.513431 57.185765) (xy 153.525725 57.162765) + (xy 153.533296 57.137809) (xy 153.543287 57.113687) (xy 153.54838 57.088082) (xy 153.555951 57.063124) (xy 153.558508 57.037164) + (xy 153.5636 57.011563) (xy 153.5636 56.376229) (xy 153.564706 56.365) (xy 153.564706 55.005732) (xy 153.566157 54.991) + (xy 153.564706 54.976268) (xy 153.564706 53.617) (xy 153.775294 53.617) (xy 153.775294 56.365) (xy 153.78259 56.439077) + (xy 153.804197 56.510307) (xy 153.839286 56.575953) (xy 153.886507 56.633493) (xy 153.898318 56.643186) (xy 153.88087 56.669298) + (xy 153.844792 56.756397) (xy 153.8264 56.848862) (xy 153.8264 56.943138) (xy 153.844792 57.035603) (xy 153.88087 57.122702) + (xy 153.933247 57.20109) (xy 153.99991 57.267753) (xy 154.078298 57.32013) (xy 154.165397 57.356208) (xy 154.257862 57.3746) + (xy 154.352138 57.3746) (xy 154.444603 57.356208) (xy 154.531702 57.32013) (xy 154.61009 57.267753) (xy 154.676753 57.20109) + (xy 154.72913 57.122702) (xy 154.765208 57.035603) (xy 154.7836 56.943138) (xy 154.7836 56.848862) (xy 154.765208 56.756397) + (xy 154.72913 56.669298) (xy 154.711682 56.643186) (xy 154.723493 56.633493) (xy 154.770714 56.575953) (xy 154.805803 56.510307) + (xy 154.82741 56.439077) (xy 154.834706 56.365) (xy 154.834706 53.617) (xy 155.045294 53.617) (xy 155.045294 56.365) + (xy 155.05259 56.439077) (xy 155.074197 56.510307) (xy 155.109286 56.575953) (xy 155.156507 56.633493) (xy 155.168318 56.643186) + (xy 155.15087 56.669298) (xy 155.114792 56.756397) (xy 155.0964 56.848862) (xy 155.0964 56.943138) (xy 155.114792 57.035603) + (xy 155.15087 57.122702) (xy 155.203247 57.20109) (xy 155.26991 57.267753) (xy 155.348298 57.32013) (xy 155.435397 57.356208) + (xy 155.527862 57.3746) (xy 155.622138 57.3746) (xy 155.714603 57.356208) (xy 155.801702 57.32013) (xy 155.88009 57.267753) + (xy 155.946753 57.20109) (xy 155.99913 57.122702) (xy 156.035208 57.035603) (xy 156.0536 56.943138) (xy 156.0536 56.848862) + (xy 156.035208 56.756397) (xy 155.99913 56.669298) (xy 155.981682 56.643186) (xy 155.993493 56.633493) (xy 156.040714 56.575953) + (xy 156.075803 56.510307) (xy 156.09741 56.439077) (xy 156.104706 56.365) (xy 156.104706 53.617) (xy 156.315294 53.617) + (xy 156.315294 56.365) (xy 156.32259 56.439077) (xy 156.344197 56.510307) (xy 156.379286 56.575953) (xy 156.426507 56.633493) + (xy 156.438318 56.643186) (xy 156.42087 56.669298) (xy 156.384792 56.756397) (xy 156.3664 56.848862) (xy 156.3664 56.943138) + (xy 156.384792 57.035603) (xy 156.42087 57.122702) (xy 156.473247 57.20109) (xy 156.53991 57.267753) (xy 156.618298 57.32013) + (xy 156.705397 57.356208) (xy 156.797862 57.3746) (xy 156.892138 57.3746) (xy 156.984603 57.356208) (xy 157.071702 57.32013) + (xy 157.15009 57.267753) (xy 157.216753 57.20109) (xy 157.26913 57.122702) (xy 157.305208 57.035603) (xy 157.3236 56.943138) + (xy 157.3236 56.848862) (xy 157.305208 56.756397) (xy 157.26913 56.669298) (xy 157.251682 56.643186) (xy 157.263493 56.633493) + (xy 157.310714 56.575953) (xy 157.345803 56.510307) (xy 157.36741 56.439077) (xy 157.374706 56.365) (xy 157.374706 53.617) + (xy 157.585294 53.617) (xy 157.585294 56.365) (xy 157.59259 56.439077) (xy 157.614197 56.510307) (xy 157.649286 56.575953) + (xy 157.696507 56.633493) (xy 157.708318 56.643186) (xy 157.69087 56.669298) (xy 157.654792 56.756397) (xy 157.6364 56.848862) + (xy 157.6364 56.943138) (xy 157.654792 57.035603) (xy 157.69087 57.122702) (xy 157.743247 57.20109) (xy 157.80991 57.267753) + (xy 157.888298 57.32013) (xy 157.975397 57.356208) (xy 158.067862 57.3746) (xy 158.162138 57.3746) (xy 158.254603 57.356208) + (xy 158.341702 57.32013) (xy 158.42009 57.267753) (xy 158.486753 57.20109) (xy 158.53913 57.122702) (xy 158.575208 57.035603) + (xy 158.5936 56.943138) (xy 158.5936 56.848862) (xy 158.575208 56.756397) (xy 158.53913 56.669298) (xy 158.521682 56.643186) + (xy 158.533493 56.633493) (xy 158.580714 56.575953) (xy 158.615803 56.510307) (xy 158.63741 56.439077) (xy 158.644706 56.365) + (xy 158.644706 53.617) (xy 158.855294 53.617) (xy 158.855294 56.365) (xy 158.86259 56.439077) (xy 158.884197 56.510307) + (xy 158.919286 56.575953) (xy 158.966507 56.633493) (xy 158.978318 56.643186) (xy 158.96087 56.669298) (xy 158.924792 56.756397) + (xy 158.9064 56.848862) (xy 158.9064 56.943138) (xy 158.924792 57.035603) (xy 158.96087 57.122702) (xy 159.013247 57.20109) + (xy 159.07991 57.267753) (xy 159.158298 57.32013) (xy 159.245397 57.356208) (xy 159.337862 57.3746) (xy 159.432138 57.3746) + (xy 159.524603 57.356208) (xy 159.611702 57.32013) (xy 159.69009 57.267753) (xy 159.756753 57.20109) (xy 159.80913 57.122702) + (xy 159.845208 57.035603) (xy 159.8636 56.943138) (xy 159.8636 56.848862) (xy 159.845208 56.756397) (xy 159.80913 56.669298) + (xy 159.791682 56.643186) (xy 159.803493 56.633493) (xy 159.850714 56.575953) (xy 159.885803 56.510307) (xy 159.90741 56.439077) + (xy 159.914706 56.365) (xy 159.914706 53.617) (xy 160.125294 53.617) (xy 160.125294 56.365) (xy 160.13259 56.439077) + (xy 160.154197 56.510307) (xy 160.189286 56.575953) (xy 160.236507 56.633493) (xy 160.248318 56.643186) (xy 160.23087 56.669298) + (xy 160.194792 56.756397) (xy 160.1764 56.848862) (xy 160.1764 56.943138) (xy 160.194792 57.035603) (xy 160.23087 57.122702) + (xy 160.283247 57.20109) (xy 160.34991 57.267753) (xy 160.428298 57.32013) (xy 160.515397 57.356208) (xy 160.607862 57.3746) + (xy 160.702138 57.3746) (xy 160.794603 57.356208) (xy 160.881702 57.32013) (xy 160.96009 57.267753) (xy 161.026753 57.20109) + (xy 161.07913 57.122702) (xy 161.115208 57.035603) (xy 161.1336 56.943138) (xy 161.1336 56.848862) (xy 161.115208 56.756397) + (xy 161.07913 56.669298) (xy 161.061682 56.643186) (xy 161.073493 56.633493) (xy 161.120714 56.575953) (xy 161.155803 56.510307) + (xy 161.17741 56.439077) (xy 161.184706 56.365) (xy 161.184706 53.617) (xy 161.395294 53.617) (xy 161.395294 56.365) + (xy 161.40259 56.439077) (xy 161.424197 56.510307) (xy 161.459286 56.575953) (xy 161.506507 56.633493) (xy 161.564047 56.680714) + (xy 161.629693 56.715803) (xy 161.700923 56.73741) (xy 161.775 56.744706) (xy 162.075 56.744706) (xy 162.149077 56.73741) + (xy 162.220307 56.715803) (xy 162.285953 56.680714) (xy 162.343493 56.633493) (xy 162.390714 56.575953) (xy 162.425803 56.510307) + (xy 162.44741 56.439077) (xy 162.454706 56.365) (xy 162.454706 53.617) (xy 162.665294 53.617) (xy 162.665294 56.365) + (xy 162.67259 56.439077) (xy 162.694197 56.510307) (xy 162.729286 56.575953) (xy 162.776507 56.633493) (xy 162.834047 56.680714) + (xy 162.899693 56.715803) (xy 162.970923 56.73741) (xy 163.045 56.744706) (xy 163.345 56.744706) (xy 163.419077 56.73741) + (xy 163.490307 56.715803) (xy 163.555953 56.680714) (xy 163.613493 56.633493) (xy 163.660714 56.575953) (xy 163.695803 56.510307) + (xy 163.71741 56.439077) (xy 163.724706 56.365) (xy 163.724706 53.617) (xy 163.71741 53.542923) (xy 163.695803 53.471693) + (xy 163.660714 53.406047) (xy 163.613493 53.348507) (xy 163.601682 53.338814) (xy 163.61913 53.312702) (xy 163.655208 53.225603) + (xy 163.6736 53.133138) (xy 163.6736 53.038862) (xy 163.655208 52.946397) (xy 163.61913 52.859298) (xy 163.566753 52.78091) + (xy 163.50009 52.714247) (xy 163.421702 52.66187) (xy 163.334603 52.625792) (xy 163.242138 52.6074) (xy 163.147862 52.6074) + (xy 163.055397 52.625792) (xy 162.968298 52.66187) (xy 162.88991 52.714247) (xy 162.823247 52.78091) (xy 162.77087 52.859298) + (xy 162.734792 52.946397) (xy 162.7164 53.038862) (xy 162.7164 53.133138) (xy 162.734792 53.225603) (xy 162.77087 53.312702) + (xy 162.788318 53.338814) (xy 162.776507 53.348507) (xy 162.729286 53.406047) (xy 162.694197 53.471693) (xy 162.67259 53.542923) + (xy 162.665294 53.617) (xy 162.454706 53.617) (xy 162.44741 53.542923) (xy 162.425803 53.471693) (xy 162.390714 53.406047) + (xy 162.343493 53.348507) (xy 162.331682 53.338814) (xy 162.34913 53.312702) (xy 162.385208 53.225603) (xy 162.4036 53.133138) + (xy 162.4036 53.038862) (xy 162.385208 52.946397) (xy 162.34913 52.859298) (xy 162.296753 52.78091) (xy 162.23009 52.714247) + (xy 162.151702 52.66187) (xy 162.064603 52.625792) (xy 161.972138 52.6074) (xy 161.877862 52.6074) (xy 161.785397 52.625792) + (xy 161.698298 52.66187) (xy 161.61991 52.714247) (xy 161.553247 52.78091) (xy 161.50087 52.859298) (xy 161.464792 52.946397) + (xy 161.4464 53.038862) (xy 161.4464 53.133138) (xy 161.464792 53.225603) (xy 161.50087 53.312702) (xy 161.518318 53.338814) + (xy 161.506507 53.348507) (xy 161.459286 53.406047) (xy 161.424197 53.471693) (xy 161.40259 53.542923) (xy 161.395294 53.617) + (xy 161.184706 53.617) (xy 161.17741 53.542923) (xy 161.155803 53.471693) (xy 161.120714 53.406047) (xy 161.073493 53.348507) + (xy 161.015953 53.301286) (xy 160.950307 53.266197) (xy 160.879077 53.24459) (xy 160.805 53.237294) (xy 160.505 53.237294) + (xy 160.430923 53.24459) (xy 160.359693 53.266197) (xy 160.294047 53.301286) (xy 160.236507 53.348507) (xy 160.189286 53.406047) + (xy 160.154197 53.471693) (xy 160.13259 53.542923) (xy 160.125294 53.617) (xy 159.914706 53.617) (xy 159.90741 53.542923) + (xy 159.885803 53.471693) (xy 159.850714 53.406047) (xy 159.803493 53.348507) (xy 159.745953 53.301286) (xy 159.680307 53.266197) + (xy 159.609077 53.24459) (xy 159.535 53.237294) (xy 159.235 53.237294) (xy 159.160923 53.24459) (xy 159.089693 53.266197) + (xy 159.024047 53.301286) (xy 158.966507 53.348507) (xy 158.919286 53.406047) (xy 158.884197 53.471693) (xy 158.86259 53.542923) + (xy 158.855294 53.617) (xy 158.644706 53.617) (xy 158.63741 53.542923) (xy 158.615803 53.471693) (xy 158.580714 53.406047) + (xy 158.533493 53.348507) (xy 158.475953 53.301286) (xy 158.410307 53.266197) (xy 158.339077 53.24459) (xy 158.265 53.237294) + (xy 157.965 53.237294) (xy 157.890923 53.24459) (xy 157.819693 53.266197) (xy 157.754047 53.301286) (xy 157.696507 53.348507) + (xy 157.649286 53.406047) (xy 157.614197 53.471693) (xy 157.59259 53.542923) (xy 157.585294 53.617) (xy 157.374706 53.617) + (xy 157.36741 53.542923) (xy 157.345803 53.471693) (xy 157.310714 53.406047) (xy 157.263493 53.348507) (xy 157.205953 53.301286) + (xy 157.140307 53.266197) (xy 157.069077 53.24459) (xy 156.995 53.237294) (xy 156.695 53.237294) (xy 156.620923 53.24459) + (xy 156.549693 53.266197) (xy 156.484047 53.301286) (xy 156.426507 53.348507) (xy 156.379286 53.406047) (xy 156.344197 53.471693) + (xy 156.32259 53.542923) (xy 156.315294 53.617) (xy 156.104706 53.617) (xy 156.09741 53.542923) (xy 156.075803 53.471693) + (xy 156.040714 53.406047) (xy 155.993493 53.348507) (xy 155.935953 53.301286) (xy 155.870307 53.266197) (xy 155.799077 53.24459) + (xy 155.725 53.237294) (xy 155.425 53.237294) (xy 155.350923 53.24459) (xy 155.279693 53.266197) (xy 155.214047 53.301286) + (xy 155.156507 53.348507) (xy 155.109286 53.406047) (xy 155.074197 53.471693) (xy 155.05259 53.542923) (xy 155.045294 53.617) + (xy 154.834706 53.617) (xy 154.82741 53.542923) (xy 154.805803 53.471693) (xy 154.770714 53.406047) (xy 154.723493 53.348507) + (xy 154.665953 53.301286) (xy 154.600307 53.266197) (xy 154.529077 53.24459) (xy 154.455 53.237294) (xy 154.155 53.237294) + (xy 154.080923 53.24459) (xy 154.009693 53.266197) (xy 153.944047 53.301286) (xy 153.886507 53.348507) (xy 153.839286 53.406047) + (xy 153.804197 53.471693) (xy 153.78259 53.542923) (xy 153.775294 53.617) (xy 153.564706 53.617) (xy 153.5636 53.605771) + (xy 153.5636 52.970437) (xy 153.558508 52.944836) (xy 153.555951 52.918876) (xy 153.54838 52.893918) (xy 153.543287 52.868313) + (xy 153.533296 52.844191) (xy 153.525725 52.819235) (xy 153.513431 52.796235) (xy 153.50344 52.772114) (xy 153.488936 52.750407) + (xy 153.476641 52.727405) (xy 153.460094 52.707243) (xy 153.445591 52.685537) (xy 153.427133 52.667079) (xy 153.410585 52.646915) + (xy 153.390421 52.630367) (xy 153.371963 52.611909) (xy 153.350257 52.597406) (xy 153.330095 52.580859) (xy 153.307093 52.568564) + (xy 153.285386 52.55406) (xy 153.261265 52.544069) (xy 153.238265 52.531775) (xy 153.213309 52.524204) (xy 153.189187 52.514213) + (xy 153.163582 52.50912) (xy 153.138624 52.501549) (xy 153.112664 52.498992) (xy 153.087063 52.4939) (xy 153.060962 52.4939) + (xy 153.035 52.491343) (xy 153.009038 52.4939) (xy 152.982937 52.4939) (xy 152.957335 52.498992) (xy 152.931377 52.501549) + (xy 152.90642 52.50912) (xy 152.880813 52.514213) (xy 152.856689 52.524206) (xy 152.831736 52.531775) (xy 152.808739 52.544067) + (xy 152.784614 52.55406) (xy 152.762903 52.568567) (xy 152.739906 52.580859) (xy 152.719748 52.597402) (xy 152.698037 52.611909) + (xy 152.679575 52.630371) (xy 152.659416 52.646915) (xy 152.642872 52.667074) (xy 152.624409 52.685537) (xy 152.609901 52.707249) + (xy 152.59336 52.727405) (xy 152.581069 52.750399) (xy 152.56656 52.772114) (xy 152.556565 52.796243) (xy 152.544276 52.819235) + (xy 152.536708 52.844182) (xy 152.526713 52.868313) (xy 152.521618 52.893927) (xy 152.51405 52.918876) (xy 152.511494 52.944826) + (xy 152.5064 52.970437) (xy 152.5064 53.074563) (xy 152.506401 53.074568) (xy 152.506401 53.605764) (xy 152.505294 53.617) + (xy 152.505294 54.404581) (xy 152.396227 54.371496) (xy 152.303875 54.3624) (xy 151.258538 54.3624) (xy 151.205955 54.319246) + (xy 151.120859 54.273761) (xy 151.028525 54.245752) (xy 151.0236 54.245267) (xy 151.0236 53.986437) (xy 151.018508 53.960836) + (xy 151.015951 53.934876) (xy 151.00838 53.909918) (xy 151.003287 53.884313) (xy 150.993296 53.860191) (xy 150.985725 53.835235) + (xy 150.973431 53.812235) (xy 150.96344 53.788114) (xy 150.948936 53.766407) (xy 150.936641 53.743405) (xy 150.920094 53.723243) + (xy 150.905591 53.701537) (xy 150.887133 53.683079) (xy 150.870585 53.662915) (xy 150.850421 53.646367) (xy 150.831963 53.627909) + (xy 150.810257 53.613406) (xy 150.790095 53.596859) (xy 150.767093 53.584564) (xy 150.745386 53.57006) (xy 150.721265 53.560069) + (xy 150.698265 53.547775) (xy 150.673309 53.540204) (xy 150.649187 53.530213) (xy 150.623582 53.52512) (xy 150.598624 53.517549) + (xy 150.572664 53.514992) (xy 150.547063 53.5099) (xy 150.520962 53.5099) (xy 150.495 53.507343) (xy 150.469038 53.5099) + (xy 150.442937 53.5099) (xy 150.417335 53.514992) (xy 150.391377 53.517549) (xy 150.36642 53.52512) (xy 150.340813 53.530213) + (xy 150.316689 53.540206) (xy 150.291736 53.547775) (xy 150.268739 53.560067) (xy 150.244614 53.57006) (xy 150.222903 53.584567) + (xy 150.199906 53.596859) (xy 150.179748 53.613402) (xy 150.158037 53.627909) (xy 150.139575 53.646371) (xy 150.119416 53.662915) + (xy 150.102872 53.683074) (xy 150.084409 53.701537) (xy 150.069901 53.723249) (xy 150.05336 53.743405) (xy 150.041069 53.766399) + (xy 150.02656 53.788114) (xy 150.016565 53.812243) (xy 150.004276 53.835235) (xy 149.996708 53.860182) (xy 149.986713 53.884313) + (xy 149.981618 53.909927) (xy 149.97405 53.934876) (xy 149.971494 53.960826) (xy 149.9664 53.986437) (xy 149.9664 54.090563) + (xy 149.966401 54.090568) (xy 149.966401 54.245267) (xy 149.961475 54.245752) (xy 149.869141 54.273761) (xy 149.784045 54.319246) + (xy 149.709458 54.380458) (xy 149.648246 54.455045) (xy 149.644315 54.4624) (xy 149.568462 54.4624) (xy 149.5425 54.459843) + (xy 149.516538 54.4624) (xy 149.438876 54.470049) (xy 149.339235 54.500275) (xy 149.247405 54.549359) (xy 149.166915 54.615415) + (xy 149.150358 54.63559) (xy 149.015043 54.770905) (xy 149.015037 54.770909) (xy 148.941409 54.844537) (xy 148.926901 54.866249) + (xy 148.910359 54.886406) (xy 148.898067 54.909403) (xy 148.88356 54.931114) (xy 148.873567 54.955239) (xy 148.861275 54.978236) + (xy 148.853706 55.003189) (xy 148.843713 55.027313) (xy 148.83862 55.05292) (xy 148.831049 55.077877) (xy 148.828492 55.103835) + (xy 148.8234 55.129437) (xy 148.8234 55.155538) (xy 148.820843 55.1815) (xy 148.359157 55.1815) (xy 148.3566 55.155538) + (xy 148.3566 55.129437) (xy 148.351508 55.103836) (xy 148.348951 55.077876) (xy 148.34138 55.052918) (xy 148.336287 55.027313) + (xy 148.326295 55.003189) (xy 148.318725 54.978236) (xy 148.306433 54.955239) (xy 148.29644 54.931114) (xy 148.281933 54.909403) + (xy 148.269641 54.886406) (xy 148.253099 54.866249) (xy 148.238591 54.844537) (xy 148.164963 54.770909) (xy 148.164957 54.770905) + (xy 148.029642 54.63559) (xy 148.013085 54.615415) (xy 147.932595 54.549359) (xy 147.840765 54.500275) (xy 147.741124 54.470049) + (xy 147.663462 54.4624) (xy 147.6375 54.459843) (xy 147.611538 54.4624) (xy 147.535685 54.4624) (xy 147.531754 54.455045) + (xy 147.470542 54.380458) (xy 147.395955 54.319246) (xy 147.310859 54.273761) (xy 147.218525 54.245752) (xy 147.2136 54.245267) + (xy 147.2136 53.986437) (xy 147.208508 53.960836) (xy 147.205951 53.934876) (xy 147.19838 53.909918) (xy 147.193287 53.884313) + (xy 147.183296 53.860191) (xy 147.175725 53.835235) (xy 147.163431 53.812235) (xy 147.15344 53.788114) (xy 147.138936 53.766407) + (xy 147.126641 53.743405) (xy 147.110094 53.723243) (xy 147.095591 53.701537) (xy 147.077133 53.683079) (xy 147.060585 53.662915) + (xy 147.040421 53.646367) (xy 147.021963 53.627909) (xy 147.000257 53.613406) (xy 146.980095 53.596859) (xy 146.957093 53.584564) + (xy 146.935386 53.57006) (xy 146.911265 53.560069) (xy 146.888265 53.547775) (xy 146.863309 53.540204) (xy 146.839187 53.530213) + (xy 146.813582 53.52512) (xy 146.788624 53.517549) (xy 146.762664 53.514992) (xy 146.737063 53.5099) (xy 146.710962 53.5099) + (xy 146.685 53.507343) (xy 146.659038 53.5099) (xy 146.632937 53.5099) (xy 146.607335 53.514992) (xy 146.581377 53.517549) + (xy 146.55642 53.52512) (xy 146.530813 53.530213) (xy 146.506689 53.540206) (xy 146.481736 53.547775) (xy 146.458739 53.560067) + (xy 146.434614 53.57006) (xy 146.412903 53.584567) (xy 146.389906 53.596859) (xy 146.369748 53.613402) (xy 146.348037 53.627909) + (xy 146.329575 53.646371) (xy 146.309416 53.662915) (xy 146.292872 53.683074) (xy 146.274409 53.701537) (xy 146.259901 53.723249) + (xy 146.24336 53.743405) (xy 146.231069 53.766399) (xy 146.21656 53.788114) (xy 146.206565 53.812243) (xy 146.194276 53.835235) + (xy 146.186708 53.860182) (xy 146.176713 53.884313) (xy 146.171618 53.909927) (xy 146.16405 53.934876) (xy 146.161494 53.960826) + (xy 146.1564 53.986437) (xy 146.1564 54.090563) (xy 146.156401 54.090568) (xy 146.156401 54.245267) (xy 146.151475 54.245752) + (xy 146.059141 54.273761) (xy 145.974045 54.319246) (xy 145.921462 54.3624) (xy 144.876125 54.3624) (xy 144.783773 54.371496) + (xy 144.674706 54.404581) (xy 144.674706 53.617) (xy 144.6736 53.605771) (xy 144.6736 52.970437) (xy 144.668508 52.944836) + (xy 144.665951 52.918876) (xy 144.65838 52.893918) (xy 144.653287 52.868313) (xy 144.643296 52.844191) (xy 144.635725 52.819235) + (xy 144.623431 52.796235) (xy 144.61344 52.772114) (xy 144.598936 52.750407) (xy 144.586641 52.727405) (xy 144.570094 52.707243) + (xy 144.555591 52.685537) (xy 144.537133 52.667079) (xy 144.520585 52.646915) (xy 144.500421 52.630367) (xy 144.481963 52.611909) + (xy 144.460257 52.597406) (xy 144.440095 52.580859) (xy 144.417093 52.568564) (xy 144.395386 52.55406) (xy 144.371265 52.544069) + (xy 144.348265 52.531775) (xy 144.323309 52.524204) (xy 144.299187 52.514213) (xy 144.273582 52.50912) (xy 144.248624 52.501549) + (xy 144.222664 52.498992) (xy 144.197063 52.4939) (xy 144.170962 52.4939) (xy 144.145 52.491343) (xy 144.119038 52.4939) + (xy 144.092937 52.4939) (xy 144.067335 52.498992) (xy 144.041377 52.501549) (xy 144.01642 52.50912) (xy 144.006203 52.511152) + (xy 144.207255 52.3101) (xy 171.260746 52.3101) (xy 177.9464 58.995755) (xy 177.946401 68.713319) (xy 177.889603 68.689792) + (xy 177.797138 68.6714) (xy 177.702862 68.6714) (xy 177.610397 68.689792) (xy 177.604322 68.692309) (xy 177.6036 68.63095) + (xy 177.54645 68.5738) (xy 176.7238 68.5738) (xy 176.7238 68.5938) (xy 176.5762 68.5938) (xy 176.5762 68.5738) + (xy 175.75355 68.5738) (xy 175.6964 68.63095) (xy 175.695294 68.725) (xy 175.699708 68.769813) (xy 175.712779 68.812905) + (xy 175.730682 68.8464) (xy 173.772749 68.8464) (xy 173.771753 68.84491) (xy 173.70509 68.778247) (xy 173.626702 68.72587) + (xy 173.539603 68.689792) (xy 173.447138 68.6714) (xy 173.352862 68.6714) (xy 173.260397 68.689792) (xy 173.173298 68.72587) + (xy 173.09491 68.778247) (xy 173.028247 68.84491) (xy 172.97587 68.923298) (xy 172.939792 69.010397) (xy 172.9214 69.102862) + (xy 172.9214 69.197138) (xy 172.939792 69.289603) (xy 172.97587 69.376702) (xy 173.028247 69.45509) (xy 173.09491 69.521753) + (xy 173.173298 69.57413) (xy 173.260397 69.610208) (xy 173.352862 69.6286) (xy 173.447138 69.6286) (xy 173.539603 69.610208) + (xy 173.626702 69.57413) (xy 173.70509 69.521753) (xy 173.771753 69.45509) (xy 173.772749 69.4536) (xy 173.869557 69.4536) + (xy 173.828247 69.49491) (xy 173.77587 69.573298) (xy 173.739792 69.660397) (xy 173.7214 69.752862) (xy 173.7214 69.847138) + (xy 173.739792 69.939603) (xy 173.77587 70.026702) (xy 173.828247 70.10509) (xy 173.869557 70.1464) (xy 173.772749 70.1464) + (xy 173.771753 70.14491) (xy 173.70509 70.078247) (xy 173.626702 70.02587) (xy 173.539603 69.989792) (xy 173.447138 69.9714) + (xy 173.352862 69.9714) (xy 173.260397 69.989792) (xy 173.173298 70.02587) (xy 173.09491 70.078247) (xy 173.028247 70.14491) + (xy 172.97587 70.223298) (xy 172.939792 70.310397) (xy 172.9214 70.402862) (xy 172.9214 70.497138) (xy 172.939792 70.589603) + (xy 172.97587 70.676702) (xy 173.028247 70.75509) (xy 173.09491 70.821753) (xy 173.173298 70.87413) (xy 173.260397 70.910208) + (xy 173.352862 70.9286) (xy 173.447138 70.9286) (xy 173.539603 70.910208) (xy 173.626702 70.87413) (xy 173.70509 70.821753) + (xy 173.771753 70.75509) (xy 173.772749 70.7536) (xy 173.869557 70.7536) (xy 173.828247 70.79491) (xy 173.77587 70.873298) + (xy 173.739792 70.960397) (xy 173.7214 71.052862) (xy 173.7214 71.147138) (xy 173.739792 71.239603) (xy 173.77587 71.326702) + (xy 173.828247 71.40509) (xy 173.869557 71.4464) (xy 173.772749 71.4464) (xy 173.771753 71.44491) (xy 173.70509 71.378247) + (xy 173.626702 71.32587) (xy 173.539603 71.289792) (xy 173.447138 71.2714) (xy 173.352862 71.2714) (xy 173.260397 71.289792) + (xy 173.173298 71.32587) (xy 173.09491 71.378247) (xy 173.028247 71.44491) (xy 172.97587 71.523298) (xy 172.939792 71.610397) + (xy 172.9214 71.702862) (xy 172.9214 71.797138) (xy 172.939792 71.889603) (xy 172.97587 71.976702) (xy 173.028247 72.05509) + (xy 173.09491 72.121753) (xy 173.173298 72.17413) (xy 173.260397 72.210208) (xy 173.352862 72.2286) (xy 173.447138 72.2286) + (xy 173.539603 72.210208) (xy 173.626702 72.17413) (xy 173.70509 72.121753) (xy 173.771753 72.05509) (xy 173.772749 72.0536) + (xy 173.869557 72.0536) (xy 173.828247 72.09491) (xy 173.77587 72.173298) (xy 173.739792 72.260397) (xy 173.7214 72.352862) + (xy 173.7214 72.447138) (xy 173.739792 72.539603) (xy 173.77587 72.626702) (xy 173.828247 72.70509) (xy 173.869557 72.7464) + (xy 173.772749 72.7464) (xy 173.771753 72.74491) (xy 173.70509 72.678247) (xy 173.626702 72.62587) (xy 173.539603 72.589792) + (xy 173.447138 72.5714) (xy 173.352862 72.5714) (xy 173.260397 72.589792) (xy 173.173298 72.62587) (xy 173.09491 72.678247) + (xy 173.028247 72.74491) (xy 172.97587 72.823298) (xy 172.939792 72.910397) (xy 172.9214 73.002862) (xy 172.9214 73.097138) + (xy 172.939792 73.189603) (xy 172.97587 73.276702) (xy 173.028247 73.35509) (xy 173.09491 73.421753) (xy 173.173298 73.47413) + (xy 173.260397 73.510208) (xy 173.352862 73.5286) (xy 173.447138 73.5286) (xy 173.539603 73.510208) (xy 173.626702 73.47413) + (xy 173.70509 73.421753) (xy 173.771753 73.35509) (xy 173.772749 73.3536) (xy 173.869557 73.3536) (xy 173.828247 73.39491) + (xy 173.77587 73.473298) (xy 173.739792 73.560397) (xy 173.7214 73.652862) (xy 173.7214 73.747138) (xy 173.739792 73.839603) + (xy 173.77587 73.926702) (xy 173.828247 74.00509) (xy 173.89491 74.071753) (xy 173.973298 74.12413) (xy 174.060397 74.160208) + (xy 174.152862 74.1786) (xy 174.247138 74.1786) (xy 174.339603 74.160208) (xy 174.426702 74.12413) (xy 174.50509 74.071753) + (xy 174.571753 74.00509) (xy 174.572749 74.0036) (xy 175.730682 74.0036) (xy 175.712779 74.037095) (xy 175.699708 74.080187) + (xy 175.695294 74.125) (xy 175.6964 74.21905) (xy 175.75355 74.2762) (xy 176.5762 74.2762) (xy 176.5762 74.2562) + (xy 176.7238 74.2562) (xy 176.7238 74.2762) (xy 177.54645 74.2762) (xy 177.6036 74.21905) (xy 177.604706 74.125) + (xy 177.600292 74.080187) (xy 177.587221 74.037095) (xy 177.569318 74.0036) (xy 178.177251 74.0036) (xy 178.178247 74.00509) + (xy 178.24491 74.071753) (xy 178.323298 74.12413) (xy 178.37507 74.145575) (xy 178.045872 74.474774) (xy 178.034284 74.484284) + (xy 177.996345 74.530514) (xy 177.968154 74.583257) (xy 177.958828 74.614) (xy 177.950794 74.640485) (xy 177.944932 74.7) + (xy 177.9464 74.714903) (xy 177.946401 77.624244) (xy 177.798896 77.77175) (xy 177.797138 77.7714) (xy 177.702862 77.7714) + (xy 177.610397 77.789792) (xy 177.604322 77.792309) (xy 177.6036 77.73095) (xy 177.54645 77.6738) (xy 176.7238 77.6738) + (xy 176.7238 77.6938) (xy 176.5762 77.6938) (xy 176.5762 77.6738) (xy 175.75355 77.6738) (xy 175.6964 77.73095) + (xy 175.695294 77.825) (xy 175.699708 77.869813) (xy 175.712779 77.912905) (xy 175.734006 77.952618) (xy 175.743793 77.964543) + (xy 175.721343 78.006543) (xy 175.701869 78.070739) (xy 175.695294 78.1375) (xy 175.695294 78.3625) (xy 175.701869 78.429261) + (xy 175.721343 78.493457) (xy 175.752966 78.552619) (xy 175.771334 78.575) (xy 175.752966 78.597381) (xy 175.721343 78.656543) + (xy 175.701869 78.720739) (xy 175.695294 78.7875) (xy 175.695294 79.0125) (xy 175.701869 79.079261) (xy 175.721343 79.143457) + (xy 175.752966 79.202619) (xy 175.771334 79.225) (xy 175.752966 79.247381) (xy 175.721343 79.306543) (xy 175.701869 79.370739) + (xy 175.695294 79.4375) (xy 175.695294 79.6625) (xy 175.701869 79.729261) (xy 175.721343 79.793457) (xy 175.752966 79.852619) + (xy 175.771334 79.875) (xy 175.752966 79.897381) (xy 175.721343 79.956543) (xy 175.701869 80.020739) (xy 175.695294 80.0875) + (xy 175.695294 80.3125) (xy 175.701869 80.379261) (xy 175.721343 80.443457) (xy 175.752966 80.502619) (xy 175.771334 80.525) + (xy 175.752966 80.547381) (xy 175.721343 80.606543) (xy 175.701869 80.670739) (xy 175.695294 80.7375) (xy 175.695294 80.9625) + (xy 175.701869 81.029261) (xy 175.721343 81.093457) (xy 175.752966 81.152619) (xy 175.771334 81.175) (xy 175.752966 81.197381) + (xy 175.721343 81.256543) (xy 175.701869 81.320739) (xy 175.695294 81.3875) (xy 175.695294 81.6125) (xy 175.701869 81.679261) + (xy 175.721343 81.743457) (xy 175.752966 81.802619) (xy 175.771334 81.825) (xy 175.752966 81.847381) (xy 175.721343 81.906543) + (xy 175.701869 81.970739) (xy 175.695294 82.0375) (xy 175.695294 82.2625) (xy 175.701869 82.329261) (xy 175.721343 82.393457) + (xy 175.752966 82.452619) (xy 175.771334 82.475) (xy 175.752966 82.497381) (xy 175.721343 82.556543) (xy 175.701869 82.620739) + (xy 175.695294 82.6875) (xy 175.695294 82.9125) (xy 175.701869 82.979261) (xy 175.721343 83.043457) (xy 175.743793 83.085457) + (xy 175.734006 83.097382) (xy 175.712779 83.137095) (xy 175.699708 83.180187) (xy 175.695294 83.225) (xy 175.6964 83.31905) + (xy 175.75355 83.3762) (xy 176.5762 83.3762) (xy 176.5762 83.3562) (xy 176.7238 83.3562) (xy 176.7238 83.3762) + (xy 177.54645 83.3762) (xy 177.6036 83.31905) (xy 177.604706 83.225) (xy 177.600292 83.180187) (xy 177.587221 83.137095) + (xy 177.569318 83.1036) (xy 178.177251 83.1036) (xy 178.178247 83.10509) (xy 178.24491 83.171753) (xy 178.323298 83.22413) + (xy 178.410397 83.260208) (xy 178.502862 83.2786) (xy 178.597138 83.2786) (xy 178.689603 83.260208) (xy 178.776702 83.22413) + (xy 178.85509 83.171753) (xy 178.921753 83.10509) (xy 178.97413 83.026702) (xy 179.010208 82.939603) (xy 179.0286 82.847138) + (xy 179.0286 82.752862) (xy 179.02825 82.751104) (xy 180.754135 81.02522) (xy 180.765716 81.015716) (xy 180.803655 80.969487) + (xy 180.831846 80.916744) (xy 180.849206 80.859516) (xy 180.8536 80.814904) (xy 180.8536 80.814903) (xy 180.855068 80.800001) + (xy 180.8536 80.785097) (xy 180.8536 77.964904) (xy 180.855068 77.95) (xy 180.849206 77.890484) (xy 180.846585 77.881845) + (xy 180.831846 77.833256) (xy 180.803655 77.780513) (xy 180.765716 77.734284) (xy 180.754128 77.724774) (xy 180.6536 77.624246) + (xy 180.6536 76.854598) (xy 180.91905 76.8536) (xy 180.9762 76.79645) (xy 180.9762 76.2238) (xy 181.1238 76.2238) + (xy 181.1238 76.79645) (xy 181.18095 76.8536) (xy 181.475 76.854706) (xy 181.519813 76.850292) (xy 181.562905 76.837221) + (xy 181.602618 76.815994) (xy 181.637427 76.787427) (xy 181.665994 76.752618) (xy 181.687221 76.712905) (xy 181.700292 76.669813) + (xy 181.704706 76.625) (xy 181.7036 76.28095) (xy 181.64645 76.2238) (xy 181.1238 76.2238) (xy 180.9762 76.2238) + (xy 180.9562 76.2238) (xy 180.9562 76.0762) (xy 180.9762 76.0762) (xy 180.9762 76.0562) (xy 181.1238 76.0562) + (xy 181.1238 76.0762) (xy 181.64645 76.0762) (xy 181.7036 76.01905) (xy 181.704706 75.675) (xy 181.700292 75.630187) + (xy 181.687221 75.587095) (xy 181.665994 75.547382) (xy 181.637427 75.512573) (xy 181.602618 75.484006) (xy 181.562905 75.462779) + (xy 181.519813 75.449708) (xy 181.475 75.445294) (xy 181.18095 75.4464) (xy 181.123802 75.503548) (xy 181.123802 75.4464) + (xy 181.082955 75.4464) (xy 181.45414 75.075216) (xy 181.465716 75.065716) (xy 181.503655 75.019487) (xy 181.531846 74.966744) + (xy 181.549206 74.909516) (xy 181.551792 74.883257) (xy 181.555068 74.850001) (xy 181.5536 74.835096) (xy 181.5536 68.664903) + (xy 181.555068 68.649999) (xy 181.549206 68.590484) (xy 181.548531 68.58826) (xy 181.531846 68.533256) (xy 181.503655 68.480513) + (xy 181.465716 68.434284) (xy 181.454139 68.424783) (xy 180.783464 67.75411) (xy 180.91905 67.7536) (xy 180.9762 67.69645) + (xy 180.9762 67.1238) (xy 181.1238 67.1238) (xy 181.1238 67.69645) (xy 181.18095 67.7536) (xy 181.475 67.754706) + (xy 181.519813 67.750292) (xy 181.562905 67.737221) (xy 181.602618 67.715994) (xy 181.637427 67.687427) (xy 181.665994 67.652618) + (xy 181.687221 67.612905) (xy 181.700292 67.569813) (xy 181.704706 67.525) (xy 181.7036 67.18095) (xy 181.64645 67.1238) + (xy 181.1238 67.1238) (xy 180.9762 67.1238) (xy 180.9562 67.1238) (xy 180.9562 66.9762) (xy 180.9762 66.9762) + (xy 180.9762 66.40355) (xy 181.1238 66.40355) (xy 181.1238 66.9762) (xy 181.64645 66.9762) (xy 181.7036 66.91905) + (xy 181.704706 66.575) (xy 181.700292 66.530187) (xy 181.687221 66.487095) (xy 181.665994 66.447382) (xy 181.637427 66.412573) + (xy 181.602618 66.384006) (xy 181.562905 66.362779) (xy 181.519813 66.349708) (xy 181.475 66.345294) (xy 181.18095 66.3464) + (xy 181.1238 66.40355) (xy 180.9762 66.40355) (xy 180.91905 66.3464) (xy 180.6536 66.345402) (xy 180.6536 65.475) + (xy 184.195294 65.475) (xy 184.199708 65.519813) (xy 184.212779 65.562905) (xy 184.234006 65.602618) (xy 184.262573 65.637427) + (xy 184.297382 65.665994) (xy 184.337095 65.687221) (xy 184.380187 65.700292) (xy 184.425 65.704706) (xy 185.01905 65.7036) + (xy 185.0762 65.64645) (xy 185.0762 65.3238) (xy 185.2238 65.3238) (xy 185.2238 65.64645) (xy 185.28095 65.7036) + (xy 185.875 65.704706) (xy 185.919813 65.700292) (xy 185.962905 65.687221) (xy 186.002618 65.665994) (xy 186.037427 65.637427) + (xy 186.065994 65.602618) (xy 186.087221 65.562905) (xy 186.100292 65.519813) (xy 186.104706 65.475) (xy 186.1036 65.38095) + (xy 186.04645 65.3238) (xy 185.2238 65.3238) (xy 185.0762 65.3238) (xy 184.25355 65.3238) (xy 184.1964 65.38095) + (xy 184.195294 65.475) (xy 180.6536 65.475) (xy 180.6536 58.425) (xy 188.895294 58.425) (xy 188.899708 58.469813) + (xy 188.912779 58.512905) (xy 188.934006 58.552618) (xy 188.962573 58.587427) (xy 188.997382 58.615994) (xy 189.037095 58.637221) + (xy 189.080187 58.650292) (xy 189.125 58.654706) (xy 189.41905 58.6536) (xy 189.4762 58.59645) (xy 189.4762 58.0238) + (xy 189.6238 58.0238) (xy 189.6238 58.59645) (xy 189.68095 58.6536) (xy 189.975 58.654706) (xy 190.019813 58.650292) + (xy 190.062905 58.637221) (xy 190.102618 58.615994) (xy 190.137427 58.587427) (xy 190.165994 58.552618) (xy 190.187221 58.512905) + (xy 190.200292 58.469813) (xy 190.204706 58.425) (xy 190.2036 58.08095) (xy 190.14645 58.0238) (xy 189.6238 58.0238) + (xy 189.4762 58.0238) (xy 188.95355 58.0238) (xy 188.8964 58.08095) (xy 188.895294 58.425) (xy 180.6536 58.425) + (xy 180.6536 57.873403) (xy 180.655068 57.858499) (xy 180.649206 57.798983) (xy 180.633356 57.746735) (xy 180.631846 57.741756) + (xy 180.603655 57.689013) (xy 180.565716 57.642784) (xy 180.554139 57.633283) (xy 180.395856 57.475) (xy 188.895294 57.475) + (xy 188.8964 57.81905) (xy 188.95355 57.8762) (xy 189.4762 57.8762) (xy 189.4762 57.30355) (xy 189.6238 57.30355) + (xy 189.6238 57.8762) (xy 190.14645 57.8762) (xy 190.2036 57.81905) (xy 190.204706 57.475) (xy 190.200292 57.430187) + (xy 190.187221 57.387095) (xy 190.165994 57.347382) (xy 190.137427 57.312573) (xy 190.102618 57.284006) (xy 190.062905 57.262779) + (xy 190.019813 57.249708) (xy 189.975 57.245294) (xy 189.68095 57.2464) (xy 189.6238 57.30355) (xy 189.4762 57.30355) + (xy 189.41905 57.2464) (xy 189.125 57.245294) (xy 189.080187 57.249708) (xy 189.037095 57.262779) (xy 188.997382 57.284006) + (xy 188.962573 57.312573) (xy 188.934006 57.347382) (xy 188.912779 57.387095) (xy 188.899708 57.430187) (xy 188.895294 57.475) + (xy 180.395856 57.475) (xy 179.523688 56.602832) (xy 200.041537 56.602832) (xy 200.128539 56.737049) (xy 200.305953 56.824265) + (xy 200.496972 56.875192) (xy 200.694257 56.887875) (xy 200.890225 56.861826) (xy 201.077346 56.798046) (xy 201.191461 56.737049) + (xy 201.278463 56.602832) (xy 200.66 55.984369) (xy 200.041537 56.602832) (xy 179.523688 56.602832) (xy 178.835113 55.914257) + (xy 199.652125 55.914257) (xy 199.678174 56.110225) (xy 199.741954 56.297346) (xy 199.802951 56.411461) (xy 199.937168 56.498463) + (xy 200.555631 55.88) (xy 200.764369 55.88) (xy 201.382832 56.498463) (xy 201.517049 56.411461) (xy 201.604265 56.234047) + (xy 201.655192 56.043028) (xy 201.667875 55.845743) (xy 201.641826 55.649775) (xy 201.578046 55.462654) (xy 201.517049 55.348539) + (xy 201.382832 55.261537) (xy 200.764369 55.88) (xy 200.555631 55.88) (xy 199.937168 55.261537) (xy 199.802951 55.348539) + (xy 199.715735 55.525953) (xy 199.664808 55.716972) (xy 199.652125 55.914257) (xy 178.835113 55.914257) (xy 178.078024 55.157168) + (xy 200.041537 55.157168) (xy 200.66 55.775631) (xy 201.278463 55.157168) (xy 201.191461 55.022951) (xy 201.014047 54.935735) + (xy 200.823028 54.884808) (xy 200.625743 54.872125) (xy 200.429775 54.898174) (xy 200.242654 54.961954) (xy 200.128539 55.022951) + (xy 200.041537 55.157168) (xy 178.078024 55.157168) (xy 175.945856 53.025) (xy 192.110294 53.025) (xy 192.114708 53.069813) + (xy 192.127779 53.112905) (xy 192.149006 53.152618) (xy 192.177573 53.187427) (xy 192.212382 53.215994) (xy 192.252095 53.237221) + (xy 192.295187 53.250292) (xy 192.34 53.254706) (xy 192.90905 53.2536) (xy 192.9662 53.19645) (xy 192.9662 52.5738) + (xy 193.1138 52.5738) (xy 193.1138 53.19645) (xy 193.17095 53.2536) (xy 193.74 53.254706) (xy 193.784813 53.250292) + (xy 193.827905 53.237221) (xy 193.867618 53.215994) (xy 193.902427 53.187427) (xy 193.930994 53.152618) (xy 193.952221 53.112905) + (xy 193.965292 53.069813) (xy 193.969706 53.025) (xy 194.523294 53.025) (xy 194.527708 53.069813) (xy 194.540779 53.112905) + (xy 194.562006 53.152618) (xy 194.590573 53.187427) (xy 194.625382 53.215994) (xy 194.665095 53.237221) (xy 194.708187 53.250292) + (xy 194.753 53.254706) (xy 195.32205 53.2536) (xy 195.3792 53.19645) (xy 195.3792 52.5738) (xy 195.5268 52.5738) + (xy 195.5268 53.19645) (xy 195.58395 53.2536) (xy 196.153 53.254706) (xy 196.197813 53.250292) (xy 196.240905 53.237221) + (xy 196.280618 53.215994) (xy 196.315427 53.187427) (xy 196.343994 53.152618) (xy 196.365221 53.112905) (xy 196.378292 53.069813) + (xy 196.382706 53.025) (xy 196.936294 53.025) (xy 196.940708 53.069813) (xy 196.953779 53.112905) (xy 196.975006 53.152618) + (xy 197.003573 53.187427) (xy 197.038382 53.215994) (xy 197.078095 53.237221) (xy 197.121187 53.250292) (xy 197.166 53.254706) + (xy 197.73505 53.2536) (xy 197.7922 53.19645) (xy 197.7922 52.5738) (xy 197.9398 52.5738) (xy 197.9398 53.19645) + (xy 197.99695 53.2536) (xy 198.566 53.254706) (xy 198.610813 53.250292) (xy 198.640938 53.241154) (xy 199.6564 53.241154) + (xy 199.6564 53.438846) (xy 199.694968 53.632739) (xy 199.770621 53.815383) (xy 199.880453 53.979758) (xy 200.020242 54.119547) + (xy 200.184617 54.229379) (xy 200.367261 54.305032) (xy 200.561154 54.3436) (xy 200.758846 54.3436) (xy 200.952739 54.305032) + (xy 201.135383 54.229379) (xy 201.299758 54.119547) (xy 201.439547 53.979758) (xy 201.549379 53.815383) (xy 201.625032 53.632739) + (xy 201.6636 53.438846) (xy 201.6636 53.241154) (xy 201.625032 53.047261) (xy 201.549379 52.864617) (xy 201.439547 52.700242) + (xy 201.299758 52.560453) (xy 201.135383 52.450621) (xy 200.952739 52.374968) (xy 200.758846 52.3364) (xy 200.561154 52.3364) + (xy 200.367261 52.374968) (xy 200.184617 52.450621) (xy 200.020242 52.560453) (xy 199.880453 52.700242) (xy 199.770621 52.864617) + (xy 199.694968 53.047261) (xy 199.6564 53.241154) (xy 198.640938 53.241154) (xy 198.653905 53.237221) (xy 198.693618 53.215994) + (xy 198.728427 53.187427) (xy 198.756994 53.152618) (xy 198.778221 53.112905) (xy 198.791292 53.069813) (xy 198.795706 53.025) + (xy 198.7946 52.63095) (xy 198.73745 52.5738) (xy 197.9398 52.5738) (xy 197.7922 52.5738) (xy 196.99455 52.5738) + (xy 196.9374 52.63095) (xy 196.936294 53.025) (xy 196.382706 53.025) (xy 196.3816 52.63095) (xy 196.32445 52.5738) + (xy 195.5268 52.5738) (xy 195.3792 52.5738) (xy 194.58155 52.5738) (xy 194.5244 52.63095) (xy 194.523294 53.025) + (xy 193.969706 53.025) (xy 193.9686 52.63095) (xy 193.91145 52.5738) (xy 193.1138 52.5738) (xy 192.9662 52.5738) + (xy 192.16855 52.5738) (xy 192.1114 52.63095) (xy 192.110294 53.025) (xy 175.945856 53.025) (xy 174.895856 51.975) + (xy 192.110294 51.975) (xy 192.1114 52.36905) (xy 192.16855 52.4262) (xy 192.9662 52.4262) (xy 192.9662 51.80355) + (xy 193.1138 51.80355) (xy 193.1138 52.4262) (xy 193.91145 52.4262) (xy 193.9686 52.36905) (xy 193.969706 51.975) + (xy 194.523294 51.975) (xy 194.5244 52.36905) (xy 194.58155 52.4262) (xy 195.3792 52.4262) (xy 195.3792 51.80355) + (xy 195.5268 51.80355) (xy 195.5268 52.4262) (xy 196.32445 52.4262) (xy 196.3816 52.36905) (xy 196.382706 51.975) + (xy 196.936294 51.975) (xy 196.9374 52.36905) (xy 196.99455 52.4262) (xy 197.7922 52.4262) (xy 197.7922 51.80355) + (xy 197.9398 51.80355) (xy 197.9398 52.4262) (xy 198.73745 52.4262) (xy 198.7946 52.36905) (xy 198.795706 51.975) + (xy 198.791292 51.930187) (xy 198.778221 51.887095) (xy 198.756994 51.847382) (xy 198.728427 51.812573) (xy 198.693618 51.784006) + (xy 198.653905 51.762779) (xy 198.610813 51.749708) (xy 198.566 51.745294) (xy 197.99695 51.7464) (xy 197.9398 51.80355) + (xy 197.7922 51.80355) (xy 197.73505 51.7464) (xy 197.166 51.745294) (xy 197.121187 51.749708) (xy 197.078095 51.762779) + (xy 197.038382 51.784006) (xy 197.003573 51.812573) (xy 196.975006 51.847382) (xy 196.953779 51.887095) (xy 196.940708 51.930187) + (xy 196.936294 51.975) (xy 196.382706 51.975) (xy 196.378292 51.930187) (xy 196.365221 51.887095) (xy 196.343994 51.847382) + (xy 196.315427 51.812573) (xy 196.280618 51.784006) (xy 196.240905 51.762779) (xy 196.197813 51.749708) (xy 196.153 51.745294) + (xy 195.58395 51.7464) (xy 195.5268 51.80355) (xy 195.3792 51.80355) (xy 195.32205 51.7464) (xy 194.753 51.745294) + (xy 194.708187 51.749708) (xy 194.665095 51.762779) (xy 194.625382 51.784006) (xy 194.590573 51.812573) (xy 194.562006 51.847382) + (xy 194.540779 51.887095) (xy 194.527708 51.930187) (xy 194.523294 51.975) (xy 193.969706 51.975) (xy 193.965292 51.930187) + (xy 193.952221 51.887095) (xy 193.930994 51.847382) (xy 193.902427 51.812573) (xy 193.867618 51.784006) (xy 193.827905 51.762779) + (xy 193.784813 51.749708) (xy 193.74 51.745294) (xy 193.17095 51.7464) (xy 193.1138 51.80355) (xy 192.9662 51.80355) + (xy 192.90905 51.7464) (xy 192.34 51.745294) (xy 192.295187 51.749708) (xy 192.252095 51.762779) (xy 192.212382 51.784006) + (xy 192.177573 51.812573) (xy 192.149006 51.847382) (xy 192.127779 51.887095) (xy 192.114708 51.930187) (xy 192.110294 51.975) + (xy 174.895856 51.975) (xy 173.458355 50.5375) (xy 192.110294 50.5375) (xy 192.110294 51.0625) (xy 192.119752 51.158525) + (xy 192.147761 51.250859) (xy 192.193246 51.335955) (xy 192.254458 51.410542) (xy 192.329045 51.471754) (xy 192.414141 51.517239) + (xy 192.506475 51.545248) (xy 192.6025 51.554706) (xy 193.4775 51.554706) (xy 193.573525 51.545248) (xy 193.665859 51.517239) + (xy 193.750955 51.471754) (xy 193.825542 51.410542) (xy 193.886754 51.335955) (xy 193.932239 51.250859) (xy 193.960248 51.158525) + (xy 193.969706 51.0625) (xy 193.969706 50.5375) (xy 194.523294 50.5375) (xy 194.523294 51.0625) (xy 194.532752 51.158525) + (xy 194.560761 51.250859) (xy 194.606246 51.335955) (xy 194.667458 51.410542) (xy 194.742045 51.471754) (xy 194.827141 51.517239) + (xy 194.919475 51.545248) (xy 195.0155 51.554706) (xy 195.8905 51.554706) (xy 195.986525 51.545248) (xy 196.078859 51.517239) + (xy 196.163955 51.471754) (xy 196.238542 51.410542) (xy 196.299754 51.335955) (xy 196.345239 51.250859) (xy 196.373248 51.158525) + (xy 196.382706 51.0625) (xy 196.382706 50.5375) (xy 196.936294 50.5375) (xy 196.936294 51.0625) (xy 196.945752 51.158525) + (xy 196.973761 51.250859) (xy 197.019246 51.335955) (xy 197.080458 51.410542) (xy 197.155045 51.471754) (xy 197.240141 51.517239) + (xy 197.332475 51.545248) (xy 197.4285 51.554706) (xy 198.3035 51.554706) (xy 198.399525 51.545248) (xy 198.454407 51.5286) + (xy 199.969295 51.5286) (xy 200.020242 51.579547) (xy 200.184617 51.689379) (xy 200.367261 51.765032) (xy 200.561154 51.8036) + (xy 200.758846 51.8036) (xy 200.952739 51.765032) (xy 201.135383 51.689379) (xy 201.299758 51.579547) (xy 201.439547 51.439758) + (xy 201.549379 51.275383) (xy 201.625032 51.092739) (xy 201.6636 50.898846) (xy 201.6636 50.701154) (xy 201.625032 50.507261) + (xy 201.549379 50.324617) (xy 201.439547 50.160242) (xy 201.299758 50.020453) (xy 201.135383 49.910621) (xy 200.952739 49.834968) + (xy 200.758846 49.7964) (xy 200.561154 49.7964) (xy 200.367261 49.834968) (xy 200.184617 49.910621) (xy 200.020242 50.020453) + (xy 199.969295 50.0714) (xy 198.454407 50.0714) (xy 198.399525 50.054752) (xy 198.3035 50.045294) (xy 197.4285 50.045294) + (xy 197.332475 50.054752) (xy 197.240141 50.082761) (xy 197.155045 50.128246) (xy 197.080458 50.189458) (xy 197.019246 50.264045) + (xy 196.973761 50.349141) (xy 196.945752 50.441475) (xy 196.936294 50.5375) (xy 196.382706 50.5375) (xy 196.373248 50.441475) + (xy 196.345239 50.349141) (xy 196.299754 50.264045) (xy 196.238542 50.189458) (xy 196.1816 50.142727) (xy 196.1816 50.085795) + (xy 196.643796 49.6236) (xy 200.993205 49.6236) (xy 202.1964 50.826796) (xy 202.1964 50.898846) (xy 202.234968 51.092739) + (xy 202.310621 51.275383) (xy 202.420453 51.439758) (xy 202.560242 51.579547) (xy 202.724617 51.689379) (xy 202.907261 51.765032) + (xy 203.101154 51.8036) (xy 203.298846 51.8036) (xy 203.492739 51.765032) (xy 203.675383 51.689379) (xy 203.839758 51.579547) + (xy 203.979547 51.439758) (xy 204.089379 51.275383) (xy 204.165032 51.092739) (xy 204.2036 50.898846) (xy 204.2036 50.701154) + (xy 204.165032 50.507261) (xy 204.089379 50.324617) (xy 203.979547 50.160242) (xy 203.839758 50.020453) (xy 203.675383 49.910621) + (xy 203.492739 49.834968) (xy 203.298846 49.7964) (xy 203.226796 49.7964) (xy 201.835514 48.405119) (xy 201.812691 48.377309) + (xy 201.701747 48.28626) (xy 201.575172 48.218605) (xy 201.437831 48.176943) (xy 201.330789 48.1664) (xy 201.295 48.162875) + (xy 201.259211 48.1664) (xy 196.377789 48.1664) (xy 196.342 48.162875) (xy 196.306211 48.1664) (xy 196.199169 48.176943) + (xy 196.061828 48.218605) (xy 195.935253 48.28626) (xy 195.824309 48.377309) (xy 195.80149 48.405114) (xy 194.963114 49.243491) + (xy 194.935309 49.26631) (xy 194.84426 49.377254) (xy 194.782851 49.492144) (xy 194.776605 49.503829) (xy 194.734943 49.64117) + (xy 194.720875 49.784) (xy 194.7244 49.819789) (xy 194.7244 50.142727) (xy 194.667458 50.189458) (xy 194.606246 50.264045) + (xy 194.560761 50.349141) (xy 194.532752 50.441475) (xy 194.523294 50.5375) (xy 193.969706 50.5375) (xy 193.960248 50.441475) + (xy 193.932239 50.349141) (xy 193.886754 50.264045) (xy 193.825542 50.189458) (xy 193.7686 50.142727) (xy 193.7686 50.085795) + (xy 195.881796 47.9726) (xy 201.882205 47.9726) (xy 204.7364 50.826796) (xy 204.7364 50.898846) (xy 204.749401 50.964206) + (xy 204.7494 52.3494) (xy 203.364201 52.3494) (xy 203.298846 52.3364) (xy 203.101154 52.3364) (xy 202.907261 52.374968) + (xy 202.724617 52.450621) (xy 202.560242 52.560453) (xy 202.420453 52.700242) (xy 202.310621 52.864617) (xy 202.234968 53.047261) + (xy 202.1964 53.241154) (xy 202.1964 53.438846) (xy 202.209401 53.504206) (xy 202.2094 55.715799) (xy 202.1964 55.781154) + (xy 202.1964 55.978846) (xy 202.234968 56.172739) (xy 202.310621 56.355383) (xy 202.420453 56.519758) (xy 202.560242 56.659547) + (xy 202.724617 56.769379) (xy 202.907261 56.845032) (xy 203.101154 56.8836) (xy 203.298846 56.8836) (xy 203.492739 56.845032) + (xy 203.675383 56.769379) (xy 203.839758 56.659547) (xy 203.896473 56.602832) (xy 205.121537 56.602832) (xy 205.208539 56.737049) + (xy 205.385953 56.824265) (xy 205.576972 56.875192) (xy 205.774257 56.887875) (xy 205.970225 56.861826) (xy 206.157346 56.798046) + (xy 206.271461 56.737049) (xy 206.358463 56.602832) (xy 205.74 55.984369) (xy 205.121537 56.602832) (xy 203.896473 56.602832) + (xy 203.979547 56.519758) (xy 204.089379 56.355383) (xy 204.165032 56.172739) (xy 204.2036 55.978846) (xy 204.2036 55.914257) + (xy 204.732125 55.914257) (xy 204.758174 56.110225) (xy 204.821954 56.297346) (xy 204.882951 56.411461) (xy 205.017168 56.498463) + (xy 205.635631 55.88) (xy 205.844369 55.88) (xy 206.462832 56.498463) (xy 206.597049 56.411461) (xy 206.684265 56.234047) + (xy 206.735192 56.043028) (xy 206.747875 55.845743) (xy 206.721826 55.649775) (xy 206.658046 55.462654) (xy 206.597049 55.348539) + (xy 206.462832 55.261537) (xy 205.844369 55.88) (xy 205.635631 55.88) (xy 205.017168 55.261537) (xy 204.882951 55.348539) + (xy 204.795735 55.525953) (xy 204.744808 55.716972) (xy 204.732125 55.914257) (xy 204.2036 55.914257) (xy 204.2036 55.781154) + (xy 204.1906 55.715799) (xy 204.1906 55.157168) (xy 205.121537 55.157168) (xy 205.74 55.775631) (xy 206.358463 55.157168) + (xy 206.271461 55.022951) (xy 206.094047 54.935735) (xy 205.903028 54.884808) (xy 205.705743 54.872125) (xy 205.509775 54.898174) + (xy 205.322654 54.961954) (xy 205.208539 55.022951) (xy 205.121537 55.157168) (xy 204.1906 55.157168) (xy 204.1906 54.3306) + (xy 205.575799 54.3306) (xy 205.641154 54.3436) (xy 205.838846 54.3436) (xy 206.032739 54.305032) (xy 206.215383 54.229379) + (xy 206.379758 54.119547) (xy 206.519547 53.979758) (xy 206.629379 53.815383) (xy 206.705032 53.632739) (xy 206.7436 53.438846) + (xy 206.7436 53.241154) (xy 206.7306 53.175799) (xy 206.7306 50.964201) (xy 206.7436 50.898846) (xy 206.7436 50.701154) + (xy 206.705032 50.507261) (xy 206.629379 50.324617) (xy 206.519547 50.160242) (xy 206.379758 50.020453) (xy 206.215383 49.910621) + (xy 206.032739 49.834968) (xy 205.838846 49.7964) (xy 205.766796 49.7964) (xy 202.724514 46.754119) (xy 202.701691 46.726309) + (xy 202.590747 46.63526) (xy 202.464172 46.567605) (xy 202.326831 46.525943) (xy 202.219789 46.5154) (xy 202.184 46.511875) + (xy 202.148211 46.5154) (xy 195.615788 46.5154) (xy 195.579999 46.511875) (xy 195.532413 46.516562) (xy 195.437169 46.525943) + (xy 195.299828 46.567605) (xy 195.173253 46.63526) (xy 195.062309 46.726309) (xy 195.039491 46.754113) (xy 192.550114 49.243491) + (xy 192.52231 49.266309) (xy 192.431261 49.377253) (xy 192.418606 49.400929) (xy 192.363605 49.503829) (xy 192.321943 49.64117) + (xy 192.307875 49.784) (xy 192.311401 49.819798) (xy 192.311401 50.142726) (xy 192.254458 50.189458) (xy 192.193246 50.264045) + (xy 192.147761 50.349141) (xy 192.119752 50.441475) (xy 192.110294 50.5375) (xy 173.458355 50.5375) (xy 172.500726 49.579872) + (xy 172.491216 49.568284) (xy 172.444987 49.530345) (xy 172.392244 49.502154) (xy 172.335016 49.484794) (xy 172.290404 49.4804) + (xy 172.2755 49.478932) (xy 172.260596 49.4804) (xy 141.873903 49.4804) (xy 141.858999 49.478932) (xy 141.810459 49.483713) + (xy 141.799484 49.484794) (xy 141.742256 49.502154) (xy 141.689513 49.530345) (xy 141.643284 49.568284) (xy 141.633783 49.579861) + (xy 138.225867 52.987779) (xy 138.214285 52.997284) (xy 138.176346 53.043513) (xy 138.169022 53.057216) (xy 138.148155 53.096256) + (xy 138.130794 53.153485) (xy 138.124932 53.213) (xy 138.126401 53.227914) (xy 138.126401 53.28549) (xy 138.0986 53.27063) + (xy 138.0986 52.211904) (xy 138.100068 52.197) (xy 138.094206 52.137484) (xy 138.092997 52.1335) (xy 138.076846 52.080256) + (xy 138.048655 52.027513) (xy 138.010716 51.981284) (xy 137.999134 51.971779) (xy 133.384726 47.357372) (xy 133.375216 47.345784) + (xy 133.328987 47.307845) (xy 133.276244 47.279654) (xy 133.219016 47.262294) (xy 133.174404 47.2579) (xy 133.1595 47.256432) + (xy 133.144596 47.2579) (xy 122.633404 47.2579) (xy 122.6185 47.256432) (xy 122.603596 47.2579) (xy 122.558984 47.262294) + (xy 122.501756 47.279654) (xy 122.449013 47.307845) (xy 122.402784 47.345784) (xy 122.393279 47.357366) (xy 105.145867 64.604779) + (xy 105.134285 64.614284) (xy 105.096346 64.660513) (xy 105.082613 64.686206) (xy 105.068155 64.713256) (xy 105.050794 64.770485) + (xy 105.044932 64.83) (xy 105.046401 64.844914) (xy 105.0464 108.260096) (xy 105.044932 108.275) (xy 105.0464 108.289903) + (xy 105.050794 108.334515) (xy 105.068154 108.391743) (xy 105.096345 108.444486) (xy 105.134284 108.490716) (xy 105.145872 108.500226) + (xy 106.742045 110.0964) (xy 106.175755 110.0964) (xy 104.9536 108.874246) (xy 104.9536 64.512754) (xy 122.299756 47.1666) + (xy 133.986246 47.1666) (xy 137.38025 50.560605) (xy 137.3799 50.562362) (xy 137.3799 50.656638) (xy 137.398292 50.749103) + (xy 137.43437 50.836202) (xy 137.486747 50.91459) (xy 137.55341 50.981253) (xy 137.631798 51.03363) (xy 137.718897 51.069708) + (xy 137.811362 51.0881) (xy 137.905638 51.0881) (xy 137.998103 51.069708) (xy 138.085202 51.03363) (xy 138.16359 50.981253) + (xy 138.230253 50.91459) (xy 138.28263 50.836202) (xy 138.318708 50.749103) (xy 138.3371 50.656638) (xy 138.3371 50.562362) + (xy 138.318708 50.469897) (xy 138.28263 50.382798) (xy 138.230253 50.30441) (xy 138.16359 50.237747) (xy 138.085202 50.18537) + (xy 137.998103 50.149292) (xy 137.905638 50.1309) (xy 137.811362 50.1309) (xy 137.809605 50.13125) (xy 134.337226 46.658872) + (xy 134.327716 46.647284) (xy 134.281487 46.609345) (xy 134.228744 46.581154) (xy 134.171516 46.563794) (xy 134.126904 46.5594) + (xy 134.112 46.557932) (xy 134.097096 46.5594) (xy 122.188903 46.5594) (xy 122.173999 46.557932) (xy 122.114483 46.563794) + (xy 122.089563 46.571354) (xy 122.057256 46.581154) (xy 122.004513 46.609345) (xy 121.958284 46.647284) (xy 121.948783 46.658861) + (xy 104.445867 64.161779) (xy 104.434285 64.171284) (xy 104.396346 64.217513) (xy 104.390609 64.228247) (xy 104.368155 64.270256) + (xy 104.350794 64.327485) (xy 104.344932 64.387) (xy 103.5546 64.387) (xy 103.5546 54.905685) (xy 109.817899 54.905685) + (xy 109.817899 55.184623) (xy 109.872317 55.458201) (xy 109.979061 55.715905) (xy 110.134031 55.947833) (xy 110.33127 56.145072) + (xy 110.563198 56.300042) (xy 110.820902 56.406786) (xy 111.09448 56.461204) (xy 111.373418 56.461204) (xy 111.646996 56.406786) + (xy 111.9047 56.300042) (xy 112.136628 56.145072) (xy 112.333867 55.947833) (xy 112.488837 55.715905) (xy 112.595581 55.458201) + (xy 112.649999 55.184623) (xy 112.649999 54.905685) (xy 112.595581 54.632107) (xy 112.488837 54.374403) (xy 112.333867 54.142475) + (xy 112.136628 53.945236) (xy 111.9047 53.790266) (xy 111.646996 53.683522) (xy 111.373418 53.629104) (xy 111.09448 53.629104) + (xy 110.820902 53.683522) (xy 110.563198 53.790266) (xy 110.33127 53.945236) (xy 110.134031 54.142475) (xy 109.979061 54.374403) + (xy 109.872317 54.632107) (xy 109.817899 54.905685) (xy 103.5546 54.905685) (xy 103.5546 53.177804) (xy 108.713998 53.177804) + (xy 108.713998 53.3204) (xy 108.741817 53.460256) (xy 108.796386 53.591997) (xy 108.875608 53.710562) (xy 108.976438 53.811392) + (xy 109.095003 53.890614) (xy 109.226744 53.945183) (xy 109.3666 53.973002) (xy 109.509196 53.973002) (xy 109.649052 53.945183) + (xy 109.780793 53.890614) (xy 109.899358 53.811392) (xy 110.000188 53.710562) (xy 110.07941 53.591997) (xy 110.133979 53.460256) + (xy 110.161798 53.3204) (xy 110.161798 53.177804) (xy 110.133979 53.037948) (xy 110.105883 52.970118) (xy 110.183185 52.970118) + (xy 110.227918 53.084247) (xy 110.294057 53.187456) (xy 110.37906 53.275779) (xy 110.479661 53.345821) (xy 110.591994 53.394891) + (xy 110.614908 53.401841) (xy 110.711136 53.363438) (xy 110.711136 52.87389) (xy 110.858736 52.87389) (xy 110.858736 53.363438) + (xy 110.954964 53.401841) (xy 111.069093 53.357108) (xy 111.172302 53.290969) (xy 111.260625 53.205966) (xy 111.330667 53.105365) + (xy 111.379737 52.993032) (xy 111.386687 52.970118) (xy 111.348284 52.87389) (xy 110.858736 52.87389) (xy 110.711136 52.87389) + (xy 110.221588 52.87389) (xy 110.183185 52.970118) (xy 110.105883 52.970118) (xy 110.07941 52.906207) (xy 110.000188 52.787642) + (xy 109.899358 52.686812) (xy 109.814425 52.630062) (xy 110.183185 52.630062) (xy 110.221588 52.72629) (xy 110.711136 52.72629) + (xy 110.711136 52.236742) (xy 110.858736 52.236742) (xy 110.858736 52.72629) (xy 111.348284 52.72629) (xy 111.386687 52.630062) + (xy 111.341954 52.515933) (xy 111.275815 52.412724) (xy 111.190812 52.324401) (xy 111.090211 52.254359) (xy 110.977878 52.205289) + (xy 110.954964 52.198339) (xy 110.858736 52.236742) (xy 110.711136 52.236742) (xy 110.614908 52.198339) (xy 110.500779 52.243072) + (xy 110.39757 52.309211) (xy 110.309247 52.394214) (xy 110.239205 52.494815) (xy 110.190135 52.607148) (xy 110.183185 52.630062) + (xy 109.814425 52.630062) (xy 109.780793 52.60759) (xy 109.649052 52.553021) (xy 109.509196 52.525202) (xy 109.3666 52.525202) + (xy 109.226744 52.553021) (xy 109.095003 52.60759) (xy 108.976438 52.686812) (xy 108.875608 52.787642) (xy 108.796386 52.906207) + (xy 108.741817 53.037948) (xy 108.713998 53.177804) (xy 103.5546 53.177804) (xy 103.5546 51.313582) (xy 106.225796 51.313582) + (xy 106.225796 51.59252) (xy 106.280214 51.866098) (xy 106.386958 52.123802) (xy 106.541928 52.35573) (xy 106.739167 52.552969) + (xy 106.971095 52.707939) (xy 107.228799 52.814683) (xy 107.502377 52.869101) (xy 107.781315 52.869101) (xy 108.054893 52.814683) + (xy 108.312597 52.707939) (xy 108.544525 52.552969) (xy 108.741764 52.35573) (xy 108.896734 52.123802) (xy 109.003478 51.866098) + (xy 109.008515 51.840773) (xy 109.26461 51.840773) (xy 109.26461 51.963355) (xy 109.288525 52.083582) (xy 109.335435 52.196834) + (xy 109.403538 52.298757) (xy 109.490217 52.385436) (xy 109.59214 52.453539) (xy 109.705392 52.500449) (xy 109.825619 52.524364) + (xy 109.948201 52.524364) (xy 110.068428 52.500449) (xy 110.18168 52.453539) (xy 110.283603 52.385436) (xy 110.370282 52.298757) + (xy 110.438385 52.196834) (xy 110.485295 52.083582) (xy 110.50921 51.963355) (xy 110.50921 51.840773) (xy 111.060662 51.840773) + (xy 111.060662 51.963355) (xy 111.084577 52.083582) (xy 111.131487 52.196834) (xy 111.19959 52.298757) (xy 111.286269 52.385436) + (xy 111.388192 52.453539) (xy 111.501444 52.500449) (xy 111.586894 52.517446) (xy 111.857407 52.78796) (xy 111.857409 52.787963) + (xy 111.931037 52.861591) (xy 111.952744 52.876095) (xy 111.972905 52.892641) (xy 111.995907 52.904936) (xy 112.017614 52.91944) + (xy 112.041735 52.929431) (xy 112.064735 52.941725) (xy 112.089691 52.949296) (xy 112.113813 52.959287) (xy 112.139418 52.96438) + (xy 112.164376 52.971951) (xy 112.190336 52.974508) (xy 112.215937 52.9796) (xy 112.242038 52.9796) (xy 112.268 52.982157) + (xy 112.293962 52.9796) (xy 112.320063 52.9796) (xy 112.345665 52.974508) (xy 112.371623 52.971951) (xy 112.39658 52.96438) + (xy 112.422187 52.959287) (xy 112.446309 52.949295) (xy 112.471265 52.941725) (xy 112.494264 52.929432) (xy 112.518386 52.91944) + (xy 112.540096 52.904934) (xy 112.563094 52.892641) (xy 112.58325 52.876099) (xy 112.604963 52.861591) (xy 112.623429 52.843125) + (xy 112.643584 52.826584) (xy 112.660125 52.806429) (xy 112.678591 52.787963) (xy 112.693099 52.76625) (xy 112.709641 52.746094) + (xy 112.721934 52.723096) (xy 112.73644 52.701386) (xy 112.746432 52.677264) (xy 112.758725 52.654265) (xy 112.766295 52.629309) + (xy 112.776287 52.605187) (xy 112.78138 52.57958) (xy 112.788951 52.554623) (xy 112.791508 52.528665) (xy 112.7966 52.503063) + (xy 112.7966 52.476962) (xy 112.799157 52.451) (xy 112.7966 52.425038) (xy 112.7966 52.398937) (xy 112.791508 52.373336) + (xy 112.788951 52.347376) (xy 112.78138 52.322418) (xy 112.776287 52.296813) (xy 112.766296 52.272691) (xy 112.758725 52.247735) + (xy 112.746431 52.224735) (xy 112.73644 52.200614) (xy 112.721936 52.178907) (xy 112.709641 52.155905) (xy 112.693095 52.135744) + (xy 112.678591 52.114037) (xy 112.604963 52.040409) (xy 112.60496 52.040407) (xy 112.278694 51.714142) (xy 112.234437 51.607294) + (xy 112.166334 51.505371) (xy 112.079655 51.418692) (xy 111.977732 51.350589) (xy 111.86448 51.303679) (xy 111.744253 51.279764) + (xy 111.621671 51.279764) (xy 111.501444 51.303679) (xy 111.388192 51.350589) (xy 111.286269 51.418692) (xy 111.19959 51.505371) + (xy 111.131487 51.607294) (xy 111.084577 51.720546) (xy 111.060662 51.840773) (xy 110.50921 51.840773) (xy 110.485295 51.720546) + (xy 110.438385 51.607294) (xy 110.370282 51.505371) (xy 110.283603 51.418692) (xy 110.18168 51.350589) (xy 110.068428 51.303679) + (xy 109.948201 51.279764) (xy 109.825619 51.279764) (xy 109.705392 51.303679) (xy 109.59214 51.350589) (xy 109.490217 51.418692) + (xy 109.403538 51.505371) (xy 109.335435 51.607294) (xy 109.288525 51.720546) (xy 109.26461 51.840773) (xy 109.008515 51.840773) + (xy 109.057896 51.59252) (xy 109.057896 51.313582) (xy 109.030145 51.174066) (xy 110.183185 51.174066) (xy 110.227918 51.288195) + (xy 110.294057 51.391404) (xy 110.37906 51.479727) (xy 110.479661 51.549769) (xy 110.591994 51.598839) (xy 110.614908 51.605789) + (xy 110.711136 51.567386) (xy 110.711136 51.077838) (xy 110.858736 51.077838) (xy 110.858736 51.567386) (xy 110.954964 51.605789) + (xy 111.069093 51.561056) (xy 111.172302 51.494917) (xy 111.260625 51.409914) (xy 111.330667 51.309313) (xy 111.379737 51.19698) + (xy 111.386687 51.174066) (xy 111.979236 51.174066) (xy 112.023969 51.288195) (xy 112.090108 51.391404) (xy 112.175111 51.479727) + (xy 112.275712 51.549769) (xy 112.388045 51.598839) (xy 112.410959 51.605789) (xy 112.507187 51.567386) (xy 112.507187 51.077838) + (xy 112.654787 51.077838) (xy 112.654787 51.567386) (xy 112.751015 51.605789) (xy 112.865144 51.561056) (xy 112.968353 51.494917) + (xy 113.056676 51.409914) (xy 113.126718 51.309313) (xy 113.175788 51.19698) (xy 113.182738 51.174066) (xy 113.144335 51.077838) + (xy 112.654787 51.077838) (xy 112.507187 51.077838) (xy 112.017639 51.077838) (xy 111.979236 51.174066) (xy 111.386687 51.174066) + (xy 111.348284 51.077838) (xy 110.858736 51.077838) (xy 110.711136 51.077838) (xy 110.221588 51.077838) (xy 110.183185 51.174066) + (xy 109.030145 51.174066) (xy 109.003478 51.040004) (xy 108.918153 50.83401) (xy 110.183185 50.83401) (xy 110.221588 50.930238) + (xy 110.711136 50.930238) (xy 110.711136 50.44069) (xy 110.858736 50.44069) (xy 110.858736 50.930238) (xy 111.348284 50.930238) + (xy 111.386687 50.83401) (xy 111.979236 50.83401) (xy 112.017639 50.930238) (xy 112.507187 50.930238) (xy 112.507187 50.44069) + (xy 112.654787 50.44069) (xy 112.654787 50.930238) (xy 113.144335 50.930238) (xy 113.182738 50.83401) (xy 113.138005 50.719881) + (xy 113.071866 50.616672) (xy 112.986863 50.528349) (xy 112.886262 50.458307) (xy 112.773929 50.409237) (xy 112.751015 50.402287) + (xy 112.654787 50.44069) (xy 112.507187 50.44069) (xy 112.410959 50.402287) (xy 112.29683 50.44702) (xy 112.193621 50.513159) + (xy 112.105298 50.598162) (xy 112.035256 50.698763) (xy 111.986186 50.811096) (xy 111.979236 50.83401) (xy 111.386687 50.83401) + (xy 111.341954 50.719881) (xy 111.275815 50.616672) (xy 111.190812 50.528349) (xy 111.090211 50.458307) (xy 110.977878 50.409237) + (xy 110.954964 50.402287) (xy 110.858736 50.44069) (xy 110.711136 50.44069) (xy 110.614908 50.402287) (xy 110.500779 50.44702) + (xy 110.39757 50.513159) (xy 110.309247 50.598162) (xy 110.239205 50.698763) (xy 110.190135 50.811096) (xy 110.183185 50.83401) + (xy 108.918153 50.83401) (xy 108.896734 50.7823) (xy 108.741764 50.550372) (xy 108.544525 50.353133) (xy 108.312597 50.198163) + (xy 108.054893 50.091419) (xy 107.820132 50.044722) (xy 111.060662 50.044722) (xy 111.060662 50.167304) (xy 111.084577 50.287531) + (xy 111.131487 50.400783) (xy 111.19959 50.502706) (xy 111.286269 50.589385) (xy 111.388192 50.657488) (xy 111.501444 50.704398) + (xy 111.621671 50.728313) (xy 111.744253 50.728313) (xy 111.86448 50.704398) (xy 111.977732 50.657488) (xy 112.079655 50.589385) + (xy 112.166334 50.502706) (xy 112.234437 50.400783) (xy 112.281347 50.287531) (xy 112.305262 50.167304) (xy 112.305262 50.044722) + (xy 112.856713 50.044722) (xy 112.856713 50.167304) (xy 112.880628 50.287531) (xy 112.927538 50.400783) (xy 112.995641 50.502706) + (xy 113.08232 50.589385) (xy 113.184243 50.657488) (xy 113.297495 50.704398) (xy 113.417722 50.728313) (xy 113.540304 50.728313) + (xy 113.650115 50.70647) (xy 113.959285 51.015639) (xy 113.9484 51.070362) (xy 113.9484 51.164638) (xy 113.966792 51.257103) + (xy 114.00287 51.344202) (xy 114.055247 51.42259) (xy 114.12191 51.489253) (xy 114.200298 51.54163) (xy 114.287397 51.577708) + (xy 114.379862 51.5961) (xy 114.474138 51.5961) (xy 114.566603 51.577708) (xy 114.653702 51.54163) (xy 114.73209 51.489253) + (xy 114.798753 51.42259) (xy 114.85113 51.344202) (xy 114.887208 51.257103) (xy 114.9056 51.164638) (xy 114.9056 51.070362) + (xy 114.887208 50.977897) (xy 114.85113 50.890798) (xy 114.798753 50.81241) (xy 114.73209 50.745747) (xy 114.653702 50.69337) + (xy 114.566603 50.657292) (xy 114.474138 50.6389) (xy 114.441255 50.6389) (xy 114.07947 50.277115) (xy 114.101313 50.167304) + (xy 114.101313 50.044722) (xy 114.077398 49.924495) (xy 114.030488 49.811243) (xy 113.962385 49.70932) (xy 113.875706 49.622641) + (xy 113.773783 49.554538) (xy 113.660531 49.507628) (xy 113.540304 49.483713) (xy 113.417722 49.483713) (xy 113.297495 49.507628) + (xy 113.184243 49.554538) (xy 113.08232 49.622641) (xy 112.995641 49.70932) (xy 112.927538 49.811243) (xy 112.880628 49.924495) + (xy 112.856713 50.044722) (xy 112.305262 50.044722) (xy 112.281347 49.924495) (xy 112.234437 49.811243) (xy 112.166334 49.70932) + (xy 112.079655 49.622641) (xy 111.977732 49.554538) (xy 111.86448 49.507628) (xy 111.744253 49.483713) (xy 111.621671 49.483713) + (xy 111.501444 49.507628) (xy 111.388192 49.554538) (xy 111.286269 49.622641) (xy 111.19959 49.70932) (xy 111.131487 49.811243) + (xy 111.084577 49.924495) (xy 111.060662 50.044722) (xy 107.820132 50.044722) (xy 107.781315 50.037001) (xy 107.502377 50.037001) + (xy 107.228799 50.091419) (xy 106.971095 50.198163) (xy 106.739167 50.353133) (xy 106.541928 50.550372) (xy 106.386958 50.7823) + (xy 106.280214 51.040004) (xy 106.225796 51.313582) (xy 103.5546 51.313582) (xy 103.5546 49.378015) (xy 111.979236 49.378015) + (xy 112.023969 49.492144) (xy 112.090108 49.595353) (xy 112.175111 49.683676) (xy 112.275712 49.753718) (xy 112.388045 49.802788) + (xy 112.410959 49.809738) (xy 112.507187 49.771335) (xy 112.507187 49.281787) (xy 112.654787 49.281787) (xy 112.654787 49.771335) + (xy 112.751015 49.809738) (xy 112.865144 49.765005) (xy 112.968353 49.698866) (xy 113.056676 49.613863) (xy 113.126718 49.513262) + (xy 113.175788 49.400929) (xy 113.182738 49.378015) (xy 113.775287 49.378015) (xy 113.82002 49.492144) (xy 113.886159 49.595353) + (xy 113.971162 49.683676) (xy 114.071763 49.753718) (xy 114.184096 49.802788) (xy 114.20701 49.809738) (xy 114.303238 49.771335) + (xy 114.303238 49.281787) (xy 114.450838 49.281787) (xy 114.450838 49.771335) (xy 114.547066 49.809738) (xy 114.661195 49.765005) + (xy 114.764404 49.698866) (xy 114.852727 49.613863) (xy 114.922769 49.513262) (xy 114.971839 49.400929) (xy 114.978789 49.378015) + (xy 114.940386 49.281787) (xy 114.450838 49.281787) (xy 114.303238 49.281787) (xy 113.81369 49.281787) (xy 113.775287 49.378015) + (xy 113.182738 49.378015) (xy 113.144335 49.281787) (xy 112.654787 49.281787) (xy 112.507187 49.281787) (xy 112.017639 49.281787) + (xy 111.979236 49.378015) (xy 103.5546 49.378015) (xy 103.5546 49.037959) (xy 111.979236 49.037959) (xy 112.017639 49.134187) + (xy 112.507187 49.134187) (xy 112.507187 48.644639) (xy 112.654787 48.644639) (xy 112.654787 49.134187) (xy 113.144335 49.134187) + (xy 113.182738 49.037959) (xy 113.775287 49.037959) (xy 113.81369 49.134187) (xy 114.303238 49.134187) (xy 114.303238 48.644639) + (xy 114.450838 48.644639) (xy 114.450838 49.134187) (xy 114.940386 49.134187) (xy 114.978789 49.037959) (xy 114.934056 48.92383) + (xy 114.867917 48.820621) (xy 114.782914 48.732298) (xy 114.682313 48.662256) (xy 114.56998 48.613186) (xy 114.547066 48.606236) + (xy 114.450838 48.644639) (xy 114.303238 48.644639) (xy 114.20701 48.606236) (xy 114.092881 48.650969) (xy 113.989672 48.717108) + (xy 113.901349 48.802111) (xy 113.831307 48.902712) (xy 113.782237 49.015045) (xy 113.775287 49.037959) (xy 113.182738 49.037959) + (xy 113.138005 48.92383) (xy 113.071866 48.820621) (xy 112.986863 48.732298) (xy 112.886262 48.662256) (xy 112.773929 48.613186) + (xy 112.751015 48.606236) (xy 112.654787 48.644639) (xy 112.507187 48.644639) (xy 112.410959 48.606236) (xy 112.29683 48.650969) + (xy 112.193621 48.717108) (xy 112.105298 48.802111) (xy 112.035256 48.902712) (xy 111.986186 49.015045) (xy 111.979236 49.037959) + (xy 103.5546 49.037959) (xy 103.5546 47.133362) (xy 111.1544 47.133362) (xy 111.1544 47.227638) (xy 111.172792 47.320103) + (xy 111.20887 47.407202) (xy 111.261247 47.48559) (xy 111.32791 47.552253) (xy 111.406298 47.60463) (xy 111.493397 47.640708) + (xy 111.585862 47.6591) (xy 111.680138 47.6591) (xy 111.772603 47.640708) (xy 111.859702 47.60463) (xy 111.93809 47.552253) + (xy 112.004753 47.48559) (xy 112.005749 47.4841) (xy 112.223797 47.4841) (xy 112.878556 48.13886) (xy 112.856713 48.248671) + (xy 112.856713 48.371253) (xy 112.880628 48.49148) (xy 112.927538 48.604732) (xy 112.995641 48.706655) (xy 113.08232 48.793334) + (xy 113.184243 48.861437) (xy 113.297495 48.908347) (xy 113.417722 48.932262) (xy 113.540304 48.932262) (xy 113.660531 48.908347) + (xy 113.773783 48.861437) (xy 113.875706 48.793334) (xy 113.962385 48.706655) (xy 114.030488 48.604732) (xy 114.077398 48.49148) + (xy 114.101313 48.371253) (xy 114.101313 48.248671) (xy 114.652764 48.248671) (xy 114.652764 48.371253) (xy 114.676679 48.49148) + (xy 114.723589 48.604732) (xy 114.791692 48.706655) (xy 114.878371 48.793334) (xy 114.980294 48.861437) (xy 115.093546 48.908347) + (xy 115.2029 48.930099) (xy 115.202901 50.744751) (xy 115.20141 50.745747) (xy 115.134747 50.81241) (xy 115.08237 50.890798) + (xy 115.046292 50.977897) (xy 115.0279 51.070362) (xy 115.0279 51.164638) (xy 115.046292 51.257103) (xy 115.08237 51.344202) + (xy 115.134747 51.42259) (xy 115.20141 51.489253) (xy 115.279798 51.54163) (xy 115.366897 51.577708) (xy 115.459362 51.5961) + (xy 115.553638 51.5961) (xy 115.646103 51.577708) (xy 115.733202 51.54163) (xy 115.81159 51.489253) (xy 115.878253 51.42259) + (xy 115.93063 51.344202) (xy 115.966708 51.257103) (xy 115.9851 51.164638) (xy 115.9851 51.070362) (xy 115.966708 50.977897) + (xy 115.93063 50.890798) (xy 115.878253 50.81241) (xy 115.81159 50.745747) (xy 115.8101 50.744751) (xy 115.8101 49.863946) + (xy 115.816227 49.878738) (xy 115.971197 50.110666) (xy 116.168436 50.307905) (xy 116.400364 50.462875) (xy 116.658068 50.569619) + (xy 116.931646 50.624037) (xy 117.210584 50.624037) (xy 117.484162 50.569619) (xy 117.741866 50.462875) (xy 117.973794 50.307905) + (xy 118.171033 50.110666) (xy 118.326003 49.878738) (xy 118.432747 49.621034) (xy 118.487165 49.347456) (xy 118.487165 49.068518) + (xy 118.432747 48.79494) (xy 118.326003 48.537236) (xy 118.171033 48.305308) (xy 117.973794 48.108069) (xy 117.741866 47.953099) + (xy 117.484162 47.846355) (xy 117.210584 47.791937) (xy 116.931646 47.791937) (xy 116.658068 47.846355) (xy 116.589694 47.874677) + (xy 116.648779 47.817812) (xy 116.718821 47.717211) (xy 116.767891 47.604878) (xy 116.774841 47.581964) (xy 116.736438 47.485736) + (xy 116.24689 47.485736) (xy 116.24689 47.975284) (xy 116.322189 48.005334) (xy 116.168436 48.108069) (xy 115.971197 48.305308) + (xy 115.884745 48.434692) (xy 115.897364 48.371253) (xy 115.897364 48.248671) (xy 115.873449 48.128444) (xy 115.826539 48.015192) + (xy 115.758436 47.913269) (xy 115.671757 47.82659) (xy 115.569834 47.758487) (xy 115.456582 47.711577) (xy 115.336355 47.687662) + (xy 115.213773 47.687662) (xy 115.093546 47.711577) (xy 114.980294 47.758487) (xy 114.878371 47.82659) (xy 114.791692 47.913269) + (xy 114.723589 48.015192) (xy 114.676679 48.128444) (xy 114.652764 48.248671) (xy 114.101313 48.248671) (xy 114.077398 48.128444) + (xy 114.030488 48.015192) (xy 113.962385 47.913269) (xy 113.875706 47.82659) (xy 113.773783 47.758487) (xy 113.660531 47.711577) + (xy 113.540304 47.687662) (xy 113.417722 47.687662) (xy 113.307911 47.709505) (xy 113.18037 47.581964) (xy 113.775287 47.581964) + (xy 113.82002 47.696093) (xy 113.886159 47.799302) (xy 113.971162 47.887625) (xy 114.071763 47.957667) (xy 114.184096 48.006737) + (xy 114.20701 48.013687) (xy 114.303238 47.975284) (xy 114.303238 47.485736) (xy 114.450838 47.485736) (xy 114.450838 47.975284) + (xy 114.547066 48.013687) (xy 114.661195 47.968954) (xy 114.764404 47.902815) (xy 114.852727 47.817812) (xy 114.922769 47.717211) + (xy 114.971839 47.604878) (xy 114.978789 47.581964) (xy 115.571339 47.581964) (xy 115.616072 47.696093) (xy 115.682211 47.799302) + (xy 115.767214 47.887625) (xy 115.867815 47.957667) (xy 115.980148 48.006737) (xy 116.003062 48.013687) (xy 116.09929 47.975284) + (xy 116.09929 47.485736) (xy 115.609742 47.485736) (xy 115.571339 47.581964) (xy 114.978789 47.581964) (xy 114.940386 47.485736) + (xy 114.450838 47.485736) (xy 114.303238 47.485736) (xy 113.81369 47.485736) (xy 113.775287 47.581964) (xy 113.18037 47.581964) + (xy 112.574777 46.976372) (xy 112.565267 46.964784) (xy 112.519038 46.926845) (xy 112.466295 46.898654) (xy 112.409067 46.881294) + (xy 112.364455 46.8769) (xy 112.349551 46.875432) (xy 112.334647 46.8769) (xy 112.005749 46.8769) (xy 112.004753 46.87541) + (xy 111.93809 46.808747) (xy 111.859702 46.75637) (xy 111.772603 46.720292) (xy 111.680138 46.7019) (xy 111.585862 46.7019) + (xy 111.493397 46.720292) (xy 111.406298 46.75637) (xy 111.32791 46.808747) (xy 111.261247 46.87541) (xy 111.20887 46.953798) + (xy 111.172792 47.040897) (xy 111.1544 47.133362) (xy 103.5546 47.133362) (xy 103.5546 44.844617) (xy 104.1318 44.844617) + (xy 104.1318 45.071383) (xy 104.17604 45.293793) (xy 104.26282 45.503298) (xy 104.388805 45.691847) (xy 104.549153 45.852195) + (xy 104.737702 45.97818) (xy 104.947207 46.06496) (xy 105.169617 46.1092) (xy 105.396383 46.1092) (xy 105.618793 46.06496) + (xy 105.828298 45.97818) (xy 106.016847 45.852195) (xy 106.177195 45.691847) (xy 106.30318 45.503298) (xy 106.314314 45.476416) + (xy 112.062963 45.476416) (xy 112.062963 45.755354) (xy 112.117381 46.028932) (xy 112.224125 46.286636) (xy 112.379095 46.518564) + (xy 112.576334 46.715803) (xy 112.808262 46.870773) (xy 113.065966 46.977517) (xy 113.339544 47.031935) (xy 113.618482 47.031935) + (xy 113.89206 46.977517) (xy 113.960434 46.949195) (xy 113.901349 47.00606) (xy 113.831307 47.106661) (xy 113.782237 47.218994) + (xy 113.775287 47.241908) (xy 113.81369 47.338136) (xy 114.303238 47.338136) (xy 114.303238 46.848588) (xy 114.450838 46.848588) + (xy 114.450838 47.338136) (xy 114.940386 47.338136) (xy 114.978789 47.241908) (xy 115.571339 47.241908) (xy 115.609742 47.338136) + (xy 116.09929 47.338136) (xy 116.09929 46.848588) (xy 116.24689 46.848588) (xy 116.24689 47.338136) (xy 116.736438 47.338136) + (xy 116.774841 47.241908) (xy 116.772749 47.23657) (xy 116.778233 47.244778) (xy 116.879063 47.345608) (xy 116.997628 47.42483) + (xy 117.129369 47.479399) (xy 117.269225 47.507218) (xy 117.411821 47.507218) (xy 117.551677 47.479399) (xy 117.683418 47.42483) + (xy 117.801983 47.345608) (xy 117.902813 47.244778) (xy 117.982035 47.126213) (xy 118.036604 46.994472) (xy 118.064423 46.854616) + (xy 118.064423 46.71202) (xy 118.036604 46.572164) (xy 117.982035 46.440423) (xy 117.902813 46.321858) (xy 117.801983 46.221028) + (xy 117.683418 46.141806) (xy 117.551677 46.087237) (xy 117.411821 46.059418) (xy 117.269225 46.059418) (xy 117.129369 46.087237) + (xy 116.997628 46.141806) (xy 116.879063 46.221028) (xy 116.778233 46.321858) (xy 116.699011 46.440423) (xy 116.644442 46.572164) + (xy 116.616623 46.71202) (xy 116.616623 46.854616) (xy 116.644442 46.994472) (xy 116.651575 47.011691) (xy 116.578966 46.936247) + (xy 116.478365 46.866205) (xy 116.366032 46.817135) (xy 116.343118 46.810185) (xy 116.24689 46.848588) (xy 116.09929 46.848588) + (xy 116.003062 46.810185) (xy 115.888933 46.854918) (xy 115.785724 46.921057) (xy 115.697401 47.00606) (xy 115.627359 47.106661) + (xy 115.578289 47.218994) (xy 115.571339 47.241908) (xy 114.978789 47.241908) (xy 114.934056 47.127779) (xy 114.867917 47.02457) + (xy 114.782914 46.936247) (xy 114.682313 46.866205) (xy 114.56998 46.817135) (xy 114.547066 46.810185) (xy 114.450838 46.848588) + (xy 114.303238 46.848588) (xy 114.227939 46.818538) (xy 114.381692 46.715803) (xy 114.578931 46.518564) (xy 114.665383 46.38918) + (xy 114.652764 46.452619) (xy 114.652764 46.575201) (xy 114.676679 46.695428) (xy 114.723589 46.80868) (xy 114.791692 46.910603) + (xy 114.878371 46.997282) (xy 114.980294 47.065385) (xy 115.093546 47.112295) (xy 115.213773 47.13621) (xy 115.336355 47.13621) + (xy 115.456582 47.112295) (xy 115.569834 47.065385) (xy 115.671757 46.997282) (xy 115.758436 46.910603) (xy 115.826539 46.80868) + (xy 115.873449 46.695428) (xy 115.897364 46.575201) (xy 115.897364 46.452619) (xy 115.873449 46.332392) (xy 115.826539 46.21914) + (xy 115.758436 46.117217) (xy 115.677589 46.03637) (xy 115.692528 46.042558) (xy 115.832384 46.070377) (xy 115.97498 46.070377) + (xy 116.114836 46.042558) (xy 116.246577 45.987989) (xy 116.365142 45.908767) (xy 116.465972 45.807937) (xy 116.545194 45.689372) + (xy 116.599763 45.557631) (xy 116.627582 45.417775) (xy 116.627582 45.275179) (xy 116.599763 45.135323) (xy 116.546666 45.007135) + (xy 201.8464 45.007135) (xy 201.8464 45.332865) (xy 201.909947 45.652337) (xy 202.034599 45.953273) (xy 202.215565 46.224109) + (xy 202.445891 46.454435) (xy 202.716727 46.635401) (xy 203.017663 46.760053) (xy 203.337135 46.8236) (xy 203.662865 46.8236) + (xy 203.982337 46.760053) (xy 204.283273 46.635401) (xy 204.554109 46.454435) (xy 204.784435 46.224109) (xy 204.965401 45.953273) + (xy 205.090053 45.652337) (xy 205.1536 45.332865) (xy 205.1536 45.007135) (xy 205.121274 44.844617) (xy 209.1608 44.844617) + (xy 209.1608 45.071383) (xy 209.20504 45.293793) (xy 209.29182 45.503298) (xy 209.417805 45.691847) (xy 209.578153 45.852195) + (xy 209.766702 45.97818) (xy 209.976207 46.06496) (xy 210.198617 46.1092) (xy 210.425383 46.1092) (xy 210.647793 46.06496) + (xy 210.857298 45.97818) (xy 211.045847 45.852195) (xy 211.206195 45.691847) (xy 211.33218 45.503298) (xy 211.41896 45.293793) + (xy 211.4632 45.071383) (xy 211.4632 44.844617) (xy 211.41896 44.622207) (xy 211.33218 44.412702) (xy 211.206195 44.224153) + (xy 211.045847 44.063805) (xy 210.857298 43.93782) (xy 210.647793 43.85104) (xy 210.425383 43.8068) (xy 210.198617 43.8068) + (xy 209.976207 43.85104) (xy 209.766702 43.93782) (xy 209.578153 44.063805) (xy 209.417805 44.224153) (xy 209.29182 44.412702) + (xy 209.20504 44.622207) (xy 209.1608 44.844617) (xy 205.121274 44.844617) (xy 205.090053 44.687663) (xy 204.965401 44.386727) + (xy 204.784435 44.115891) (xy 204.554109 43.885565) (xy 204.283273 43.704599) (xy 203.982337 43.579947) (xy 203.662865 43.5164) + (xy 203.337135 43.5164) (xy 203.017663 43.579947) (xy 202.716727 43.704599) (xy 202.445891 43.885565) (xy 202.215565 44.115891) + (xy 202.034599 44.386727) (xy 201.909947 44.687663) (xy 201.8464 45.007135) (xy 116.546666 45.007135) (xy 116.545194 45.003582) + (xy 116.465972 44.885017) (xy 116.365142 44.784187) (xy 116.246577 44.704965) (xy 116.114836 44.650396) (xy 115.97498 44.622577) + (xy 115.832384 44.622577) (xy 115.692528 44.650396) (xy 115.560787 44.704965) (xy 115.442222 44.784187) (xy 115.3656 44.860809) + (xy 115.3656 44.505249) (xy 115.36709 44.504253) (xy 115.433753 44.43759) (xy 115.48613 44.359202) (xy 115.522208 44.272103) + (xy 115.5406 44.179638) (xy 115.5406 44.085362) (xy 115.522208 43.992897) (xy 115.48613 43.905798) (xy 115.433753 43.82741) + (xy 115.36709 43.760747) (xy 115.288702 43.70837) (xy 115.201603 43.672292) (xy 115.109138 43.6539) (xy 115.014862 43.6539) + (xy 114.922397 43.672292) (xy 114.835298 43.70837) (xy 114.75691 43.760747) (xy 114.690247 43.82741) (xy 114.63787 43.905798) + (xy 114.601792 43.992897) (xy 114.5834 44.085362) (xy 114.5834 44.179638) (xy 114.601792 44.272103) (xy 114.63787 44.359202) + (xy 114.690247 44.43759) (xy 114.75691 44.504253) (xy 114.758401 44.505249) (xy 114.758401 45.004282) (xy 114.733901 44.945134) + (xy 114.578931 44.713206) (xy 114.381692 44.515967) (xy 114.149764 44.360997) (xy 113.89206 44.254253) (xy 113.618482 44.199835) + (xy 113.339544 44.199835) (xy 113.065966 44.254253) (xy 112.808262 44.360997) (xy 112.576334 44.515967) (xy 112.379095 44.713206) + (xy 112.224125 44.945134) (xy 112.117381 45.202838) (xy 112.062963 45.476416) (xy 106.314314 45.476416) (xy 106.38996 45.293793) + (xy 106.4342 45.071383) (xy 106.4342 44.844617) (xy 106.38996 44.622207) (xy 106.30318 44.412702) (xy 106.177195 44.224153) + (xy 106.016847 44.063805) (xy 105.828298 43.93782) (xy 105.618793 43.85104) (xy 105.396383 43.8068) (xy 105.169617 43.8068) + (xy 104.947207 43.85104) (xy 104.737702 43.93782) (xy 104.549153 44.063805) (xy 104.388805 44.224153) (xy 104.26282 44.412702) + (xy 104.17604 44.622207) (xy 104.1318 44.844617) (xy 103.5546 44.844617) (xy 103.5546 42.432848) (xy 103.588945 42.082567) + (xy 103.686359 41.759915) (xy 103.844593 41.462321) (xy 104.057609 41.201137) (xy 104.317306 40.986298) (xy 104.61378 40.825995) + (xy 104.935747 40.726329) (xy 105.285201 40.6896) (xy 210.297152 40.6896) + ) + ) + (filled_polygon + (pts + (xy 145.57175 113.489105) (xy 145.5714 113.490862) (xy 145.5714 113.585138) (xy 145.589792 113.677603) (xy 145.62587 113.764702) + (xy 145.678247 113.84309) (xy 145.74491 113.909753) (xy 145.746401 113.910749) (xy 145.746401 114.004629) (xy 145.689047 114.035286) + (xy 145.631507 114.082507) (xy 145.584286 114.140047) (xy 145.549197 114.205693) (xy 145.52759 114.276923) (xy 145.520294 114.351) + (xy 145.520294 115.751) (xy 145.52759 115.825077) (xy 145.549197 115.896307) (xy 145.584286 115.961953) (xy 145.631507 116.019493) + (xy 145.689047 116.066714) (xy 145.7464 116.09737) (xy 145.7464 116.444096) (xy 145.744932 116.459) (xy 145.7464 116.473903) + (xy 145.750794 116.518515) (xy 145.768154 116.575743) (xy 145.796345 116.628486) (xy 145.834284 116.674716) (xy 145.845872 116.684226) + (xy 148.873045 119.7114) (xy 148.461756 119.7114) (xy 145.0836 116.333246) (xy 145.0836 116.09737) (xy 145.140953 116.066714) + (xy 145.198493 116.019493) (xy 145.245714 115.961953) (xy 145.280803 115.896307) (xy 145.30241 115.825077) (xy 145.309706 115.751) + (xy 145.309706 114.351) (xy 145.30241 114.276923) (xy 145.280803 114.205693) (xy 145.245714 114.140047) (xy 145.198493 114.082507) + (xy 145.140953 114.035286) (xy 145.0836 114.00463) (xy 145.0836 113.910749) (xy 145.08509 113.909753) (xy 145.151753 113.84309) + (xy 145.20413 113.764702) (xy 145.240208 113.677603) (xy 145.2586 113.585138) (xy 145.2586 113.490862) (xy 145.240208 113.398397) + (xy 145.20413 113.311298) (xy 145.151753 113.23291) (xy 145.08509 113.166247) (xy 145.006702 113.11387) (xy 144.919603 113.077792) + (xy 144.827138 113.0594) (xy 144.732862 113.0594) (xy 144.731105 113.059749) (xy 139.6226 107.951246) (xy 139.6226 107.539954) + ) + ) + (filled_polygon + (pts + (xy 146.84175 113.489105) (xy 146.8414 113.490862) (xy 146.8414 113.585138) (xy 146.859792 113.677603) (xy 146.89587 113.764702) + (xy 146.948247 113.84309) (xy 147.01491 113.909753) (xy 147.0164 113.910749) (xy 147.0164 114.00463) (xy 146.959047 114.035286) + (xy 146.901507 114.082507) (xy 146.854286 114.140047) (xy 146.819197 114.205693) (xy 146.79759 114.276923) (xy 146.790294 114.351) + (xy 146.790294 115.751) (xy 146.79759 115.825077) (xy 146.819197 115.896307) (xy 146.854286 115.961953) (xy 146.901507 116.019493) + (xy 146.959047 116.066714) (xy 147.016401 116.097371) (xy 147.016401 116.444086) (xy 147.014932 116.459) (xy 147.020794 116.518515) + (xy 147.030693 116.551145) (xy 147.038155 116.575744) (xy 147.066346 116.628487) (xy 147.104285 116.674716) (xy 147.115867 116.684221) + (xy 149.825545 119.3939) (xy 149.414255 119.3939) (xy 146.3536 116.333246) (xy 146.3536 116.09737) (xy 146.410953 116.066714) + (xy 146.468493 116.019493) (xy 146.515714 115.961953) (xy 146.550803 115.896307) (xy 146.57241 115.825077) (xy 146.579706 115.751) + (xy 146.579706 114.351) (xy 146.57241 114.276923) (xy 146.550803 114.205693) (xy 146.515714 114.140047) (xy 146.468493 114.082507) + (xy 146.410953 114.035286) (xy 146.3536 114.00463) (xy 146.3536 113.910749) (xy 146.35509 113.909753) (xy 146.421753 113.84309) + (xy 146.47413 113.764702) (xy 146.510208 113.677603) (xy 146.5286 113.585138) (xy 146.5286 113.490862) (xy 146.510208 113.398397) + (xy 146.47413 113.311298) (xy 146.421753 113.23291) (xy 146.35509 113.166247) (xy 146.276702 113.11387) (xy 146.189603 113.077792) + (xy 146.097138 113.0594) (xy 146.002862 113.0594) (xy 146.001105 113.05975) (xy 140.0036 107.062246) (xy 140.0036 106.650954) + ) + ) + (filled_polygon + (pts + (xy 148.11175 113.489105) (xy 148.1114 113.490862) (xy 148.1114 113.585138) (xy 148.129792 113.677603) (xy 148.16587 113.764702) + (xy 148.218247 113.84309) (xy 148.28491 113.909753) (xy 148.2864 113.910749) (xy 148.2864 114.00463) (xy 148.229047 114.035286) + (xy 148.171507 114.082507) (xy 148.124286 114.140047) (xy 148.089197 114.205693) (xy 148.06759 114.276923) (xy 148.060294 114.351) + (xy 148.060294 115.751) (xy 148.06759 115.825077) (xy 148.089197 115.896307) (xy 148.124286 115.961953) (xy 148.171507 116.019493) + (xy 148.229047 116.066714) (xy 148.286401 116.097371) (xy 148.286401 116.444086) (xy 148.284932 116.459) (xy 148.290794 116.518515) + (xy 148.300693 116.551145) (xy 148.308155 116.575744) (xy 148.336346 116.628487) (xy 148.374285 116.674716) (xy 148.385867 116.684221) + (xy 150.778045 119.0764) (xy 150.366755 119.0764) (xy 147.6236 116.333246) (xy 147.6236 116.09737) (xy 147.680953 116.066714) + (xy 147.738493 116.019493) (xy 147.785714 115.961953) (xy 147.820803 115.896307) (xy 147.84241 115.825077) (xy 147.849706 115.751) + (xy 147.849706 114.351) (xy 147.84241 114.276923) (xy 147.820803 114.205693) (xy 147.785714 114.140047) (xy 147.738493 114.082507) + (xy 147.680953 114.035286) (xy 147.6236 114.00463) (xy 147.6236 113.910749) (xy 147.62509 113.909753) (xy 147.691753 113.84309) + (xy 147.74413 113.764702) (xy 147.780208 113.677603) (xy 147.7986 113.585138) (xy 147.7986 113.490862) (xy 147.780208 113.398397) + (xy 147.74413 113.311298) (xy 147.691753 113.23291) (xy 147.62509 113.166247) (xy 147.546702 113.11387) (xy 147.459603 113.077792) + (xy 147.367138 113.0594) (xy 147.272862 113.0594) (xy 147.271105 113.05975) (xy 140.3846 106.173246) (xy 140.3846 105.761954) + ) + ) + (filled_polygon + (pts + (xy 149.38175 113.489105) (xy 149.3814 113.490862) (xy 149.3814 113.585138) (xy 149.399792 113.677603) (xy 149.43587 113.764702) + (xy 149.488247 113.84309) (xy 149.55491 113.909753) (xy 149.5564 113.910749) (xy 149.5564 114.00463) (xy 149.499047 114.035286) + (xy 149.441507 114.082507) (xy 149.394286 114.140047) (xy 149.359197 114.205693) (xy 149.33759 114.276923) (xy 149.330294 114.351) + (xy 149.330294 115.751) (xy 149.33759 115.825077) (xy 149.359197 115.896307) (xy 149.394286 115.961953) (xy 149.441507 116.019493) + (xy 149.499047 116.066714) (xy 149.556401 116.097371) (xy 149.556401 116.444086) (xy 149.554932 116.459) (xy 149.560794 116.518515) + (xy 149.570693 116.551145) (xy 149.578155 116.575744) (xy 149.606346 116.628487) (xy 149.644285 116.674716) (xy 149.655867 116.684221) + (xy 151.730544 118.7589) (xy 151.319255 118.7589) (xy 148.8936 116.333246) (xy 148.8936 116.09737) (xy 148.950953 116.066714) + (xy 149.008493 116.019493) (xy 149.055714 115.961953) (xy 149.090803 115.896307) (xy 149.11241 115.825077) (xy 149.119706 115.751) + (xy 149.119706 114.351) (xy 149.11241 114.276923) (xy 149.090803 114.205693) (xy 149.055714 114.140047) (xy 149.008493 114.082507) + (xy 148.950953 114.035286) (xy 148.8936 114.00463) (xy 148.8936 113.910749) (xy 148.89509 113.909753) (xy 148.961753 113.84309) + (xy 149.01413 113.764702) (xy 149.050208 113.677603) (xy 149.0686 113.585138) (xy 149.0686 113.490862) (xy 149.050208 113.398397) + (xy 149.01413 113.311298) (xy 148.961753 113.23291) (xy 148.89509 113.166247) (xy 148.816702 113.11387) (xy 148.729603 113.077792) + (xy 148.637138 113.0594) (xy 148.542862 113.0594) (xy 148.541105 113.05975) (xy 140.7656 105.284246) (xy 140.7656 104.872954) + ) + ) + (filled_polygon + (pts + (xy 150.65175 113.489105) (xy 150.6514 113.490862) (xy 150.6514 113.585138) (xy 150.669792 113.677603) (xy 150.70587 113.764702) + (xy 150.758247 113.84309) (xy 150.82491 113.909753) (xy 150.8264 113.910749) (xy 150.8264 114.00463) (xy 150.769047 114.035286) + (xy 150.711507 114.082507) (xy 150.664286 114.140047) (xy 150.629197 114.205693) (xy 150.60759 114.276923) (xy 150.600294 114.351) + (xy 150.600294 115.751) (xy 150.60759 115.825077) (xy 150.629197 115.896307) (xy 150.664286 115.961953) (xy 150.711507 116.019493) + (xy 150.769047 116.066714) (xy 150.826401 116.097371) (xy 150.826401 116.444086) (xy 150.824932 116.459) (xy 150.830794 116.518515) + (xy 150.840693 116.551145) (xy 150.848155 116.575744) (xy 150.876346 116.628487) (xy 150.914285 116.674716) (xy 150.925867 116.684221) + (xy 152.683044 118.4414) (xy 152.271756 118.4414) (xy 150.1636 116.333246) (xy 150.1636 116.09737) (xy 150.220953 116.066714) + (xy 150.278493 116.019493) (xy 150.325714 115.961953) (xy 150.360803 115.896307) (xy 150.38241 115.825077) (xy 150.389706 115.751) + (xy 150.389706 114.351) (xy 150.38241 114.276923) (xy 150.360803 114.205693) (xy 150.325714 114.140047) (xy 150.278493 114.082507) + (xy 150.220953 114.035286) (xy 150.1636 114.00463) (xy 150.1636 113.910749) (xy 150.16509 113.909753) (xy 150.231753 113.84309) + (xy 150.28413 113.764702) (xy 150.320208 113.677603) (xy 150.3386 113.585138) (xy 150.3386 113.490862) (xy 150.320208 113.398397) + (xy 150.28413 113.311298) (xy 150.231753 113.23291) (xy 150.16509 113.166247) (xy 150.086702 113.11387) (xy 149.999603 113.077792) + (xy 149.907138 113.0594) (xy 149.812862 113.0594) (xy 149.811105 113.05975) (xy 141.1466 104.395246) (xy 141.1466 103.983954) + ) + ) + (filled_polygon + (pts + (xy 151.921749 113.489105) (xy 151.9214 113.490862) (xy 151.9214 113.585138) (xy 151.939792 113.677603) (xy 151.97587 113.764702) + (xy 152.028247 113.84309) (xy 152.09491 113.909753) (xy 152.0964 113.910749) (xy 152.0964 114.00463) (xy 152.039047 114.035286) + (xy 151.981507 114.082507) (xy 151.934286 114.140047) (xy 151.899197 114.205693) (xy 151.87759 114.276923) (xy 151.870294 114.351) + (xy 151.870294 115.751) (xy 151.87759 115.825077) (xy 151.899197 115.896307) (xy 151.934286 115.961953) (xy 151.981507 116.019493) + (xy 152.039047 116.066714) (xy 152.096401 116.097371) (xy 152.096401 116.444086) (xy 152.094932 116.459) (xy 152.100794 116.518515) + (xy 152.110693 116.551145) (xy 152.118155 116.575744) (xy 152.146346 116.628487) (xy 152.184285 116.674716) (xy 152.195867 116.684221) + (xy 153.635545 118.1239) (xy 153.224256 118.1239) (xy 151.4336 116.333246) (xy 151.4336 116.09737) (xy 151.490953 116.066714) + (xy 151.548493 116.019493) (xy 151.595714 115.961953) (xy 151.630803 115.896307) (xy 151.65241 115.825077) (xy 151.659706 115.751) + (xy 151.659706 114.351) (xy 151.65241 114.276923) (xy 151.630803 114.205693) (xy 151.595714 114.140047) (xy 151.548493 114.082507) + (xy 151.490953 114.035286) (xy 151.4336 114.00463) (xy 151.4336 113.910749) (xy 151.43509 113.909753) (xy 151.501753 113.84309) + (xy 151.55413 113.764702) (xy 151.590208 113.677603) (xy 151.6086 113.585138) (xy 151.6086 113.490862) (xy 151.590208 113.398397) + (xy 151.55413 113.311298) (xy 151.501753 113.23291) (xy 151.43509 113.166247) (xy 151.356702 113.11387) (xy 151.269603 113.077792) + (xy 151.177138 113.0594) (xy 151.082862 113.0594) (xy 151.081105 113.05975) (xy 141.5276 103.506246) (xy 141.5276 103.094954) + ) + ) + (filled_polygon + (pts + (xy 142.571401 102.728086) (xy 142.569932 102.743) (xy 142.575794 102.802515) (xy 142.592889 102.858866) (xy 142.593155 102.859744) + (xy 142.621346 102.912487) (xy 142.659285 102.958716) (xy 142.670867 102.968221) (xy 153.191749 113.489105) (xy 153.1914 113.490862) + (xy 153.1914 113.585138) (xy 153.209792 113.677603) (xy 153.24587 113.764702) (xy 153.298247 113.84309) (xy 153.36491 113.909753) + (xy 153.366401 113.910749) (xy 153.366401 114.004629) (xy 153.309047 114.035286) (xy 153.251507 114.082507) (xy 153.204286 114.140047) + (xy 153.169197 114.205693) (xy 153.14759 114.276923) (xy 153.140294 114.351) (xy 153.140294 115.751) (xy 153.14759 115.825077) + (xy 153.169197 115.896307) (xy 153.204286 115.961953) (xy 153.251507 116.019493) (xy 153.309047 116.066714) (xy 153.366401 116.097371) + (xy 153.366401 116.444086) (xy 153.364932 116.459) (xy 153.370794 116.518515) (xy 153.380693 116.551145) (xy 153.388155 116.575744) + (xy 153.416346 116.628487) (xy 153.454285 116.674716) (xy 153.465867 116.684221) (xy 154.588045 117.8064) (xy 154.176755 117.8064) + (xy 152.7036 116.333246) (xy 152.7036 116.09737) (xy 152.760953 116.066714) (xy 152.818493 116.019493) (xy 152.865714 115.961953) + (xy 152.900803 115.896307) (xy 152.92241 115.825077) (xy 152.929706 115.751) (xy 152.929706 114.351) (xy 152.92241 114.276923) + (xy 152.900803 114.205693) (xy 152.865714 114.140047) (xy 152.818493 114.082507) (xy 152.760953 114.035286) (xy 152.7036 114.00463) + (xy 152.7036 113.910749) (xy 152.70509 113.909753) (xy 152.771753 113.84309) (xy 152.82413 113.764702) (xy 152.860208 113.677603) + (xy 152.8786 113.585138) (xy 152.8786 113.490862) (xy 152.860208 113.398397) (xy 152.82413 113.311298) (xy 152.771753 113.23291) + (xy 152.70509 113.166247) (xy 152.626702 113.11387) (xy 152.539603 113.077792) (xy 152.447138 113.0594) (xy 152.352862 113.0594) + (xy 152.351105 113.059749) (xy 141.9086 102.617246) (xy 141.9086 92.482511) (xy 141.944693 92.501803) (xy 142.015923 92.52341) + (xy 142.09 92.530706) (xy 142.39 92.530706) (xy 142.464077 92.52341) (xy 142.535307 92.501803) (xy 142.5714 92.48251) + ) + ) + (filled_polygon + (pts + (xy 143.841401 102.728086) (xy 143.839932 102.743) (xy 143.845794 102.802515) (xy 143.862889 102.858866) (xy 143.863155 102.859744) + (xy 143.891346 102.912487) (xy 143.929285 102.958716) (xy 143.940867 102.968221) (xy 154.461749 113.489105) (xy 154.4614 113.490862) + (xy 154.4614 113.585138) (xy 154.479792 113.677603) (xy 154.51587 113.764702) (xy 154.568247 113.84309) (xy 154.63491 113.909753) + (xy 154.6364 113.910749) (xy 154.6364 114.00463) (xy 154.579047 114.035286) (xy 154.521507 114.082507) (xy 154.474286 114.140047) + (xy 154.439197 114.205693) (xy 154.41759 114.276923) (xy 154.410294 114.351) (xy 154.410294 115.751) (xy 154.41759 115.825077) + (xy 154.439197 115.896307) (xy 154.474286 115.961953) (xy 154.521507 116.019493) (xy 154.579047 116.066714) (xy 154.636401 116.097371) + (xy 154.636401 116.444086) (xy 154.634932 116.459) (xy 154.640794 116.518515) (xy 154.650693 116.551145) (xy 154.658155 116.575744) + (xy 154.686346 116.628487) (xy 154.724285 116.674716) (xy 154.735867 116.684221) (xy 155.540545 117.4889) (xy 155.129255 117.4889) + (xy 153.9736 116.333246) (xy 153.9736 116.09737) (xy 154.030953 116.066714) (xy 154.088493 116.019493) (xy 154.135714 115.961953) + (xy 154.170803 115.896307) (xy 154.19241 115.825077) (xy 154.199706 115.751) (xy 154.199706 114.351) (xy 154.19241 114.276923) + (xy 154.170803 114.205693) (xy 154.135714 114.140047) (xy 154.088493 114.082507) (xy 154.030953 114.035286) (xy 153.9736 114.00463) + (xy 153.9736 113.910749) (xy 153.97509 113.909753) (xy 154.041753 113.84309) (xy 154.09413 113.764702) (xy 154.130208 113.677603) + (xy 154.1486 113.585138) (xy 154.1486 113.490862) (xy 154.130208 113.398397) (xy 154.09413 113.311298) (xy 154.041753 113.23291) + (xy 153.97509 113.166247) (xy 153.896702 113.11387) (xy 153.809603 113.077792) (xy 153.717138 113.0594) (xy 153.622862 113.0594) + (xy 153.621105 113.059749) (xy 143.1786 102.617246) (xy 143.1786 92.482511) (xy 143.214693 92.501803) (xy 143.285923 92.52341) + (xy 143.36 92.530706) (xy 143.66 92.530706) (xy 143.734077 92.52341) (xy 143.805307 92.501803) (xy 143.8414 92.482511) + ) + ) + (filled_polygon + (pts + (xy 145.111401 102.728086) (xy 145.109932 102.743) (xy 145.115794 102.802515) (xy 145.132889 102.858866) (xy 145.133155 102.859744) + (xy 145.161346 102.912487) (xy 145.199285 102.958716) (xy 145.210867 102.968221) (xy 155.731749 113.489105) (xy 155.7314 113.490862) + (xy 155.7314 113.585138) (xy 155.749792 113.677603) (xy 155.78587 113.764702) (xy 155.838247 113.84309) (xy 155.90491 113.909753) + (xy 155.9064 113.910749) (xy 155.9064 114.00463) (xy 155.849047 114.035286) (xy 155.791507 114.082507) (xy 155.744286 114.140047) + (xy 155.709197 114.205693) (xy 155.68759 114.276923) (xy 155.680294 114.351) (xy 155.680294 115.751) (xy 155.68759 115.825077) + (xy 155.709197 115.896307) (xy 155.744286 115.961953) (xy 155.791507 116.019493) (xy 155.849047 116.066714) (xy 155.906401 116.097371) + (xy 155.906401 116.444086) (xy 155.904932 116.459) (xy 155.910794 116.518515) (xy 155.920693 116.551145) (xy 155.928155 116.575744) + (xy 155.956346 116.628487) (xy 155.994285 116.674716) (xy 156.005867 116.684221) (xy 156.493045 117.1714) (xy 156.081755 117.1714) + (xy 155.2436 116.333246) (xy 155.2436 116.09737) (xy 155.300953 116.066714) (xy 155.358493 116.019493) (xy 155.405714 115.961953) + (xy 155.440803 115.896307) (xy 155.46241 115.825077) (xy 155.469706 115.751) (xy 155.469706 114.351) (xy 155.46241 114.276923) + (xy 155.440803 114.205693) (xy 155.405714 114.140047) (xy 155.358493 114.082507) (xy 155.300953 114.035286) (xy 155.2436 114.00463) + (xy 155.2436 113.910749) (xy 155.24509 113.909753) (xy 155.311753 113.84309) (xy 155.36413 113.764702) (xy 155.400208 113.677603) + (xy 155.4186 113.585138) (xy 155.4186 113.490862) (xy 155.400208 113.398397) (xy 155.36413 113.311298) (xy 155.311753 113.23291) + (xy 155.24509 113.166247) (xy 155.166702 113.11387) (xy 155.079603 113.077792) (xy 154.987138 113.0594) (xy 154.892862 113.0594) + (xy 154.891105 113.059749) (xy 144.4486 102.617246) (xy 144.4486 92.482511) (xy 144.484693 92.501803) (xy 144.555923 92.52341) + (xy 144.63 92.530706) (xy 144.93 92.530706) (xy 145.004077 92.52341) (xy 145.075307 92.501803) (xy 145.1114 92.482511) + ) + ) + (filled_polygon + (pts + (xy 146.3814 102.728096) (xy 146.379932 102.743) (xy 146.38229 102.76694) (xy 146.385794 102.802515) (xy 146.403154 102.859743) + (xy 146.431345 102.912486) (xy 146.469284 102.958716) (xy 146.480872 102.968226) (xy 157.001749 113.489105) (xy 157.0014 113.490862) + (xy 157.0014 113.585138) (xy 157.019792 113.677603) (xy 157.05587 113.764702) (xy 157.108247 113.84309) (xy 157.17491 113.909753) + (xy 157.1764 113.910749) (xy 157.1764 114.00463) (xy 157.119047 114.035286) (xy 157.061507 114.082507) (xy 157.014286 114.140047) + (xy 156.979197 114.205693) (xy 156.95759 114.276923) (xy 156.950294 114.351) (xy 156.950294 115.751) (xy 156.95759 115.825077) + (xy 156.979197 115.896307) (xy 157.014286 115.961953) (xy 157.061507 116.019493) (xy 157.119047 116.066714) (xy 157.176401 116.097371) + (xy 157.176401 116.444086) (xy 157.174932 116.459) (xy 157.180794 116.518515) (xy 157.190693 116.551145) (xy 157.198155 116.575744) + (xy 157.226346 116.628487) (xy 157.264285 116.674716) (xy 157.275867 116.684221) (xy 157.445545 116.8539) (xy 157.034256 116.8539) + (xy 156.5136 116.333246) (xy 156.5136 116.09737) (xy 156.570953 116.066714) (xy 156.628493 116.019493) (xy 156.675714 115.961953) + (xy 156.710803 115.896307) (xy 156.73241 115.825077) (xy 156.739706 115.751) (xy 156.739706 114.351) (xy 156.73241 114.276923) + (xy 156.710803 114.205693) (xy 156.675714 114.140047) (xy 156.628493 114.082507) (xy 156.570953 114.035286) (xy 156.5136 114.00463) + (xy 156.5136 113.910749) (xy 156.51509 113.909753) (xy 156.581753 113.84309) (xy 156.63413 113.764702) (xy 156.670208 113.677603) + (xy 156.6886 113.585138) (xy 156.6886 113.490862) (xy 156.670208 113.398397) (xy 156.63413 113.311298) (xy 156.581753 113.23291) + (xy 156.51509 113.166247) (xy 156.436702 113.11387) (xy 156.349603 113.077792) (xy 156.257138 113.0594) (xy 156.162862 113.0594) + (xy 156.161105 113.059749) (xy 145.7186 102.617246) (xy 145.7186 92.482511) (xy 145.754693 92.501803) (xy 145.825923 92.52341) + (xy 145.9 92.530706) (xy 146.2 92.530706) (xy 146.274077 92.52341) (xy 146.345307 92.501803) (xy 146.381401 92.48251) + ) + ) + (filled_polygon + (pts + (xy 147.651401 102.855086) (xy 147.649932 102.87) (xy 147.655794 102.929515) (xy 147.669527 102.974784) (xy 147.673155 102.986744) + (xy 147.701346 103.039487) (xy 147.739285 103.085716) (xy 147.750867 103.095221) (xy 158.446401 113.790757) (xy 158.446401 114.004629) + (xy 158.389047 114.035286) (xy 158.331507 114.082507) (xy 158.284286 114.140047) (xy 158.249197 114.205693) (xy 158.22759 114.276923) + (xy 158.220294 114.351) (xy 158.220294 115.751) (xy 158.22759 115.825077) (xy 158.249197 115.896307) (xy 158.284286 115.961953) + (xy 158.331507 116.019493) (xy 158.389047 116.066714) (xy 158.454693 116.101803) (xy 158.525923 116.12341) (xy 158.6 116.130706) + (xy 158.9 116.130706) (xy 158.974077 116.12341) (xy 159.045307 116.101803) (xy 159.110953 116.066714) (xy 159.168493 116.019493) + (xy 159.215714 115.961953) (xy 159.250803 115.896307) (xy 159.27241 115.825077) (xy 159.279706 115.751) (xy 159.279706 115.355394) + (xy 159.285298 115.35913) (xy 159.372397 115.395208) (xy 159.464862 115.4136) (xy 159.559138 115.4136) (xy 159.651603 115.395208) + (xy 159.738702 115.35913) (xy 159.81709 115.306753) (xy 159.883753 115.24009) (xy 159.884749 115.2386) (xy 160.303046 115.2386) + (xy 159.005246 116.5364) (xy 157.986756 116.5364) (xy 157.7836 116.333246) (xy 157.7836 116.09737) (xy 157.840953 116.066714) + (xy 157.898493 116.019493) (xy 157.945714 115.961953) (xy 157.980803 115.896307) (xy 158.00241 115.825077) (xy 158.009706 115.751) + (xy 158.009706 114.351) (xy 158.00241 114.276923) (xy 157.980803 114.205693) (xy 157.945714 114.140047) (xy 157.898493 114.082507) + (xy 157.840953 114.035286) (xy 157.7836 114.00463) (xy 157.7836 113.910749) (xy 157.78509 113.909753) (xy 157.851753 113.84309) + (xy 157.90413 113.764702) (xy 157.940208 113.677603) (xy 157.9586 113.585138) (xy 157.9586 113.490862) (xy 157.940208 113.398397) + (xy 157.90413 113.311298) (xy 157.851753 113.23291) (xy 157.78509 113.166247) (xy 157.706702 113.11387) (xy 157.619603 113.077792) + (xy 157.527138 113.0594) (xy 157.432862 113.0594) (xy 157.431105 113.059749) (xy 146.9886 102.617246) (xy 146.9886 92.482511) + (xy 147.024693 92.501803) (xy 147.095923 92.52341) (xy 147.17 92.530706) (xy 147.47 92.530706) (xy 147.544077 92.52341) + (xy 147.615307 92.501803) (xy 147.6514 92.482511) + ) + ) + (filled_polygon + (pts + (xy 150.191401 103.744086) (xy 150.189932 103.759) (xy 150.195794 103.818515) (xy 150.206454 103.853655) (xy 150.213155 103.875744) + (xy 150.241346 103.928487) (xy 150.279285 103.974716) (xy 150.290867 103.984221) (xy 158.39875 112.092105) (xy 158.3984 112.093862) + (xy 158.3984 112.188138) (xy 158.416792 112.280603) (xy 158.45287 112.367702) (xy 158.505247 112.44609) (xy 158.57191 112.512753) + (xy 158.650298 112.56513) (xy 158.737397 112.601208) (xy 158.829862 112.6196) (xy 158.924138 112.6196) (xy 159.016603 112.601208) + (xy 159.103702 112.56513) (xy 159.18209 112.512753) (xy 159.248753 112.44609) (xy 159.249749 112.4446) (xy 159.34363 112.4446) + (xy 159.374286 112.501953) (xy 159.421507 112.559493) (xy 159.479047 112.606714) (xy 159.544693 112.641803) (xy 159.615923 112.66341) + (xy 159.69 112.670706) (xy 161.09 112.670706) (xy 161.164077 112.66341) (xy 161.235307 112.641803) (xy 161.300953 112.606714) + (xy 161.358493 112.559493) (xy 161.405714 112.501953) (xy 161.43637 112.4446) (xy 162.208046 112.4446) (xy 161.545246 113.1074) + (xy 161.43637 113.1074) (xy 161.405714 113.050047) (xy 161.358493 112.992507) (xy 161.300953 112.945286) (xy 161.235307 112.910197) + (xy 161.164077 112.88859) (xy 161.09 112.881294) (xy 159.69 112.881294) (xy 159.615923 112.88859) (xy 159.544693 112.910197) + (xy 159.479047 112.945286) (xy 159.421507 112.992507) (xy 159.374286 113.050047) (xy 159.34363 113.1074) (xy 159.129756 113.1074) + (xy 149.5286 103.506246) (xy 149.5286 92.482511) (xy 149.564693 92.501803) (xy 149.635923 92.52341) (xy 149.71 92.530706) + (xy 150.01 92.530706) (xy 150.084077 92.52341) (xy 150.155307 92.501803) (xy 150.1914 92.482511) + ) + ) + (filled_polygon + (pts + (xy 151.4614 103.744096) (xy 151.459932 103.759) (xy 151.462686 103.786963) (xy 151.465794 103.818515) (xy 151.483154 103.875743) + (xy 151.511345 103.928486) (xy 151.549284 103.974716) (xy 151.560872 103.984226) (xy 158.39875 110.822105) (xy 158.3984 110.823862) + (xy 158.3984 110.918138) (xy 158.416792 111.010603) (xy 158.45287 111.097702) (xy 158.505247 111.17609) (xy 158.57191 111.242753) + (xy 158.650298 111.29513) (xy 158.737397 111.331208) (xy 158.829862 111.3496) (xy 158.924138 111.3496) (xy 159.016603 111.331208) + (xy 159.103702 111.29513) (xy 159.18209 111.242753) (xy 159.248753 111.17609) (xy 159.249749 111.1746) (xy 159.34363 111.1746) + (xy 159.374286 111.231953) (xy 159.421507 111.289493) (xy 159.479047 111.336714) (xy 159.544693 111.371803) (xy 159.615923 111.39341) + (xy 159.69 111.400706) (xy 161.09 111.400706) (xy 161.164077 111.39341) (xy 161.235307 111.371803) (xy 161.300953 111.336714) + (xy 161.358493 111.289493) (xy 161.405714 111.231953) (xy 161.43637 111.1746) (xy 162.364096 111.1746) (xy 162.379 111.176068) + (xy 162.393904 111.1746) (xy 162.438516 111.170206) (xy 162.495744 111.152846) (xy 162.548487 111.124655) (xy 162.594716 111.086716) + (xy 162.604226 111.075128) (xy 165.275755 108.4036) (xy 165.817045 108.4036) (xy 162.383246 111.8374) (xy 161.43637 111.8374) + (xy 161.405714 111.780047) (xy 161.358493 111.722507) (xy 161.300953 111.675286) (xy 161.235307 111.640197) (xy 161.164077 111.61859) + (xy 161.09 111.611294) (xy 159.69 111.611294) (xy 159.615923 111.61859) (xy 159.544693 111.640197) (xy 159.479047 111.675286) + (xy 159.421507 111.722507) (xy 159.374286 111.780047) (xy 159.34363 111.8374) (xy 159.249749 111.8374) (xy 159.248753 111.83591) + (xy 159.18209 111.769247) (xy 159.103702 111.71687) (xy 159.016603 111.680792) (xy 158.924138 111.6624) (xy 158.829862 111.6624) + (xy 158.828105 111.66275) (xy 150.7986 103.633246) (xy 150.7986 92.482511) (xy 150.834693 92.501803) (xy 150.905923 92.52341) + (xy 150.98 92.530706) (xy 151.28 92.530706) (xy 151.354077 92.52341) (xy 151.425307 92.501803) (xy 151.461401 92.48251) + ) + ) + (filled_polygon + (pts + (xy 152.7314 103.744096) (xy 152.729932 103.759) (xy 152.732686 103.786963) (xy 152.735794 103.818515) (xy 152.753154 103.875743) + (xy 152.781345 103.928486) (xy 152.819284 103.974716) (xy 152.830872 103.984226) (xy 158.39875 109.552105) (xy 158.3984 109.553862) + (xy 158.3984 109.648138) (xy 158.416792 109.740603) (xy 158.45287 109.827702) (xy 158.505247 109.90609) (xy 158.57191 109.972753) + (xy 158.650298 110.02513) (xy 158.737397 110.061208) (xy 158.829862 110.0796) (xy 158.924138 110.0796) (xy 159.016603 110.061208) + (xy 159.103702 110.02513) (xy 159.18209 109.972753) (xy 159.248753 109.90609) (xy 159.249749 109.9046) (xy 159.34363 109.9046) + (xy 159.374286 109.961953) (xy 159.421507 110.019493) (xy 159.479047 110.066714) (xy 159.544693 110.101803) (xy 159.615923 110.12341) + (xy 159.69 110.130706) (xy 161.09 110.130706) (xy 161.164077 110.12341) (xy 161.235307 110.101803) (xy 161.300953 110.066714) + (xy 161.358493 110.019493) (xy 161.405714 109.961953) (xy 161.43637 109.9046) (xy 162.234096 109.9046) (xy 162.249 109.906068) + (xy 162.263904 109.9046) (xy 162.308516 109.900206) (xy 162.365744 109.882846) (xy 162.418487 109.854655) (xy 162.464716 109.816716) + (xy 162.474226 109.805128) (xy 164.175755 108.1036) (xy 164.717045 108.1036) (xy 162.253246 110.5674) (xy 161.43637 110.5674) + (xy 161.405714 110.510047) (xy 161.358493 110.452507) (xy 161.300953 110.405286) (xy 161.235307 110.370197) (xy 161.164077 110.34859) + (xy 161.09 110.341294) (xy 159.69 110.341294) (xy 159.615923 110.34859) (xy 159.544693 110.370197) (xy 159.479047 110.405286) + (xy 159.421507 110.452507) (xy 159.374286 110.510047) (xy 159.34363 110.5674) (xy 159.249749 110.5674) (xy 159.248753 110.56591) + (xy 159.18209 110.499247) (xy 159.103702 110.44687) (xy 159.016603 110.410792) (xy 158.924138 110.3924) (xy 158.829862 110.3924) + (xy 158.828105 110.39275) (xy 152.0686 103.633246) (xy 152.0686 92.482511) (xy 152.104693 92.501803) (xy 152.175923 92.52341) + (xy 152.25 92.530706) (xy 152.55 92.530706) (xy 152.624077 92.52341) (xy 152.695307 92.501803) (xy 152.731401 92.48251) + ) + ) + (filled_polygon + (pts + (xy 154.001401 103.744086) (xy 153.999932 103.759) (xy 154.005794 103.818515) (xy 154.016454 103.853655) (xy 154.023155 103.875744) + (xy 154.051346 103.928487) (xy 154.089285 103.974716) (xy 154.100867 103.984221) (xy 158.398749 108.282105) (xy 158.3984 108.283862) + (xy 158.3984 108.378138) (xy 158.416792 108.470603) (xy 158.45287 108.557702) (xy 158.505247 108.63609) (xy 158.57191 108.702753) + (xy 158.650298 108.75513) (xy 158.737397 108.791208) (xy 158.829862 108.8096) (xy 158.924138 108.8096) (xy 159.016603 108.791208) + (xy 159.103702 108.75513) (xy 159.18209 108.702753) (xy 159.248753 108.63609) (xy 159.249749 108.6346) (xy 159.34363 108.6346) + (xy 159.374286 108.691953) (xy 159.421507 108.749493) (xy 159.479047 108.796714) (xy 159.544693 108.831803) (xy 159.615923 108.85341) + (xy 159.69 108.860706) (xy 161.09 108.860706) (xy 161.164077 108.85341) (xy 161.235307 108.831803) (xy 161.300953 108.796714) + (xy 161.358493 108.749493) (xy 161.405714 108.691953) (xy 161.43637 108.6346) (xy 162.418096 108.6346) (xy 162.433 108.636068) + (xy 162.447904 108.6346) (xy 162.492516 108.630206) (xy 162.549744 108.612846) (xy 162.602487 108.584655) (xy 162.648716 108.546716) + (xy 162.658226 108.535128) (xy 163.389756 107.8036) (xy 163.617045 107.8036) (xy 162.123246 109.2974) (xy 161.43637 109.2974) + (xy 161.405714 109.240047) (xy 161.358493 109.182507) (xy 161.300953 109.135286) (xy 161.235307 109.100197) (xy 161.164077 109.07859) + (xy 161.09 109.071294) (xy 159.69 109.071294) (xy 159.615923 109.07859) (xy 159.544693 109.100197) (xy 159.479047 109.135286) + (xy 159.421507 109.182507) (xy 159.374286 109.240047) (xy 159.34363 109.2974) (xy 159.249749 109.2974) (xy 159.248753 109.29591) + (xy 159.18209 109.229247) (xy 159.103702 109.17687) (xy 159.016603 109.140792) (xy 158.924138 109.1224) (xy 158.829862 109.1224) + (xy 158.828105 109.12275) (xy 153.3386 103.633246) (xy 153.3386 92.482511) (xy 153.374693 92.501803) (xy 153.445923 92.52341) + (xy 153.52 92.530706) (xy 153.82 92.530706) (xy 153.894077 92.52341) (xy 153.965307 92.501803) (xy 154.0014 92.482511) + ) + ) + (filled_polygon + (pts + (xy 108.174782 105.204138) (xy 108.184284 105.215716) (xy 108.230513 105.253655) (xy 108.283256 105.281846) (xy 108.331053 105.296345) + (xy 108.340484 105.299206) (xy 108.399999 105.305068) (xy 108.414903 105.3036) (xy 109.974246 105.3036) (xy 110.312286 105.641641) + (xy 110.265963 105.655692) (xy 110.182819 105.700134) (xy 110.109943 105.759942) (xy 110.050135 105.832818) (xy 110.005693 105.915962) + (xy 109.990388 105.966416) (xy 109.94877 105.953791) (xy 109.8625 105.945294) (xy 109.3375 105.945294) (xy 109.25123 105.953791) + (xy 109.168275 105.978955) (xy 109.091824 106.019819) (xy 109.024813 106.074813) (xy 108.98658 106.1214) (xy 108.702862 106.1214) + (xy 108.679679 106.126011) (xy 108.656178 106.128326) (xy 108.633581 106.13518) (xy 108.610397 106.139792) (xy 108.588561 106.148837) + (xy 108.565962 106.155692) (xy 108.545133 106.166826) (xy 108.523298 106.17587) (xy 108.503646 106.189001) (xy 108.482818 106.200134) + (xy 108.464564 106.215114) (xy 108.44491 106.228247) (xy 108.428194 106.244963) (xy 108.409942 106.259942) (xy 108.394963 106.278194) + (xy 108.378247 106.29491) (xy 108.365114 106.314564) (xy 108.350134 106.332818) (xy 108.339001 106.353646) (xy 108.32587 106.373298) + (xy 108.316826 106.395133) (xy 108.305692 106.415962) (xy 108.298837 106.438561) (xy 108.289792 106.460397) (xy 108.28518 106.483581) + (xy 108.278326 106.506178) (xy 108.276011 106.529679) (xy 108.2714 106.552862) (xy 108.2714 106.576496) (xy 108.269085 106.6) + (xy 108.2714 106.623504) (xy 108.2714 106.647138) (xy 108.276011 106.670321) (xy 108.278326 106.693822) (xy 108.28518 106.716419) + (xy 108.289792 106.739603) (xy 108.298837 106.761439) (xy 108.305692 106.784038) (xy 108.316826 106.804867) (xy 108.32587 106.826702) + (xy 108.339001 106.846354) (xy 108.350134 106.867182) (xy 108.365114 106.885436) (xy 108.378247 106.90509) (xy 108.394963 106.921806) + (xy 108.409942 106.940058) (xy 108.428194 106.955037) (xy 108.44491 106.971753) (xy 108.464564 106.984886) (xy 108.482818 106.999866) + (xy 108.503646 107.010999) (xy 108.523298 107.02413) (xy 108.545133 107.033174) (xy 108.565962 107.044308) (xy 108.588561 107.051163) + (xy 108.610397 107.060208) (xy 108.633581 107.06482) (xy 108.656178 107.071674) (xy 108.679679 107.073989) (xy 108.702862 107.0786) + (xy 108.98658 107.0786) (xy 109.024813 107.125187) (xy 109.091824 107.180181) (xy 109.168275 107.221045) (xy 109.25123 107.246209) + (xy 109.3375 107.254706) (xy 109.8625 107.254706) (xy 109.94877 107.246209) (xy 110.031725 107.221045) (xy 110.108176 107.180181) + (xy 110.175187 107.125187) (xy 110.21342 107.0786) (xy 110.276504 107.0786) (xy 110.3 107.080914) (xy 110.323496 107.0786) + (xy 110.323504 107.0786) (xy 110.393822 107.071674) (xy 110.484038 107.044308) (xy 110.567182 106.999866) (xy 110.631836 106.946805) + (xy 110.626149 106.965555) (xy 110.620294 107.025) (xy 110.620294 107.175) (xy 110.626149 107.234445) (xy 110.643488 107.291606) + (xy 110.671646 107.344286) (xy 110.676335 107.35) (xy 110.671646 107.355714) (xy 110.643488 107.408394) (xy 110.626149 107.465555) + (xy 110.620294 107.525) (xy 110.620294 107.675) (xy 110.626149 107.734445) (xy 110.643488 107.791606) (xy 110.671646 107.844286) + (xy 110.676335 107.85) (xy 110.671646 107.855714) (xy 110.643488 107.908394) (xy 110.626149 107.965555) (xy 110.620294 108.025) + (xy 110.620294 108.175) (xy 110.626149 108.234445) (xy 110.643488 108.291606) (xy 110.65957 108.321694) (xy 110.659006 108.322382) + (xy 110.637779 108.362095) (xy 110.624708 108.405187) (xy 110.620294 108.45) (xy 110.6214 108.46905) (xy 110.67855 108.5262) + (xy 111.5137 108.5262) (xy 111.5137 108.5062) (xy 111.6613 108.5062) (xy 111.6613 108.5262) (xy 111.6813 108.5262) + (xy 111.6813 108.6738) (xy 111.6613 108.6738) (xy 111.6613 108.6938) (xy 111.5137 108.6938) (xy 111.5137 108.6738) + (xy 110.67855 108.6738) (xy 110.6214 108.73095) (xy 110.620294 108.75) (xy 110.624708 108.794813) (xy 110.625477 108.797347) + (xy 110.590483 108.800794) (xy 110.584352 108.802654) (xy 110.533256 108.818154) (xy 110.480513 108.846345) (xy 110.434284 108.884284) + (xy 110.424783 108.895861) (xy 110.124246 109.1964) (xy 109.475756 109.1964) (xy 108.986048 108.706692) (xy 108.997382 108.715994) + (xy 109.037095 108.737221) (xy 109.080187 108.750292) (xy 109.125 108.754706) (xy 109.46905 108.7536) (xy 109.5262 108.69645) + (xy 109.5262 108.1738) (xy 109.6738 108.1738) (xy 109.6738 108.69645) (xy 109.73095 108.7536) (xy 110.075 108.754706) + (xy 110.119813 108.750292) (xy 110.162905 108.737221) (xy 110.202618 108.715994) (xy 110.237427 108.687427) (xy 110.265994 108.652618) + (xy 110.287221 108.612905) (xy 110.300292 108.569813) (xy 110.304706 108.525) (xy 110.3036 108.23095) (xy 110.24645 108.1738) + (xy 109.6738 108.1738) (xy 109.5262 108.1738) (xy 108.95355 108.1738) (xy 108.8964 108.23095) (xy 108.895294 108.525) + (xy 108.899708 108.569813) (xy 108.912779 108.612905) (xy 108.934006 108.652618) (xy 108.943308 108.663952) (xy 107.954355 107.675) + (xy 108.895294 107.675) (xy 108.8964 107.96905) (xy 108.95355 108.0262) (xy 109.5262 108.0262) (xy 109.5262 107.50355) + (xy 109.6738 107.50355) (xy 109.6738 108.0262) (xy 110.24645 108.0262) (xy 110.3036 107.96905) (xy 110.304706 107.675) + (xy 110.300292 107.630187) (xy 110.287221 107.587095) (xy 110.265994 107.547382) (xy 110.237427 107.512573) (xy 110.202618 107.484006) + (xy 110.162905 107.462779) (xy 110.119813 107.449708) (xy 110.075 107.445294) (xy 109.73095 107.4464) (xy 109.6738 107.50355) + (xy 109.5262 107.50355) (xy 109.46905 107.4464) (xy 109.125 107.445294) (xy 109.080187 107.449708) (xy 109.037095 107.462779) + (xy 108.997382 107.484006) (xy 108.962573 107.512573) (xy 108.934006 107.547382) (xy 108.912779 107.587095) (xy 108.899708 107.630187) + (xy 108.895294 107.675) (xy 107.954355 107.675) (xy 107.0536 106.774246) (xy 107.0536 104.082954) + ) + ) + (filled_polygon + (pts + (xy 155.2714 103.744096) (xy 155.269932 103.759) (xy 155.272686 103.786963) (xy 155.275794 103.818515) (xy 155.293154 103.875743) + (xy 155.321345 103.928486) (xy 155.359284 103.974716) (xy 155.370872 103.984226) (xy 158.398749 107.012105) (xy 158.3984 107.013862) + (xy 158.3984 107.108138) (xy 158.416792 107.200603) (xy 158.45287 107.287702) (xy 158.505247 107.36609) (xy 158.57191 107.432753) + (xy 158.650298 107.48513) (xy 158.737397 107.521208) (xy 158.829862 107.5396) (xy 158.924138 107.5396) (xy 159.016603 107.521208) + (xy 159.103702 107.48513) (xy 159.18209 107.432753) (xy 159.248753 107.36609) (xy 159.249749 107.3646) (xy 159.34363 107.3646) + (xy 159.374286 107.421953) (xy 159.421507 107.479493) (xy 159.479047 107.526714) (xy 159.544693 107.561803) (xy 159.615923 107.58341) + (xy 159.69 107.590706) (xy 161.09 107.590706) (xy 161.164077 107.58341) (xy 161.235307 107.561803) (xy 161.300953 107.526714) + (xy 161.358493 107.479493) (xy 161.405714 107.421953) (xy 161.43637 107.3646) (xy 162.970044 107.3646) (xy 162.307246 108.0274) + (xy 161.43637 108.0274) (xy 161.405714 107.970047) (xy 161.358493 107.912507) (xy 161.300953 107.865286) (xy 161.235307 107.830197) + (xy 161.164077 107.80859) (xy 161.09 107.801294) (xy 159.69 107.801294) (xy 159.615923 107.80859) (xy 159.544693 107.830197) + (xy 159.479047 107.865286) (xy 159.421507 107.912507) (xy 159.374286 107.970047) (xy 159.34363 108.0274) (xy 159.249749 108.0274) + (xy 159.248753 108.02591) (xy 159.18209 107.959247) (xy 159.103702 107.90687) (xy 159.016603 107.870792) (xy 158.924138 107.8524) + (xy 158.829862 107.8524) (xy 158.828105 107.852749) (xy 154.6086 103.633246) (xy 154.6086 92.482511) (xy 154.644693 92.501803) + (xy 154.715923 92.52341) (xy 154.79 92.530706) (xy 155.09 92.530706) (xy 155.164077 92.52341) (xy 155.235307 92.501803) + (xy 155.271401 92.48251) + ) + ) + (filled_polygon + (pts + (xy 156.541401 103.744086) (xy 156.539932 103.759) (xy 156.545794 103.818515) (xy 156.556454 103.853655) (xy 156.563155 103.875744) + (xy 156.591346 103.928487) (xy 156.629285 103.974716) (xy 156.640867 103.984221) (xy 158.39875 105.742104) (xy 158.3984 105.743862) + (xy 158.3984 105.838138) (xy 158.416792 105.930603) (xy 158.45287 106.017702) (xy 158.505247 106.09609) (xy 158.57191 106.162753) + (xy 158.650298 106.21513) (xy 158.737397 106.251208) (xy 158.829862 106.2696) (xy 158.924138 106.2696) (xy 159.016603 106.251208) + (xy 159.103702 106.21513) (xy 159.18209 106.162753) (xy 159.248753 106.09609) (xy 159.249749 106.0946) (xy 159.34363 106.0946) + (xy 159.374286 106.151953) (xy 159.421507 106.209493) (xy 159.479047 106.256714) (xy 159.544693 106.291803) (xy 159.615923 106.31341) + (xy 159.69 106.320706) (xy 161.09 106.320706) (xy 161.164077 106.31341) (xy 161.235307 106.291803) (xy 161.300953 106.256714) + (xy 161.358493 106.209493) (xy 161.405714 106.151953) (xy 161.43637 106.0946) (xy 163.704246 106.0946) (xy 164.367045 106.7574) + (xy 161.43637 106.7574) (xy 161.405714 106.700047) (xy 161.358493 106.642507) (xy 161.300953 106.595286) (xy 161.235307 106.560197) + (xy 161.164077 106.53859) (xy 161.09 106.531294) (xy 159.69 106.531294) (xy 159.615923 106.53859) (xy 159.544693 106.560197) + (xy 159.479047 106.595286) (xy 159.421507 106.642507) (xy 159.374286 106.700047) (xy 159.34363 106.7574) (xy 159.249749 106.7574) + (xy 159.248753 106.75591) (xy 159.18209 106.689247) (xy 159.103702 106.63687) (xy 159.016603 106.600792) (xy 158.924138 106.5824) + (xy 158.829862 106.5824) (xy 158.828105 106.582749) (xy 155.8786 103.633246) (xy 155.8786 92.482511) (xy 155.914693 92.501803) + (xy 155.985923 92.52341) (xy 156.06 92.530706) (xy 156.36 92.530706) (xy 156.434077 92.52341) (xy 156.505307 92.501803) + (xy 156.5414 92.482511) + ) + ) + (filled_polygon + (pts + (xy 148.9214 103.407046) (xy 148.2586 102.744246) (xy 148.2586 92.482511) (xy 148.294693 92.501803) (xy 148.365923 92.52341) + (xy 148.44 92.530706) (xy 148.74 92.530706) (xy 148.814077 92.52341) (xy 148.885307 92.501803) (xy 148.921401 92.48251) + ) + ) + (filled_polygon + (pts + (xy 112.905871 100.635226) (xy 112.893488 100.658394) (xy 112.876149 100.715555) (xy 112.870294 100.775) (xy 112.870294 101.8714) + (xy 112.25 101.8714) (xy 112.205402 101.875792) (xy 112.162519 101.888801) (xy 112.122997 101.909926) (xy 112.088355 101.938355) + (xy 112.059926 101.972997) (xy 112.038801 102.012519) (xy 112.025792 102.055402) (xy 112.0214 102.1) (xy 112.0214 102.720294) + (xy 110.925 102.720294) (xy 110.913771 102.7214) (xy 110.743095 102.7214) (xy 110.717182 102.700134) (xy 110.634038 102.655692) + (xy 110.543822 102.628326) (xy 110.473504 102.6214) (xy 110.21342 102.6214) (xy 110.175187 102.574813) (xy 110.108176 102.519819) + (xy 110.031725 102.478955) (xy 109.94877 102.453791) (xy 109.8625 102.445294) (xy 109.3375 102.445294) (xy 109.25123 102.453791) + (xy 109.168275 102.478955) (xy 109.091824 102.519819) (xy 109.024813 102.574813) (xy 108.969819 102.641824) (xy 108.928955 102.718275) + (xy 108.903791 102.80123) (xy 108.895294 102.8875) (xy 108.895294 103.265939) (xy 108.5536 102.924246) (xy 108.5536 102.025) + (xy 108.895294 102.025) (xy 108.899708 102.069813) (xy 108.912779 102.112905) (xy 108.934006 102.152618) (xy 108.962573 102.187427) + (xy 108.997382 102.215994) (xy 109.037095 102.237221) (xy 109.080187 102.250292) (xy 109.125 102.254706) (xy 109.46905 102.2536) + (xy 109.5262 102.19645) (xy 109.5262 101.6738) (xy 109.6738 101.6738) (xy 109.6738 102.19645) (xy 109.73095 102.2536) + (xy 110.075 102.254706) (xy 110.119813 102.250292) (xy 110.162905 102.237221) (xy 110.202618 102.215994) (xy 110.237427 102.187427) + (xy 110.265994 102.152618) (xy 110.287221 102.112905) (xy 110.300292 102.069813) (xy 110.304706 102.025) (xy 110.3036 101.73095) + (xy 110.24645 101.6738) (xy 109.6738 101.6738) (xy 109.5262 101.6738) (xy 108.95355 101.6738) (xy 108.8964 101.73095) + (xy 108.895294 102.025) (xy 108.5536 102.025) (xy 108.5536 101.175) (xy 108.895294 101.175) (xy 108.8964 101.46905) + (xy 108.95355 101.5262) (xy 109.5262 101.5262) (xy 109.5262 101.00355) (xy 109.6738 101.00355) (xy 109.6738 101.5262) + (xy 110.24645 101.5262) (xy 110.3036 101.46905) (xy 110.304706 101.175) (xy 110.300292 101.130187) (xy 110.287221 101.087095) + (xy 110.265994 101.047382) (xy 110.237427 101.012573) (xy 110.202618 100.984006) (xy 110.162905 100.962779) (xy 110.119813 100.949708) + (xy 110.075 100.945294) (xy 109.73095 100.9464) (xy 109.6738 101.00355) (xy 109.5262 101.00355) (xy 109.46905 100.9464) + (xy 109.125 100.945294) (xy 109.080187 100.949708) (xy 109.037095 100.962779) (xy 108.997382 100.984006) (xy 108.962573 101.012573) + (xy 108.934006 101.047382) (xy 108.912779 101.087095) (xy 108.899708 101.130187) (xy 108.895294 101.175) (xy 108.5536 101.175) + (xy 108.5536 96.282954) + ) + ) + (filled_polygon + (pts + (xy 116.446401 100.225756) (xy 116.446401 100.47519) (xy 116.444813 100.474708) (xy 116.4 100.470294) (xy 116.38095 100.4714) + (xy 116.3238 100.52855) (xy 116.3238 101.3637) (xy 116.3438 101.3637) (xy 116.3438 101.5113) (xy 116.3238 101.5113) + (xy 116.3238 101.5313) (xy 116.1762 101.5313) (xy 116.1762 101.5113) (xy 116.1562 101.5113) (xy 116.1562 101.3637) + (xy 116.1762 101.3637) (xy 116.1762 100.52855) (xy 116.11905 100.4714) (xy 116.1 100.470294) (xy 116.055187 100.474708) + (xy 116.012095 100.487779) (xy 115.972382 100.509006) (xy 115.971694 100.50957) (xy 115.941606 100.493488) (xy 115.884445 100.476149) + (xy 115.825 100.470294) (xy 115.675 100.470294) (xy 115.615555 100.476149) (xy 115.558394 100.493488) (xy 115.549947 100.498003) + (xy 115.549206 100.490484) (xy 115.545466 100.478154) (xy 115.531846 100.433256) (xy 115.503655 100.380513) (xy 115.465716 100.334284) + (xy 115.45414 100.324784) (xy 115.232955 100.1036) (xy 116.324246 100.1036) + ) + ) + (filled_polygon + (pts + (xy 152.146401 82.217757) (xy 152.1464 89.879348) (xy 152.136753 89.86491) (xy 152.07009 89.798247) (xy 151.991702 89.74587) + (xy 151.904603 89.709792) (xy 151.812138 89.6914) (xy 151.717862 89.6914) (xy 151.625397 89.709792) (xy 151.538298 89.74587) + (xy 151.45991 89.798247) (xy 151.4336 89.824557) (xy 151.4336 81.504956) + ) + ) + (filled_polygon + (pts + (xy 134.9514 72.375096) (xy 134.949932 72.39) (xy 134.95408 72.432111) (xy 134.955794 72.449515) (xy 134.973154 72.506743) + (xy 135.001345 72.559486) (xy 135.039284 72.605716) (xy 135.050872 72.615226) (xy 141.379779 78.944134) (xy 141.389284 78.955716) + (xy 141.435513 78.993655) (xy 141.488256 79.021846) (xy 141.532631 79.035307) (xy 141.545484 79.039206) (xy 141.604999 79.045068) + (xy 141.619903 79.0436) (xy 141.79363 79.0436) (xy 141.824286 79.100953) (xy 141.871507 79.158493) (xy 141.929047 79.205714) + (xy 141.994693 79.240803) (xy 142.065923 79.26241) (xy 142.14 79.269706) (xy 143.315 79.269706) (xy 143.389077 79.26241) + (xy 143.460307 79.240803) (xy 143.525953 79.205714) (xy 143.583493 79.158493) (xy 143.630714 79.100953) (xy 143.66137 79.0436) + (xy 143.765246 79.0436) (xy 145.7464 81.024755) (xy 145.746401 89.824558) (xy 145.72009 89.798247) (xy 145.641702 89.74587) + (xy 145.554603 89.709792) (xy 145.462138 89.6914) (xy 145.367862 89.6914) (xy 145.275397 89.709792) (xy 145.188298 89.74587) + (xy 145.10991 89.798247) (xy 145.0836 89.824557) (xy 145.0836 80.913904) (xy 145.085068 80.899) (xy 145.079206 80.839484) + (xy 145.072448 80.817206) (xy 145.061846 80.782256) (xy 145.033655 80.729513) (xy 144.995716 80.683284) (xy 144.984134 80.673779) + (xy 144.116226 79.805872) (xy 144.106716 79.794284) (xy 144.060487 79.756345) (xy 144.007744 79.728154) (xy 143.950516 79.710794) + (xy 143.905904 79.7064) (xy 143.891 79.704932) (xy 143.876096 79.7064) (xy 143.66137 79.7064) (xy 143.630714 79.649047) + (xy 143.583493 79.591507) (xy 143.525953 79.544286) (xy 143.460307 79.509197) (xy 143.389077 79.48759) (xy 143.315 79.480294) + (xy 142.14 79.480294) (xy 142.065923 79.48759) (xy 141.994693 79.509197) (xy 141.929047 79.544286) (xy 141.871507 79.591507) + (xy 141.824286 79.649047) (xy 141.79363 79.7064) (xy 141.730756 79.7064) (xy 134.2886 72.264246) (xy 134.2886 72.100443) + (xy 134.31491 72.126753) (xy 134.393298 72.17913) (xy 134.480397 72.215208) (xy 134.572862 72.2336) (xy 134.667138 72.2336) + (xy 134.759603 72.215208) (xy 134.846702 72.17913) (xy 134.92509 72.126753) (xy 134.9514 72.100443) + ) + ) + (filled_polygon + (pts + (xy 136.271345 72.051486) (xy 136.309284 72.097716) (xy 136.320872 72.107226) (xy 140.617782 76.404138) (xy 140.627284 76.415716) + (xy 140.673513 76.453655) (xy 140.726256 76.481846) (xy 140.770631 76.495307) (xy 140.783484 76.499206) (xy 140.842999 76.505068) + (xy 140.857903 76.5036) (xy 141.79363 76.5036) (xy 141.824286 76.560953) (xy 141.871507 76.618493) (xy 141.929047 76.665714) + (xy 141.994693 76.700803) (xy 142.065923 76.72241) (xy 142.14 76.729706) (xy 143.315 76.729706) (xy 143.389077 76.72241) + (xy 143.460307 76.700803) (xy 143.525953 76.665714) (xy 143.583493 76.618493) (xy 143.630714 76.560953) (xy 143.66137 76.5036) + (xy 144.654246 76.5036) (xy 147.0164 78.865756) (xy 147.016401 80.630087) (xy 147.0164 80.630097) (xy 147.016401 89.824558) + (xy 146.99009 89.798247) (xy 146.911702 89.74587) (xy 146.824603 89.709792) (xy 146.732138 89.6914) (xy 146.637862 89.6914) + (xy 146.545397 89.709792) (xy 146.458298 89.74587) (xy 146.37991 89.798247) (xy 146.3536 89.824557) (xy 146.3536 80.913903) + (xy 146.355068 80.898999) (xy 146.349206 80.839484) (xy 146.342448 80.817206) (xy 146.331846 80.782256) (xy 146.303655 80.729513) + (xy 146.265716 80.683284) (xy 146.254135 80.67378) (xy 144.116226 78.535872) (xy 144.106716 78.524284) (xy 144.060487 78.486345) + (xy 144.007744 78.458154) (xy 143.950516 78.440794) (xy 143.905904 78.4364) (xy 143.891 78.434932) (xy 143.876096 78.4364) + (xy 143.66137 78.4364) (xy 143.630714 78.379047) (xy 143.583493 78.321507) (xy 143.525953 78.274286) (xy 143.460307 78.239197) + (xy 143.389077 78.21759) (xy 143.315 78.210294) (xy 142.14 78.210294) (xy 142.065923 78.21759) (xy 141.994693 78.239197) + (xy 141.929047 78.274286) (xy 141.871507 78.321507) (xy 141.824286 78.379047) (xy 141.79363 78.4364) (xy 141.730755 78.4364) + (xy 141.064355 77.77) (xy 141.760294 77.77) (xy 141.764708 77.814813) (xy 141.777779 77.857905) (xy 141.799006 77.897618) + (xy 141.827573 77.932427) (xy 141.862382 77.960994) (xy 141.902095 77.982221) (xy 141.945187 77.995292) (xy 141.99 77.999706) + (xy 142.59655 77.9986) (xy 142.6537 77.94145) (xy 142.6537 77.5438) (xy 142.8013 77.5438) (xy 142.8013 77.94145) + (xy 142.85845 77.9986) (xy 143.465 77.999706) (xy 143.509813 77.995292) (xy 143.552905 77.982221) (xy 143.592618 77.960994) + (xy 143.627427 77.932427) (xy 143.655994 77.897618) (xy 143.677221 77.857905) (xy 143.690292 77.814813) (xy 143.694706 77.77) + (xy 143.6936 77.60095) (xy 143.63645 77.5438) (xy 142.8013 77.5438) (xy 142.6537 77.5438) (xy 141.81855 77.5438) + (xy 141.7614 77.60095) (xy 141.760294 77.77) (xy 141.064355 77.77) (xy 140.464355 77.17) (xy 141.760294 77.17) + (xy 141.7614 77.33905) (xy 141.81855 77.3962) (xy 142.6537 77.3962) (xy 142.6537 76.99855) (xy 142.8013 76.99855) + (xy 142.8013 77.3962) (xy 143.63645 77.3962) (xy 143.6936 77.33905) (xy 143.694706 77.17) (xy 143.690292 77.125187) + (xy 143.677221 77.082095) (xy 143.655994 77.042382) (xy 143.627427 77.007573) (xy 143.592618 76.979006) (xy 143.552905 76.957779) + (xy 143.509813 76.944708) (xy 143.465 76.940294) (xy 142.85845 76.9414) (xy 142.8013 76.99855) (xy 142.6537 76.99855) + (xy 142.59655 76.9414) (xy 141.99 76.940294) (xy 141.945187 76.944708) (xy 141.902095 76.957779) (xy 141.862382 76.979006) + (xy 141.827573 77.007573) (xy 141.799006 77.042382) (xy 141.777779 77.082095) (xy 141.764708 77.125187) (xy 141.760294 77.17) + (xy 140.464355 77.17) (xy 135.5586 72.264246) (xy 135.5586 72.100443) (xy 135.58491 72.126753) (xy 135.663298 72.17913) + (xy 135.750397 72.215208) (xy 135.842862 72.2336) (xy 135.937138 72.2336) (xy 136.029603 72.215208) (xy 136.116702 72.17913) + (xy 136.19509 72.126753) (xy 136.261753 72.06009) (xy 136.269637 72.048291) + ) + ) + (filled_polygon + (pts + (xy 150.8264 81.342255) (xy 150.826401 89.824558) (xy 150.80009 89.798247) (xy 150.721702 89.74587) (xy 150.634603 89.709792) + (xy 150.542138 89.6914) (xy 150.447862 89.6914) (xy 150.355397 89.709792) (xy 150.268298 89.74587) (xy 150.18991 89.798247) + (xy 150.1636 89.824557) (xy 150.1636 80.679455) + ) + ) + (filled_polygon + (pts + (xy 148.2864 79.691255) (xy 148.286401 89.824558) (xy 148.26009 89.798247) (xy 148.181702 89.74587) (xy 148.094603 89.709792) + (xy 148.002138 89.6914) (xy 147.907862 89.6914) (xy 147.815397 89.709792) (xy 147.728298 89.74587) (xy 147.64991 89.798247) + (xy 147.6236 89.824557) (xy 147.6236 79.028455) + ) + ) + (filled_polygon + (pts + (xy 133.6814 72.375096) (xy 133.679932 72.39) (xy 133.68408 72.432111) (xy 133.685794 72.449515) (xy 133.703154 72.506743) + (xy 133.731345 72.559486) (xy 133.769284 72.605716) (xy 133.780872 72.615226) (xy 141.379782 80.214138) (xy 141.389284 80.225716) + (xy 141.435513 80.263655) (xy 141.488256 80.291846) (xy 141.528123 80.30394) (xy 141.545483 80.309206) (xy 141.604999 80.315068) + (xy 141.619903 80.3136) (xy 141.79363 80.3136) (xy 141.824286 80.370953) (xy 141.871507 80.428493) (xy 141.929047 80.475714) + (xy 141.994693 80.510803) (xy 142.065923 80.53241) (xy 142.14 80.539706) (xy 143.315 80.539706) (xy 143.389077 80.53241) + (xy 143.460307 80.510803) (xy 143.525953 80.475714) (xy 143.583493 80.428493) (xy 143.630714 80.370953) (xy 143.66137 80.3136) + (xy 143.765246 80.3136) (xy 144.476401 81.024756) (xy 144.4764 89.824557) (xy 144.45009 89.798247) (xy 144.371702 89.74587) + (xy 144.284603 89.709792) (xy 144.192138 89.6914) (xy 144.097862 89.6914) (xy 144.005397 89.709792) (xy 143.918298 89.74587) + (xy 143.8636 89.782418) (xy 143.8636 85.535903) (xy 143.865068 85.520999) (xy 143.859206 85.461484) (xy 143.857572 85.456097) + (xy 143.841846 85.404256) (xy 143.813655 85.351513) (xy 143.775716 85.305284) (xy 143.764135 85.29578) (xy 141.2736 82.805246) + (xy 141.2736 82.69637) (xy 141.330953 82.665714) (xy 141.388493 82.618493) (xy 141.435714 82.560953) (xy 141.470803 82.495307) + (xy 141.49241 82.424077) (xy 141.499706 82.35) (xy 141.499706 81.175) (xy 141.49241 81.100923) (xy 141.470803 81.029693) + (xy 141.435714 80.964047) (xy 141.388493 80.906507) (xy 141.330953 80.859286) (xy 141.2736 80.82863) (xy 141.2736 80.659904) + (xy 141.275068 80.645) (xy 141.269206 80.585484) (xy 141.261329 80.559517) (xy 141.251846 80.528256) (xy 141.223655 80.475513) + (xy 141.185716 80.429284) (xy 141.174134 80.419779) (xy 133.0186 72.264246) (xy 133.0186 72.100443) (xy 133.04491 72.126753) + (xy 133.123298 72.17913) (xy 133.210397 72.215208) (xy 133.302862 72.2336) (xy 133.397138 72.2336) (xy 133.489603 72.215208) + (xy 133.576702 72.17913) (xy 133.65509 72.126753) (xy 133.6814 72.100443) + ) + ) + (filled_polygon + (pts + (xy 149.556401 80.516756) (xy 149.5564 89.824557) (xy 149.53009 89.798247) (xy 149.451702 89.74587) (xy 149.364603 89.709792) + (xy 149.272138 89.6914) (xy 149.177862 89.6914) (xy 149.085397 89.709792) (xy 148.998298 89.74587) (xy 148.91991 89.798247) + (xy 148.8936 89.824557) (xy 148.8936 79.853955) + ) + ) + (filled_polygon + (pts + (xy 142.5714 85.596756) (xy 142.5714 89.824557) (xy 142.54509 89.798247) (xy 142.466702 89.74587) (xy 142.379603 89.709792) + (xy 142.287138 89.6914) (xy 142.192862 89.6914) (xy 142.100397 89.709792) (xy 142.013298 89.74587) (xy 141.93491 89.798247) + (xy 141.9086 89.824557) (xy 141.9086 84.933955) + ) + ) + (filled_polygon + (pts + (xy 167.131999 80.315068) (xy 167.146903 80.3136) (xy 167.19363 80.3136) (xy 167.224286 80.370953) (xy 167.271507 80.428493) + (xy 167.329047 80.475714) (xy 167.394693 80.510803) (xy 167.465923 80.53241) (xy 167.54 80.539706) (xy 167.8239 80.539706) + (xy 167.823901 81.936744) (xy 167.4356 82.325045) (xy 167.4356 80.786903) (xy 167.437068 80.771999) (xy 167.431206 80.712484) + (xy 167.430573 80.710397) (xy 167.413846 80.655256) (xy 167.385655 80.602513) (xy 167.347716 80.556284) (xy 167.336135 80.54678) + (xy 167.10141 80.312055) + ) + ) + (filled_polygon + (pts + (xy 169.114401 79.754756) (xy 169.1144 81.154244) (xy 168.4311 81.837544) (xy 168.4311 80.539706) (xy 168.715 80.539706) + (xy 168.789077 80.53241) (xy 168.860307 80.510803) (xy 168.925953 80.475714) (xy 168.983493 80.428493) (xy 169.030714 80.370953) + (xy 169.065803 80.305307) (xy 169.08741 80.234077) (xy 169.094706 80.16) (xy 169.094706 79.86) (xy 169.08741 79.785923) + (xy 169.065803 79.714693) (xy 169.056002 79.696357) + ) + ) + (filled_polygon + (pts + (xy 167.160294 77.17) (xy 167.1614 77.33905) (xy 167.21855 77.3962) (xy 168.0537 77.3962) (xy 168.0537 77.3762) + (xy 168.2013 77.3762) (xy 168.2013 77.3962) (xy 169.03645 77.3962) (xy 169.0936 77.33905) (xy 169.094706 77.17) + (xy 169.091613 77.1386) (xy 169.292246 77.1386) (xy 169.8764 77.722755) (xy 169.8764 78.896046) (xy 169.516226 78.535872) + (xy 169.506716 78.524284) (xy 169.460487 78.486345) (xy 169.407744 78.458154) (xy 169.350516 78.440794) (xy 169.305904 78.4364) + (xy 169.291 78.434932) (xy 169.276096 78.4364) (xy 169.06137 78.4364) (xy 169.030714 78.379047) (xy 168.983493 78.321507) + (xy 168.925953 78.274286) (xy 168.860307 78.239197) (xy 168.789077 78.21759) (xy 168.715 78.210294) (xy 167.54 78.210294) + (xy 167.465923 78.21759) (xy 167.394693 78.239197) (xy 167.329047 78.274286) (xy 167.271507 78.321507) (xy 167.224286 78.379047) + (xy 167.19363 78.4364) (xy 167.130755 78.4364) (xy 166.464355 77.77) (xy 167.160294 77.77) (xy 167.164708 77.814813) + (xy 167.177779 77.857905) (xy 167.199006 77.897618) (xy 167.227573 77.932427) (xy 167.262382 77.960994) (xy 167.302095 77.982221) + (xy 167.345187 77.995292) (xy 167.39 77.999706) (xy 167.99655 77.9986) (xy 168.0537 77.94145) (xy 168.0537 77.5438) + (xy 168.2013 77.5438) (xy 168.2013 77.94145) (xy 168.25845 77.9986) (xy 168.865 77.999706) (xy 168.909813 77.995292) + (xy 168.952905 77.982221) (xy 168.992618 77.960994) (xy 169.027427 77.932427) (xy 169.055994 77.897618) (xy 169.077221 77.857905) + (xy 169.090292 77.814813) (xy 169.094706 77.77) (xy 169.0936 77.60095) (xy 169.03645 77.5438) (xy 168.2013 77.5438) + (xy 168.0537 77.5438) (xy 167.21855 77.5438) (xy 167.1614 77.60095) (xy 167.160294 77.77) (xy 166.464355 77.77) + (xy 165.832955 77.1386) (xy 167.163387 77.1386) + ) + ) + (filled_polygon + (pts + (xy 161.720867 71.980221) (xy 162.3834 72.642755) (xy 162.3834 72.819596) (xy 162.381932 72.8345) (xy 162.3834 72.849404) + (xy 162.387794 72.894016) (xy 162.405154 72.951244) (xy 162.433346 73.003987) (xy 162.471285 73.050216) (xy 162.482867 73.059721) + (xy 165.446282 76.023138) (xy 165.455784 76.034716) (xy 165.502013 76.072655) (xy 165.554756 76.100846) (xy 165.587063 76.110646) + (xy 165.611983 76.118206) (xy 165.671499 76.124068) (xy 165.686403 76.1226) (xy 165.894996 76.1226) (xy 166.176532 76.404138) + (xy 166.186034 76.415716) (xy 166.232263 76.453655) (xy 166.285006 76.481846) (xy 166.305623 76.4881) (xy 166.342233 76.499206) + (xy 166.401749 76.505068) (xy 166.416653 76.5036) (xy 167.19363 76.5036) (xy 167.208489 76.5314) (xy 165.733756 76.5314) + (xy 161.5936 72.391246) (xy 161.5936 72.127749) (xy 161.59509 72.126753) (xy 161.661753 72.06009) (xy 161.71413 71.981702) + (xy 161.716297 71.976471) + ) + ) + (filled_polygon + (pts + (xy 137.541345 72.051486) (xy 137.579284 72.097716) (xy 137.590872 72.107226) (xy 140.617779 75.134134) (xy 140.627284 75.145716) + (xy 140.673513 75.183655) (xy 140.726256 75.211846) (xy 140.783484 75.229206) (xy 140.843 75.235068) (xy 140.857904 75.2336) + (xy 141.79363 75.2336) (xy 141.824286 75.290953) (xy 141.871507 75.348493) (xy 141.929047 75.395714) (xy 141.994693 75.430803) + (xy 142.065923 75.45241) (xy 142.14 75.459706) (xy 143.315 75.459706) (xy 143.389077 75.45241) (xy 143.460307 75.430803) + (xy 143.525953 75.395714) (xy 143.583493 75.348493) (xy 143.630714 75.290953) (xy 143.66137 75.2336) (xy 143.828746 75.2336) + (xy 144.491546 75.8964) (xy 143.66137 75.8964) (xy 143.630714 75.839047) (xy 143.583493 75.781507) (xy 143.525953 75.734286) + (xy 143.460307 75.699197) (xy 143.389077 75.67759) (xy 143.315 75.670294) (xy 142.14 75.670294) (xy 142.065923 75.67759) + (xy 141.994693 75.699197) (xy 141.929047 75.734286) (xy 141.871507 75.781507) (xy 141.824286 75.839047) (xy 141.79363 75.8964) + (xy 140.968756 75.8964) (xy 137.28956 72.217206) (xy 137.299603 72.215208) (xy 137.386702 72.17913) (xy 137.46509 72.126753) + (xy 137.531753 72.06009) (xy 137.539637 72.048291) + ) + ) + (filled_polygon + (pts + (xy 165.4314 69.71849) (xy 165.395307 69.699197) (xy 165.324077 69.67759) (xy 165.25 69.670294) (xy 164.95 69.670294) + (xy 164.875923 69.67759) (xy 164.804693 69.699197) (xy 164.7686 69.718489) (xy 164.7686 67.562754) (xy 165.431401 66.899953) + ) + ) + (filled_polygon + (pts + (xy 162.891401 69.71849) (xy 162.855307 69.699197) (xy 162.784077 69.67759) (xy 162.71 69.670294) (xy 162.41 69.670294) + (xy 162.335923 69.67759) (xy 162.264693 69.699197) (xy 162.2286 69.718489) (xy 162.2286 68.832754) (xy 162.891401 68.169953) + ) + ) + (filled_polygon + (pts + (xy 136.221401 69.71849) (xy 136.185307 69.699197) (xy 136.114077 69.67759) (xy 136.04 69.670294) (xy 135.74 69.670294) + (xy 135.665923 69.67759) (xy 135.594693 69.699197) (xy 135.5586 69.718489) (xy 135.5586 68.451754) (xy 136.221401 67.788953) + ) + ) + (filled_polygon + (pts + (xy 161.6214 69.71849) (xy 161.585307 69.699197) (xy 161.514077 69.67759) (xy 161.44 69.670294) (xy 161.14 69.670294) + (xy 161.065923 69.67759) (xy 160.994693 69.699197) (xy 160.9586 69.718489) (xy 160.9586 69.467754) (xy 161.6214 68.804954) + ) + ) + (filled_polygon + (pts + (xy 137.4914 69.71849) (xy 137.455307 69.699197) (xy 137.384077 69.67759) (xy 137.31 69.670294) (xy 137.01 69.670294) + (xy 136.935923 69.67759) (xy 136.864693 69.699197) (xy 136.8286 69.718489) (xy 136.8286 67.816754) (xy 137.491401 67.153953) + ) + ) + (filled_polygon + (pts + (xy 134.951401 69.71849) (xy 134.915307 69.699197) (xy 134.844077 69.67759) (xy 134.77 69.670294) (xy 134.47 69.670294) + (xy 134.395923 69.67759) (xy 134.324693 69.699197) (xy 134.2886 69.718489) (xy 134.2886 69.086754) (xy 134.951401 68.423953) + ) + ) + (filled_polygon + (pts + (xy 140.0314 69.71849) (xy 139.995307 69.699197) (xy 139.924077 69.67759) (xy 139.85 69.670294) (xy 139.55 69.670294) + (xy 139.475923 69.67759) (xy 139.404693 69.699197) (xy 139.3686 69.718489) (xy 139.3686 66.546754) (xy 140.031401 65.883953) + ) + ) + (filled_polygon + (pts + (xy 138.7614 69.71849) (xy 138.725307 69.699197) (xy 138.654077 69.67759) (xy 138.58 69.670294) (xy 138.28 69.670294) + (xy 138.205923 69.67759) (xy 138.134693 69.699197) (xy 138.0986 69.718489) (xy 138.0986 67.181754) (xy 138.761401 66.518953) + ) + ) + (filled_polygon + (pts + (xy 164.1614 69.71849) (xy 164.125307 69.699197) (xy 164.054077 69.67759) (xy 163.98 69.670294) (xy 163.68 69.670294) + (xy 163.605923 69.67759) (xy 163.534693 69.699197) (xy 163.4986 69.718489) (xy 163.4986 68.197754) (xy 164.161401 67.534953) + ) + ) + (filled_polygon + (pts + (xy 200.180779 120.854134) (xy 200.190284 120.865716) (xy 200.236513 120.903655) (xy 200.289256 120.931846) (xy 200.310117 120.938174) + (xy 200.346483 120.949206) (xy 200.379754 120.952483) (xy 200.367261 120.954968) (xy 200.184617 121.030621) (xy 200.020242 121.140453) + (xy 199.969295 121.1914) (xy 198.454407 121.1914) (xy 198.399525 121.174752) (xy 198.3035 121.165294) (xy 197.4285 121.165294) + (xy 197.332475 121.174752) (xy 197.240141 121.202761) (xy 197.155045 121.248246) (xy 197.080458 121.309458) (xy 197.019246 121.384045) + (xy 196.973761 121.469141) (xy 196.945752 121.561475) (xy 196.936294 121.6575) (xy 196.936294 122.1825) (xy 196.945752 122.278525) + (xy 196.973761 122.370859) (xy 197.019246 122.455955) (xy 197.080458 122.530542) (xy 197.155045 122.591754) (xy 197.240141 122.637239) + (xy 197.332475 122.665248) (xy 197.4285 122.674706) (xy 198.3035 122.674706) (xy 198.399525 122.665248) (xy 198.454407 122.6486) + (xy 199.969295 122.6486) (xy 200.020242 122.699547) (xy 200.184617 122.809379) (xy 200.367261 122.885032) (xy 200.374138 122.8864) + (xy 196.086755 122.8864) (xy 193.945355 120.745) (xy 196.936294 120.745) (xy 196.940708 120.789813) (xy 196.953779 120.832905) + (xy 196.975006 120.872618) (xy 197.003573 120.907427) (xy 197.038382 120.935994) (xy 197.078095 120.957221) (xy 197.121187 120.970292) + (xy 197.166 120.974706) (xy 197.73505 120.9736) (xy 197.7922 120.91645) (xy 197.7922 120.2938) (xy 197.9398 120.2938) + (xy 197.9398 120.91645) (xy 197.99695 120.9736) (xy 198.566 120.974706) (xy 198.610813 120.970292) (xy 198.653905 120.957221) + (xy 198.693618 120.935994) (xy 198.728427 120.907427) (xy 198.756994 120.872618) (xy 198.778221 120.832905) (xy 198.791292 120.789813) + (xy 198.795706 120.745) (xy 198.7946 120.35095) (xy 198.73745 120.2938) (xy 197.9398 120.2938) (xy 197.7922 120.2938) + (xy 196.99455 120.2938) (xy 196.9374 120.35095) (xy 196.936294 120.745) (xy 193.945355 120.745) (xy 192.895355 119.695) + (xy 196.936294 119.695) (xy 196.9374 120.08905) (xy 196.99455 120.1462) (xy 197.7922 120.1462) (xy 197.7922 119.52355) + (xy 197.9398 119.52355) (xy 197.9398 120.1462) (xy 198.73745 120.1462) (xy 198.7946 120.08905) (xy 198.795706 119.695) + (xy 198.791292 119.650187) (xy 198.778221 119.607095) (xy 198.756994 119.567382) (xy 198.728427 119.532573) (xy 198.693618 119.504006) + (xy 198.653905 119.482779) (xy 198.610813 119.469708) (xy 198.566 119.465294) (xy 197.99695 119.4664) (xy 197.9398 119.52355) + (xy 197.7922 119.52355) (xy 197.73505 119.4664) (xy 197.166 119.465294) (xy 197.121187 119.469708) (xy 197.078095 119.482779) + (xy 197.038382 119.504006) (xy 197.003573 119.532573) (xy 196.975006 119.567382) (xy 196.953779 119.607095) (xy 196.940708 119.650187) + (xy 196.936294 119.695) (xy 192.895355 119.695) (xy 192.196226 118.995872) (xy 192.186716 118.984284) (xy 192.140487 118.946345) + (xy 192.087744 118.918154) (xy 192.030516 118.900794) (xy 191.985904 118.8964) (xy 191.971 118.894932) (xy 191.956096 118.8964) + (xy 191.946229 118.8964) (xy 191.928666 118.875) (xy 191.946229 118.8536) (xy 198.180246 118.8536) + ) + ) + (filled_polygon + (pts + (xy 200.367261 103.174968) (xy 200.184617 103.250621) (xy 200.020242 103.360453) (xy 199.880453 103.500242) (xy 199.770621 103.664617) + (xy 199.694968 103.847261) (xy 199.6564 104.041154) (xy 199.6564 104.238846) (xy 199.694968 104.432739) (xy 199.770621 104.615383) + (xy 199.880453 104.779758) (xy 200.020242 104.919547) (xy 200.184617 105.029379) (xy 200.367261 105.105032) (xy 200.374138 105.1064) + (xy 199.404904 105.1064) (xy 199.39 105.104932) (xy 199.375096 105.1064) (xy 199.330484 105.110794) (xy 199.273256 105.128154) + (xy 199.220513 105.156345) (xy 199.174284 105.194284) (xy 199.164779 105.205866) (xy 197.979367 106.391279) (xy 197.967785 106.400784) + (xy 197.929846 106.447013) (xy 197.911228 106.481846) (xy 197.901655 106.499756) (xy 197.884294 106.556985) (xy 197.878432 106.6165) + (xy 197.879901 106.631414) (xy 197.8799 114.840745) (xy 196.274246 116.4464) (xy 194.175755 116.4464) (xy 192.20616 114.476806) + (xy 192.289603 114.460208) (xy 192.376702 114.42413) (xy 192.45509 114.371753) (xy 192.521753 114.30509) (xy 192.57413 114.226702) + (xy 192.610208 114.139603) (xy 192.6286 114.047138) (xy 192.6286 113.952862) (xy 192.610208 113.860397) (xy 192.57413 113.773298) + (xy 192.521753 113.69491) (xy 192.45509 113.628247) (xy 192.376702 113.57587) (xy 192.289603 113.539792) (xy 192.197138 113.5214) + (xy 192.102862 113.5214) (xy 192.010397 113.539792) (xy 191.994444 113.5464) (xy 191.67373 113.5464) (xy 191.6625 113.545294) + (xy 191.55831 113.545294) (xy 191.570951 113.503624) (xy 191.5786 113.425962) (xy 191.5786 113.121028) (xy 191.61342 113.0786) + (xy 191.952063 113.0786) (xy 191.977664 113.073508) (xy 192.003624 113.070951) (xy 192.028582 113.06338) (xy 192.054187 113.058287) + (xy 192.078309 113.048296) (xy 192.103265 113.040725) (xy 192.126265 113.028431) (xy 192.150386 113.01844) (xy 192.172093 113.003936) + (xy 192.195095 112.991641) (xy 192.215257 112.975094) (xy 192.236963 112.960591) (xy 192.255421 112.942133) (xy 192.275585 112.925585) + (xy 192.292133 112.905421) (xy 192.310591 112.886963) (xy 192.325094 112.865257) (xy 192.341641 112.845095) (xy 192.353936 112.822093) + (xy 192.36844 112.800386) (xy 192.378431 112.776265) (xy 192.390725 112.753265) (xy 192.398296 112.728309) (xy 192.408287 112.704187) + (xy 192.41338 112.678582) (xy 192.420951 112.653624) (xy 192.423508 112.627664) (xy 192.4286 112.602063) (xy 192.4286 112.575962) + (xy 192.431157 112.55) (xy 192.4286 112.524038) (xy 192.4286 112.497937) (xy 192.423508 112.472336) (xy 192.420951 112.446376) + (xy 192.41338 112.421418) (xy 192.408287 112.395813) (xy 192.398296 112.371691) (xy 192.390725 112.346735) (xy 192.378431 112.323735) + (xy 192.36844 112.299614) (xy 192.353936 112.277907) (xy 192.341641 112.254905) (xy 192.325094 112.234743) (xy 192.310591 112.213037) + (xy 192.292133 112.194579) (xy 192.275585 112.174415) (xy 192.255421 112.157867) (xy 192.236963 112.139409) (xy 192.215257 112.124906) + (xy 192.195095 112.108359) (xy 192.172093 112.096064) (xy 192.150386 112.08156) (xy 192.126265 112.071569) (xy 192.103265 112.059275) + (xy 192.078309 112.051704) (xy 192.054187 112.041713) (xy 192.028582 112.03662) (xy 192.003624 112.029049) (xy 191.977664 112.026492) + (xy 191.952063 112.0214) (xy 191.61342 112.0214) (xy 191.575187 111.974813) (xy 191.5286 111.93658) (xy 191.5286 111.873495) + (xy 191.530914 111.849999) (xy 191.5286 111.826503) (xy 191.5286 111.826496) (xy 191.521674 111.756178) (xy 191.494308 111.665962) + (xy 191.449866 111.582818) (xy 191.390058 111.509942) (xy 191.3718 111.494958) (xy 191.271755 111.394913) (xy 191.271753 111.39491) + (xy 191.20509 111.328247) (xy 191.185432 111.315112) (xy 191.167181 111.300134) (xy 191.146359 111.289005) (xy 191.126702 111.27587) + (xy 191.10486 111.266823) (xy 191.084038 111.255693) (xy 191.061446 111.24884) (xy 191.039603 111.239792) (xy 191.016414 111.23518) + (xy 190.993821 111.228326) (xy 190.970324 111.226012) (xy 190.947138 111.2214) (xy 190.923496 111.2214) (xy 190.9 111.219086) + (xy 190.876504 111.2214) (xy 190.852862 111.2214) (xy 190.829676 111.226012) (xy 190.806179 111.228326) (xy 190.783586 111.23518) + (xy 190.760397 111.239792) (xy 190.738554 111.24884) (xy 190.715962 111.255693) (xy 190.69514 111.266823) (xy 190.673298 111.27587) + (xy 190.653641 111.289005) (xy 190.632819 111.300134) (xy 190.614568 111.315112) (xy 190.59491 111.328247) (xy 190.578193 111.344964) + (xy 190.559942 111.359942) (xy 190.544964 111.378193) (xy 190.528247 111.39491) (xy 190.515112 111.414568) (xy 190.500134 111.432819) + (xy 190.489005 111.453641) (xy 190.47587 111.473298) (xy 190.466823 111.49514) (xy 190.455693 111.515962) (xy 190.44884 111.538554) + (xy 190.439792 111.560397) (xy 190.43518 111.583586) (xy 190.428326 111.606179) (xy 190.426012 111.629676) (xy 190.4214 111.652862) + (xy 190.4214 111.676504) (xy 190.419086 111.7) (xy 190.4214 111.723496) (xy 190.4214 111.747138) (xy 190.426012 111.770324) + (xy 190.428326 111.793821) (xy 190.43518 111.816414) (xy 190.439792 111.839603) (xy 190.44884 111.861446) (xy 190.455693 111.884038) + (xy 190.466823 111.90486) (xy 190.47587 111.926702) (xy 190.489005 111.946359) (xy 190.500134 111.967181) (xy 190.515112 111.985432) + (xy 190.515555 111.986094) (xy 190.469819 112.041824) (xy 190.428955 112.118275) (xy 190.403791 112.20123) (xy 190.395294 112.2875) + (xy 190.395294 112.8125) (xy 190.403791 112.89877) (xy 190.428955 112.981725) (xy 190.469819 113.058176) (xy 190.5214 113.121028) + (xy 190.5214 113.425962) (xy 190.52905 113.503624) (xy 190.541691 113.545294) (xy 190.4375 113.545294) (xy 190.42627 113.5464) + (xy 190.105556 113.5464) (xy 190.089603 113.539792) (xy 189.997138 113.5214) (xy 189.902862 113.5214) (xy 189.810397 113.539792) + (xy 189.723298 113.57587) (xy 189.64491 113.628247) (xy 189.578247 113.69491) (xy 189.52587 113.773298) (xy 189.489792 113.860397) + (xy 189.4714 113.952862) (xy 189.4714 114.047138) (xy 189.489792 114.139603) (xy 189.52587 114.226702) (xy 189.578247 114.30509) + (xy 189.64491 114.371753) (xy 189.723298 114.42413) (xy 189.810397 114.460208) (xy 189.902862 114.4786) (xy 189.997138 114.4786) + (xy 190.089603 114.460208) (xy 190.105556 114.4536) (xy 190.107068 114.4536) (xy 190.101869 114.470739) (xy 190.095294 114.5375) + (xy 190.095294 114.7625) (xy 190.101869 114.829261) (xy 190.121343 114.893457) (xy 190.152966 114.952619) (xy 190.171334 114.975) + (xy 190.152966 114.997381) (xy 190.121343 115.056543) (xy 190.101869 115.120739) (xy 190.095294 115.1875) (xy 190.095294 115.4125) + (xy 190.101869 115.479261) (xy 190.121343 115.543457) (xy 190.152966 115.602619) (xy 190.171334 115.625) (xy 190.152966 115.647381) + (xy 190.121343 115.706543) (xy 190.101869 115.770739) (xy 190.095294 115.8375) (xy 190.095294 116.0625) (xy 190.101869 116.129261) + (xy 190.121343 116.193457) (xy 190.152966 116.252619) (xy 190.171334 116.275) (xy 190.152966 116.297381) (xy 190.121343 116.356543) + (xy 190.101869 116.420739) (xy 190.095294 116.4875) (xy 190.095294 116.7125) (xy 190.101869 116.779261) (xy 190.121343 116.843457) + (xy 190.152966 116.902619) (xy 190.171334 116.925) (xy 190.152966 116.947381) (xy 190.121343 117.006543) (xy 190.101869 117.070739) + (xy 190.095294 117.1375) (xy 190.095294 117.3625) (xy 190.101869 117.429261) (xy 190.121343 117.493457) (xy 190.152966 117.552619) + (xy 190.171334 117.575) (xy 190.152966 117.597381) (xy 190.121343 117.656543) (xy 190.101869 117.720739) (xy 190.095294 117.7875) + (xy 190.095294 118.0125) (xy 190.101869 118.079261) (xy 190.121343 118.143457) (xy 190.152966 118.202619) (xy 190.171334 118.225) + (xy 190.152966 118.247381) (xy 190.121343 118.306543) (xy 190.101869 118.370739) (xy 190.095294 118.4375) (xy 190.095294 118.6625) + (xy 190.101869 118.729261) (xy 190.121343 118.793457) (xy 190.152966 118.852619) (xy 190.171334 118.875) (xy 190.152966 118.897381) + (xy 190.121343 118.956543) (xy 190.101869 119.020739) (xy 190.095294 119.0875) (xy 190.095294 119.3125) (xy 190.095944 119.319101) + (xy 190.05509 119.278247) (xy 189.976702 119.22587) (xy 189.889603 119.189792) (xy 189.797138 119.1714) (xy 189.702862 119.1714) + (xy 189.701105 119.171749) (xy 188.1036 117.574246) (xy 188.1036 113.025) (xy 188.895294 113.025) (xy 188.899708 113.069813) + (xy 188.912779 113.112905) (xy 188.934006 113.152618) (xy 188.962573 113.187427) (xy 188.997382 113.215994) (xy 189.037095 113.237221) + (xy 189.080187 113.250292) (xy 189.125 113.254706) (xy 189.41905 113.2536) (xy 189.4762 113.19645) (xy 189.4762 112.6238) + (xy 189.6238 112.6238) (xy 189.6238 113.19645) (xy 189.68095 113.2536) (xy 189.975 113.254706) (xy 190.019813 113.250292) + (xy 190.062905 113.237221) (xy 190.102618 113.215994) (xy 190.137427 113.187427) (xy 190.165994 113.152618) (xy 190.187221 113.112905) + (xy 190.200292 113.069813) (xy 190.204706 113.025) (xy 190.2036 112.68095) (xy 190.14645 112.6238) (xy 189.6238 112.6238) + (xy 189.4762 112.6238) (xy 188.95355 112.6238) (xy 188.8964 112.68095) (xy 188.895294 113.025) (xy 188.1036 113.025) + (xy 188.1036 112.625754) (xy 188.654354 112.075) (xy 188.895294 112.075) (xy 188.8964 112.41905) (xy 188.95355 112.4762) + (xy 189.4762 112.4762) (xy 189.4762 111.90355) (xy 189.6238 111.90355) (xy 189.6238 112.4762) (xy 190.14645 112.4762) + (xy 190.2036 112.41905) (xy 190.204706 112.075) (xy 190.200292 112.030187) (xy 190.187221 111.987095) (xy 190.165994 111.947382) + (xy 190.137427 111.912573) (xy 190.102618 111.884006) (xy 190.062905 111.862779) (xy 190.019813 111.849708) (xy 189.975 111.845294) + (xy 189.68095 111.8464) (xy 189.6238 111.90355) (xy 189.4762 111.90355) (xy 189.41905 111.8464) (xy 189.125 111.845294) + (xy 189.080187 111.849708) (xy 189.037095 111.862779) (xy 188.997382 111.884006) (xy 188.962573 111.912573) (xy 188.934006 111.947382) + (xy 188.912779 111.987095) (xy 188.899708 112.030187) (xy 188.895294 112.075) (xy 188.654354 112.075) (xy 189.675755 111.0536) + (xy 190.153771 111.0536) (xy 190.195524 111.104476) (xy 190.247381 111.147034) (xy 190.306543 111.178657) (xy 190.370739 111.198131) + (xy 190.4375 111.204706) (xy 191.6625 111.204706) (xy 191.729261 111.198131) (xy 191.793457 111.178657) (xy 191.852619 111.147034) + (xy 191.904476 111.104476) (xy 191.947034 111.052619) (xy 191.978657 110.993457) (xy 191.998131 110.929261) (xy 192.004706 110.8625) + (xy 192.004706 110.6375) (xy 191.998131 110.570739) (xy 191.978657 110.506543) (xy 191.947034 110.447381) (xy 191.928666 110.425) + (xy 191.945396 110.404615) (xy 191.95 110.405068) (xy 191.964904 110.4036) (xy 192.009516 110.399206) (xy 192.066744 110.381846) + (xy 192.119487 110.353655) (xy 192.165716 110.315716) (xy 192.175226 110.304128) (xy 199.305755 103.1736) (xy 200.374138 103.1736) + ) + ) + (filled_polygon + (pts + (xy 194.2464 103.074245) (xy 192.595575 104.725071) (xy 192.57413 104.673298) (xy 192.521753 104.59491) (xy 192.45509 104.528247) + (xy 192.376702 104.47587) (xy 192.289603 104.439792) (xy 192.197138 104.4214) (xy 192.102862 104.4214) (xy 192.010397 104.439792) + (xy 191.994444 104.4464) (xy 191.67373 104.4464) (xy 191.6625 104.445294) (xy 191.55831 104.445294) (xy 191.570951 104.403624) + (xy 191.5786 104.325962) (xy 191.5786 104.021028) (xy 191.61342 103.9786) (xy 191.952063 103.9786) (xy 191.977664 103.973508) + (xy 192.003624 103.970951) (xy 192.028582 103.96338) (xy 192.054187 103.958287) (xy 192.078309 103.948296) (xy 192.103265 103.940725) + (xy 192.126265 103.928431) (xy 192.150386 103.91844) (xy 192.172093 103.903936) (xy 192.195095 103.891641) (xy 192.215257 103.875094) + (xy 192.236963 103.860591) (xy 192.255421 103.842133) (xy 192.275585 103.825585) (xy 192.292133 103.805421) (xy 192.310591 103.786963) + (xy 192.325094 103.765257) (xy 192.341641 103.745095) (xy 192.353936 103.722093) (xy 192.36844 103.700386) (xy 192.378431 103.676265) + (xy 192.390725 103.653265) (xy 192.398296 103.628309) (xy 192.408287 103.604187) (xy 192.41338 103.578582) (xy 192.420951 103.553624) + (xy 192.423508 103.527664) (xy 192.4286 103.502063) (xy 192.4286 103.475962) (xy 192.431157 103.45) (xy 192.4286 103.424038) + (xy 192.4286 103.397937) (xy 192.423508 103.372336) (xy 192.420951 103.346376) (xy 192.41338 103.321418) (xy 192.408287 103.295813) + (xy 192.398296 103.271691) (xy 192.390725 103.246735) (xy 192.378431 103.223735) (xy 192.36844 103.199614) (xy 192.353936 103.177907) + (xy 192.341641 103.154905) (xy 192.325094 103.134743) (xy 192.310591 103.113037) (xy 192.292133 103.094579) (xy 192.275585 103.074415) + (xy 192.255421 103.057867) (xy 192.236963 103.039409) (xy 192.215257 103.024906) (xy 192.195095 103.008359) (xy 192.172093 102.996064) + (xy 192.150386 102.98156) (xy 192.126265 102.971569) (xy 192.103265 102.959275) (xy 192.078309 102.951704) (xy 192.054187 102.941713) + (xy 192.028582 102.93662) (xy 192.003624 102.929049) (xy 191.977664 102.926492) (xy 191.952063 102.9214) (xy 191.61342 102.9214) + (xy 191.575187 102.874813) (xy 191.5286 102.83658) (xy 191.5286 102.773495) (xy 191.530914 102.749999) (xy 191.5286 102.726503) + (xy 191.5286 102.726496) (xy 191.521674 102.656178) (xy 191.494308 102.565962) (xy 191.449866 102.482818) (xy 191.390058 102.409942) + (xy 191.3718 102.394958) (xy 191.271755 102.294913) (xy 191.271753 102.29491) (xy 191.20509 102.228247) (xy 191.185432 102.215112) + (xy 191.167181 102.200134) (xy 191.146359 102.189005) (xy 191.126702 102.17587) (xy 191.10486 102.166823) (xy 191.084038 102.155693) + (xy 191.061446 102.14884) (xy 191.039603 102.139792) (xy 191.016414 102.13518) (xy 190.993821 102.128326) (xy 190.970324 102.126012) + (xy 190.947138 102.1214) (xy 190.923496 102.1214) (xy 190.9 102.119086) (xy 190.876504 102.1214) (xy 190.852862 102.1214) + (xy 190.829676 102.126012) (xy 190.806179 102.128326) (xy 190.783586 102.13518) (xy 190.760397 102.139792) (xy 190.738554 102.14884) + (xy 190.715962 102.155693) (xy 190.69514 102.166823) (xy 190.673298 102.17587) (xy 190.653641 102.189005) (xy 190.632819 102.200134) + (xy 190.614568 102.215112) (xy 190.59491 102.228247) (xy 190.578193 102.244964) (xy 190.559942 102.259942) (xy 190.544964 102.278193) + (xy 190.528247 102.29491) (xy 190.515112 102.314568) (xy 190.500134 102.332819) (xy 190.489005 102.353641) (xy 190.47587 102.373298) + (xy 190.466823 102.39514) (xy 190.455693 102.415962) (xy 190.44884 102.438554) (xy 190.439792 102.460397) (xy 190.43518 102.483586) + (xy 190.428326 102.506179) (xy 190.426012 102.529676) (xy 190.4214 102.552862) (xy 190.4214 102.576504) (xy 190.419086 102.6) + (xy 190.4214 102.623496) (xy 190.4214 102.647138) (xy 190.426012 102.670324) (xy 190.428326 102.693821) (xy 190.43518 102.716414) + (xy 190.439792 102.739603) (xy 190.44884 102.761446) (xy 190.455693 102.784038) (xy 190.466823 102.80486) (xy 190.47587 102.826702) + (xy 190.489005 102.846359) (xy 190.500134 102.867181) (xy 190.515112 102.885432) (xy 190.515555 102.886094) (xy 190.469819 102.941824) + (xy 190.428955 103.018275) (xy 190.403791 103.10123) (xy 190.395294 103.1875) (xy 190.395294 103.7125) (xy 190.403791 103.79877) + (xy 190.428955 103.881725) (xy 190.469819 103.958176) (xy 190.5214 104.021028) (xy 190.5214 104.325962) (xy 190.52905 104.403624) + (xy 190.541691 104.445294) (xy 190.4375 104.445294) (xy 190.42627 104.4464) (xy 190.105556 104.4464) (xy 190.089603 104.439792) + (xy 189.997138 104.4214) (xy 189.902862 104.4214) (xy 189.810397 104.439792) (xy 189.723298 104.47587) (xy 189.64491 104.528247) + (xy 189.578247 104.59491) (xy 189.52587 104.673298) (xy 189.489792 104.760397) (xy 189.4714 104.852862) (xy 189.4714 104.947138) + (xy 189.489792 105.039603) (xy 189.52587 105.126702) (xy 189.578247 105.20509) (xy 189.64491 105.271753) (xy 189.723298 105.32413) + (xy 189.810397 105.360208) (xy 189.902862 105.3786) (xy 189.997138 105.3786) (xy 190.089603 105.360208) (xy 190.105556 105.3536) + (xy 190.107068 105.3536) (xy 190.101869 105.370739) (xy 190.095294 105.4375) (xy 190.095294 105.6625) (xy 190.101869 105.729261) + (xy 190.121343 105.793457) (xy 190.152966 105.852619) (xy 190.171334 105.875) (xy 190.152966 105.897381) (xy 190.121343 105.956543) + (xy 190.101869 106.020739) (xy 190.095294 106.0875) (xy 190.095294 106.3125) (xy 190.101869 106.379261) (xy 190.121343 106.443457) + (xy 190.152966 106.502619) (xy 190.171334 106.525) (xy 190.152966 106.547381) (xy 190.121343 106.606543) (xy 190.101869 106.670739) + (xy 190.095294 106.7375) (xy 190.095294 106.9625) (xy 190.101869 107.029261) (xy 190.121343 107.093457) (xy 190.152966 107.152619) + (xy 190.171334 107.175) (xy 190.152966 107.197381) (xy 190.121343 107.256543) (xy 190.101869 107.320739) (xy 190.095294 107.3875) + (xy 190.095294 107.6125) (xy 190.101869 107.679261) (xy 190.121343 107.743457) (xy 190.152966 107.802619) (xy 190.171334 107.825) + (xy 190.152966 107.847381) (xy 190.121343 107.906543) (xy 190.101869 107.970739) (xy 190.095294 108.0375) (xy 190.095294 108.2625) + (xy 190.101869 108.329261) (xy 190.121343 108.393457) (xy 190.152966 108.452619) (xy 190.171334 108.475) (xy 190.152966 108.497381) + (xy 190.121343 108.556543) (xy 190.101869 108.620739) (xy 190.095294 108.6875) (xy 190.095294 108.9125) (xy 190.101869 108.979261) + (xy 190.121343 109.043457) (xy 190.152966 109.102619) (xy 190.171334 109.125) (xy 190.152966 109.147381) (xy 190.121343 109.206543) + (xy 190.101869 109.270739) (xy 190.095294 109.3375) (xy 190.095294 109.5625) (xy 190.101869 109.629261) (xy 190.121343 109.693457) + (xy 190.152966 109.752619) (xy 190.171334 109.775) (xy 190.152966 109.797381) (xy 190.121343 109.856543) (xy 190.101869 109.920739) + (xy 190.095294 109.9875) (xy 190.095294 110.2125) (xy 190.101869 110.279261) (xy 190.121343 110.343457) (xy 190.152966 110.402619) + (xy 190.171334 110.425) (xy 190.153771 110.4464) (xy 189.675756 110.4464) (xy 188.3536 109.124246) (xy 188.3536 103.925) + (xy 188.895294 103.925) (xy 188.899708 103.969813) (xy 188.912779 104.012905) (xy 188.934006 104.052618) (xy 188.962573 104.087427) + (xy 188.997382 104.115994) (xy 189.037095 104.137221) (xy 189.080187 104.150292) (xy 189.125 104.154706) (xy 189.41905 104.1536) + (xy 189.4762 104.09645) (xy 189.4762 103.5238) (xy 189.6238 103.5238) (xy 189.6238 104.09645) (xy 189.68095 104.1536) + (xy 189.975 104.154706) (xy 190.019813 104.150292) (xy 190.062905 104.137221) (xy 190.102618 104.115994) (xy 190.137427 104.087427) + (xy 190.165994 104.052618) (xy 190.187221 104.012905) (xy 190.200292 103.969813) (xy 190.204706 103.925) (xy 190.2036 103.58095) + (xy 190.14645 103.5238) (xy 189.6238 103.5238) (xy 189.4762 103.5238) (xy 188.95355 103.5238) (xy 188.8964 103.58095) + (xy 188.895294 103.925) (xy 188.3536 103.925) (xy 188.3536 103.175754) (xy 188.554354 102.975) (xy 188.895294 102.975) + (xy 188.8964 103.31905) (xy 188.95355 103.3762) (xy 189.4762 103.3762) (xy 189.4762 102.80355) (xy 189.6238 102.80355) + (xy 189.6238 103.3762) (xy 190.14645 103.3762) (xy 190.2036 103.31905) (xy 190.204706 102.975) (xy 190.200292 102.930187) + (xy 190.187221 102.887095) (xy 190.165994 102.847382) (xy 190.137427 102.812573) (xy 190.102618 102.784006) (xy 190.062905 102.762779) + (xy 190.019813 102.749708) (xy 189.975 102.745294) (xy 189.68095 102.7464) (xy 189.6238 102.80355) (xy 189.4762 102.80355) + (xy 189.41905 102.7464) (xy 189.125 102.745294) (xy 189.080187 102.749708) (xy 189.037095 102.762779) (xy 188.997382 102.784006) + (xy 188.962573 102.812573) (xy 188.934006 102.847382) (xy 188.912779 102.887095) (xy 188.899708 102.930187) (xy 188.895294 102.975) + (xy 188.554354 102.975) (xy 189.401104 102.12825) (xy 189.402862 102.1286) (xy 189.497138 102.1286) (xy 189.589603 102.110208) + (xy 189.676702 102.07413) (xy 189.75509 102.021753) (xy 189.821753 101.95509) (xy 189.822749 101.9536) (xy 190.153771 101.9536) + (xy 190.195524 102.004476) (xy 190.247381 102.047034) (xy 190.306543 102.078657) (xy 190.370739 102.098131) (xy 190.4375 102.104706) + (xy 191.6625 102.104706) (xy 191.729261 102.098131) (xy 191.793457 102.078657) (xy 191.852619 102.047034) (xy 191.904476 102.004476) + (xy 191.947034 101.952619) (xy 191.978657 101.893457) (xy 191.998131 101.829261) (xy 192.004706 101.7625) (xy 192.004706 101.5375) + (xy 191.998131 101.470739) (xy 191.992715 101.452884) (xy 192.010397 101.460208) (xy 192.102862 101.4786) (xy 192.197138 101.4786) + (xy 192.289603 101.460208) (xy 192.376702 101.42413) (xy 192.45509 101.371753) (xy 192.521753 101.30509) (xy 192.57413 101.226702) + (xy 192.610208 101.139603) (xy 192.6286 101.047138) (xy 192.6286 100.952862) (xy 192.610208 100.860397) (xy 192.57413 100.773298) + (xy 192.521753 100.69491) (xy 192.45509 100.628247) (xy 192.376702 100.57587) (xy 192.289603 100.539792) (xy 192.20616 100.523194) + (xy 194.246401 98.482955) + ) + ) + (filled_polygon + (pts + (xy 200.367261 100.634968) (xy 200.184617 100.710621) (xy 200.020242 100.820453) (xy 199.880453 100.960242) (xy 199.770621 101.124617) + (xy 199.694968 101.307261) (xy 199.6564 101.501154) (xy 199.6564 101.698846) (xy 199.694968 101.892739) (xy 199.770621 102.075383) + (xy 199.880453 102.239758) (xy 200.020242 102.379547) (xy 200.184617 102.489379) (xy 200.367261 102.565032) (xy 200.374138 102.5664) + (xy 199.194903 102.5664) (xy 199.179999 102.564932) (xy 199.142861 102.56859) (xy 199.120484 102.570794) (xy 199.063256 102.588154) + (xy 199.010513 102.616345) (xy 198.964284 102.654284) (xy 198.954779 102.665866) (xy 196.6536 104.967045) (xy 196.6536 103.495754) + (xy 199.515756 100.6336) (xy 200.374138 100.6336) + ) + ) + (filled_polygon + (pts + (xy 200.180779 97.994134) (xy 200.190284 98.005716) (xy 200.236513 98.043655) (xy 200.289256 98.071846) (xy 200.32687 98.083256) + (xy 200.346484 98.089206) (xy 200.379754 98.092483) (xy 200.367261 98.094968) (xy 200.184617 98.170621) (xy 200.020242 98.280453) + (xy 199.969295 98.3314) (xy 198.454407 98.3314) (xy 198.399525 98.314752) (xy 198.3035 98.305294) (xy 197.4285 98.305294) + (xy 197.332475 98.314752) (xy 197.240141 98.342761) (xy 197.155045 98.388246) (xy 197.080458 98.449458) (xy 197.019246 98.524045) + (xy 196.973761 98.609141) (xy 196.945752 98.701475) (xy 196.936294 98.7975) (xy 196.936294 99.3225) (xy 196.945752 99.418525) + (xy 196.973761 99.510859) (xy 197.019246 99.595955) (xy 197.080458 99.670542) (xy 197.155045 99.731754) (xy 197.240141 99.777239) + (xy 197.332475 99.805248) (xy 197.4285 99.814706) (xy 198.3035 99.814706) (xy 198.399525 99.805248) (xy 198.454407 99.7886) + (xy 199.969295 99.7886) (xy 200.020242 99.839547) (xy 200.184617 99.949379) (xy 200.367261 100.025032) (xy 200.374138 100.0264) + (xy 199.404903 100.0264) (xy 199.389999 100.024932) (xy 199.330484 100.030794) (xy 199.273256 100.048154) (xy 199.220513 100.076345) + (xy 199.174284 100.114284) (xy 199.164783 100.125861) (xy 196.3536 102.937046) (xy 196.3536 97.885) (xy 196.936294 97.885) + (xy 196.940708 97.929813) (xy 196.953779 97.972905) (xy 196.975006 98.012618) (xy 197.003573 98.047427) (xy 197.038382 98.075994) + (xy 197.078095 98.097221) (xy 197.121187 98.110292) (xy 197.166 98.114706) (xy 197.73505 98.1136) (xy 197.7922 98.05645) + (xy 197.7922 97.4338) (xy 197.9398 97.4338) (xy 197.9398 98.05645) (xy 197.99695 98.1136) (xy 198.566 98.114706) + (xy 198.610813 98.110292) (xy 198.653905 98.097221) (xy 198.693618 98.075994) (xy 198.728427 98.047427) (xy 198.756994 98.012618) + (xy 198.778221 97.972905) (xy 198.791292 97.929813) (xy 198.795706 97.885) (xy 198.7946 97.49095) (xy 198.73745 97.4338) + (xy 197.9398 97.4338) (xy 197.7922 97.4338) (xy 196.99455 97.4338) (xy 196.9374 97.49095) (xy 196.936294 97.885) + (xy 196.3536 97.885) (xy 196.3536 97.191754) (xy 196.710354 96.835) (xy 196.936294 96.835) (xy 196.9374 97.22905) + (xy 196.99455 97.2862) (xy 197.7922 97.2862) (xy 197.7922 96.66355) (xy 197.9398 96.66355) (xy 197.9398 97.2862) + (xy 198.73745 97.2862) (xy 198.7946 97.22905) (xy 198.795706 96.835) (xy 198.791292 96.790187) (xy 198.778221 96.747095) + (xy 198.756994 96.707382) (xy 198.728427 96.672573) (xy 198.693618 96.644006) (xy 198.653905 96.622779) (xy 198.610813 96.609708) + (xy 198.566 96.605294) (xy 197.99695 96.6064) (xy 197.9398 96.66355) (xy 197.7922 96.66355) (xy 197.73505 96.6064) + (xy 197.166 96.605294) (xy 197.121187 96.609708) (xy 197.078095 96.622779) (xy 197.038382 96.644006) (xy 197.003573 96.672573) + (xy 196.975006 96.707382) (xy 196.953779 96.747095) (xy 196.940708 96.790187) (xy 196.936294 96.835) (xy 196.710354 96.835) + (xy 197.610756 95.9346) (xy 198.121246 95.9346) + ) + ) + (filled_polygon + (pts + (xy 200.367261 93.014968) (xy 200.184617 93.090621) (xy 200.020242 93.200453) (xy 199.880453 93.340242) (xy 199.770621 93.504617) + (xy 199.694968 93.687261) (xy 199.6564 93.881154) (xy 199.6564 94.078846) (xy 199.694968 94.272739) (xy 199.770621 94.455383) + (xy 199.880453 94.619758) (xy 200.020242 94.759547) (xy 200.184617 94.869379) (xy 200.367261 94.945032) (xy 200.374138 94.9464) + (xy 197.709955 94.9464) (xy 199.642755 93.0136) (xy 200.374138 93.0136) + ) + ) + (filled_polygon + (pts + (xy 200.367261 85.394968) (xy 200.184617 85.470621) (xy 200.020242 85.580453) (xy 199.880453 85.720242) (xy 199.770621 85.884617) + (xy 199.694968 86.067261) (xy 199.6564 86.261154) (xy 199.6564 86.458846) (xy 199.694968 86.652739) (xy 199.770621 86.835383) + (xy 199.880453 86.999758) (xy 200.020242 87.139547) (xy 200.184617 87.249379) (xy 200.367261 87.325032) (xy 200.374138 87.3264) + (xy 199.531903 87.3264) (xy 199.516999 87.324932) (xy 199.457484 87.330794) (xy 199.400256 87.348154) (xy 199.347513 87.376345) + (xy 199.301284 87.414284) (xy 199.291783 87.425861) (xy 198.8046 87.913045) (xy 198.8046 86.231754) (xy 199.642755 85.3936) + (xy 200.374138 85.3936) + ) + ) + (filled_polygon + (pts + (xy 200.367261 82.854968) (xy 200.184617 82.930621) (xy 200.020242 83.040453) (xy 199.880453 83.180242) (xy 199.770621 83.344617) + (xy 199.694968 83.527261) (xy 199.6564 83.721154) (xy 199.6564 83.918846) (xy 199.694968 84.112739) (xy 199.770621 84.295383) + (xy 199.880453 84.459758) (xy 200.020242 84.599547) (xy 200.184617 84.709379) (xy 200.367261 84.785032) (xy 200.374138 84.7864) + (xy 199.531904 84.7864) (xy 199.517 84.784932) (xy 199.502096 84.7864) (xy 199.457484 84.790794) (xy 199.400256 84.808154) + (xy 199.347513 84.836345) (xy 199.301284 84.874284) (xy 199.291779 84.885866) (xy 198.4871 85.690546) (xy 198.4871 84.009254) + (xy 199.642755 82.8536) (xy 200.374138 82.8536) + ) + ) + (filled_polygon + (pts + (xy 200.367261 80.314968) (xy 200.184617 80.390621) (xy 200.020242 80.500453) (xy 199.880453 80.640242) (xy 199.770621 80.804617) + (xy 199.694968 80.987261) (xy 199.6564 81.181154) (xy 199.6564 81.378846) (xy 199.694968 81.572739) (xy 199.770621 81.755383) + (xy 199.880453 81.919758) (xy 200.020242 82.059547) (xy 200.184617 82.169379) (xy 200.367261 82.245032) (xy 200.374138 82.2464) + (xy 199.531904 82.2464) (xy 199.517 82.244932) (xy 199.502096 82.2464) (xy 199.457484 82.250794) (xy 199.400256 82.268154) + (xy 199.347513 82.296345) (xy 199.301284 82.334284) (xy 199.291779 82.345866) (xy 198.1696 83.468046) (xy 198.1696 81.786754) + (xy 199.642755 80.3136) (xy 200.374138 80.3136) + ) + ) + (filled_polygon + (pts + (xy 200.367261 77.774968) (xy 200.184617 77.850621) (xy 200.020242 77.960453) (xy 199.880453 78.100242) (xy 199.770621 78.264617) + (xy 199.694968 78.447261) (xy 199.6564 78.641154) (xy 199.6564 78.838846) (xy 199.694968 79.032739) (xy 199.770621 79.215383) + (xy 199.880453 79.379758) (xy 200.020242 79.519547) (xy 200.184617 79.629379) (xy 200.367261 79.705032) (xy 200.374138 79.7064) + (xy 199.531904 79.7064) (xy 199.517 79.704932) (xy 199.502096 79.7064) (xy 199.457484 79.710794) (xy 199.400256 79.728154) + (xy 199.347513 79.756345) (xy 199.301284 79.794284) (xy 199.291779 79.805866) (xy 197.8521 81.245546) (xy 197.8521 79.564254) + (xy 199.642756 77.7736) (xy 200.374138 77.7736) + ) + ) + (filled_polygon + (pts + (xy 200.367261 75.234968) (xy 200.184617 75.310621) (xy 200.020242 75.420453) (xy 199.880453 75.560242) (xy 199.770621 75.724617) + (xy 199.694968 75.907261) (xy 199.6564 76.101154) (xy 199.6564 76.298846) (xy 199.694968 76.492739) (xy 199.770621 76.675383) + (xy 199.880453 76.839758) (xy 200.020242 76.979547) (xy 200.184617 77.089379) (xy 200.367261 77.165032) (xy 200.374138 77.1664) + (xy 199.531903 77.1664) (xy 199.516999 77.164932) (xy 199.457483 77.170794) (xy 199.43845 77.176568) (xy 199.400256 77.188154) + (xy 199.347513 77.216345) (xy 199.301284 77.254284) (xy 199.291784 77.26586) (xy 197.5346 79.023046) (xy 197.5346 77.341754) + (xy 199.642756 75.2336) (xy 200.374138 75.2336) + ) + ) + (filled_polygon + (pts + (xy 200.367261 72.694968) (xy 200.184617 72.770621) (xy 200.020242 72.880453) (xy 199.880453 73.020242) (xy 199.770621 73.184617) + (xy 199.694968 73.367261) (xy 199.6564 73.561154) (xy 199.6564 73.758846) (xy 199.694968 73.952739) (xy 199.770621 74.135383) + (xy 199.880453 74.299758) (xy 200.020242 74.439547) (xy 200.184617 74.549379) (xy 200.367261 74.625032) (xy 200.374138 74.6264) + (xy 199.531903 74.6264) (xy 199.516999 74.624932) (xy 199.457484 74.630794) (xy 199.400256 74.648154) (xy 199.347513 74.676345) + (xy 199.301284 74.714284) (xy 199.291784 74.72586) (xy 197.2171 76.800546) (xy 197.2171 75.182754) (xy 199.706255 72.6936) + (xy 200.374138 72.6936) + ) + ) + (filled_polygon + (pts + (xy 200.367261 70.154968) (xy 200.184617 70.230621) (xy 200.020242 70.340453) (xy 199.880453 70.480242) (xy 199.770621 70.644617) + (xy 199.694968 70.827261) (xy 199.6564 71.021154) (xy 199.6564 71.218846) (xy 199.694968 71.412739) (xy 199.770621 71.595383) + (xy 199.880453 71.759758) (xy 200.020242 71.899547) (xy 200.184617 72.009379) (xy 200.367261 72.085032) (xy 200.374138 72.0864) + (xy 199.595404 72.0864) (xy 199.5805 72.084932) (xy 199.565596 72.0864) (xy 199.520984 72.090794) (xy 199.463756 72.108154) + (xy 199.411013 72.136345) (xy 199.364784 72.174284) (xy 199.355279 72.185866) (xy 196.8996 74.641546) (xy 196.8996 72.896754) + (xy 199.642755 70.1536) (xy 200.374138 70.1536) + ) + ) + (filled_polygon + (pts + (xy 200.367261 67.614968) (xy 200.184617 67.690621) (xy 200.020242 67.800453) (xy 199.880453 67.940242) (xy 199.770621 68.104617) + (xy 199.694968 68.287261) (xy 199.6564 68.481154) (xy 199.6564 68.678846) (xy 199.694968 68.872739) (xy 199.770621 69.055383) + (xy 199.880453 69.219758) (xy 200.020242 69.359547) (xy 200.184617 69.469379) (xy 200.367261 69.545032) (xy 200.374138 69.5464) + (xy 199.531904 69.5464) (xy 199.517 69.544932) (xy 199.502096 69.5464) (xy 199.457484 69.550794) (xy 199.400256 69.568154) + (xy 199.347513 69.596345) (xy 199.301284 69.634284) (xy 199.291779 69.645866) (xy 196.5821 72.355546) (xy 196.5821 70.547254) + (xy 199.515755 67.6136) (xy 200.374138 67.6136) + ) + ) + (filled_polygon + (pts + (xy 186.446401 105.425757) (xy 186.4464 111.277251) (xy 186.44491 111.278247) (xy 186.378247 111.34491) (xy 186.32587 111.423298) + (xy 186.289792 111.510397) (xy 186.2714 111.602862) (xy 186.2714 111.697138) (xy 186.289792 111.789603) (xy 186.32587 111.876702) + (xy 186.378247 111.95509) (xy 186.44491 112.021753) (xy 186.446401 112.022749) (xy 186.4464 113.474244) (xy 186.224246 113.6964) + (xy 186.046229 113.6964) (xy 186.004476 113.645524) (xy 185.952619 113.602966) (xy 185.893457 113.571343) (xy 185.829261 113.551869) + (xy 185.7625 113.545294) (xy 184.5375 113.545294) (xy 184.470739 113.551869) (xy 184.406543 113.571343) (xy 184.347381 113.602966) + (xy 184.295524 113.645524) (xy 184.252966 113.697381) (xy 184.221343 113.756543) (xy 184.201869 113.820739) (xy 184.195294 113.8875) + (xy 184.195294 114.1125) (xy 184.201869 114.179261) (xy 184.221343 114.243457) (xy 184.252966 114.302619) (xy 184.271334 114.325) + (xy 184.253771 114.3464) (xy 183.980443 114.3464) (xy 184.021753 114.30509) (xy 184.07413 114.226702) (xy 184.110208 114.139603) + (xy 184.1286 114.047138) (xy 184.1286 113.952862) (xy 184.110208 113.860397) (xy 184.07413 113.773298) (xy 184.021753 113.69491) + (xy 183.95509 113.628247) (xy 183.876702 113.57587) (xy 183.789603 113.539792) (xy 183.697138 113.5214) (xy 183.602862 113.5214) + (xy 183.510397 113.539792) (xy 183.494444 113.5464) (xy 183.17373 113.5464) (xy 183.1625 113.545294) (xy 183.05831 113.545294) + (xy 183.070951 113.503624) (xy 183.0786 113.425962) (xy 183.0786 113.121028) (xy 183.11342 113.0786) (xy 183.452063 113.0786) + (xy 183.477664 113.073508) (xy 183.503624 113.070951) (xy 183.528582 113.06338) (xy 183.554187 113.058287) (xy 183.578309 113.048296) + (xy 183.603265 113.040725) (xy 183.626265 113.028431) (xy 183.650386 113.01844) (xy 183.672093 113.003936) (xy 183.695095 112.991641) + (xy 183.715257 112.975094) (xy 183.736963 112.960591) (xy 183.755421 112.942133) (xy 183.775585 112.925585) (xy 183.792133 112.905421) + (xy 183.810591 112.886963) (xy 183.825094 112.865257) (xy 183.841641 112.845095) (xy 183.853936 112.822093) (xy 183.86844 112.800386) + (xy 183.878431 112.776265) (xy 183.890725 112.753265) (xy 183.898296 112.728309) (xy 183.908287 112.704187) (xy 183.91338 112.678582) + (xy 183.920951 112.653624) (xy 183.923508 112.627664) (xy 183.9286 112.602063) (xy 183.9286 112.575962) (xy 183.931157 112.55) + (xy 183.9286 112.524038) (xy 183.9286 112.497937) (xy 183.923508 112.472336) (xy 183.920951 112.446376) (xy 183.91338 112.421418) + (xy 183.908287 112.395813) (xy 183.898296 112.371691) (xy 183.890725 112.346735) (xy 183.878431 112.323735) (xy 183.86844 112.299614) + (xy 183.853936 112.277907) (xy 183.841641 112.254905) (xy 183.825094 112.234743) (xy 183.810591 112.213037) (xy 183.792133 112.194579) + (xy 183.775585 112.174415) (xy 183.755421 112.157867) (xy 183.736963 112.139409) (xy 183.715257 112.124906) (xy 183.695095 112.108359) + (xy 183.672093 112.096064) (xy 183.650386 112.08156) (xy 183.626265 112.071569) (xy 183.603265 112.059275) (xy 183.578309 112.051704) + (xy 183.554187 112.041713) (xy 183.528582 112.03662) (xy 183.503624 112.029049) (xy 183.477664 112.026492) (xy 183.452063 112.0214) + (xy 183.11342 112.0214) (xy 183.075187 111.974813) (xy 183.0286 111.93658) (xy 183.0286 111.873495) (xy 183.030914 111.849999) + (xy 183.0286 111.826503) (xy 183.0286 111.826496) (xy 183.021674 111.756178) (xy 182.994308 111.665962) (xy 182.949866 111.582818) + (xy 182.890058 111.509942) (xy 182.8718 111.494958) (xy 182.771755 111.394913) (xy 182.771753 111.39491) (xy 182.70509 111.328247) + (xy 182.685432 111.315112) (xy 182.667181 111.300134) (xy 182.646359 111.289005) (xy 182.626702 111.27587) (xy 182.60486 111.266823) + (xy 182.584038 111.255693) (xy 182.561446 111.24884) (xy 182.539603 111.239792) (xy 182.516414 111.23518) (xy 182.493821 111.228326) + (xy 182.470324 111.226012) (xy 182.447138 111.2214) (xy 182.423496 111.2214) (xy 182.4 111.219086) (xy 182.376504 111.2214) + (xy 182.352862 111.2214) (xy 182.329676 111.226012) (xy 182.306179 111.228326) (xy 182.283586 111.23518) (xy 182.260397 111.239792) + (xy 182.238554 111.24884) (xy 182.215962 111.255693) (xy 182.19514 111.266823) (xy 182.173298 111.27587) (xy 182.153641 111.289005) + (xy 182.132819 111.300134) (xy 182.114568 111.315112) (xy 182.09491 111.328247) (xy 182.078193 111.344964) (xy 182.059942 111.359942) + (xy 182.044964 111.378193) (xy 182.028247 111.39491) (xy 182.015112 111.414568) (xy 182.000134 111.432819) (xy 181.989005 111.453641) + (xy 181.97587 111.473298) (xy 181.966823 111.49514) (xy 181.955693 111.515962) (xy 181.94884 111.538554) (xy 181.939792 111.560397) + (xy 181.93518 111.583586) (xy 181.928326 111.606179) (xy 181.926012 111.629676) (xy 181.9214 111.652862) (xy 181.9214 111.676504) + (xy 181.919086 111.7) (xy 181.9214 111.723496) (xy 181.9214 111.747138) (xy 181.926012 111.770324) (xy 181.928326 111.793821) + (xy 181.93518 111.816414) (xy 181.939792 111.839603) (xy 181.94884 111.861446) (xy 181.955693 111.884038) (xy 181.966823 111.90486) + (xy 181.97587 111.926702) (xy 181.989005 111.946359) (xy 182.000134 111.967181) (xy 182.015112 111.985432) (xy 182.015555 111.986094) + (xy 181.969819 112.041824) (xy 181.928955 112.118275) (xy 181.903791 112.20123) (xy 181.895294 112.2875) (xy 181.895294 112.8125) + (xy 181.903791 112.89877) (xy 181.928955 112.981725) (xy 181.969819 113.058176) (xy 182.0214 113.121028) (xy 182.0214 113.425962) + (xy 182.02905 113.503624) (xy 182.041691 113.545294) (xy 181.9375 113.545294) (xy 181.92627 113.5464) (xy 181.605556 113.5464) + (xy 181.589603 113.539792) (xy 181.497138 113.5214) (xy 181.402862 113.5214) (xy 181.310397 113.539792) (xy 181.223298 113.57587) + (xy 181.14491 113.628247) (xy 181.078247 113.69491) (xy 181.02587 113.773298) (xy 180.989792 113.860397) (xy 180.9714 113.952862) + (xy 180.9714 114.047138) (xy 180.989792 114.139603) (xy 181.02587 114.226702) (xy 181.078247 114.30509) (xy 181.14491 114.371753) + (xy 181.223298 114.42413) (xy 181.310397 114.460208) (xy 181.402862 114.4786) (xy 181.497138 114.4786) (xy 181.589603 114.460208) + (xy 181.605556 114.4536) (xy 181.607068 114.4536) (xy 181.601869 114.470739) (xy 181.595294 114.5375) (xy 181.595294 114.7625) + (xy 181.601869 114.829261) (xy 181.621343 114.893457) (xy 181.652966 114.952619) (xy 181.671334 114.975) (xy 181.652966 114.997381) + (xy 181.621343 115.056543) (xy 181.601869 115.120739) (xy 181.595294 115.1875) (xy 181.595294 115.4125) (xy 181.601869 115.479261) + (xy 181.621343 115.543457) (xy 181.652966 115.602619) (xy 181.671334 115.625) (xy 181.652966 115.647381) (xy 181.621343 115.706543) + (xy 181.601869 115.770739) (xy 181.595294 115.8375) (xy 181.595294 116.0625) (xy 181.601869 116.129261) (xy 181.621343 116.193457) + (xy 181.652966 116.252619) (xy 181.671334 116.275) (xy 181.652966 116.297381) (xy 181.621343 116.356543) (xy 181.601869 116.420739) + (xy 181.595294 116.4875) (xy 181.595294 116.7125) (xy 181.601869 116.779261) (xy 181.621343 116.843457) (xy 181.652966 116.902619) + (xy 181.671334 116.925) (xy 181.652966 116.947381) (xy 181.621343 117.006543) (xy 181.601869 117.070739) (xy 181.595294 117.1375) + (xy 181.595294 117.3625) (xy 181.601869 117.429261) (xy 181.621343 117.493457) (xy 181.652966 117.552619) (xy 181.671334 117.575) + (xy 181.652966 117.597381) (xy 181.621343 117.656543) (xy 181.601869 117.720739) (xy 181.595294 117.7875) (xy 181.595294 118.0125) + (xy 181.601869 118.079261) (xy 181.621343 118.143457) (xy 181.652966 118.202619) (xy 181.671334 118.225) (xy 181.652966 118.247381) + (xy 181.621343 118.306543) (xy 181.601869 118.370739) (xy 181.595294 118.4375) (xy 181.595294 118.6625) (xy 181.601869 118.729261) + (xy 181.621343 118.793457) (xy 181.652966 118.852619) (xy 181.671334 118.875) (xy 181.652966 118.897381) (xy 181.621343 118.956543) + (xy 181.601869 119.020739) (xy 181.595294 119.0875) (xy 181.595294 119.288293) (xy 181.576702 119.27587) (xy 181.489603 119.239792) + (xy 181.397138 119.2214) (xy 181.302862 119.2214) (xy 181.210397 119.239792) (xy 181.123298 119.27587) (xy 181.04491 119.328247) + (xy 180.978247 119.39491) (xy 180.977251 119.3964) (xy 180.625755 119.3964) (xy 179.5536 118.324246) (xy 179.5536 113.025) + (xy 180.395294 113.025) (xy 180.399708 113.069813) (xy 180.412779 113.112905) (xy 180.434006 113.152618) (xy 180.462573 113.187427) + (xy 180.497382 113.215994) (xy 180.537095 113.237221) (xy 180.580187 113.250292) (xy 180.625 113.254706) (xy 180.91905 113.2536) + (xy 180.9762 113.19645) (xy 180.9762 112.6238) (xy 181.1238 112.6238) (xy 181.1238 113.19645) (xy 181.18095 113.2536) + (xy 181.475 113.254706) (xy 181.519813 113.250292) (xy 181.562905 113.237221) (xy 181.602618 113.215994) (xy 181.637427 113.187427) + (xy 181.665994 113.152618) (xy 181.687221 113.112905) (xy 181.700292 113.069813) (xy 181.704706 113.025) (xy 181.7036 112.68095) + (xy 181.64645 112.6238) (xy 181.1238 112.6238) (xy 180.9762 112.6238) (xy 180.45355 112.6238) (xy 180.3964 112.68095) + (xy 180.395294 113.025) (xy 179.5536 113.025) (xy 179.5536 112.075) (xy 180.395294 112.075) (xy 180.3964 112.41905) + (xy 180.45355 112.4762) (xy 180.9762 112.4762) (xy 180.9762 111.90355) (xy 181.1238 111.90355) (xy 181.1238 112.4762) + (xy 181.64645 112.4762) (xy 181.7036 112.41905) (xy 181.704706 112.075) (xy 181.700292 112.030187) (xy 181.687221 111.987095) + (xy 181.665994 111.947382) (xy 181.637427 111.912573) (xy 181.602618 111.884006) (xy 181.562905 111.862779) (xy 181.519813 111.849708) + (xy 181.475 111.845294) (xy 181.18095 111.8464) (xy 181.1238 111.90355) (xy 180.9762 111.90355) (xy 180.91905 111.8464) + (xy 180.625 111.845294) (xy 180.580187 111.849708) (xy 180.537095 111.862779) (xy 180.497382 111.884006) (xy 180.462573 111.912573) + (xy 180.434006 111.947382) (xy 180.412779 111.987095) (xy 180.399708 112.030187) (xy 180.395294 112.075) (xy 179.5536 112.075) + (xy 179.5536 111.825754) (xy 180.325755 111.0536) (xy 181.653771 111.0536) (xy 181.695524 111.104476) (xy 181.747381 111.147034) + (xy 181.806543 111.178657) (xy 181.870739 111.198131) (xy 181.9375 111.204706) (xy 183.1625 111.204706) (xy 183.229261 111.198131) + (xy 183.293457 111.178657) (xy 183.352619 111.147034) (xy 183.404476 111.104476) (xy 183.447034 111.052619) (xy 183.478657 110.993457) + (xy 183.484255 110.975) (xy 184.195294 110.975) (xy 184.199708 111.019813) (xy 184.212779 111.062905) (xy 184.234006 111.102618) + (xy 184.262573 111.137427) (xy 184.297382 111.165994) (xy 184.337095 111.187221) (xy 184.380187 111.200292) (xy 184.425 111.204706) + (xy 185.01905 111.2036) (xy 185.0762 111.14645) (xy 185.0762 110.8238) (xy 185.2238 110.8238) (xy 185.2238 111.14645) + (xy 185.28095 111.2036) (xy 185.875 111.204706) (xy 185.919813 111.200292) (xy 185.962905 111.187221) (xy 186.002618 111.165994) + (xy 186.037427 111.137427) (xy 186.065994 111.102618) (xy 186.087221 111.062905) (xy 186.100292 111.019813) (xy 186.104706 110.975) + (xy 186.1036 110.88095) (xy 186.04645 110.8238) (xy 185.2238 110.8238) (xy 185.0762 110.8238) (xy 184.25355 110.8238) + (xy 184.1964 110.88095) (xy 184.195294 110.975) (xy 183.484255 110.975) (xy 183.498131 110.929261) (xy 183.504706 110.8625) + (xy 183.504706 110.6375) (xy 183.498131 110.570739) (xy 183.478657 110.506543) (xy 183.447034 110.447381) (xy 183.428666 110.425) + (xy 183.446229 110.4036) (xy 184.230682 110.4036) (xy 184.212779 110.437095) (xy 184.199708 110.480187) (xy 184.195294 110.525) + (xy 184.1964 110.61905) (xy 184.25355 110.6762) (xy 185.0762 110.6762) (xy 185.0762 110.6562) (xy 185.2238 110.6562) + (xy 185.2238 110.6762) (xy 186.04645 110.6762) (xy 186.1036 110.61905) (xy 186.104706 110.525) (xy 186.100292 110.480187) + (xy 186.087221 110.437095) (xy 186.065994 110.397382) (xy 186.056207 110.385457) (xy 186.078657 110.343457) (xy 186.098131 110.279261) + (xy 186.104706 110.2125) (xy 186.104706 109.9875) (xy 186.098131 109.920739) (xy 186.078657 109.856543) (xy 186.047034 109.797381) + (xy 186.028666 109.775) (xy 186.047034 109.752619) (xy 186.078657 109.693457) (xy 186.098131 109.629261) (xy 186.104706 109.5625) + (xy 186.104706 109.3375) (xy 186.098131 109.270739) (xy 186.078657 109.206543) (xy 186.047034 109.147381) (xy 186.028666 109.125) + (xy 186.047034 109.102619) (xy 186.078657 109.043457) (xy 186.098131 108.979261) (xy 186.104706 108.9125) (xy 186.104706 108.6875) + (xy 186.098131 108.620739) (xy 186.078657 108.556543) (xy 186.047034 108.497381) (xy 186.028666 108.475) (xy 186.047034 108.452619) + (xy 186.078657 108.393457) (xy 186.098131 108.329261) (xy 186.104706 108.2625) (xy 186.104706 108.0375) (xy 186.098131 107.970739) + (xy 186.078657 107.906543) (xy 186.047034 107.847381) (xy 186.028666 107.825) (xy 186.047034 107.802619) (xy 186.078657 107.743457) + (xy 186.098131 107.679261) (xy 186.104706 107.6125) (xy 186.104706 107.3875) (xy 186.098131 107.320739) (xy 186.078657 107.256543) + (xy 186.047034 107.197381) (xy 186.028666 107.175) (xy 186.047034 107.152619) (xy 186.078657 107.093457) (xy 186.098131 107.029261) + (xy 186.104706 106.9625) (xy 186.104706 106.7375) (xy 186.098131 106.670739) (xy 186.078657 106.606543) (xy 186.047034 106.547381) + (xy 186.028666 106.525) (xy 186.047034 106.502619) (xy 186.078657 106.443457) (xy 186.098131 106.379261) (xy 186.104706 106.3125) + (xy 186.104706 106.0875) (xy 186.098131 106.020739) (xy 186.078657 105.956543) (xy 186.047034 105.897381) (xy 186.028666 105.875) + (xy 186.047034 105.852619) (xy 186.078657 105.793457) (xy 186.098131 105.729261) (xy 186.104706 105.6625) (xy 186.104706 105.4375) + (xy 186.098131 105.370739) (xy 186.078657 105.306543) (xy 186.047034 105.247381) (xy 186.028666 105.225) (xy 186.046229 105.2036) + (xy 186.224246 105.2036) + ) + ) + (filled_polygon + (pts + (xy 186.446401 96.475757) (xy 186.4464 104.374244) (xy 186.224246 104.5964) (xy 186.046229 104.5964) (xy 186.004476 104.545524) + (xy 185.952619 104.502966) (xy 185.893457 104.471343) (xy 185.829261 104.451869) (xy 185.7625 104.445294) (xy 184.5375 104.445294) + (xy 184.470739 104.451869) (xy 184.406543 104.471343) (xy 184.347381 104.502966) (xy 184.295524 104.545524) (xy 184.252966 104.597381) + (xy 184.221343 104.656543) (xy 184.201869 104.720739) (xy 184.195294 104.7875) (xy 184.195294 105.0125) (xy 184.201869 105.079261) + (xy 184.221343 105.143457) (xy 184.252966 105.202619) (xy 184.271334 105.225) (xy 184.253771 105.2464) (xy 183.980443 105.2464) + (xy 184.021753 105.20509) (xy 184.07413 105.126702) (xy 184.110208 105.039603) (xy 184.1286 104.947138) (xy 184.1286 104.852862) + (xy 184.110208 104.760397) (xy 184.07413 104.673298) (xy 184.021753 104.59491) (xy 183.95509 104.528247) (xy 183.876702 104.47587) + (xy 183.789603 104.439792) (xy 183.697138 104.4214) (xy 183.602862 104.4214) (xy 183.510397 104.439792) (xy 183.494444 104.4464) + (xy 183.17373 104.4464) (xy 183.1625 104.445294) (xy 183.05831 104.445294) (xy 183.070951 104.403624) (xy 183.0786 104.325962) + (xy 183.0786 104.021028) (xy 183.11342 103.9786) (xy 183.452063 103.9786) (xy 183.477664 103.973508) (xy 183.503624 103.970951) + (xy 183.528582 103.96338) (xy 183.554187 103.958287) (xy 183.578309 103.948296) (xy 183.603265 103.940725) (xy 183.626265 103.928431) + (xy 183.650386 103.91844) (xy 183.672093 103.903936) (xy 183.695095 103.891641) (xy 183.715257 103.875094) (xy 183.736963 103.860591) + (xy 183.755421 103.842133) (xy 183.775585 103.825585) (xy 183.792133 103.805421) (xy 183.810591 103.786963) (xy 183.825094 103.765257) + (xy 183.841641 103.745095) (xy 183.853936 103.722093) (xy 183.86844 103.700386) (xy 183.878431 103.676265) (xy 183.890725 103.653265) + (xy 183.898296 103.628309) (xy 183.908287 103.604187) (xy 183.91338 103.578582) (xy 183.920951 103.553624) (xy 183.923508 103.527664) + (xy 183.9286 103.502063) (xy 183.9286 103.475962) (xy 183.931157 103.45) (xy 183.9286 103.424038) (xy 183.9286 103.397937) + (xy 183.923508 103.372336) (xy 183.920951 103.346376) (xy 183.91338 103.321418) (xy 183.908287 103.295813) (xy 183.898296 103.271691) + (xy 183.890725 103.246735) (xy 183.878431 103.223735) (xy 183.86844 103.199614) (xy 183.853936 103.177907) (xy 183.841641 103.154905) + (xy 183.825094 103.134743) (xy 183.810591 103.113037) (xy 183.792133 103.094579) (xy 183.775585 103.074415) (xy 183.755421 103.057867) + (xy 183.736963 103.039409) (xy 183.715257 103.024906) (xy 183.695095 103.008359) (xy 183.672093 102.996064) (xy 183.650386 102.98156) + (xy 183.626265 102.971569) (xy 183.603265 102.959275) (xy 183.578309 102.951704) (xy 183.554187 102.941713) (xy 183.528582 102.93662) + (xy 183.503624 102.929049) (xy 183.477664 102.926492) (xy 183.452063 102.9214) (xy 183.11342 102.9214) (xy 183.075187 102.874813) + (xy 183.0286 102.83658) (xy 183.0286 102.773495) (xy 183.030914 102.749999) (xy 183.0286 102.726503) (xy 183.0286 102.726496) + (xy 183.021674 102.656178) (xy 182.994308 102.565962) (xy 182.949866 102.482818) (xy 182.890058 102.409942) (xy 182.8718 102.394958) + (xy 182.771755 102.294913) (xy 182.771753 102.29491) (xy 182.70509 102.228247) (xy 182.685432 102.215112) (xy 182.667181 102.200134) + (xy 182.646359 102.189005) (xy 182.626702 102.17587) (xy 182.60486 102.166823) (xy 182.584038 102.155693) (xy 182.561446 102.14884) + (xy 182.539603 102.139792) (xy 182.516414 102.13518) (xy 182.493821 102.128326) (xy 182.470324 102.126012) (xy 182.447138 102.1214) + (xy 182.423496 102.1214) (xy 182.4 102.119086) (xy 182.376504 102.1214) (xy 182.352862 102.1214) (xy 182.329676 102.126012) + (xy 182.306179 102.128326) (xy 182.283586 102.13518) (xy 182.260397 102.139792) (xy 182.238554 102.14884) (xy 182.215962 102.155693) + (xy 182.19514 102.166823) (xy 182.173298 102.17587) (xy 182.153641 102.189005) (xy 182.132819 102.200134) (xy 182.114568 102.215112) + (xy 182.09491 102.228247) (xy 182.078193 102.244964) (xy 182.059942 102.259942) (xy 182.044964 102.278193) (xy 182.028247 102.29491) + (xy 182.015112 102.314568) (xy 182.000134 102.332819) (xy 181.989005 102.353641) (xy 181.97587 102.373298) (xy 181.966823 102.39514) + (xy 181.955693 102.415962) (xy 181.94884 102.438554) (xy 181.939792 102.460397) (xy 181.93518 102.483586) (xy 181.928326 102.506179) + (xy 181.926012 102.529676) (xy 181.9214 102.552862) (xy 181.9214 102.576504) (xy 181.919086 102.6) (xy 181.9214 102.623496) + (xy 181.9214 102.647138) (xy 181.926012 102.670324) (xy 181.928326 102.693821) (xy 181.93518 102.716414) (xy 181.939792 102.739603) + (xy 181.94884 102.761446) (xy 181.955693 102.784038) (xy 181.966823 102.80486) (xy 181.97587 102.826702) (xy 181.989005 102.846359) + (xy 182.000134 102.867181) (xy 182.015112 102.885432) (xy 182.015555 102.886094) (xy 181.969819 102.941824) (xy 181.928955 103.018275) + (xy 181.903791 103.10123) (xy 181.895294 103.1875) (xy 181.895294 103.7125) (xy 181.903791 103.79877) (xy 181.928955 103.881725) + (xy 181.969819 103.958176) (xy 182.0214 104.021028) (xy 182.0214 104.325961) (xy 182.029049 104.403623) (xy 182.04169 104.445294) + (xy 181.9375 104.445294) (xy 181.92627 104.4464) (xy 181.605556 104.4464) (xy 181.589603 104.439792) (xy 181.497138 104.4214) + (xy 181.402862 104.4214) (xy 181.310397 104.439792) (xy 181.223298 104.47587) (xy 181.14491 104.528247) (xy 181.078247 104.59491) + (xy 181.02587 104.673298) (xy 180.989792 104.760397) (xy 180.9714 104.852862) (xy 180.9714 104.947138) (xy 180.989792 105.039603) + (xy 181.02587 105.126702) (xy 181.078247 105.20509) (xy 181.14491 105.271753) (xy 181.223298 105.32413) (xy 181.310397 105.360208) + (xy 181.402862 105.3786) (xy 181.497138 105.3786) (xy 181.589603 105.360208) (xy 181.605556 105.3536) (xy 181.607068 105.3536) + (xy 181.601869 105.370739) (xy 181.595294 105.4375) (xy 181.595294 105.6625) (xy 181.601869 105.729261) (xy 181.621343 105.793457) + (xy 181.652966 105.852619) (xy 181.671334 105.875) (xy 181.652966 105.897381) (xy 181.621343 105.956543) (xy 181.601869 106.020739) + (xy 181.595294 106.0875) (xy 181.595294 106.3125) (xy 181.601869 106.379261) (xy 181.621343 106.443457) (xy 181.652966 106.502619) + (xy 181.671334 106.525) (xy 181.652966 106.547381) (xy 181.621343 106.606543) (xy 181.601869 106.670739) (xy 181.595294 106.7375) + (xy 181.595294 106.9625) (xy 181.601869 107.029261) (xy 181.621343 107.093457) (xy 181.652966 107.152619) (xy 181.671334 107.175) + (xy 181.652966 107.197381) (xy 181.621343 107.256543) (xy 181.601869 107.320739) (xy 181.595294 107.3875) (xy 181.595294 107.6125) + (xy 181.601869 107.679261) (xy 181.621343 107.743457) (xy 181.652966 107.802619) (xy 181.671334 107.825) (xy 181.652966 107.847381) + (xy 181.621343 107.906543) (xy 181.601869 107.970739) (xy 181.595294 108.0375) (xy 181.595294 108.2625) (xy 181.601869 108.329261) + (xy 181.621343 108.393457) (xy 181.652966 108.452619) (xy 181.671334 108.475) (xy 181.652966 108.497381) (xy 181.621343 108.556543) + (xy 181.601869 108.620739) (xy 181.595294 108.6875) (xy 181.595294 108.9125) (xy 181.601869 108.979261) (xy 181.621343 109.043457) + (xy 181.652966 109.102619) (xy 181.671334 109.125) (xy 181.652966 109.147381) (xy 181.621343 109.206543) (xy 181.601869 109.270739) + (xy 181.595294 109.3375) (xy 181.595294 109.5625) (xy 181.601869 109.629261) (xy 181.621343 109.693457) (xy 181.652966 109.752619) + (xy 181.671334 109.775) (xy 181.652966 109.797381) (xy 181.621343 109.856543) (xy 181.601869 109.920739) (xy 181.595294 109.9875) + (xy 181.595294 110.2125) (xy 181.601869 110.279261) (xy 181.621343 110.343457) (xy 181.652966 110.402619) (xy 181.671334 110.425) + (xy 181.653771 110.4464) (xy 180.325755 110.4464) (xy 179.7036 109.824246) (xy 179.7036 103.925) (xy 180.395294 103.925) + (xy 180.399708 103.969813) (xy 180.412779 104.012905) (xy 180.434006 104.052618) (xy 180.462573 104.087427) (xy 180.497382 104.115994) + (xy 180.537095 104.137221) (xy 180.580187 104.150292) (xy 180.625 104.154706) (xy 180.91905 104.1536) (xy 180.9762 104.09645) + (xy 180.9762 103.5238) (xy 181.1238 103.5238) (xy 181.1238 104.09645) (xy 181.18095 104.1536) (xy 181.475 104.154706) + (xy 181.519813 104.150292) (xy 181.562905 104.137221) (xy 181.602618 104.115994) (xy 181.637427 104.087427) (xy 181.665994 104.052618) + (xy 181.687221 104.012905) (xy 181.700292 103.969813) (xy 181.704706 103.925) (xy 181.7036 103.58095) (xy 181.64645 103.5238) + (xy 181.1238 103.5238) (xy 180.9762 103.5238) (xy 180.45355 103.5238) (xy 180.3964 103.58095) (xy 180.395294 103.925) + (xy 179.7036 103.925) (xy 179.7036 102.975) (xy 180.395294 102.975) (xy 180.3964 103.31905) (xy 180.45355 103.3762) + (xy 180.9762 103.3762) (xy 180.9762 102.80355) (xy 181.1238 102.80355) (xy 181.1238 103.3762) (xy 181.64645 103.3762) + (xy 181.7036 103.31905) (xy 181.704706 102.975) (xy 181.700292 102.930187) (xy 181.687221 102.887095) (xy 181.665994 102.847382) + (xy 181.637427 102.812573) (xy 181.602618 102.784006) (xy 181.562905 102.762779) (xy 181.519813 102.749708) (xy 181.475 102.745294) + (xy 181.18095 102.7464) (xy 181.1238 102.80355) (xy 180.9762 102.80355) (xy 180.91905 102.7464) (xy 180.625 102.745294) + (xy 180.580187 102.749708) (xy 180.537095 102.762779) (xy 180.497382 102.784006) (xy 180.462573 102.812573) (xy 180.434006 102.847382) + (xy 180.412779 102.887095) (xy 180.399708 102.930187) (xy 180.395294 102.975) (xy 179.7036 102.975) (xy 179.7036 102.575754) + (xy 180.325755 101.9536) (xy 181.653771 101.9536) (xy 181.695524 102.004476) (xy 181.747381 102.047034) (xy 181.806543 102.078657) + (xy 181.870739 102.098131) (xy 181.9375 102.104706) (xy 183.1625 102.104706) (xy 183.229261 102.098131) (xy 183.293457 102.078657) + (xy 183.352619 102.047034) (xy 183.404476 102.004476) (xy 183.447034 101.952619) (xy 183.478657 101.893457) (xy 183.484255 101.875) + (xy 184.195294 101.875) (xy 184.199708 101.919813) (xy 184.212779 101.962905) (xy 184.234006 102.002618) (xy 184.262573 102.037427) + (xy 184.297382 102.065994) (xy 184.337095 102.087221) (xy 184.380187 102.100292) (xy 184.425 102.104706) (xy 185.01905 102.1036) + (xy 185.0762 102.04645) (xy 185.0762 101.7238) (xy 185.2238 101.7238) (xy 185.2238 102.04645) (xy 185.28095 102.1036) + (xy 185.875 102.104706) (xy 185.919813 102.100292) (xy 185.962905 102.087221) (xy 186.002618 102.065994) (xy 186.037427 102.037427) + (xy 186.065994 102.002618) (xy 186.087221 101.962905) (xy 186.100292 101.919813) (xy 186.104706 101.875) (xy 186.1036 101.78095) + (xy 186.04645 101.7238) (xy 185.2238 101.7238) (xy 185.0762 101.7238) (xy 184.25355 101.7238) (xy 184.1964 101.78095) + (xy 184.195294 101.875) (xy 183.484255 101.875) (xy 183.498131 101.829261) (xy 183.504706 101.7625) (xy 183.504706 101.5375) + (xy 183.498131 101.470739) (xy 183.478657 101.406543) (xy 183.447034 101.347381) (xy 183.428666 101.325) (xy 183.446229 101.3036) + (xy 184.230682 101.3036) (xy 184.212779 101.337095) (xy 184.199708 101.380187) (xy 184.195294 101.425) (xy 184.1964 101.51905) + (xy 184.25355 101.5762) (xy 185.0762 101.5762) (xy 185.0762 101.5562) (xy 185.2238 101.5562) (xy 185.2238 101.5762) + (xy 186.04645 101.5762) (xy 186.1036 101.51905) (xy 186.104706 101.425) (xy 186.100292 101.380187) (xy 186.087221 101.337095) + (xy 186.065994 101.297382) (xy 186.056207 101.285457) (xy 186.078657 101.243457) (xy 186.098131 101.179261) (xy 186.104706 101.1125) + (xy 186.104706 100.8875) (xy 186.098131 100.820739) (xy 186.078657 100.756543) (xy 186.047034 100.697381) (xy 186.028666 100.675) + (xy 186.047034 100.652619) (xy 186.078657 100.593457) (xy 186.098131 100.529261) (xy 186.104706 100.4625) (xy 186.104706 100.2375) + (xy 186.098131 100.170739) (xy 186.078657 100.106543) (xy 186.047034 100.047381) (xy 186.028666 100.025) (xy 186.047034 100.002619) + (xy 186.078657 99.943457) (xy 186.098131 99.879261) (xy 186.104706 99.8125) (xy 186.104706 99.5875) (xy 186.098131 99.520739) + (xy 186.078657 99.456543) (xy 186.047034 99.397381) (xy 186.028666 99.375) (xy 186.047034 99.352619) (xy 186.078657 99.293457) + (xy 186.098131 99.229261) (xy 186.104706 99.1625) (xy 186.104706 98.9375) (xy 186.098131 98.870739) (xy 186.078657 98.806543) + (xy 186.047034 98.747381) (xy 186.028666 98.725) (xy 186.047034 98.702619) (xy 186.078657 98.643457) (xy 186.098131 98.579261) + (xy 186.104706 98.5125) (xy 186.104706 98.2875) (xy 186.098131 98.220739) (xy 186.078657 98.156543) (xy 186.047034 98.097381) + (xy 186.028666 98.075) (xy 186.047034 98.052619) (xy 186.078657 97.993457) (xy 186.098131 97.929261) (xy 186.104706 97.8625) + (xy 186.104706 97.6375) (xy 186.098131 97.570739) (xy 186.078657 97.506543) (xy 186.047034 97.447381) (xy 186.028666 97.425) + (xy 186.047034 97.402619) (xy 186.078657 97.343457) (xy 186.098131 97.279261) (xy 186.104706 97.2125) (xy 186.104706 96.9875) + (xy 186.098131 96.920739) (xy 186.078657 96.856543) (xy 186.047034 96.797381) (xy 186.028666 96.775) (xy 186.047034 96.752619) + (xy 186.078657 96.693457) (xy 186.098131 96.629261) (xy 186.104706 96.5625) (xy 186.104706 96.3375) (xy 186.098131 96.270739) + (xy 186.078657 96.206543) (xy 186.047034 96.147381) (xy 186.028666 96.125) (xy 186.046229 96.1036) (xy 186.074246 96.1036) + ) + ) + ) + (zone (net 1) (net_name GND) (layer B.Cu) (tstamp 616E0001) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) + (fill yes (arc_segments 32) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 212.344 139.954) (xy 103.378 139.954) (xy 103.378 40.386) (xy 212.344 40.386) + ) + ) + (filled_polygon + (pts + (xy 210.647433 40.723945) (xy 210.970085 40.821359) (xy 211.267679 40.979593) (xy 211.528863 41.192609) (xy 211.743702 41.452306) + (xy 211.904005 41.74878) (xy 212.003671 42.070747) (xy 212.0404 42.420201) (xy 212.040401 137.907142) (xy 212.006055 138.257433) + (xy 211.90864 138.580087) (xy 211.750407 138.877678) (xy 211.537389 139.138865) (xy 211.277694 139.353702) (xy 210.981219 139.514006) + (xy 210.659249 139.613672) (xy 210.309799 139.6504) (xy 196.483848 139.6504) (xy 196.133567 139.616055) (xy 195.810913 139.51864) + (xy 195.513322 139.360407) (xy 195.252135 139.147389) (xy 195.037298 138.887694) (xy 194.876994 138.591219) (xy 194.777328 138.269249) + (xy 194.7406 137.919799) (xy 194.7406 135.007135) (xy 201.8464 135.007135) (xy 201.8464 135.332865) (xy 201.909947 135.652337) + (xy 202.034599 135.953273) (xy 202.215565 136.224109) (xy 202.445891 136.454435) (xy 202.716727 136.635401) (xy 203.017663 136.760053) + (xy 203.337135 136.8236) (xy 203.662865 136.8236) (xy 203.982337 136.760053) (xy 204.283273 136.635401) (xy 204.554109 136.454435) + (xy 204.784435 136.224109) (xy 204.965401 135.953273) (xy 205.090053 135.652337) (xy 205.1536 135.332865) (xy 205.1536 135.007135) + (xy 205.090053 134.687663) (xy 204.965401 134.386727) (xy 204.784435 134.115891) (xy 204.554109 133.885565) (xy 204.283273 133.704599) + (xy 203.982337 133.579947) (xy 203.662865 133.5164) (xy 203.337135 133.5164) (xy 203.017663 133.579947) (xy 202.716727 133.704599) + (xy 202.445891 133.885565) (xy 202.215565 134.115891) (xy 202.034599 134.386727) (xy 201.909947 134.687663) (xy 201.8464 135.007135) + (xy 194.7406 135.007135) (xy 194.7406 131.557096) (xy 194.739211 131.542998) (xy 194.7393 131.530298) (xy 194.738887 131.526079) + (xy 194.697434 131.131676) (xy 194.691902 131.104725) (xy 194.686745 131.077692) (xy 194.68552 131.073634) (xy 194.68552 131.073632) + (xy 194.685519 131.07363) (xy 194.568249 130.694791) (xy 194.55758 130.669411) (xy 194.547277 130.643911) (xy 194.545287 130.640168) + (xy 194.356665 130.29132) (xy 194.341271 130.268497) (xy 194.326211 130.245484) (xy 194.323532 130.242199) (xy 194.323531 130.242197) + (xy 194.323527 130.242193) (xy 194.070745 129.93663) (xy 194.051212 129.917233) (xy 194.031967 129.897581) (xy 194.028701 129.894879) + (xy 193.721376 129.644231) (xy 193.698458 129.629004) (xy 193.675752 129.613458) (xy 193.672023 129.611441) (xy 193.321867 129.425259) + (xy 193.296397 129.414761) (xy 193.271134 129.403934) (xy 193.267085 129.40268) (xy 192.887434 129.288057) (xy 192.860474 129.282719) + (xy 192.833526 129.276991) (xy 192.82931 129.276548) (xy 192.434626 129.237849) (xy 192.434616 129.237849) (xy 192.419904 129.2364) + (xy 105.297848 129.2364) (xy 104.947567 129.202055) (xy 104.624913 129.10464) (xy 104.327322 128.946407) (xy 104.066135 128.733389) + (xy 103.851298 128.473694) (xy 103.690994 128.177219) (xy 103.591328 127.855249) (xy 103.5546 127.505799) (xy 103.5546 49.911) + (xy 104.342932 49.911) (xy 104.344401 49.925914) (xy 104.3444 120.883096) (xy 104.342932 120.898) (xy 104.346266 120.931846) + (xy 104.348794 120.957515) (xy 104.366154 121.014743) (xy 104.394345 121.067486) (xy 104.432284 121.113716) (xy 104.443872 121.123226) + (xy 106.024779 122.704134) (xy 106.034284 122.715716) (xy 106.080513 122.753655) (xy 106.133256 122.781846) (xy 106.190484 122.799206) + (xy 106.249999 122.805068) (xy 106.264903 122.8036) (xy 107.935096 122.8036) (xy 107.95 122.805068) (xy 107.964904 122.8036) + (xy 108.009516 122.799206) (xy 108.066744 122.781846) (xy 108.119487 122.753655) (xy 108.165716 122.715716) (xy 108.175226 122.704128) + (xy 109.054139 121.825217) (xy 109.065716 121.815716) (xy 109.103655 121.769487) (xy 109.131846 121.716744) (xy 109.149206 121.659516) + (xy 109.153478 121.61614) (xy 109.155068 121.600001) (xy 109.1536 121.585097) (xy 109.1536 118) (xy 111.144932 118) + (xy 111.1464 118.014904) (xy 111.146401 123.385086) (xy 111.144932 123.4) (xy 111.150794 123.459515) (xy 111.163527 123.501487) + (xy 111.168155 123.516744) (xy 111.196346 123.569487) (xy 111.234285 123.615716) (xy 111.245867 123.625221) (xy 113.524782 125.904138) + (xy 113.534284 125.915716) (xy 113.580513 125.953655) (xy 113.592947 125.960301) (xy 115.979779 128.347134) (xy 115.989284 128.358716) + (xy 116.035513 128.396655) (xy 116.088256 128.424846) (xy 116.137658 128.439832) (xy 116.145484 128.442206) (xy 116.205 128.448068) + (xy 116.219904 128.4466) (xy 194.057246 128.4466) (xy 198.783779 133.173134) (xy 198.793284 133.184716) (xy 198.839513 133.222655) + (xy 198.892256 133.250846) (xy 198.949484 133.268206) (xy 199.009 133.274068) (xy 199.023904 133.2726) (xy 208.011096 133.2726) + (xy 208.026 133.274068) (xy 208.040904 133.2726) (xy 208.085516 133.268206) (xy 208.142744 133.250846) (xy 208.195487 133.222655) + (xy 208.241716 133.184716) (xy 208.251226 133.173128) (xy 210.51614 130.908216) (xy 210.527716 130.898716) (xy 210.565655 130.852487) + (xy 210.593846 130.799744) (xy 210.611206 130.742516) (xy 210.6156 130.697904) (xy 210.6156 130.697903) (xy 210.617068 130.683001) + (xy 210.6156 130.668097) (xy 210.6156 81.167904) (xy 210.617068 81.153) (xy 210.611206 81.093484) (xy 210.593846 81.036255) + (xy 210.565655 80.983513) (xy 210.563424 80.980794) (xy 210.527716 80.937284) (xy 210.516134 80.927779) (xy 206.854226 77.265872) + (xy 206.844716 77.254284) (xy 206.798487 77.216345) (xy 206.745744 77.188154) (xy 206.688516 77.170794) (xy 206.643904 77.1664) + (xy 206.629 77.164932) (xy 206.614096 77.1664) (xy 206.025862 77.1664) (xy 206.032739 77.165032) (xy 206.215383 77.089379) + (xy 206.379758 76.979547) (xy 206.519547 76.839758) (xy 206.629379 76.675383) (xy 206.705032 76.492739) (xy 206.7436 76.298846) + (xy 206.7436 76.101154) (xy 206.705032 75.907261) (xy 206.629379 75.724617) (xy 206.519547 75.560242) (xy 206.379758 75.420453) + (xy 206.215383 75.310621) (xy 206.032739 75.234968) (xy 205.838846 75.1964) (xy 205.641154 75.1964) (xy 205.447261 75.234968) + (xy 205.264617 75.310621) (xy 205.100242 75.420453) (xy 204.960453 75.560242) (xy 204.850621 75.724617) (xy 204.774968 75.907261) + (xy 204.7364 76.101154) (xy 204.7364 76.298846) (xy 204.774968 76.492739) (xy 204.850621 76.675383) (xy 204.960453 76.839758) + (xy 205.100242 76.979547) (xy 205.264617 77.089379) (xy 205.447261 77.165032) (xy 205.454138 77.1664) (xy 204.484903 77.1664) + (xy 204.469999 77.164932) (xy 204.410484 77.170794) (xy 204.353256 77.188154) (xy 204.300513 77.216345) (xy 204.254284 77.254284) + (xy 204.244783 77.265861) (xy 203.664522 77.846122) (xy 203.492739 77.774968) (xy 203.298846 77.7364) (xy 203.101154 77.7364) + (xy 202.907261 77.774968) (xy 202.724617 77.850621) (xy 202.560242 77.960453) (xy 202.420453 78.100242) (xy 202.310621 78.264617) + (xy 202.234968 78.447261) (xy 202.1964 78.641154) (xy 202.1964 78.838846) (xy 202.234968 79.032739) (xy 202.310621 79.215383) + (xy 202.420453 79.379758) (xy 202.560242 79.519547) (xy 202.724617 79.629379) (xy 202.907261 79.705032) (xy 203.101154 79.7436) + (xy 203.298846 79.7436) (xy 203.492739 79.705032) (xy 203.675383 79.629379) (xy 203.839758 79.519547) (xy 203.979547 79.379758) + (xy 204.089379 79.215383) (xy 204.165032 79.032739) (xy 204.2036 78.838846) (xy 204.2036 78.641154) (xy 204.165032 78.447261) + (xy 204.093878 78.275478) (xy 204.595756 77.7736) (xy 205.454138 77.7736) (xy 205.447261 77.774968) (xy 205.264617 77.850621) + (xy 205.100242 77.960453) (xy 204.960453 78.100242) (xy 204.850621 78.264617) (xy 204.774968 78.447261) (xy 204.7364 78.641154) + (xy 204.7364 78.838846) (xy 204.774968 79.032739) (xy 204.850621 79.215383) (xy 204.960453 79.379758) (xy 205.100242 79.519547) + (xy 205.264617 79.629379) (xy 205.447261 79.705032) (xy 205.454138 79.7064) (xy 204.484903 79.7064) (xy 204.469999 79.704932) + (xy 204.410484 79.710794) (xy 204.353256 79.728154) (xy 204.300513 79.756345) (xy 204.254284 79.794284) (xy 204.244783 79.805861) + (xy 203.664522 80.386122) (xy 203.492739 80.314968) (xy 203.298846 80.2764) (xy 203.101154 80.2764) (xy 202.907261 80.314968) + (xy 202.724617 80.390621) (xy 202.560242 80.500453) (xy 202.420453 80.640242) (xy 202.310621 80.804617) (xy 202.234968 80.987261) + (xy 202.1964 81.181154) (xy 202.1964 81.378846) (xy 202.234968 81.572739) (xy 202.310621 81.755383) (xy 202.420453 81.919758) + (xy 202.560242 82.059547) (xy 202.724617 82.169379) (xy 202.907261 82.245032) (xy 203.101154 82.2836) (xy 203.298846 82.2836) + (xy 203.492739 82.245032) (xy 203.675383 82.169379) (xy 203.839758 82.059547) (xy 203.979547 81.919758) (xy 204.089379 81.755383) + (xy 204.165032 81.572739) (xy 204.2036 81.378846) (xy 204.2036 81.181154) (xy 204.165032 80.987261) (xy 204.093878 80.815478) + (xy 204.595756 80.3136) (xy 205.454138 80.3136) (xy 205.447261 80.314968) (xy 205.264617 80.390621) (xy 205.100242 80.500453) + (xy 204.960453 80.640242) (xy 204.850621 80.804617) (xy 204.774968 80.987261) (xy 204.7364 81.181154) (xy 204.7364 81.378846) + (xy 204.774968 81.572739) (xy 204.850621 81.755383) (xy 204.960453 81.919758) (xy 205.100242 82.059547) (xy 205.264617 82.169379) + (xy 205.447261 82.245032) (xy 205.454138 82.2464) (xy 204.484903 82.2464) (xy 204.469999 82.244932) (xy 204.410484 82.250794) + (xy 204.353256 82.268154) (xy 204.300513 82.296345) (xy 204.254284 82.334284) (xy 204.244783 82.345861) (xy 203.664522 82.926122) + (xy 203.492739 82.854968) (xy 203.298846 82.8164) (xy 203.101154 82.8164) (xy 202.907261 82.854968) (xy 202.724617 82.930621) + (xy 202.560242 83.040453) (xy 202.420453 83.180242) (xy 202.310621 83.344617) (xy 202.234968 83.527261) (xy 202.1964 83.721154) + (xy 202.1964 83.918846) (xy 202.234968 84.112739) (xy 202.310621 84.295383) (xy 202.420453 84.459758) (xy 202.560242 84.599547) + (xy 202.724617 84.709379) (xy 202.907261 84.785032) (xy 203.101154 84.8236) (xy 203.298846 84.8236) (xy 203.492739 84.785032) + (xy 203.675383 84.709379) (xy 203.839758 84.599547) (xy 203.979547 84.459758) (xy 204.089379 84.295383) (xy 204.165032 84.112739) + (xy 204.2036 83.918846) (xy 204.2036 83.721154) (xy 204.165032 83.527261) (xy 204.093878 83.355478) (xy 204.595756 82.8536) + (xy 205.454138 82.8536) (xy 205.447261 82.854968) (xy 205.264617 82.930621) (xy 205.100242 83.040453) (xy 204.960453 83.180242) + (xy 204.850621 83.344617) (xy 204.774968 83.527261) (xy 204.7364 83.721154) (xy 204.7364 83.918846) (xy 204.774968 84.112739) + (xy 204.850621 84.295383) (xy 204.960453 84.459758) (xy 205.100242 84.599547) (xy 205.264617 84.709379) (xy 205.447261 84.785032) + (xy 205.454138 84.7864) (xy 204.484903 84.7864) (xy 204.469999 84.784932) (xy 204.436739 84.788208) (xy 204.410484 84.790794) + (xy 204.353256 84.808154) (xy 204.300513 84.836345) (xy 204.254284 84.874284) (xy 204.244783 84.885861) (xy 203.664522 85.466122) + (xy 203.492739 85.394968) (xy 203.298846 85.3564) (xy 203.101154 85.3564) (xy 202.907261 85.394968) (xy 202.724617 85.470621) + (xy 202.560242 85.580453) (xy 202.420453 85.720242) (xy 202.310621 85.884617) (xy 202.234968 86.067261) (xy 202.1964 86.261154) + (xy 202.1964 86.458846) (xy 202.234968 86.652739) (xy 202.310621 86.835383) (xy 202.420453 86.999758) (xy 202.560242 87.139547) + (xy 202.724617 87.249379) (xy 202.907261 87.325032) (xy 203.101154 87.3636) (xy 203.298846 87.3636) (xy 203.492739 87.325032) + (xy 203.675383 87.249379) (xy 203.839758 87.139547) (xy 203.979547 86.999758) (xy 204.089379 86.835383) (xy 204.165032 86.652739) + (xy 204.2036 86.458846) (xy 204.2036 86.261154) (xy 204.165032 86.067261) (xy 204.093878 85.895478) (xy 204.595756 85.3936) + (xy 205.454138 85.3936) (xy 205.447261 85.394968) (xy 205.264617 85.470621) (xy 205.100242 85.580453) (xy 204.960453 85.720242) + (xy 204.850621 85.884617) (xy 204.774968 86.067261) (xy 204.7364 86.261154) (xy 204.7364 86.458846) (xy 204.774968 86.652739) + (xy 204.850621 86.835383) (xy 204.960453 86.999758) (xy 205.100242 87.139547) (xy 205.264617 87.249379) (xy 205.447261 87.325032) + (xy 205.641154 87.3636) (xy 205.838846 87.3636) (xy 206.032739 87.325032) (xy 206.215383 87.249379) (xy 206.379758 87.139547) + (xy 206.519547 86.999758) (xy 206.629379 86.835383) (xy 206.705032 86.652739) (xy 206.7436 86.458846) (xy 206.7436 86.261154) + (xy 206.705032 86.067261) (xy 206.629379 85.884617) (xy 206.519547 85.720242) (xy 206.379758 85.580453) (xy 206.215383 85.470621) + (xy 206.032739 85.394968) (xy 206.025862 85.3936) (xy 206.757246 85.3936) (xy 207.595401 86.231756) (xy 207.5954 129.922245) + (xy 206.757246 130.7604) (xy 200.277755 130.7604) (xy 195.170226 125.652872) (xy 195.160716 125.641284) (xy 195.114487 125.603345) + (xy 195.061744 125.575154) (xy 195.004516 125.557794) (xy 194.959904 125.5534) (xy 194.945 125.551932) (xy 194.930096 125.5534) + (xy 173.099755 125.5534) (xy 172.94425 125.397896) (xy 172.9446 125.396138) (xy 172.9446 125.301862) (xy 172.926208 125.209397) + (xy 172.89013 125.122298) (xy 172.837753 125.04391) (xy 172.77109 124.977247) (xy 172.692702 124.92487) (xy 172.605603 124.888792) + (xy 172.513138 124.8704) (xy 172.418862 124.8704) (xy 172.326397 124.888792) (xy 172.239298 124.92487) (xy 172.16091 124.977247) + (xy 172.094247 125.04391) (xy 172.04187 125.122298) (xy 172.005792 125.209397) (xy 171.9874 125.301862) (xy 171.9874 125.396138) + (xy 172.005792 125.488603) (xy 172.04187 125.575702) (xy 172.094247 125.65409) (xy 172.16091 125.720753) (xy 172.239298 125.77313) + (xy 172.326397 125.809208) (xy 172.418862 125.8276) (xy 172.513138 125.8276) (xy 172.514896 125.82725) (xy 172.748778 126.061133) + (xy 172.758284 126.072716) (xy 172.804513 126.110655) (xy 172.857256 126.138846) (xy 172.889563 126.148646) (xy 172.914483 126.156206) + (xy 172.973999 126.162068) (xy 172.988903 126.1606) (xy 194.819246 126.1606) (xy 199.926779 131.268134) (xy 199.936284 131.279716) + (xy 199.982513 131.317655) (xy 200.035256 131.345846) (xy 200.092484 131.363206) (xy 200.151999 131.369068) (xy 200.166903 131.3676) + (xy 206.868096 131.3676) (xy 206.883 131.369068) (xy 206.897904 131.3676) (xy 206.942516 131.363206) (xy 206.999744 131.345846) + (xy 207.052487 131.317655) (xy 207.098716 131.279716) (xy 207.108226 131.268128) (xy 208.103135 130.27322) (xy 208.114716 130.263716) + (xy 208.152655 130.217487) (xy 208.180846 130.164744) (xy 208.198206 130.107516) (xy 208.2026 130.062904) (xy 208.2026 130.062903) + (xy 208.204068 130.048) (xy 208.2026 130.033096) (xy 208.2026 86.120904) (xy 208.204068 86.106) (xy 208.198206 86.046484) + (xy 208.196893 86.042155) (xy 208.180846 85.989256) (xy 208.152655 85.936513) (xy 208.114716 85.890284) (xy 208.103134 85.880779) + (xy 207.108226 84.885872) (xy 207.098716 84.874284) (xy 207.052487 84.836345) (xy 206.999744 84.808154) (xy 206.942516 84.790794) + (xy 206.897904 84.7864) (xy 206.883 84.784932) (xy 206.868096 84.7864) (xy 206.025862 84.7864) (xy 206.032739 84.785032) + (xy 206.215383 84.709379) (xy 206.379758 84.599547) (xy 206.519547 84.459758) (xy 206.629379 84.295383) (xy 206.705032 84.112739) + (xy 206.7436 83.918846) (xy 206.7436 83.721154) (xy 206.705032 83.527261) (xy 206.629379 83.344617) (xy 206.519547 83.180242) + (xy 206.379758 83.040453) (xy 206.215383 82.930621) (xy 206.032739 82.854968) (xy 206.025862 82.8536) (xy 206.884246 82.8536) + (xy 208.357401 84.326756) (xy 208.3574 130.176244) (xy 207.138246 131.3954) (xy 199.896755 131.3954) (xy 194.916226 126.414872) + (xy 194.906716 126.403284) (xy 194.860487 126.365345) (xy 194.807744 126.337154) (xy 194.750516 126.319794) (xy 194.705904 126.3154) + (xy 194.691 126.313932) (xy 194.676096 126.3154) (xy 172.210756 126.3154) (xy 171.29325 125.397896) (xy 171.2936 125.396138) + (xy 171.2936 125.301862) (xy 171.275208 125.209397) (xy 171.23913 125.122298) (xy 171.186753 125.04391) (xy 171.12009 124.977247) + (xy 171.041702 124.92487) (xy 170.954603 124.888792) (xy 170.862138 124.8704) (xy 170.767862 124.8704) (xy 170.675397 124.888792) + (xy 170.588298 124.92487) (xy 170.50991 124.977247) (xy 170.443247 125.04391) (xy 170.39087 125.122298) (xy 170.354792 125.209397) + (xy 170.3364 125.301862) (xy 170.3364 125.396138) (xy 170.354792 125.488603) (xy 170.39087 125.575702) (xy 170.443247 125.65409) + (xy 170.50991 125.720753) (xy 170.588298 125.77313) (xy 170.675397 125.809208) (xy 170.767862 125.8276) (xy 170.862138 125.8276) + (xy 170.863896 125.82725) (xy 171.859782 126.823138) (xy 171.869284 126.834716) (xy 171.915513 126.872655) (xy 171.968256 126.900846) + (xy 172.025484 126.918206) (xy 172.084999 126.924068) (xy 172.099903 126.9226) (xy 194.565246 126.9226) (xy 199.545779 131.903134) + (xy 199.555284 131.914716) (xy 199.601513 131.952655) (xy 199.654256 131.980846) (xy 199.711484 131.998206) (xy 199.771 132.004068) + (xy 199.785904 132.0026) (xy 207.249096 132.0026) (xy 207.264 132.004068) (xy 207.278904 132.0026) (xy 207.323516 131.998206) + (xy 207.380744 131.980846) (xy 207.433487 131.952655) (xy 207.479716 131.914716) (xy 207.489226 131.903128) (xy 208.86514 130.527216) + (xy 208.876716 130.517716) (xy 208.914655 130.471487) (xy 208.942846 130.418744) (xy 208.960206 130.361516) (xy 208.96134 130.350002) + (xy 208.966068 130.302001) (xy 208.9646 130.287097) (xy 208.9646 84.215904) (xy 208.966068 84.201) (xy 208.960206 84.141484) + (xy 208.950718 84.110208) (xy 208.942846 84.084256) (xy 208.914655 84.031513) (xy 208.876716 83.985284) (xy 208.865134 83.975779) + (xy 207.235226 82.345872) (xy 207.225716 82.334284) (xy 207.179487 82.296345) (xy 207.126744 82.268154) (xy 207.069516 82.250794) + (xy 207.024904 82.2464) (xy 207.01 82.244932) (xy 206.995096 82.2464) (xy 206.025862 82.2464) (xy 206.032739 82.245032) + (xy 206.215383 82.169379) (xy 206.379758 82.059547) (xy 206.519547 81.919758) (xy 206.629379 81.755383) (xy 206.705032 81.572739) + (xy 206.7436 81.378846) (xy 206.7436 81.181154) (xy 206.705032 80.987261) (xy 206.629379 80.804617) (xy 206.519547 80.640242) + (xy 206.379758 80.500453) (xy 206.215383 80.390621) (xy 206.032739 80.314968) (xy 206.025862 80.3136) (xy 206.630246 80.3136) + (xy 209.119401 82.802756) (xy 209.1194 130.430245) (xy 207.519246 132.0304) (xy 199.515755 132.0304) (xy 194.662226 127.176872) + (xy 194.652716 127.165284) (xy 194.606487 127.127345) (xy 194.553744 127.099154) (xy 194.496516 127.081794) (xy 194.451904 127.0774) + (xy 194.437 127.075932) (xy 194.422096 127.0774) (xy 171.321755 127.0774) (xy 169.64225 125.397896) (xy 169.6426 125.396138) + (xy 169.6426 125.301862) (xy 169.624208 125.209397) (xy 169.58813 125.122298) (xy 169.535753 125.04391) (xy 169.46909 124.977247) + (xy 169.390702 124.92487) (xy 169.303603 124.888792) (xy 169.211138 124.8704) (xy 169.116862 124.8704) (xy 169.024397 124.888792) + (xy 168.937298 124.92487) (xy 168.85891 124.977247) (xy 168.792247 125.04391) (xy 168.73987 125.122298) (xy 168.703792 125.209397) + (xy 168.6854 125.301862) (xy 168.6854 125.396138) (xy 168.703792 125.488603) (xy 168.73987 125.575702) (xy 168.792247 125.65409) + (xy 168.85891 125.720753) (xy 168.937298 125.77313) (xy 169.024397 125.809208) (xy 169.116862 125.8276) (xy 169.211138 125.8276) + (xy 169.212896 125.82725) (xy 170.970779 127.585134) (xy 170.980284 127.596716) (xy 171.026513 127.634655) (xy 171.079256 127.662846) + (xy 171.127521 127.677487) (xy 171.136484 127.680206) (xy 171.196 127.686068) (xy 171.210904 127.6846) (xy 194.311246 127.6846) + (xy 199.164779 132.538134) (xy 199.174284 132.549716) (xy 199.220513 132.587655) (xy 199.273256 132.615846) (xy 199.330484 132.633206) + (xy 199.39 132.639068) (xy 199.404904 132.6376) (xy 207.630096 132.6376) (xy 207.645 132.639068) (xy 207.659904 132.6376) + (xy 207.704516 132.633206) (xy 207.761744 132.615846) (xy 207.814487 132.587655) (xy 207.860716 132.549716) (xy 207.870226 132.538128) + (xy 209.627135 130.78122) (xy 209.638716 130.771716) (xy 209.676655 130.725487) (xy 209.704846 130.672744) (xy 209.722206 130.615516) + (xy 209.7266 130.570904) (xy 209.7266 130.570903) (xy 209.728068 130.556) (xy 209.7266 130.541096) (xy 209.7266 82.691904) + (xy 209.728068 82.677) (xy 209.722206 82.617484) (xy 209.714016 82.590485) (xy 209.704846 82.560256) (xy 209.676655 82.507513) + (xy 209.638716 82.461284) (xy 209.627134 82.451779) (xy 206.981226 79.805872) (xy 206.971716 79.794284) (xy 206.925487 79.756345) + (xy 206.872744 79.728154) (xy 206.815516 79.710794) (xy 206.770904 79.7064) (xy 206.756 79.704932) (xy 206.741096 79.7064) + (xy 206.025862 79.7064) (xy 206.032739 79.705032) (xy 206.215383 79.629379) (xy 206.379758 79.519547) (xy 206.519547 79.379758) + (xy 206.629379 79.215383) (xy 206.705032 79.032739) (xy 206.7436 78.838846) (xy 206.7436 78.641154) (xy 206.705032 78.447261) + (xy 206.629379 78.264617) (xy 206.519547 78.100242) (xy 206.379758 77.960453) (xy 206.215383 77.850621) (xy 206.032739 77.774968) + (xy 206.025862 77.7736) (xy 206.503246 77.7736) (xy 210.008401 81.278756) (xy 210.0084 130.557244) (xy 207.900246 132.6654) + (xy 199.134755 132.6654) (xy 194.408226 127.938872) (xy 194.398716 127.927284) (xy 194.352487 127.889345) (xy 194.299744 127.861154) + (xy 194.242516 127.843794) (xy 194.197904 127.8394) (xy 194.183 127.837932) (xy 194.168096 127.8394) (xy 116.330755 127.8394) + (xy 113.987226 125.495872) (xy 113.977716 125.484284) (xy 113.931487 125.446345) (xy 113.919057 125.439701) (xy 111.7536 123.274246) + (xy 111.7536 119.25) (xy 111.994932 119.25) (xy 111.9964 119.264904) (xy 111.996401 123.335086) (xy 111.994932 123.35) + (xy 112.000794 123.409515) (xy 112.01372 123.452125) (xy 112.018155 123.466744) (xy 112.046346 123.519487) (xy 112.084285 123.565716) + (xy 112.095867 123.575221) (xy 113.424782 124.904138) (xy 113.434284 124.915716) (xy 113.451448 124.929802) (xy 116.233779 127.712134) + (xy 116.243284 127.723716) (xy 116.289513 127.761655) (xy 116.342256 127.789846) (xy 116.383895 127.802477) (xy 116.399484 127.807206) + (xy 116.459 127.813068) (xy 116.473904 127.8116) (xy 165.720096 127.8116) (xy 165.735 127.813068) (xy 165.749904 127.8116) + (xy 165.794516 127.807206) (xy 165.851744 127.789846) (xy 165.904487 127.761655) (xy 165.950716 127.723716) (xy 165.960226 127.712128) + (xy 168.908755 124.7636) (xy 172.721246 124.7636) (xy 173.256779 125.299133) (xy 173.266284 125.310716) (xy 173.312513 125.348655) + (xy 173.365256 125.376846) (xy 173.422484 125.394206) (xy 173.482 125.400068) (xy 173.496904 125.3986) (xy 196.089246 125.3986) + (xy 199.766122 129.075478) (xy 199.694968 129.247261) (xy 199.6564 129.441154) (xy 199.6564 129.638846) (xy 199.694968 129.832739) + (xy 199.770621 130.015383) (xy 199.880453 130.179758) (xy 200.020242 130.319547) (xy 200.184617 130.429379) (xy 200.367261 130.505032) + (xy 200.561154 130.5436) (xy 200.758846 130.5436) (xy 200.952739 130.505032) (xy 201.135383 130.429379) (xy 201.299758 130.319547) + (xy 201.356473 130.262832) (xy 202.581537 130.262832) (xy 202.668539 130.397049) (xy 202.845953 130.484265) (xy 203.036972 130.535192) + (xy 203.234257 130.547875) (xy 203.430225 130.521826) (xy 203.617346 130.458046) (xy 203.731461 130.397049) (xy 203.818463 130.262832) + (xy 203.2 129.644369) (xy 202.581537 130.262832) (xy 201.356473 130.262832) (xy 201.439547 130.179758) (xy 201.549379 130.015383) + (xy 201.625032 129.832739) (xy 201.6636 129.638846) (xy 201.6636 129.574257) (xy 202.192125 129.574257) (xy 202.218174 129.770225) + (xy 202.281954 129.957346) (xy 202.342951 130.071461) (xy 202.477168 130.158463) (xy 203.095631 129.54) (xy 203.304369 129.54) + (xy 203.922832 130.158463) (xy 204.057049 130.071461) (xy 204.144265 129.894047) (xy 204.195192 129.703028) (xy 204.207875 129.505743) + (xy 204.181826 129.309775) (xy 204.118046 129.122654) (xy 204.060503 129.014999) (xy 204.735294 129.014999) (xy 204.735294 130.065001) + (xy 204.744511 130.158587) (xy 204.771809 130.248576) (xy 204.816139 130.331511) (xy 204.875796 130.404204) (xy 204.948489 130.463861) + (xy 205.031424 130.508191) (xy 205.121413 130.535489) (xy 205.214999 130.544706) (xy 206.265001 130.544706) (xy 206.358587 130.535489) + (xy 206.448576 130.508191) (xy 206.531511 130.463861) (xy 206.604204 130.404204) (xy 206.663861 130.331511) (xy 206.708191 130.248576) + (xy 206.735489 130.158587) (xy 206.744706 130.065001) (xy 206.744706 129.014999) (xy 206.735489 128.921413) (xy 206.708191 128.831424) + (xy 206.663861 128.748489) (xy 206.604204 128.675796) (xy 206.531511 128.616139) (xy 206.448576 128.571809) (xy 206.358587 128.544511) + (xy 206.265001 128.535294) (xy 205.214999 128.535294) (xy 205.121413 128.544511) (xy 205.031424 128.571809) (xy 204.948489 128.616139) + (xy 204.875796 128.675796) (xy 204.816139 128.748489) (xy 204.771809 128.831424) (xy 204.744511 128.921413) (xy 204.735294 129.014999) + (xy 204.060503 129.014999) (xy 204.057049 129.008539) (xy 203.922832 128.921537) (xy 203.304369 129.54) (xy 203.095631 129.54) + (xy 202.477168 128.921537) (xy 202.342951 129.008539) (xy 202.255735 129.185953) (xy 202.204808 129.376972) (xy 202.192125 129.574257) + (xy 201.6636 129.574257) (xy 201.6636 129.441154) (xy 201.625032 129.247261) (xy 201.549379 129.064617) (xy 201.439547 128.900242) + (xy 201.356473 128.817168) (xy 202.581537 128.817168) (xy 203.2 129.435631) (xy 203.818463 128.817168) (xy 203.731461 128.682951) + (xy 203.554047 128.595735) (xy 203.363028 128.544808) (xy 203.165743 128.532125) (xy 202.969775 128.558174) (xy 202.782654 128.621954) + (xy 202.668539 128.682951) (xy 202.581537 128.817168) (xy 201.356473 128.817168) (xy 201.299758 128.760453) (xy 201.135383 128.650621) + (xy 200.952739 128.574968) (xy 200.758846 128.5364) (xy 200.561154 128.5364) (xy 200.367261 128.574968) (xy 200.195478 128.646122) + (xy 196.440226 124.890872) (xy 196.430716 124.879284) (xy 196.384487 124.841345) (xy 196.331744 124.813154) (xy 196.274516 124.795794) + (xy 196.229904 124.7914) (xy 196.215 124.789932) (xy 196.200096 124.7914) (xy 173.607755 124.7914) (xy 173.072226 124.255872) + (xy 173.062716 124.244284) (xy 173.016487 124.206345) (xy 172.963744 124.178154) (xy 172.906516 124.160794) (xy 172.861904 124.1564) + (xy 172.847 124.154932) (xy 172.832096 124.1564) (xy 168.797904 124.1564) (xy 168.783 124.154932) (xy 168.768096 124.1564) + (xy 168.723484 124.160794) (xy 168.666256 124.178154) (xy 168.613513 124.206345) (xy 168.567284 124.244284) (xy 168.557779 124.255866) + (xy 165.609246 127.2044) (xy 116.584755 127.2044) (xy 113.876226 124.495872) (xy 113.866716 124.484284) (xy 113.849563 124.470207) + (xy 112.6036 123.224246) (xy 112.6036 119.8) (xy 112.844932 119.8) (xy 112.8464 119.814904) (xy 112.846401 123.285086) + (xy 112.844932 123.3) (xy 112.850794 123.359515) (xy 112.861377 123.3944) (xy 112.868155 123.416744) (xy 112.896346 123.469487) + (xy 112.934285 123.515716) (xy 112.945867 123.525221) (xy 113.331997 123.911351) (xy 113.336345 123.919487) (xy 113.374284 123.965716) + (xy 113.385871 123.975225) (xy 116.487779 127.077134) (xy 116.497284 127.088716) (xy 116.543513 127.126655) (xy 116.596256 127.154846) + (xy 116.653484 127.172206) (xy 116.713 127.178068) (xy 116.727904 127.1766) (xy 165.339096 127.1766) (xy 165.354 127.178068) + (xy 165.368904 127.1766) (xy 165.413516 127.172206) (xy 165.470744 127.154846) (xy 165.523487 127.126655) (xy 165.569716 127.088716) + (xy 165.579226 127.077128) (xy 168.654755 124.0016) (xy 172.975246 124.0016) (xy 173.510779 124.537133) (xy 173.520284 124.548716) + (xy 173.566513 124.586655) (xy 173.619256 124.614846) (xy 173.667521 124.629487) (xy 173.676484 124.632206) (xy 173.736 124.638068) + (xy 173.750904 124.6366) (xy 197.867246 124.6366) (xy 199.766123 126.535477) (xy 199.694968 126.707261) (xy 199.6564 126.901154) + (xy 199.6564 127.098846) (xy 199.694968 127.292739) (xy 199.770621 127.475383) (xy 199.880453 127.639758) (xy 200.020242 127.779547) + (xy 200.184617 127.889379) (xy 200.367261 127.965032) (xy 200.561154 128.0036) (xy 200.758846 128.0036) (xy 200.952739 127.965032) + (xy 201.135383 127.889379) (xy 201.299758 127.779547) (xy 201.356473 127.722832) (xy 202.581537 127.722832) (xy 202.668539 127.857049) + (xy 202.845953 127.944265) (xy 203.036972 127.995192) (xy 203.234257 128.007875) (xy 203.430225 127.981826) (xy 203.617346 127.918046) + (xy 203.731461 127.857049) (xy 203.818463 127.722832) (xy 203.2 127.104369) (xy 202.581537 127.722832) (xy 201.356473 127.722832) + (xy 201.439547 127.639758) (xy 201.549379 127.475383) (xy 201.625032 127.292739) (xy 201.6636 127.098846) (xy 201.6636 127.034257) + (xy 202.192125 127.034257) (xy 202.218174 127.230225) (xy 202.281954 127.417346) (xy 202.342951 127.531461) (xy 202.477168 127.618463) + (xy 203.095631 127) (xy 203.304369 127) (xy 203.922832 127.618463) (xy 204.057049 127.531461) (xy 204.144265 127.354047) + (xy 204.195192 127.163028) (xy 204.207875 126.965743) (xy 204.19929 126.901154) (xy 204.7364 126.901154) (xy 204.7364 127.098846) + (xy 204.774968 127.292739) (xy 204.850621 127.475383) (xy 204.960453 127.639758) (xy 205.100242 127.779547) (xy 205.264617 127.889379) + (xy 205.447261 127.965032) (xy 205.641154 128.0036) (xy 205.838846 128.0036) (xy 206.032739 127.965032) (xy 206.215383 127.889379) + (xy 206.379758 127.779547) (xy 206.519547 127.639758) (xy 206.629379 127.475383) (xy 206.705032 127.292739) (xy 206.7436 127.098846) + (xy 206.7436 126.901154) (xy 206.705032 126.707261) (xy 206.629379 126.524617) (xy 206.519547 126.360242) (xy 206.379758 126.220453) + (xy 206.215383 126.110621) (xy 206.032739 126.034968) (xy 205.838846 125.9964) (xy 205.641154 125.9964) (xy 205.447261 126.034968) + (xy 205.264617 126.110621) (xy 205.100242 126.220453) (xy 204.960453 126.360242) (xy 204.850621 126.524617) (xy 204.774968 126.707261) + (xy 204.7364 126.901154) (xy 204.19929 126.901154) (xy 204.181826 126.769775) (xy 204.118046 126.582654) (xy 204.057049 126.468539) + (xy 203.922832 126.381537) (xy 203.304369 127) (xy 203.095631 127) (xy 202.477168 126.381537) (xy 202.342951 126.468539) + (xy 202.255735 126.645953) (xy 202.204808 126.836972) (xy 202.192125 127.034257) (xy 201.6636 127.034257) (xy 201.6636 126.901154) + (xy 201.625032 126.707261) (xy 201.549379 126.524617) (xy 201.439547 126.360242) (xy 201.356473 126.277168) (xy 202.581537 126.277168) + (xy 203.2 126.895631) (xy 203.818463 126.277168) (xy 203.731461 126.142951) (xy 203.554047 126.055735) (xy 203.363028 126.004808) + (xy 203.165743 125.992125) (xy 202.969775 126.018174) (xy 202.782654 126.081954) (xy 202.668539 126.142951) (xy 202.581537 126.277168) + (xy 201.356473 126.277168) (xy 201.299758 126.220453) (xy 201.135383 126.110621) (xy 200.952739 126.034968) (xy 200.758846 125.9964) + (xy 200.561154 125.9964) (xy 200.367261 126.034968) (xy 200.195477 126.106123) (xy 199.272186 125.182832) (xy 200.041537 125.182832) + (xy 200.128539 125.317049) (xy 200.305953 125.404265) (xy 200.496972 125.455192) (xy 200.694257 125.467875) (xy 200.890225 125.441826) + (xy 201.077346 125.378046) (xy 201.191461 125.317049) (xy 201.278463 125.182832) (xy 202.581537 125.182832) (xy 202.668539 125.317049) + (xy 202.845953 125.404265) (xy 203.036972 125.455192) (xy 203.234257 125.467875) (xy 203.430225 125.441826) (xy 203.617346 125.378046) + (xy 203.731461 125.317049) (xy 203.818463 125.182832) (xy 203.2 124.564369) (xy 202.581537 125.182832) (xy 201.278463 125.182832) + (xy 200.66 124.564369) (xy 200.041537 125.182832) (xy 199.272186 125.182832) (xy 198.583611 124.494257) (xy 199.652125 124.494257) + (xy 199.678174 124.690225) (xy 199.741954 124.877346) (xy 199.802951 124.991461) (xy 199.937168 125.078463) (xy 200.555631 124.46) + (xy 200.764369 124.46) (xy 201.382832 125.078463) (xy 201.517049 124.991461) (xy 201.604265 124.814047) (xy 201.655192 124.623028) + (xy 201.66347 124.494257) (xy 202.192125 124.494257) (xy 202.218174 124.690225) (xy 202.281954 124.877346) (xy 202.342951 124.991461) + (xy 202.477168 125.078463) (xy 203.095631 124.46) (xy 203.304369 124.46) (xy 203.922832 125.078463) (xy 204.057049 124.991461) + (xy 204.144265 124.814047) (xy 204.195192 124.623028) (xy 204.207875 124.425743) (xy 204.19929 124.361154) (xy 204.7364 124.361154) + (xy 204.7364 124.558846) (xy 204.774968 124.752739) (xy 204.850621 124.935383) (xy 204.960453 125.099758) (xy 205.100242 125.239547) + (xy 205.264617 125.349379) (xy 205.447261 125.425032) (xy 205.641154 125.4636) (xy 205.838846 125.4636) (xy 206.032739 125.425032) + (xy 206.215383 125.349379) (xy 206.379758 125.239547) (xy 206.519547 125.099758) (xy 206.629379 124.935383) (xy 206.705032 124.752739) + (xy 206.7436 124.558846) (xy 206.7436 124.361154) (xy 206.705032 124.167261) (xy 206.629379 123.984617) (xy 206.519547 123.820242) + (xy 206.379758 123.680453) (xy 206.215383 123.570621) (xy 206.032739 123.494968) (xy 205.838846 123.4564) (xy 205.641154 123.4564) + (xy 205.447261 123.494968) (xy 205.264617 123.570621) (xy 205.100242 123.680453) (xy 204.960453 123.820242) (xy 204.850621 123.984617) + (xy 204.774968 124.167261) (xy 204.7364 124.361154) (xy 204.19929 124.361154) (xy 204.181826 124.229775) (xy 204.118046 124.042654) + (xy 204.057049 123.928539) (xy 203.922832 123.841537) (xy 203.304369 124.46) (xy 203.095631 124.46) (xy 202.477168 123.841537) + (xy 202.342951 123.928539) (xy 202.255735 124.105953) (xy 202.204808 124.296972) (xy 202.192125 124.494257) (xy 201.66347 124.494257) + (xy 201.667875 124.425743) (xy 201.641826 124.229775) (xy 201.578046 124.042654) (xy 201.517049 123.928539) (xy 201.382832 123.841537) + (xy 200.764369 124.46) (xy 200.555631 124.46) (xy 199.937168 123.841537) (xy 199.802951 123.928539) (xy 199.715735 124.105953) + (xy 199.664808 124.296972) (xy 199.652125 124.494257) (xy 198.583611 124.494257) (xy 198.218226 124.128872) (xy 198.208716 124.117284) + (xy 198.162487 124.079345) (xy 198.109744 124.051154) (xy 198.052516 124.033794) (xy 198.007904 124.0294) (xy 197.993 124.027932) + (xy 197.978096 124.0294) (xy 173.861755 124.0294) (xy 173.326226 123.493872) (xy 173.316716 123.482284) (xy 173.270487 123.444345) + (xy 173.217744 123.416154) (xy 173.160516 123.398794) (xy 173.115904 123.3944) (xy 173.101 123.392932) (xy 173.086096 123.3944) + (xy 168.543903 123.3944) (xy 168.528999 123.392932) (xy 168.469484 123.398794) (xy 168.412256 123.416154) (xy 168.359513 123.444345) + (xy 168.313284 123.482284) (xy 168.303779 123.493866) (xy 165.228246 126.5694) (xy 116.838755 126.5694) (xy 113.848004 123.57865) + (xy 113.843655 123.570513) (xy 113.805716 123.524284) (xy 113.794135 123.51478) (xy 113.4536 123.174246) (xy 113.4536 119.925754) + (xy 114.154134 119.225221) (xy 114.165716 119.215716) (xy 114.203655 119.169487) (xy 114.231846 119.116744) (xy 114.249206 119.059516) + (xy 114.2536 119.014904) (xy 114.255068 119) (xy 114.2536 118.985096) (xy 114.2536 117.564904) (xy 114.255068 117.55) + (xy 114.249206 117.490484) (xy 114.239492 117.458463) (xy 114.231846 117.433256) (xy 114.203655 117.380513) (xy 114.165716 117.334284) + (xy 114.154134 117.32478) (xy 113.9036 117.074246) (xy 113.9036 116.264903) (xy 113.905068 116.249999) (xy 113.899206 116.190483) + (xy 113.890721 116.162514) (xy 113.881846 116.133256) (xy 113.853655 116.080513) (xy 113.815716 116.034284) (xy 113.80414 116.024784) + (xy 113.5036 115.724246) (xy 113.5036 115.125754) (xy 113.551104 115.07825) (xy 113.552862 115.0786) (xy 113.647138 115.0786) + (xy 113.739603 115.060208) (xy 113.826702 115.02413) (xy 113.90509 114.971753) (xy 113.971753 114.90509) (xy 114.02413 114.826702) + (xy 114.060208 114.739603) (xy 114.0786 114.647138) (xy 114.0786 114.552862) (xy 114.060208 114.460397) (xy 114.02413 114.373298) + (xy 113.971753 114.29491) (xy 113.90509 114.228247) (xy 113.826702 114.17587) (xy 113.739603 114.139792) (xy 113.647138 114.1214) + (xy 113.552862 114.1214) (xy 113.460397 114.139792) (xy 113.373298 114.17587) (xy 113.29491 114.228247) (xy 113.228247 114.29491) + (xy 113.17587 114.373298) (xy 113.139792 114.460397) (xy 113.1214 114.552862) (xy 113.1214 114.647138) (xy 113.12175 114.648896) + (xy 112.995871 114.774775) (xy 112.984284 114.784284) (xy 112.946345 114.830514) (xy 112.918154 114.883257) (xy 112.905557 114.924785) + (xy 112.900794 114.940485) (xy 112.894932 115) (xy 112.8964 115.014904) (xy 112.896401 115.835086) (xy 112.894932 115.85) + (xy 112.900794 115.909515) (xy 112.91462 115.95509) (xy 112.918155 115.966744) (xy 112.946346 116.019487) (xy 112.984285 116.065716) + (xy 112.995867 116.075221) (xy 113.2964 116.375756) (xy 113.296401 117.185086) (xy 113.294932 117.2) (xy 113.300794 117.259515) + (xy 113.311631 117.295237) (xy 113.318155 117.316744) (xy 113.346346 117.369487) (xy 113.384285 117.415716) (xy 113.395867 117.425221) + (xy 113.6464 117.675755) (xy 113.646401 118.874244) (xy 112.945872 119.574774) (xy 112.934284 119.584284) (xy 112.896345 119.630514) + (xy 112.868154 119.683257) (xy 112.858554 119.714904) (xy 112.850794 119.740485) (xy 112.844932 119.8) (xy 112.6036 119.8) + (xy 112.6036 119.375754) (xy 113.051104 118.92825) (xy 113.052862 118.9286) (xy 113.147138 118.9286) (xy 113.239603 118.910208) + (xy 113.326702 118.87413) (xy 113.40509 118.821753) (xy 113.471753 118.75509) (xy 113.52413 118.676702) (xy 113.560208 118.589603) + (xy 113.5786 118.497138) (xy 113.5786 118.402862) (xy 113.560208 118.310397) (xy 113.52413 118.223298) (xy 113.471753 118.14491) + (xy 113.40509 118.078247) (xy 113.326702 118.02587) (xy 113.239603 117.989792) (xy 113.147138 117.9714) (xy 113.052862 117.9714) + (xy 112.960397 117.989792) (xy 112.873298 118.02587) (xy 112.79491 118.078247) (xy 112.728247 118.14491) (xy 112.67587 118.223298) + (xy 112.639792 118.310397) (xy 112.6214 118.402862) (xy 112.6214 118.497138) (xy 112.62175 118.498896) (xy 112.095872 119.024774) + (xy 112.084284 119.034284) (xy 112.046345 119.080514) (xy 112.018154 119.133257) (xy 112.003819 119.180514) (xy 112.000794 119.190485) + (xy 111.994932 119.25) (xy 111.7536 119.25) (xy 111.7536 118.125754) (xy 112.651104 117.22825) (xy 112.652862 117.2286) + (xy 112.747138 117.2286) (xy 112.839603 117.210208) (xy 112.926702 117.17413) (xy 113.00509 117.121753) (xy 113.071753 117.05509) + (xy 113.12413 116.976702) (xy 113.160208 116.889603) (xy 113.1786 116.797138) (xy 113.1786 116.702862) (xy 113.160208 116.610397) + (xy 113.12413 116.523298) (xy 113.071753 116.44491) (xy 113.00509 116.378247) (xy 112.926702 116.32587) (xy 112.839603 116.289792) + (xy 112.747138 116.2714) (xy 112.652862 116.2714) (xy 112.560397 116.289792) (xy 112.473298 116.32587) (xy 112.39491 116.378247) + (xy 112.328247 116.44491) (xy 112.27587 116.523298) (xy 112.239792 116.610397) (xy 112.2214 116.702862) (xy 112.2214 116.797138) + (xy 112.22175 116.798896) (xy 111.245872 117.774774) (xy 111.234284 117.784284) (xy 111.196345 117.830514) (xy 111.168154 117.883257) + (xy 111.155557 117.924784) (xy 111.150794 117.940485) (xy 111.144932 118) (xy 109.1536 118) (xy 109.1536 116.125754) + (xy 111.226492 114.052862) (xy 112.2214 114.052862) (xy 112.2214 114.147138) (xy 112.239792 114.239603) (xy 112.27587 114.326702) + (xy 112.328247 114.40509) (xy 112.39491 114.471753) (xy 112.473298 114.52413) (xy 112.560397 114.560208) (xy 112.652862 114.5786) + (xy 112.747138 114.5786) (xy 112.839603 114.560208) (xy 112.926702 114.52413) (xy 113.00509 114.471753) (xy 113.071753 114.40509) + (xy 113.12413 114.326702) (xy 113.160208 114.239603) (xy 113.1786 114.147138) (xy 113.1786 114.052862) (xy 113.160208 113.960397) + (xy 113.12413 113.873298) (xy 113.071753 113.79491) (xy 113.00509 113.728247) (xy 112.926702 113.67587) (xy 112.839603 113.639792) + (xy 112.747138 113.6214) (xy 112.652862 113.6214) (xy 112.560397 113.639792) (xy 112.473298 113.67587) (xy 112.39491 113.728247) + (xy 112.328247 113.79491) (xy 112.27587 113.873298) (xy 112.239792 113.960397) (xy 112.2214 114.052862) (xy 111.226492 114.052862) + (xy 112.375755 112.9036) (xy 114.474246 112.9036) (xy 115.853195 114.28255) (xy 115.797138 114.2714) (xy 115.702862 114.2714) + (xy 115.610397 114.289792) (xy 115.523298 114.32587) (xy 115.44491 114.378247) (xy 115.378247 114.44491) (xy 115.32587 114.523298) + (xy 115.289792 114.610397) (xy 115.2714 114.702862) (xy 115.2714 114.797138) (xy 115.289792 114.889603) (xy 115.32587 114.976702) + (xy 115.378247 115.05509) (xy 115.44491 115.121753) (xy 115.4464 115.122749) (xy 115.4464 115.213319) (xy 115.389603 115.189792) + (xy 115.297138 115.1714) (xy 115.202862 115.1714) (xy 115.110397 115.189792) (xy 115.0536 115.213318) (xy 115.0536 115.122749) + (xy 115.05509 115.121753) (xy 115.121753 115.05509) (xy 115.17413 114.976702) (xy 115.210208 114.889603) (xy 115.2286 114.797138) + (xy 115.2286 114.702862) (xy 115.210208 114.610397) (xy 115.17413 114.523298) (xy 115.121753 114.44491) (xy 115.05509 114.378247) + (xy 114.976702 114.32587) (xy 114.889603 114.289792) (xy 114.797138 114.2714) (xy 114.702862 114.2714) (xy 114.610397 114.289792) + (xy 114.523298 114.32587) (xy 114.44491 114.378247) (xy 114.378247 114.44491) (xy 114.32587 114.523298) (xy 114.289792 114.610397) + (xy 114.2714 114.702862) (xy 114.2714 114.797138) (xy 114.289792 114.889603) (xy 114.32587 114.976702) (xy 114.378247 115.05509) + (xy 114.44491 115.121753) (xy 114.4464 115.122749) (xy 114.4464 115.213319) (xy 114.389603 115.189792) (xy 114.297138 115.1714) + (xy 114.202862 115.1714) (xy 114.110397 115.189792) (xy 114.023298 115.22587) (xy 113.94491 115.278247) (xy 113.878247 115.34491) + (xy 113.82587 115.423298) (xy 113.789792 115.510397) (xy 113.7714 115.602862) (xy 113.7714 115.697138) (xy 113.789792 115.789603) + (xy 113.82587 115.876702) (xy 113.878247 115.95509) (xy 113.94491 116.021753) (xy 114.023298 116.07413) (xy 114.059963 116.089317) + (xy 114.346401 116.375756) (xy 114.3464 119.574245) (xy 113.745867 120.174779) (xy 113.734285 120.184284) (xy 113.696346 120.230513) + (xy 113.67108 120.277784) (xy 113.668155 120.283256) (xy 113.650794 120.340485) (xy 113.644932 120.4) (xy 113.646401 120.414914) + (xy 113.6464 123.206096) (xy 113.644932 123.221) (xy 113.6464 123.235903) (xy 113.650794 123.280515) (xy 113.668154 123.337743) + (xy 113.696345 123.390486) (xy 113.734284 123.436716) (xy 113.745872 123.446226) (xy 116.741783 126.442139) (xy 116.751284 126.453716) + (xy 116.797513 126.491655) (xy 116.850256 126.519846) (xy 116.907484 126.537206) (xy 116.966999 126.543068) (xy 116.981903 126.5416) + (xy 164.958096 126.5416) (xy 164.973 126.543068) (xy 164.987904 126.5416) (xy 165.032516 126.537206) (xy 165.089744 126.519846) + (xy 165.142487 126.491655) (xy 165.188716 126.453716) (xy 165.198226 126.442128) (xy 168.400756 123.2396) (xy 173.229246 123.2396) + (xy 173.764779 123.775133) (xy 173.774284 123.786716) (xy 173.820513 123.824655) (xy 173.873256 123.852846) (xy 173.921521 123.867487) + (xy 173.930484 123.870206) (xy 173.99 123.876068) (xy 174.004904 123.8746) (xy 193.914096 123.8746) (xy 193.929 123.876068) + (xy 193.943904 123.8746) (xy 193.988516 123.870206) (xy 194.045744 123.852846) (xy 194.098487 123.824655) (xy 194.144716 123.786716) + (xy 194.154226 123.775128) (xy 194.192186 123.737168) (xy 200.041537 123.737168) (xy 200.66 124.355631) (xy 201.278463 123.737168) + (xy 202.581537 123.737168) (xy 203.2 124.355631) (xy 203.818463 123.737168) (xy 203.731461 123.602951) (xy 203.554047 123.515735) + (xy 203.363028 123.464808) (xy 203.165743 123.452125) (xy 202.969775 123.478174) (xy 202.782654 123.541954) (xy 202.668539 123.602951) + (xy 202.581537 123.737168) (xy 201.278463 123.737168) (xy 201.191461 123.602951) (xy 201.014047 123.515735) (xy 200.823028 123.464808) + (xy 200.625743 123.452125) (xy 200.429775 123.478174) (xy 200.242654 123.541954) (xy 200.128539 123.602951) (xy 200.041537 123.737168) + (xy 194.192186 123.737168) (xy 196.1082 121.821154) (xy 199.6564 121.821154) (xy 199.6564 122.018846) (xy 199.694968 122.212739) + (xy 199.770621 122.395383) (xy 199.880453 122.559758) (xy 200.020242 122.699547) (xy 200.184617 122.809379) (xy 200.367261 122.885032) + (xy 200.561154 122.9236) (xy 200.758846 122.9236) (xy 200.952739 122.885032) (xy 201.135383 122.809379) (xy 201.299758 122.699547) + (xy 201.356473 122.642832) (xy 202.581537 122.642832) (xy 202.668539 122.777049) (xy 202.845953 122.864265) (xy 203.036972 122.915192) + (xy 203.234257 122.927875) (xy 203.430225 122.901826) (xy 203.617346 122.838046) (xy 203.731461 122.777049) (xy 203.818463 122.642832) + (xy 203.2 122.024369) (xy 202.581537 122.642832) (xy 201.356473 122.642832) (xy 201.439547 122.559758) (xy 201.549379 122.395383) + (xy 201.625032 122.212739) (xy 201.6636 122.018846) (xy 201.6636 121.954257) (xy 202.192125 121.954257) (xy 202.218174 122.150225) + (xy 202.281954 122.337346) (xy 202.342951 122.451461) (xy 202.477168 122.538463) (xy 203.095631 121.92) (xy 203.304369 121.92) + (xy 203.922832 122.538463) (xy 204.057049 122.451461) (xy 204.144265 122.274047) (xy 204.195192 122.083028) (xy 204.207875 121.885743) + (xy 204.19929 121.821154) (xy 204.7364 121.821154) (xy 204.7364 122.018846) (xy 204.774968 122.212739) (xy 204.850621 122.395383) + (xy 204.960453 122.559758) (xy 205.100242 122.699547) (xy 205.264617 122.809379) (xy 205.447261 122.885032) (xy 205.641154 122.9236) + (xy 205.838846 122.9236) (xy 206.032739 122.885032) (xy 206.215383 122.809379) (xy 206.379758 122.699547) (xy 206.519547 122.559758) + (xy 206.629379 122.395383) (xy 206.705032 122.212739) (xy 206.7436 122.018846) (xy 206.7436 121.821154) (xy 206.705032 121.627261) + (xy 206.629379 121.444617) (xy 206.519547 121.280242) (xy 206.379758 121.140453) (xy 206.215383 121.030621) (xy 206.032739 120.954968) + (xy 205.838846 120.9164) (xy 205.641154 120.9164) (xy 205.447261 120.954968) (xy 205.264617 121.030621) (xy 205.100242 121.140453) + (xy 204.960453 121.280242) (xy 204.850621 121.444617) (xy 204.774968 121.627261) (xy 204.7364 121.821154) (xy 204.19929 121.821154) + (xy 204.181826 121.689775) (xy 204.118046 121.502654) (xy 204.057049 121.388539) (xy 203.922832 121.301537) (xy 203.304369 121.92) + (xy 203.095631 121.92) (xy 202.477168 121.301537) (xy 202.342951 121.388539) (xy 202.255735 121.565953) (xy 202.204808 121.756972) + (xy 202.192125 121.954257) (xy 201.6636 121.954257) (xy 201.6636 121.821154) (xy 201.625032 121.627261) (xy 201.549379 121.444617) + (xy 201.439547 121.280242) (xy 201.356473 121.197168) (xy 202.581537 121.197168) (xy 203.2 121.815631) (xy 203.818463 121.197168) + (xy 203.731461 121.062951) (xy 203.554047 120.975735) (xy 203.363028 120.924808) (xy 203.165743 120.912125) (xy 202.969775 120.938174) + (xy 202.782654 121.001954) (xy 202.668539 121.062951) (xy 202.581537 121.197168) (xy 201.356473 121.197168) (xy 201.299758 121.140453) + (xy 201.135383 121.030621) (xy 200.952739 120.954968) (xy 200.758846 120.9164) (xy 200.561154 120.9164) (xy 200.367261 120.954968) + (xy 200.184617 121.030621) (xy 200.020242 121.140453) (xy 199.880453 121.280242) (xy 199.770621 121.444617) (xy 199.694968 121.627261) + (xy 199.6564 121.821154) (xy 196.1082 121.821154) (xy 198.6482 119.281154) (xy 199.6564 119.281154) (xy 199.6564 119.478846) + (xy 199.694968 119.672739) (xy 199.770621 119.855383) (xy 199.880453 120.019758) (xy 200.020242 120.159547) (xy 200.184617 120.269379) + (xy 200.367261 120.345032) (xy 200.561154 120.3836) (xy 200.758846 120.3836) (xy 200.952739 120.345032) (xy 201.135383 120.269379) + (xy 201.299758 120.159547) (xy 201.356473 120.102832) (xy 202.581537 120.102832) (xy 202.668539 120.237049) (xy 202.845953 120.324265) + (xy 203.036972 120.375192) (xy 203.234257 120.387875) (xy 203.430225 120.361826) (xy 203.617346 120.298046) (xy 203.731461 120.237049) + (xy 203.818463 120.102832) (xy 203.2 119.484369) (xy 202.581537 120.102832) (xy 201.356473 120.102832) (xy 201.439547 120.019758) + (xy 201.549379 119.855383) (xy 201.625032 119.672739) (xy 201.6636 119.478846) (xy 201.6636 119.414257) (xy 202.192125 119.414257) + (xy 202.218174 119.610225) (xy 202.281954 119.797346) (xy 202.342951 119.911461) (xy 202.477168 119.998463) (xy 203.095631 119.38) + (xy 203.304369 119.38) (xy 203.922832 119.998463) (xy 204.057049 119.911461) (xy 204.144265 119.734047) (xy 204.195192 119.543028) + (xy 204.207875 119.345743) (xy 204.19929 119.281154) (xy 204.7364 119.281154) (xy 204.7364 119.478846) (xy 204.774968 119.672739) + (xy 204.850621 119.855383) (xy 204.960453 120.019758) (xy 205.100242 120.159547) (xy 205.264617 120.269379) (xy 205.447261 120.345032) + (xy 205.641154 120.3836) (xy 205.838846 120.3836) (xy 206.032739 120.345032) (xy 206.215383 120.269379) (xy 206.379758 120.159547) + (xy 206.519547 120.019758) (xy 206.629379 119.855383) (xy 206.705032 119.672739) (xy 206.7436 119.478846) (xy 206.7436 119.281154) + (xy 206.705032 119.087261) (xy 206.629379 118.904617) (xy 206.519547 118.740242) (xy 206.379758 118.600453) (xy 206.215383 118.490621) + (xy 206.032739 118.414968) (xy 205.838846 118.3764) (xy 205.641154 118.3764) (xy 205.447261 118.414968) (xy 205.264617 118.490621) + (xy 205.100242 118.600453) (xy 204.960453 118.740242) (xy 204.850621 118.904617) (xy 204.774968 119.087261) (xy 204.7364 119.281154) + (xy 204.19929 119.281154) (xy 204.181826 119.149775) (xy 204.118046 118.962654) (xy 204.057049 118.848539) (xy 203.922832 118.761537) + (xy 203.304369 119.38) (xy 203.095631 119.38) (xy 202.477168 118.761537) (xy 202.342951 118.848539) (xy 202.255735 119.025953) + (xy 202.204808 119.216972) (xy 202.192125 119.414257) (xy 201.6636 119.414257) (xy 201.6636 119.281154) (xy 201.625032 119.087261) + (xy 201.549379 118.904617) (xy 201.439547 118.740242) (xy 201.356473 118.657168) (xy 202.581537 118.657168) (xy 203.2 119.275631) + (xy 203.818463 118.657168) (xy 203.731461 118.522951) (xy 203.554047 118.435735) (xy 203.363028 118.384808) (xy 203.165743 118.372125) + (xy 202.969775 118.398174) (xy 202.782654 118.461954) (xy 202.668539 118.522951) (xy 202.581537 118.657168) (xy 201.356473 118.657168) + (xy 201.299758 118.600453) (xy 201.135383 118.490621) (xy 200.952739 118.414968) (xy 200.758846 118.3764) (xy 200.561154 118.3764) + (xy 200.367261 118.414968) (xy 200.184617 118.490621) (xy 200.020242 118.600453) (xy 199.880453 118.740242) (xy 199.770621 118.904617) + (xy 199.694968 119.087261) (xy 199.6564 119.281154) (xy 198.6482 119.281154) (xy 200.195477 117.733877) (xy 200.367261 117.805032) + (xy 200.561154 117.8436) (xy 200.758846 117.8436) (xy 200.952739 117.805032) (xy 201.135383 117.729379) (xy 201.299758 117.619547) + (xy 201.356473 117.562832) (xy 202.581537 117.562832) (xy 202.668539 117.697049) (xy 202.845953 117.784265) (xy 203.036972 117.835192) + (xy 203.234257 117.847875) (xy 203.430225 117.821826) (xy 203.617346 117.758046) (xy 203.731461 117.697049) (xy 203.818463 117.562832) + (xy 203.2 116.944369) (xy 202.581537 117.562832) (xy 201.356473 117.562832) (xy 201.439547 117.479758) (xy 201.549379 117.315383) + (xy 201.625032 117.132739) (xy 201.6636 116.938846) (xy 201.6636 116.874257) (xy 202.192125 116.874257) (xy 202.218174 117.070225) + (xy 202.281954 117.257346) (xy 202.342951 117.371461) (xy 202.477168 117.458463) (xy 203.095631 116.84) (xy 203.304369 116.84) + (xy 203.922832 117.458463) (xy 204.057049 117.371461) (xy 204.144265 117.194047) (xy 204.195192 117.003028) (xy 204.207875 116.805743) + (xy 204.19929 116.741154) (xy 204.7364 116.741154) (xy 204.7364 116.938846) (xy 204.774968 117.132739) (xy 204.850621 117.315383) + (xy 204.960453 117.479758) (xy 205.100242 117.619547) (xy 205.264617 117.729379) (xy 205.447261 117.805032) (xy 205.641154 117.8436) + (xy 205.838846 117.8436) (xy 206.032739 117.805032) (xy 206.215383 117.729379) (xy 206.379758 117.619547) (xy 206.519547 117.479758) + (xy 206.629379 117.315383) (xy 206.705032 117.132739) (xy 206.7436 116.938846) (xy 206.7436 116.741154) (xy 206.705032 116.547261) + (xy 206.629379 116.364617) (xy 206.519547 116.200242) (xy 206.379758 116.060453) (xy 206.215383 115.950621) (xy 206.032739 115.874968) + (xy 205.838846 115.8364) (xy 205.641154 115.8364) (xy 205.447261 115.874968) (xy 205.264617 115.950621) (xy 205.100242 116.060453) + (xy 204.960453 116.200242) (xy 204.850621 116.364617) (xy 204.774968 116.547261) (xy 204.7364 116.741154) (xy 204.19929 116.741154) + (xy 204.181826 116.609775) (xy 204.118046 116.422654) (xy 204.057049 116.308539) (xy 203.922832 116.221537) (xy 203.304369 116.84) + (xy 203.095631 116.84) (xy 202.477168 116.221537) (xy 202.342951 116.308539) (xy 202.255735 116.485953) (xy 202.204808 116.676972) + (xy 202.192125 116.874257) (xy 201.6636 116.874257) (xy 201.6636 116.741154) (xy 201.625032 116.547261) (xy 201.549379 116.364617) + (xy 201.439547 116.200242) (xy 201.299758 116.060453) (xy 201.135383 115.950621) (xy 200.952739 115.874968) (xy 200.758846 115.8364) + (xy 200.561154 115.8364) (xy 200.367261 115.874968) (xy 200.184617 115.950621) (xy 200.020242 116.060453) (xy 199.880453 116.200242) + (xy 199.770621 116.364617) (xy 199.694968 116.547261) (xy 199.6564 116.741154) (xy 199.6564 116.938846) (xy 199.694968 117.132739) + (xy 199.766123 117.304523) (xy 193.803246 123.2674) (xy 174.115755 123.2674) (xy 173.580226 122.731872) (xy 173.570716 122.720284) + (xy 173.524487 122.682345) (xy 173.471744 122.654154) (xy 173.414516 122.636794) (xy 173.369904 122.6324) (xy 173.355 122.630932) + (xy 173.340096 122.6324) (xy 168.289903 122.6324) (xy 168.274999 122.630932) (xy 168.215484 122.636794) (xy 168.158256 122.654154) + (xy 168.105513 122.682345) (xy 168.059284 122.720284) (xy 168.049783 122.731861) (xy 164.847246 125.9344) (xy 117.092756 125.9344) + (xy 114.2536 123.095246) (xy 114.2536 120.525754) (xy 114.646401 120.132954) (xy 114.646401 123.317086) (xy 114.644932 123.332) + (xy 114.650794 123.391515) (xy 114.660994 123.425138) (xy 114.668155 123.448744) (xy 114.696346 123.501487) (xy 114.734285 123.547716) + (xy 114.745867 123.557221) (xy 116.995779 125.807134) (xy 117.005284 125.818716) (xy 117.051513 125.856655) (xy 117.104256 125.884846) + (xy 117.161484 125.902206) (xy 117.220999 125.908068) (xy 117.235903 125.9066) (xy 164.577096 125.9066) (xy 164.592 125.908068) + (xy 164.606904 125.9066) (xy 164.651516 125.902206) (xy 164.708744 125.884846) (xy 164.761487 125.856655) (xy 164.807716 125.818716) + (xy 164.817226 125.807128) (xy 168.146756 122.4776) (xy 173.483246 122.4776) (xy 174.018779 123.013133) (xy 174.028284 123.024716) + (xy 174.074513 123.062655) (xy 174.127256 123.090846) (xy 174.184484 123.108206) (xy 174.244 123.114068) (xy 174.258904 123.1126) + (xy 193.437846 123.1126) (xy 193.45275 123.114068) (xy 193.467654 123.1126) (xy 193.512266 123.108206) (xy 193.569494 123.090846) + (xy 193.622237 123.062655) (xy 193.668466 123.024716) (xy 193.677976 123.013128) (xy 199.34014 117.350966) (xy 199.351716 117.341466) + (xy 199.389655 117.295237) (xy 199.417846 117.242494) (xy 199.435206 117.185266) (xy 199.43818 117.155068) (xy 199.441068 117.125751) + (xy 199.4396 117.110847) (xy 199.4396 113.409754) (xy 200.195478 112.653878) (xy 200.367261 112.725032) (xy 200.561154 112.7636) + (xy 200.758846 112.7636) (xy 200.952739 112.725032) (xy 201.135383 112.649379) (xy 201.299758 112.539547) (xy 201.356473 112.482832) + (xy 202.581537 112.482832) (xy 202.668539 112.617049) (xy 202.845953 112.704265) (xy 203.036972 112.755192) (xy 203.234257 112.767875) + (xy 203.430225 112.741826) (xy 203.617346 112.678046) (xy 203.731461 112.617049) (xy 203.818463 112.482832) (xy 203.2 111.864369) + (xy 202.581537 112.482832) (xy 201.356473 112.482832) (xy 201.439547 112.399758) (xy 201.549379 112.235383) (xy 201.625032 112.052739) + (xy 201.6636 111.858846) (xy 201.6636 111.794257) (xy 202.192125 111.794257) (xy 202.218174 111.990225) (xy 202.281954 112.177346) + (xy 202.342951 112.291461) (xy 202.477168 112.378463) (xy 203.095631 111.76) (xy 202.477168 111.141537) (xy 202.342951 111.228539) + (xy 202.255735 111.405953) (xy 202.204808 111.596972) (xy 202.192125 111.794257) (xy 201.6636 111.794257) (xy 201.6636 111.661154) + (xy 201.625032 111.467261) (xy 201.549379 111.284617) (xy 201.439547 111.120242) (xy 201.356473 111.037168) (xy 202.581537 111.037168) + (xy 203.2 111.655631) (xy 203.818463 111.037168) (xy 203.731461 110.902951) (xy 203.554047 110.815735) (xy 203.363028 110.764808) + (xy 203.165743 110.752125) (xy 202.969775 110.778174) (xy 202.782654 110.841954) (xy 202.668539 110.902951) (xy 202.581537 111.037168) + (xy 201.356473 111.037168) (xy 201.299758 110.980453) (xy 201.135383 110.870621) (xy 200.952739 110.794968) (xy 200.758846 110.7564) + (xy 200.561154 110.7564) (xy 200.367261 110.794968) (xy 200.184617 110.870621) (xy 200.020242 110.980453) (xy 199.880453 111.120242) + (xy 199.770621 111.284617) (xy 199.694968 111.467261) (xy 199.6564 111.661154) (xy 199.6564 111.858846) (xy 199.694968 112.052739) + (xy 199.766122 112.224522) (xy 198.931867 113.058779) (xy 198.920285 113.068284) (xy 198.882346 113.114513) (xy 198.874817 113.1286) + (xy 198.854155 113.167256) (xy 198.836794 113.224485) (xy 198.830932 113.284) (xy 198.832401 113.298914) (xy 198.8324 116.999994) + (xy 193.326996 122.5054) (xy 174.369755 122.5054) (xy 173.834226 121.969872) (xy 173.824716 121.958284) (xy 173.778487 121.920345) + (xy 173.725744 121.892154) (xy 173.668516 121.874794) (xy 173.623904 121.8704) (xy 173.609 121.868932) (xy 173.594096 121.8704) + (xy 168.035903 121.8704) (xy 168.020999 121.868932) (xy 167.961484 121.874794) (xy 167.904256 121.892154) (xy 167.851513 121.920345) + (xy 167.805284 121.958284) (xy 167.795783 121.969861) (xy 164.466246 125.2994) (xy 117.346755 125.2994) (xy 115.2536 123.206246) + (xy 115.2536 122.159954) (xy 118.265783 125.172139) (xy 118.275284 125.183716) (xy 118.321513 125.221655) (xy 118.374256 125.249846) + (xy 118.422521 125.264487) (xy 118.431484 125.267206) (xy 118.490999 125.273068) (xy 118.505903 125.2716) (xy 164.196096 125.2716) + (xy 164.211 125.273068) (xy 164.225904 125.2716) (xy 164.270516 125.267206) (xy 164.327744 125.249846) (xy 164.380487 125.221655) + (xy 164.426716 125.183716) (xy 164.436226 125.172128) (xy 167.892756 121.7156) (xy 173.737246 121.7156) (xy 174.272779 122.251133) + (xy 174.282284 122.262716) (xy 174.328513 122.300655) (xy 174.381256 122.328846) (xy 174.429521 122.343487) (xy 174.438484 122.346206) + (xy 174.498 122.352068) (xy 174.512904 122.3506) (xy 193.025096 122.3506) (xy 193.04 122.352068) (xy 193.054904 122.3506) + (xy 193.099516 122.346206) (xy 193.156744 122.328846) (xy 193.209487 122.300655) (xy 193.255716 122.262716) (xy 193.265226 122.251128) + (xy 198.578134 116.938221) (xy 198.589716 116.928716) (xy 198.627655 116.882487) (xy 198.655846 116.829744) (xy 198.673206 116.772516) + (xy 198.675069 116.7536) (xy 198.679068 116.713001) (xy 198.6776 116.698097) (xy 198.6776 111.631754) (xy 200.195478 110.113878) + (xy 200.367261 110.185032) (xy 200.561154 110.2236) (xy 200.758846 110.2236) (xy 200.952739 110.185032) (xy 201.135383 110.109379) + (xy 201.299758 109.999547) (xy 201.356473 109.942832) (xy 202.581537 109.942832) (xy 202.668539 110.077049) (xy 202.845953 110.164265) + (xy 203.036972 110.215192) (xy 203.234257 110.227875) (xy 203.430225 110.201826) (xy 203.617346 110.138046) (xy 203.731461 110.077049) + (xy 203.818463 109.942832) (xy 203.2 109.324369) (xy 202.581537 109.942832) (xy 201.356473 109.942832) (xy 201.439547 109.859758) + (xy 201.549379 109.695383) (xy 201.625032 109.512739) (xy 201.6636 109.318846) (xy 201.6636 109.254257) (xy 202.192125 109.254257) + (xy 202.218174 109.450225) (xy 202.281954 109.637346) (xy 202.342951 109.751461) (xy 202.477168 109.838463) (xy 203.095631 109.22) + (xy 202.477168 108.601537) (xy 202.342951 108.688539) (xy 202.255735 108.865953) (xy 202.204808 109.056972) (xy 202.192125 109.254257) + (xy 201.6636 109.254257) (xy 201.6636 109.121154) (xy 201.625032 108.927261) (xy 201.549379 108.744617) (xy 201.439547 108.580242) + (xy 201.356473 108.497168) (xy 202.581537 108.497168) (xy 203.2 109.115631) (xy 203.818463 108.497168) (xy 203.731461 108.362951) + (xy 203.554047 108.275735) (xy 203.363028 108.224808) (xy 203.165743 108.212125) (xy 202.969775 108.238174) (xy 202.782654 108.301954) + (xy 202.668539 108.362951) (xy 202.581537 108.497168) (xy 201.356473 108.497168) (xy 201.299758 108.440453) (xy 201.135383 108.330621) + (xy 200.952739 108.254968) (xy 200.758846 108.2164) (xy 200.561154 108.2164) (xy 200.367261 108.254968) (xy 200.184617 108.330621) + (xy 200.020242 108.440453) (xy 199.880453 108.580242) (xy 199.770621 108.744617) (xy 199.694968 108.927261) (xy 199.6564 109.121154) + (xy 199.6564 109.318846) (xy 199.694968 109.512739) (xy 199.766122 109.684522) (xy 198.169872 111.280774) (xy 198.158284 111.290284) + (xy 198.120345 111.336514) (xy 198.092154 111.389257) (xy 198.079639 111.430513) (xy 198.074794 111.446485) (xy 198.068932 111.506) + (xy 198.0704 111.520904) (xy 198.070401 116.587244) (xy 192.914246 121.7434) (xy 174.623755 121.7434) (xy 174.088226 121.207872) + (xy 174.078716 121.196284) (xy 174.032487 121.158345) (xy 173.979744 121.130154) (xy 173.922516 121.112794) (xy 173.877904 121.1084) + (xy 173.863 121.106932) (xy 173.848096 121.1084) (xy 167.781903 121.1084) (xy 167.766999 121.106932) (xy 167.707483 121.112794) + (xy 167.698517 121.115514) (xy 167.650256 121.130154) (xy 167.597513 121.158345) (xy 167.551284 121.196284) (xy 167.541783 121.207861) + (xy 164.085246 124.6644) (xy 118.616756 124.6644) (xy 115.5536 121.601246) (xy 115.5536 120.935954) (xy 119.154779 124.537134) + (xy 119.164284 124.548716) (xy 119.210513 124.586655) (xy 119.263256 124.614846) (xy 119.311521 124.629487) (xy 119.320484 124.632206) + (xy 119.38 124.638068) (xy 119.394904 124.6366) (xy 163.815096 124.6366) (xy 163.83 124.638068) (xy 163.844904 124.6366) + (xy 163.889516 124.632206) (xy 163.946744 124.614846) (xy 163.999487 124.586655) (xy 164.045716 124.548716) (xy 164.055226 124.537128) + (xy 167.638755 120.9536) (xy 173.991246 120.9536) (xy 174.526779 121.489133) (xy 174.536284 121.500716) (xy 174.582513 121.538655) + (xy 174.635256 121.566846) (xy 174.683521 121.581487) (xy 174.692484 121.584206) (xy 174.752 121.590068) (xy 174.766904 121.5886) + (xy 192.644096 121.5886) (xy 192.659 121.590068) (xy 192.673904 121.5886) (xy 192.718516 121.584206) (xy 192.775744 121.566846) + (xy 192.828487 121.538655) (xy 192.874716 121.500716) (xy 192.884226 121.489128) (xy 197.816135 116.55722) (xy 197.827716 116.547716) + (xy 197.865655 116.501487) (xy 197.893846 116.448744) (xy 197.911206 116.391516) (xy 197.914796 116.355068) (xy 197.917068 116.332) + (xy 197.9156 116.317096) (xy 197.9156 109.853754) (xy 200.195477 107.573877) (xy 200.367261 107.645032) (xy 200.561154 107.6836) + (xy 200.758846 107.6836) (xy 200.952739 107.645032) (xy 201.135383 107.569379) (xy 201.299758 107.459547) (xy 201.439547 107.319758) + (xy 201.549379 107.155383) (xy 201.625032 106.972739) (xy 201.6636 106.778846) (xy 201.6636 106.581154) (xy 201.625032 106.387261) + (xy 201.549379 106.204617) (xy 201.439547 106.040242) (xy 201.299758 105.900453) (xy 201.135383 105.790621) (xy 200.952739 105.714968) + (xy 200.758846 105.6764) (xy 200.561154 105.6764) (xy 200.367261 105.714968) (xy 200.184617 105.790621) (xy 200.020242 105.900453) + (xy 199.880453 106.040242) (xy 199.770621 106.204617) (xy 199.694968 106.387261) (xy 199.6564 106.581154) (xy 199.6564 106.778846) + (xy 199.694968 106.972739) (xy 199.766123 107.144523) (xy 197.407867 109.502779) (xy 197.396285 109.512284) (xy 197.358346 109.558513) + (xy 197.3561 109.562716) (xy 197.330155 109.611256) (xy 197.312794 109.668485) (xy 197.306932 109.728) (xy 197.308401 109.742914) + (xy 197.3084 116.206245) (xy 192.533246 120.9814) (xy 174.877755 120.9814) (xy 174.342226 120.445872) (xy 174.332716 120.434284) + (xy 174.286487 120.396345) (xy 174.233744 120.368154) (xy 174.176516 120.350794) (xy 174.131904 120.3464) (xy 174.117 120.344932) + (xy 174.102096 120.3464) (xy 167.527904 120.3464) (xy 167.513 120.344932) (xy 167.453484 120.350794) (xy 167.396255 120.368154) + (xy 167.343513 120.396345) (xy 167.297284 120.434284) (xy 167.287779 120.445866) (xy 163.704246 124.0294) (xy 119.505755 124.0294) + (xy 115.8536 120.377246) (xy 115.8536 119.838954) (xy 119.916779 123.902134) (xy 119.926284 123.913716) (xy 119.972513 123.951655) + (xy 120.025256 123.979846) (xy 120.070775 123.993654) (xy 120.082484 123.997206) (xy 120.142 124.003068) (xy 120.156904 124.0016) + (xy 161.910096 124.0016) (xy 161.925 124.003068) (xy 161.939904 124.0016) (xy 161.984516 123.997206) (xy 162.041744 123.979846) + (xy 162.094487 123.951655) (xy 162.140716 123.913716) (xy 162.150226 123.902128) (xy 166.020993 120.031362) (xy 166.3359 120.031362) + (xy 166.3359 120.125638) (xy 166.354292 120.218103) (xy 166.39037 120.305202) (xy 166.442747 120.38359) (xy 166.50941 120.450253) + (xy 166.587798 120.50263) (xy 166.674897 120.538708) (xy 166.767362 120.5571) (xy 166.861638 120.5571) (xy 166.954103 120.538708) + (xy 167.041202 120.50263) (xy 167.11959 120.450253) (xy 167.186253 120.38359) (xy 167.23863 120.305202) (xy 167.274708 120.218103) + (xy 167.27998 120.1916) (xy 174.245246 120.1916) (xy 174.780779 120.727133) (xy 174.790284 120.738716) (xy 174.836513 120.776655) + (xy 174.889256 120.804846) (xy 174.937521 120.819487) (xy 174.946484 120.822206) (xy 175.006 120.828068) (xy 175.020904 120.8266) + (xy 175.753096 120.8266) (xy 175.768 120.828068) (xy 175.782904 120.8266) (xy 175.827516 120.822206) (xy 175.884744 120.804846) + (xy 175.937487 120.776655) (xy 175.983716 120.738716) (xy 175.993226 120.727128) (xy 176.528755 120.1916) (xy 176.785246 120.1916) + (xy 177.320779 120.727133) (xy 177.330284 120.738716) (xy 177.376513 120.776655) (xy 177.429256 120.804846) (xy 177.477521 120.819487) + (xy 177.486484 120.822206) (xy 177.546 120.828068) (xy 177.560904 120.8266) (xy 184.262096 120.8266) (xy 184.277 120.828068) + (xy 184.291904 120.8266) (xy 184.336516 120.822206) (xy 184.393744 120.804846) (xy 184.446487 120.776655) (xy 184.492716 120.738716) + (xy 184.502226 120.727128) (xy 185.037755 120.1916) (xy 185.294246 120.1916) (xy 185.829779 120.727133) (xy 185.839284 120.738716) + (xy 185.885513 120.776655) (xy 185.938256 120.804846) (xy 185.986521 120.819487) (xy 185.995484 120.822206) (xy 186.055 120.828068) + (xy 186.069904 120.8266) (xy 192.390096 120.8266) (xy 192.405 120.828068) (xy 192.419904 120.8266) (xy 192.464516 120.822206) + (xy 192.521744 120.804846) (xy 192.574487 120.776655) (xy 192.620716 120.738716) (xy 192.630226 120.727128) (xy 197.05414 116.303216) + (xy 197.065716 116.293716) (xy 197.103655 116.247487) (xy 197.131846 116.194744) (xy 197.149206 116.137516) (xy 197.1536 116.092904) + (xy 197.1536 116.092903) (xy 197.155068 116.078001) (xy 197.1536 116.063097) (xy 197.1536 105.535754) (xy 198.6482 104.041154) + (xy 199.6564 104.041154) (xy 199.6564 104.238846) (xy 199.694968 104.432739) (xy 199.770621 104.615383) (xy 199.880453 104.779758) + (xy 200.020242 104.919547) (xy 200.184617 105.029379) (xy 200.367261 105.105032) (xy 200.561154 105.1436) (xy 200.758846 105.1436) + (xy 200.952739 105.105032) (xy 201.135383 105.029379) (xy 201.299758 104.919547) (xy 201.439547 104.779758) (xy 201.549379 104.615383) + (xy 201.625032 104.432739) (xy 201.6636 104.238846) (xy 201.6636 104.041154) (xy 201.625032 103.847261) (xy 201.549379 103.664617) + (xy 201.439547 103.500242) (xy 201.299758 103.360453) (xy 201.135383 103.250621) (xy 200.952739 103.174968) (xy 200.758846 103.1364) + (xy 200.561154 103.1364) (xy 200.367261 103.174968) (xy 200.184617 103.250621) (xy 200.020242 103.360453) (xy 199.880453 103.500242) + (xy 199.770621 103.664617) (xy 199.694968 103.847261) (xy 199.6564 104.041154) (xy 198.6482 104.041154) (xy 200.195477 102.493877) + (xy 200.367261 102.565032) (xy 200.561154 102.6036) (xy 200.758846 102.6036) (xy 200.952739 102.565032) (xy 201.135383 102.489379) + (xy 201.299758 102.379547) (xy 201.439547 102.239758) (xy 201.549379 102.075383) (xy 201.625032 101.892739) (xy 201.6636 101.698846) + (xy 201.6636 101.501154) (xy 201.625032 101.307261) (xy 201.549379 101.124617) (xy 201.439547 100.960242) (xy 201.299758 100.820453) + (xy 201.135383 100.710621) (xy 200.952739 100.634968) (xy 200.945862 100.6336) (xy 202.914138 100.6336) (xy 202.907261 100.634968) + (xy 202.724617 100.710621) (xy 202.560242 100.820453) (xy 202.420453 100.960242) (xy 202.310621 101.124617) (xy 202.234968 101.307261) + (xy 202.1964 101.501154) (xy 202.1964 101.698846) (xy 202.234968 101.892739) (xy 202.310621 102.075383) (xy 202.420453 102.239758) + (xy 202.560242 102.379547) (xy 202.724617 102.489379) (xy 202.907261 102.565032) (xy 203.101154 102.6036) (xy 203.298846 102.6036) + (xy 203.492739 102.565032) (xy 203.675383 102.489379) (xy 203.839758 102.379547) (xy 203.979547 102.239758) (xy 204.089379 102.075383) + (xy 204.165032 101.892739) (xy 204.1664 101.885862) (xy 204.1664 103.854138) (xy 204.165032 103.847261) (xy 204.089379 103.664617) + (xy 203.979547 103.500242) (xy 203.839758 103.360453) (xy 203.675383 103.250621) (xy 203.492739 103.174968) (xy 203.298846 103.1364) + (xy 203.101154 103.1364) (xy 202.907261 103.174968) (xy 202.724617 103.250621) (xy 202.560242 103.360453) (xy 202.420453 103.500242) + (xy 202.310621 103.664617) (xy 202.234968 103.847261) (xy 202.1964 104.041154) (xy 202.1964 104.238846) (xy 202.234968 104.432739) + (xy 202.310621 104.615383) (xy 202.420453 104.779758) (xy 202.560242 104.919547) (xy 202.724617 105.029379) (xy 202.907261 105.105032) + (xy 203.101154 105.1436) (xy 203.298846 105.1436) (xy 203.492739 105.105032) (xy 203.675383 105.029379) (xy 203.839758 104.919547) + (xy 203.979547 104.779758) (xy 204.089379 104.615383) (xy 204.165032 104.432739) (xy 204.1664 104.425862) (xy 204.1664 106.394138) + (xy 204.165032 106.387261) (xy 204.089379 106.204617) (xy 203.979547 106.040242) (xy 203.839758 105.900453) (xy 203.675383 105.790621) + (xy 203.492739 105.714968) (xy 203.298846 105.6764) (xy 203.101154 105.6764) (xy 202.907261 105.714968) (xy 202.724617 105.790621) + (xy 202.560242 105.900453) (xy 202.420453 106.040242) (xy 202.310621 106.204617) (xy 202.234968 106.387261) (xy 202.1964 106.581154) + (xy 202.1964 106.778846) (xy 202.234968 106.972739) (xy 202.310621 107.155383) (xy 202.420453 107.319758) (xy 202.560242 107.459547) + (xy 202.724617 107.569379) (xy 202.907261 107.645032) (xy 203.101154 107.6836) (xy 203.298846 107.6836) (xy 203.492739 107.645032) + (xy 203.675383 107.569379) (xy 203.839758 107.459547) (xy 203.979547 107.319758) (xy 204.089379 107.155383) (xy 204.165032 106.972739) + (xy 204.1664 106.965859) (xy 204.166401 108.944519) (xy 204.118046 108.802654) (xy 204.057049 108.688539) (xy 203.922832 108.601537) + (xy 203.304369 109.22) (xy 203.922832 109.838463) (xy 204.057049 109.751461) (xy 204.144265 109.574047) (xy 204.166401 109.49102) + (xy 204.166401 111.48452) (xy 204.118046 111.342654) (xy 204.057049 111.228539) (xy 203.922832 111.141537) (xy 203.304369 111.76) + (xy 203.922832 112.378463) (xy 204.057049 112.291461) (xy 204.144265 112.114047) (xy 204.166401 112.031019) (xy 204.166401 114.02452) + (xy 204.118046 113.882654) (xy 204.057049 113.768539) (xy 203.922832 113.681537) (xy 203.304369 114.3) (xy 203.922832 114.918463) + (xy 204.057049 114.831461) (xy 204.144265 114.654047) (xy 204.166401 114.571019) (xy 204.166401 114.809244) (xy 203.709246 115.2664) + (xy 203.475483 115.2664) (xy 203.617346 115.218046) (xy 203.731461 115.157049) (xy 203.818463 115.022832) (xy 203.2 114.404369) + (xy 202.581537 115.022832) (xy 202.668539 115.157049) (xy 202.845953 115.244265) (xy 202.928978 115.2664) (xy 202.055756 115.2664) + (xy 201.553878 114.764522) (xy 201.625032 114.592739) (xy 201.6636 114.398846) (xy 201.6636 114.334257) (xy 202.192125 114.334257) + (xy 202.218174 114.530225) (xy 202.281954 114.717346) (xy 202.342951 114.831461) (xy 202.477168 114.918463) (xy 203.095631 114.3) + (xy 202.477168 113.681537) (xy 202.342951 113.768539) (xy 202.255735 113.945953) (xy 202.204808 114.136972) (xy 202.192125 114.334257) + (xy 201.6636 114.334257) (xy 201.6636 114.201154) (xy 201.625032 114.007261) (xy 201.549379 113.824617) (xy 201.439547 113.660242) + (xy 201.356473 113.577168) (xy 202.581537 113.577168) (xy 203.2 114.195631) (xy 203.818463 113.577168) (xy 203.731461 113.442951) + (xy 203.554047 113.355735) (xy 203.363028 113.304808) (xy 203.165743 113.292125) (xy 202.969775 113.318174) (xy 202.782654 113.381954) + (xy 202.668539 113.442951) (xy 202.581537 113.577168) (xy 201.356473 113.577168) (xy 201.299758 113.520453) (xy 201.135383 113.410621) + (xy 200.952739 113.334968) (xy 200.758846 113.2964) (xy 200.561154 113.2964) (xy 200.367261 113.334968) (xy 200.184617 113.410621) + (xy 200.020242 113.520453) (xy 199.880453 113.660242) (xy 199.770621 113.824617) (xy 199.694968 114.007261) (xy 199.6564 114.201154) + (xy 199.6564 114.398846) (xy 199.694968 114.592739) (xy 199.770621 114.775383) (xy 199.880453 114.939758) (xy 200.020242 115.079547) + (xy 200.184617 115.189379) (xy 200.367261 115.265032) (xy 200.561154 115.3036) (xy 200.758846 115.3036) (xy 200.952739 115.265032) + (xy 201.124522 115.193878) (xy 201.704783 115.774139) (xy 201.714284 115.785716) (xy 201.760513 115.823655) (xy 201.813256 115.851846) + (xy 201.867016 115.868154) (xy 201.870484 115.869206) (xy 201.929999 115.875068) (xy 201.944903 115.8736) (xy 202.924517 115.8736) + (xy 202.782654 115.921954) (xy 202.668539 115.982951) (xy 202.581537 116.117168) (xy 203.2 116.735631) (xy 203.818463 116.117168) + (xy 203.731461 115.982951) (xy 203.554047 115.895735) (xy 203.471022 115.8736) (xy 203.820096 115.8736) (xy 203.835 115.875068) + (xy 203.849904 115.8736) (xy 203.894516 115.869206) (xy 203.951744 115.851846) (xy 204.004487 115.823655) (xy 204.050716 115.785716) + (xy 204.060226 115.774128) (xy 204.674133 115.160221) (xy 204.685716 115.150716) (xy 204.723655 115.104487) (xy 204.751846 115.051744) + (xy 204.769206 114.994516) (xy 204.7736 114.949904) (xy 204.775068 114.935) (xy 204.7736 114.920096) (xy 204.7736 114.585862) + (xy 204.774968 114.592739) (xy 204.850621 114.775383) (xy 204.960453 114.939758) (xy 205.100242 115.079547) (xy 205.264617 115.189379) + (xy 205.447261 115.265032) (xy 205.641154 115.3036) (xy 205.838846 115.3036) (xy 206.032739 115.265032) (xy 206.215383 115.189379) + (xy 206.379758 115.079547) (xy 206.519547 114.939758) (xy 206.629379 114.775383) (xy 206.705032 114.592739) (xy 206.7436 114.398846) + (xy 206.7436 114.201154) (xy 206.705032 114.007261) (xy 206.629379 113.824617) (xy 206.519547 113.660242) (xy 206.379758 113.520453) + (xy 206.215383 113.410621) (xy 206.032739 113.334968) (xy 205.838846 113.2964) (xy 205.641154 113.2964) (xy 205.447261 113.334968) + (xy 205.264617 113.410621) (xy 205.100242 113.520453) (xy 204.960453 113.660242) (xy 204.850621 113.824617) (xy 204.774968 114.007261) + (xy 204.7736 114.014138) (xy 204.7736 112.045862) (xy 204.774968 112.052739) (xy 204.850621 112.235383) (xy 204.960453 112.399758) + (xy 205.100242 112.539547) (xy 205.264617 112.649379) (xy 205.447261 112.725032) (xy 205.641154 112.7636) (xy 205.838846 112.7636) + (xy 206.032739 112.725032) (xy 206.215383 112.649379) (xy 206.379758 112.539547) (xy 206.519547 112.399758) (xy 206.629379 112.235383) + (xy 206.705032 112.052739) (xy 206.7436 111.858846) (xy 206.7436 111.661154) (xy 206.705032 111.467261) (xy 206.629379 111.284617) + (xy 206.519547 111.120242) (xy 206.379758 110.980453) (xy 206.215383 110.870621) (xy 206.032739 110.794968) (xy 205.838846 110.7564) + (xy 205.641154 110.7564) (xy 205.447261 110.794968) (xy 205.264617 110.870621) (xy 205.100242 110.980453) (xy 204.960453 111.120242) + (xy 204.850621 111.284617) (xy 204.774968 111.467261) (xy 204.7736 111.474138) (xy 204.7736 109.505862) (xy 204.774968 109.512739) + (xy 204.850621 109.695383) (xy 204.960453 109.859758) (xy 205.100242 109.999547) (xy 205.264617 110.109379) (xy 205.447261 110.185032) + (xy 205.641154 110.2236) (xy 205.838846 110.2236) (xy 206.032739 110.185032) (xy 206.215383 110.109379) (xy 206.379758 109.999547) + (xy 206.519547 109.859758) (xy 206.629379 109.695383) (xy 206.705032 109.512739) (xy 206.7436 109.318846) (xy 206.7436 109.121154) + (xy 206.705032 108.927261) (xy 206.629379 108.744617) (xy 206.519547 108.580242) (xy 206.379758 108.440453) (xy 206.215383 108.330621) + (xy 206.032739 108.254968) (xy 205.838846 108.2164) (xy 205.641154 108.2164) (xy 205.447261 108.254968) (xy 205.264617 108.330621) + (xy 205.100242 108.440453) (xy 204.960453 108.580242) (xy 204.850621 108.744617) (xy 204.774968 108.927261) (xy 204.7736 108.934138) + (xy 204.7736 106.965862) (xy 204.774968 106.972739) (xy 204.850621 107.155383) (xy 204.960453 107.319758) (xy 205.100242 107.459547) + (xy 205.264617 107.569379) (xy 205.447261 107.645032) (xy 205.641154 107.6836) (xy 205.838846 107.6836) (xy 206.032739 107.645032) + (xy 206.215383 107.569379) (xy 206.379758 107.459547) (xy 206.519547 107.319758) (xy 206.629379 107.155383) (xy 206.705032 106.972739) + (xy 206.7436 106.778846) (xy 206.7436 106.581154) (xy 206.705032 106.387261) (xy 206.629379 106.204617) (xy 206.519547 106.040242) + (xy 206.379758 105.900453) (xy 206.215383 105.790621) (xy 206.032739 105.714968) (xy 205.838846 105.6764) (xy 205.641154 105.6764) + (xy 205.447261 105.714968) (xy 205.264617 105.790621) (xy 205.100242 105.900453) (xy 204.960453 106.040242) (xy 204.850621 106.204617) + (xy 204.774968 106.387261) (xy 204.7736 106.394138) (xy 204.7736 104.425862) (xy 204.774968 104.432739) (xy 204.850621 104.615383) + (xy 204.960453 104.779758) (xy 205.100242 104.919547) (xy 205.264617 105.029379) (xy 205.447261 105.105032) (xy 205.641154 105.1436) + (xy 205.838846 105.1436) (xy 206.032739 105.105032) (xy 206.215383 105.029379) (xy 206.379758 104.919547) (xy 206.519547 104.779758) + (xy 206.629379 104.615383) (xy 206.705032 104.432739) (xy 206.7436 104.238846) (xy 206.7436 104.041154) (xy 206.705032 103.847261) + (xy 206.629379 103.664617) (xy 206.519547 103.500242) (xy 206.379758 103.360453) (xy 206.215383 103.250621) (xy 206.032739 103.174968) + (xy 205.838846 103.1364) (xy 205.641154 103.1364) (xy 205.447261 103.174968) (xy 205.264617 103.250621) (xy 205.100242 103.360453) + (xy 204.960453 103.500242) (xy 204.850621 103.664617) (xy 204.774968 103.847261) (xy 204.7736 103.854138) (xy 204.7736 101.885862) + (xy 204.774968 101.892739) (xy 204.850621 102.075383) (xy 204.960453 102.239758) (xy 205.100242 102.379547) (xy 205.264617 102.489379) + (xy 205.447261 102.565032) (xy 205.641154 102.6036) (xy 205.838846 102.6036) (xy 206.032739 102.565032) (xy 206.215383 102.489379) + (xy 206.379758 102.379547) (xy 206.519547 102.239758) (xy 206.629379 102.075383) (xy 206.705032 101.892739) (xy 206.7436 101.698846) + (xy 206.7436 101.501154) (xy 206.705032 101.307261) (xy 206.629379 101.124617) (xy 206.519547 100.960242) (xy 206.379758 100.820453) + (xy 206.215383 100.710621) (xy 206.032739 100.634968) (xy 205.838846 100.5964) (xy 205.641154 100.5964) (xy 205.447261 100.634968) + (xy 205.264617 100.710621) (xy 205.100242 100.820453) (xy 204.960453 100.960242) (xy 204.850621 101.124617) (xy 204.774968 101.307261) + (xy 204.7736 101.314138) (xy 204.7736 100.979904) (xy 204.775068 100.965) (xy 204.769206 100.905484) (xy 204.761623 100.880487) + (xy 204.751846 100.848256) (xy 204.723655 100.795513) (xy 204.685716 100.749284) (xy 204.674135 100.73978) (xy 204.060226 100.125872) + (xy 204.050716 100.114284) (xy 204.004487 100.076345) (xy 203.951744 100.048154) (xy 203.894516 100.030794) (xy 203.849904 100.0264) + (xy 203.835 100.024932) (xy 203.820096 100.0264) (xy 203.485862 100.0264) (xy 203.492739 100.025032) (xy 203.675383 99.949379) + (xy 203.839758 99.839547) (xy 203.979547 99.699758) (xy 204.089379 99.535383) (xy 204.165032 99.352739) (xy 204.2036 99.158846) + (xy 204.2036 98.961154) (xy 204.7364 98.961154) (xy 204.7364 99.158846) (xy 204.774968 99.352739) (xy 204.850621 99.535383) + (xy 204.960453 99.699758) (xy 205.100242 99.839547) (xy 205.264617 99.949379) (xy 205.447261 100.025032) (xy 205.641154 100.0636) + (xy 205.838846 100.0636) (xy 206.032739 100.025032) (xy 206.215383 99.949379) (xy 206.379758 99.839547) (xy 206.519547 99.699758) + (xy 206.629379 99.535383) (xy 206.705032 99.352739) (xy 206.7436 99.158846) (xy 206.7436 98.961154) (xy 206.705032 98.767261) + (xy 206.629379 98.584617) (xy 206.519547 98.420242) (xy 206.379758 98.280453) (xy 206.215383 98.170621) (xy 206.032739 98.094968) + (xy 205.838846 98.0564) (xy 205.641154 98.0564) (xy 205.447261 98.094968) (xy 205.264617 98.170621) (xy 205.100242 98.280453) + (xy 204.960453 98.420242) (xy 204.850621 98.584617) (xy 204.774968 98.767261) (xy 204.7364 98.961154) (xy 204.2036 98.961154) + (xy 204.165032 98.767261) (xy 204.089379 98.584617) (xy 203.979547 98.420242) (xy 203.839758 98.280453) (xy 203.675383 98.170621) + (xy 203.492739 98.094968) (xy 203.298846 98.0564) (xy 203.101154 98.0564) (xy 202.907261 98.094968) (xy 202.724617 98.170621) + (xy 202.560242 98.280453) (xy 202.420453 98.420242) (xy 202.310621 98.584617) (xy 202.234968 98.767261) (xy 202.1964 98.961154) + (xy 202.1964 99.158846) (xy 202.234968 99.352739) (xy 202.310621 99.535383) (xy 202.420453 99.699758) (xy 202.560242 99.839547) + (xy 202.724617 99.949379) (xy 202.907261 100.025032) (xy 202.914138 100.0264) (xy 200.945862 100.0264) (xy 200.952739 100.025032) + (xy 201.135383 99.949379) (xy 201.299758 99.839547) (xy 201.439547 99.699758) (xy 201.549379 99.535383) (xy 201.625032 99.352739) + (xy 201.6636 99.158846) (xy 201.6636 98.961154) (xy 201.625032 98.767261) (xy 201.549379 98.584617) (xy 201.439547 98.420242) + (xy 201.299758 98.280453) (xy 201.135383 98.170621) (xy 200.952739 98.094968) (xy 200.758846 98.0564) (xy 200.561154 98.0564) + (xy 200.367261 98.094968) (xy 200.184617 98.170621) (xy 200.020242 98.280453) (xy 199.880453 98.420242) (xy 199.770621 98.584617) + (xy 199.694968 98.767261) (xy 199.6564 98.961154) (xy 199.6564 99.158846) (xy 199.694968 99.352739) (xy 199.770621 99.535383) + (xy 199.880453 99.699758) (xy 200.020242 99.839547) (xy 200.184617 99.949379) (xy 200.367261 100.025032) (xy 200.374138 100.0264) + (xy 192.834903 100.0264) (xy 192.819999 100.024932) (xy 192.760484 100.030794) (xy 192.703256 100.048154) (xy 192.650513 100.076345) + (xy 192.604284 100.114284) (xy 192.594779 100.125867) (xy 192.198896 100.52175) (xy 192.197138 100.5214) (xy 192.102862 100.5214) + (xy 192.010397 100.539792) (xy 191.923298 100.57587) (xy 191.84491 100.628247) (xy 191.778247 100.69491) (xy 191.72587 100.773298) + (xy 191.689792 100.860397) (xy 191.6714 100.952862) (xy 191.6714 101.047138) (xy 191.689792 101.139603) (xy 191.72587 101.226702) + (xy 191.778247 101.30509) (xy 191.84491 101.371753) (xy 191.923298 101.42413) (xy 192.010397 101.460208) (xy 192.102862 101.4786) + (xy 192.197138 101.4786) (xy 192.289603 101.460208) (xy 192.376702 101.42413) (xy 192.45509 101.371753) (xy 192.521753 101.30509) + (xy 192.57413 101.226702) (xy 192.610208 101.139603) (xy 192.6286 101.047138) (xy 192.6286 100.952862) (xy 192.62825 100.951104) + (xy 192.945755 100.6336) (xy 200.374138 100.6336) (xy 200.367261 100.634968) (xy 200.184617 100.710621) (xy 200.020242 100.820453) + (xy 199.880453 100.960242) (xy 199.770621 101.124617) (xy 199.694968 101.307261) (xy 199.6564 101.501154) (xy 199.6564 101.698846) + (xy 199.694968 101.892739) (xy 199.766123 102.064523) (xy 196.645867 105.184779) (xy 196.634285 105.194284) (xy 196.596346 105.240513) + (xy 196.573452 105.283346) (xy 196.568155 105.293256) (xy 196.550794 105.350485) (xy 196.544932 105.41) (xy 196.546401 105.424914) + (xy 196.5464 115.952244) (xy 192.279246 120.2194) (xy 186.180755 120.2194) (xy 185.645226 119.683872) (xy 185.635716 119.672284) + (xy 185.589487 119.634345) (xy 185.536744 119.606154) (xy 185.479516 119.588794) (xy 185.434904 119.5844) (xy 185.42 119.582932) + (xy 185.405096 119.5844) (xy 184.926904 119.5844) (xy 184.912 119.582932) (xy 184.897096 119.5844) (xy 184.852484 119.588794) + (xy 184.795256 119.606154) (xy 184.742513 119.634345) (xy 184.696284 119.672284) (xy 184.686779 119.683867) (xy 184.151246 120.2194) + (xy 177.671755 120.2194) (xy 177.136226 119.683872) (xy 177.126716 119.672284) (xy 177.080487 119.634345) (xy 177.027744 119.606154) + (xy 176.970516 119.588794) (xy 176.925904 119.5844) (xy 176.911 119.582932) (xy 176.896096 119.5844) (xy 176.417904 119.5844) + (xy 176.403 119.582932) (xy 176.388096 119.5844) (xy 176.343484 119.588794) (xy 176.286256 119.606154) (xy 176.233513 119.634345) + (xy 176.187284 119.672284) (xy 176.177779 119.683867) (xy 175.642246 120.2194) (xy 175.131755 120.2194) (xy 174.596226 119.683872) + (xy 174.586716 119.672284) (xy 174.540487 119.634345) (xy 174.487744 119.606154) (xy 174.430516 119.588794) (xy 174.385904 119.5844) + (xy 174.371 119.582932) (xy 174.356096 119.5844) (xy 167.019904 119.5844) (xy 167.005 119.582932) (xy 166.990096 119.5844) + (xy 166.945484 119.588794) (xy 166.890166 119.605575) (xy 166.861638 119.5999) (xy 166.767362 119.5999) (xy 166.674897 119.618292) + (xy 166.587798 119.65437) (xy 166.50941 119.706747) (xy 166.442747 119.77341) (xy 166.39037 119.851798) (xy 166.354292 119.938897) + (xy 166.3359 120.031362) (xy 166.020993 120.031362) (xy 166.622756 119.4296) (xy 180.650246 119.4296) (xy 180.87175 119.651104) + (xy 180.8714 119.652862) (xy 180.8714 119.747138) (xy 180.889792 119.839603) (xy 180.92587 119.926702) (xy 180.978247 120.00509) + (xy 181.04491 120.071753) (xy 181.123298 120.12413) (xy 181.210397 120.160208) (xy 181.302862 120.1786) (xy 181.397138 120.1786) + (xy 181.489603 120.160208) (xy 181.576702 120.12413) (xy 181.65509 120.071753) (xy 181.721753 120.00509) (xy 181.77413 119.926702) + (xy 181.810208 119.839603) (xy 181.8286 119.747138) (xy 181.8286 119.652862) (xy 181.810208 119.560397) (xy 181.77413 119.473298) + (xy 181.721753 119.39491) (xy 181.65509 119.328247) (xy 181.576702 119.27587) (xy 181.489603 119.239792) (xy 181.397138 119.2214) + (xy 181.302862 119.2214) (xy 181.301104 119.22175) (xy 181.001226 118.921872) (xy 180.991716 118.910284) (xy 180.945487 118.872345) + (xy 180.892744 118.844154) (xy 180.835516 118.826794) (xy 180.790904 118.8224) (xy 180.776 118.820932) (xy 180.761096 118.8224) + (xy 166.511903 118.8224) (xy 166.496999 118.820932) (xy 166.437483 118.826794) (xy 166.412563 118.834354) (xy 166.380256 118.844154) + (xy 166.327513 118.872345) (xy 166.281284 118.910284) (xy 166.271783 118.921861) (xy 161.799246 123.3944) (xy 120.267755 123.3944) + (xy 116.1536 119.280246) (xy 116.1536 119.25) (xy 116.844932 119.25) (xy 116.8464 119.264905) (xy 116.846401 119.785086) + (xy 116.844932 119.8) (xy 116.850794 119.859515) (xy 116.86581 119.909014) (xy 116.868155 119.916744) (xy 116.896346 119.969487) + (xy 116.934285 120.015716) (xy 116.945867 120.025221) (xy 117.224778 120.304133) (xy 117.234284 120.315716) (xy 117.280513 120.353655) + (xy 117.333256 120.381846) (xy 117.381053 120.396345) (xy 117.390484 120.399206) (xy 117.449999 120.405068) (xy 117.464903 120.4036) + (xy 117.924246 120.4036) (xy 120.787779 123.267134) (xy 120.797284 123.278716) (xy 120.843513 123.316655) (xy 120.896256 123.344846) + (xy 120.953484 123.362206) (xy 121.013 123.368068) (xy 121.027904 123.3666) (xy 161.529096 123.3666) (xy 161.544 123.368068) + (xy 161.558904 123.3666) (xy 161.603516 123.362206) (xy 161.660744 123.344846) (xy 161.713487 123.316655) (xy 161.759716 123.278716) + (xy 161.769226 123.267128) (xy 166.368755 118.6676) (xy 188.338246 118.6676) (xy 189.27175 119.601104) (xy 189.2714 119.602862) + (xy 189.2714 119.697138) (xy 189.289792 119.789603) (xy 189.32587 119.876702) (xy 189.378247 119.95509) (xy 189.44491 120.021753) + (xy 189.523298 120.07413) (xy 189.610397 120.110208) (xy 189.702862 120.1286) (xy 189.797138 120.1286) (xy 189.889603 120.110208) + (xy 189.976702 120.07413) (xy 190.05509 120.021753) (xy 190.121753 119.95509) (xy 190.17413 119.876702) (xy 190.210208 119.789603) + (xy 190.2286 119.697138) (xy 190.2286 119.602862) (xy 190.210208 119.510397) (xy 190.17413 119.423298) (xy 190.121753 119.34491) + (xy 190.05509 119.278247) (xy 189.976702 119.22587) (xy 189.889603 119.189792) (xy 189.797138 119.1714) (xy 189.702862 119.1714) + (xy 189.701104 119.17175) (xy 188.689226 118.159872) (xy 188.679716 118.148284) (xy 188.633487 118.110345) (xy 188.580744 118.082154) + (xy 188.523516 118.064794) (xy 188.478904 118.0604) (xy 188.464 118.058932) (xy 188.449096 118.0604) (xy 166.257904 118.0604) + (xy 166.243 118.058932) (xy 166.183484 118.064794) (xy 166.126255 118.082154) (xy 166.094353 118.099206) (xy 166.073513 118.110345) + (xy 166.027284 118.148284) (xy 166.017779 118.159866) (xy 161.418246 122.7594) (xy 121.138755 122.7594) (xy 118.275226 119.895872) + (xy 118.265716 119.884284) (xy 118.219487 119.846345) (xy 118.166744 119.818154) (xy 118.109516 119.800794) (xy 118.064904 119.7964) + (xy 118.05 119.794932) (xy 118.035096 119.7964) (xy 117.575755 119.7964) (xy 117.4536 119.674246) (xy 117.4536 119.375754) + (xy 117.9464 118.882955) (xy 117.9464 119.335096) (xy 117.944932 119.35) (xy 117.9464 119.364903) (xy 117.950794 119.409515) + (xy 117.968154 119.466743) (xy 117.996345 119.519486) (xy 118.034284 119.565716) (xy 118.045872 119.575226) (xy 121.102783 122.632139) + (xy 121.112284 122.643716) (xy 121.158513 122.681655) (xy 121.211256 122.709846) (xy 121.245669 122.720285) (xy 121.268483 122.727206) + (xy 121.327999 122.733068) (xy 121.342903 122.7316) (xy 161.148096 122.7316) (xy 161.163 122.733068) (xy 161.177904 122.7316) + (xy 161.222516 122.727206) (xy 161.279744 122.709846) (xy 161.332487 122.681655) (xy 161.378716 122.643716) (xy 161.388226 122.632128) + (xy 166.114755 117.9056) (xy 182.133096 117.9056) (xy 182.148 117.907068) (xy 182.162904 117.9056) (xy 182.207516 117.901206) + (xy 182.264744 117.883846) (xy 182.317487 117.855655) (xy 182.363716 117.817716) (xy 182.373226 117.806128) (xy 186.226492 113.952862) + (xy 189.4714 113.952862) (xy 189.4714 114.047138) (xy 189.489792 114.139603) (xy 189.52587 114.226702) (xy 189.578247 114.30509) + (xy 189.64491 114.371753) (xy 189.723298 114.42413) (xy 189.810397 114.460208) (xy 189.902862 114.4786) (xy 189.997138 114.4786) + (xy 190.089603 114.460208) (xy 190.176702 114.42413) (xy 190.25509 114.371753) (xy 190.321753 114.30509) (xy 190.37413 114.226702) + (xy 190.410208 114.139603) (xy 190.4286 114.047138) (xy 190.4286 113.952862) (xy 191.6714 113.952862) (xy 191.6714 114.047138) + (xy 191.689792 114.139603) (xy 191.72587 114.226702) (xy 191.778247 114.30509) (xy 191.84491 114.371753) (xy 191.923298 114.42413) + (xy 192.010397 114.460208) (xy 192.102862 114.4786) (xy 192.197138 114.4786) (xy 192.289603 114.460208) (xy 192.376702 114.42413) + (xy 192.45509 114.371753) (xy 192.521753 114.30509) (xy 192.57413 114.226702) (xy 192.610208 114.139603) (xy 192.6286 114.047138) + (xy 192.6286 113.952862) (xy 192.610208 113.860397) (xy 192.57413 113.773298) (xy 192.521753 113.69491) (xy 192.45509 113.628247) + (xy 192.376702 113.57587) (xy 192.289603 113.539792) (xy 192.197138 113.5214) (xy 192.102862 113.5214) (xy 192.010397 113.539792) + (xy 191.923298 113.57587) (xy 191.84491 113.628247) (xy 191.778247 113.69491) (xy 191.72587 113.773298) (xy 191.689792 113.860397) + (xy 191.6714 113.952862) (xy 190.4286 113.952862) (xy 190.410208 113.860397) (xy 190.37413 113.773298) (xy 190.321753 113.69491) + (xy 190.25509 113.628247) (xy 190.176702 113.57587) (xy 190.089603 113.539792) (xy 189.997138 113.5214) (xy 189.902862 113.5214) + (xy 189.810397 113.539792) (xy 189.723298 113.57587) (xy 189.64491 113.628247) (xy 189.578247 113.69491) (xy 189.52587 113.773298) + (xy 189.489792 113.860397) (xy 189.4714 113.952862) (xy 186.226492 113.952862) (xy 186.826492 113.352862) (xy 190.5714 113.352862) + (xy 190.5714 113.447138) (xy 190.589792 113.539603) (xy 190.62587 113.626702) (xy 190.678247 113.70509) (xy 190.74491 113.771753) + (xy 190.823298 113.82413) (xy 190.910397 113.860208) (xy 191.002862 113.8786) (xy 191.097138 113.8786) (xy 191.189603 113.860208) + (xy 191.276702 113.82413) (xy 191.35509 113.771753) (xy 191.421753 113.70509) (xy 191.47413 113.626702) (xy 191.510208 113.539603) + (xy 191.5286 113.447138) (xy 191.5286 113.352862) (xy 191.510208 113.260397) (xy 191.47413 113.173298) (xy 191.421753 113.09491) + (xy 191.35509 113.028247) (xy 191.276702 112.97587) (xy 191.189603 112.939792) (xy 191.097138 112.9214) (xy 191.002862 112.9214) + (xy 190.910397 112.939792) (xy 190.823298 112.97587) (xy 190.74491 113.028247) (xy 190.678247 113.09491) (xy 190.62587 113.173298) + (xy 190.589792 113.260397) (xy 190.5714 113.352862) (xy 186.826492 113.352862) (xy 187.681417 112.497937) (xy 191.3714 112.497937) + (xy 191.3714 112.602063) (xy 191.391713 112.704187) (xy 191.43156 112.800386) (xy 191.489409 112.886963) (xy 191.563037 112.960591) + (xy 191.649614 113.01844) (xy 191.745813 113.058287) (xy 191.847937 113.0786) (xy 191.952063 113.0786) (xy 192.054187 113.058287) + (xy 192.150386 113.01844) (xy 192.236963 112.960591) (xy 192.310591 112.886963) (xy 192.36844 112.800386) (xy 192.408287 112.704187) + (xy 192.4286 112.602063) (xy 192.4286 112.497937) (xy 192.408287 112.395813) (xy 192.36844 112.299614) (xy 192.310591 112.213037) + (xy 192.236963 112.139409) (xy 192.150386 112.08156) (xy 192.054187 112.041713) (xy 191.952063 112.0214) (xy 191.847937 112.0214) + (xy 191.745813 112.041713) (xy 191.649614 112.08156) (xy 191.563037 112.139409) (xy 191.489409 112.213037) (xy 191.43156 112.299614) + (xy 191.391713 112.395813) (xy 191.3714 112.497937) (xy 187.681417 112.497937) (xy 188.526492 111.652862) (xy 190.4214 111.652862) + (xy 190.4214 111.747138) (xy 190.439792 111.839603) (xy 190.47587 111.926702) (xy 190.528247 112.00509) (xy 190.59491 112.071753) + (xy 190.673298 112.12413) (xy 190.760397 112.160208) (xy 190.852862 112.1786) (xy 190.947138 112.1786) (xy 191.039603 112.160208) + (xy 191.126702 112.12413) (xy 191.20509 112.071753) (xy 191.271753 112.00509) (xy 191.32413 111.926702) (xy 191.360208 111.839603) + (xy 191.3786 111.747138) (xy 191.3786 111.652862) (xy 191.360208 111.560397) (xy 191.32413 111.473298) (xy 191.271753 111.39491) + (xy 191.20509 111.328247) (xy 191.126702 111.27587) (xy 191.039603 111.239792) (xy 190.947138 111.2214) (xy 190.852862 111.2214) + (xy 190.760397 111.239792) (xy 190.673298 111.27587) (xy 190.59491 111.328247) (xy 190.528247 111.39491) (xy 190.47587 111.473298) + (xy 190.439792 111.560397) (xy 190.4214 111.652862) (xy 188.526492 111.652862) (xy 193.204134 106.975221) (xy 193.215716 106.965716) + (xy 193.253655 106.919487) (xy 193.281846 106.866744) (xy 193.299206 106.809516) (xy 193.3036 106.764904) (xy 193.305068 106.75) + (xy 193.3036 106.735096) (xy 193.3036 103.264904) (xy 193.305068 103.25) (xy 193.299206 103.190484) (xy 193.289812 103.159516) + (xy 193.281846 103.133256) (xy 193.253655 103.080513) (xy 193.215716 103.034284) (xy 193.204135 103.02478) (xy 189.4036 99.224246) + (xy 189.4036 95.752862) (xy 189.4714 95.752862) (xy 189.4714 95.847138) (xy 189.489792 95.939603) (xy 189.52587 96.026702) + (xy 189.578247 96.10509) (xy 189.64491 96.171753) (xy 189.723298 96.22413) (xy 189.810397 96.260208) (xy 189.902862 96.2786) + (xy 189.997138 96.2786) (xy 190.089603 96.260208) (xy 190.176702 96.22413) (xy 190.25509 96.171753) (xy 190.321753 96.10509) + (xy 190.37413 96.026702) (xy 190.410208 95.939603) (xy 190.4286 95.847138) (xy 190.4286 95.752862) (xy 190.410208 95.660397) + (xy 190.37413 95.573298) (xy 190.321753 95.49491) (xy 190.25509 95.428247) (xy 190.176702 95.37587) (xy 190.089603 95.339792) + (xy 189.997138 95.3214) (xy 189.902862 95.3214) (xy 189.810397 95.339792) (xy 189.723298 95.37587) (xy 189.64491 95.428247) + (xy 189.578247 95.49491) (xy 189.52587 95.573298) (xy 189.489792 95.660397) (xy 189.4714 95.752862) (xy 189.4036 95.752862) + (xy 189.4036 95.525754) (xy 189.776492 95.152862) (xy 190.5714 95.152862) (xy 190.5714 95.247138) (xy 190.589792 95.339603) + (xy 190.62587 95.426702) (xy 190.678247 95.50509) (xy 190.74491 95.571753) (xy 190.823298 95.62413) (xy 190.910397 95.660208) + (xy 191.002862 95.6786) (xy 191.097138 95.6786) (xy 191.189603 95.660208) (xy 191.276702 95.62413) (xy 191.35509 95.571753) + (xy 191.421753 95.50509) (xy 191.47413 95.426702) (xy 191.510208 95.339603) (xy 191.5286 95.247138) (xy 191.5286 95.152862) + (xy 191.510208 95.060397) (xy 191.47413 94.973298) (xy 191.421753 94.89491) (xy 191.35509 94.828247) (xy 191.276702 94.77587) + (xy 191.189603 94.739792) (xy 191.097138 94.7214) (xy 191.002862 94.7214) (xy 190.910397 94.739792) (xy 190.823298 94.77587) + (xy 190.74491 94.828247) (xy 190.678247 94.89491) (xy 190.62587 94.973298) (xy 190.589792 95.060397) (xy 190.5714 95.152862) + (xy 189.776492 95.152862) (xy 190.604134 94.325221) (xy 190.615716 94.315716) (xy 190.630306 94.297937) (xy 191.3714 94.297937) + (xy 191.3714 94.402063) (xy 191.391713 94.504187) (xy 191.43156 94.600386) (xy 191.489409 94.686963) (xy 191.563037 94.760591) + (xy 191.649614 94.81844) (xy 191.745813 94.858287) (xy 191.847937 94.8786) (xy 191.952063 94.8786) (xy 192.054187 94.858287) + (xy 192.150386 94.81844) (xy 192.236963 94.760591) (xy 192.310591 94.686963) (xy 192.36844 94.600386) (xy 192.408287 94.504187) + (xy 192.4286 94.402063) (xy 192.4286 94.297937) (xy 192.408287 94.195813) (xy 192.36844 94.099614) (xy 192.310591 94.013037) + (xy 192.236963 93.939409) (xy 192.150386 93.88156) (xy 192.054187 93.841713) (xy 191.952063 93.8214) (xy 191.847937 93.8214) + (xy 191.745813 93.841713) (xy 191.649614 93.88156) (xy 191.563037 93.939409) (xy 191.489409 94.013037) (xy 191.43156 94.099614) + (xy 191.391713 94.195813) (xy 191.3714 94.297937) (xy 190.630306 94.297937) (xy 190.653655 94.269487) (xy 190.681846 94.216744) + (xy 190.699206 94.159516) (xy 190.7036 94.114904) (xy 190.705068 94.1) (xy 190.7036 94.085096) (xy 190.7036 93.936682) + (xy 190.760397 93.960208) (xy 190.852862 93.9786) (xy 190.947138 93.9786) (xy 191.039603 93.960208) (xy 191.126702 93.92413) + (xy 191.20509 93.871753) (xy 191.271753 93.80509) (xy 191.32413 93.726702) (xy 191.360208 93.639603) (xy 191.3786 93.547138) + (xy 191.3786 93.452862) (xy 191.360208 93.360397) (xy 191.336682 93.3036) (xy 191.410096 93.3036) (xy 191.425 93.305068) + (xy 191.439904 93.3036) (xy 191.484516 93.299206) (xy 191.541744 93.281846) (xy 191.594487 93.253655) (xy 191.640716 93.215716) + (xy 191.650226 93.204128) (xy 191.897477 92.956877) (xy 191.923298 92.97413) (xy 192.010397 93.010208) (xy 192.102862 93.0286) + (xy 192.197138 93.0286) (xy 192.289603 93.010208) (xy 192.376702 92.97413) (xy 192.45509 92.921753) (xy 192.521753 92.85509) + (xy 192.57413 92.776702) (xy 192.610208 92.689603) (xy 192.617369 92.6536) (xy 192.735096 92.6536) (xy 192.75 92.655068) + (xy 192.764904 92.6536) (xy 192.809516 92.649206) (xy 192.866744 92.631846) (xy 192.919487 92.603655) (xy 192.965716 92.565716) + (xy 192.975226 92.554128) (xy 194.30414 91.225216) (xy 194.315716 91.215716) (xy 194.353655 91.169487) (xy 194.381846 91.116744) + (xy 194.399206 91.059516) (xy 194.40163 91.034904) (xy 194.405068 91.000001) (xy 194.4036 90.985097) (xy 194.4036 85.714904) + (xy 194.405068 85.7) (xy 194.399206 85.640484) (xy 194.388034 85.603655) (xy 194.381846 85.583256) (xy 194.353655 85.530513) + (xy 194.315716 85.484284) (xy 194.304135 85.47478) (xy 190.8036 81.974246) (xy 190.8036 78.202862) (xy 191.6714 78.202862) + (xy 191.6714 78.297138) (xy 191.689792 78.389603) (xy 191.72587 78.476702) (xy 191.778247 78.55509) (xy 191.84491 78.621753) + (xy 191.923298 78.67413) (xy 192.010397 78.710208) (xy 192.102862 78.7286) (xy 192.197138 78.7286) (xy 192.289603 78.710208) + (xy 192.376702 78.67413) (xy 192.45509 78.621753) (xy 192.521753 78.55509) (xy 192.522749 78.5536) (xy 192.619557 78.5536) + (xy 192.578247 78.59491) (xy 192.52587 78.673298) (xy 192.489792 78.760397) (xy 192.4714 78.852862) (xy 192.4714 78.947138) + (xy 192.489792 79.039603) (xy 192.52587 79.126702) (xy 192.578247 79.20509) (xy 192.619557 79.2464) (xy 192.522749 79.2464) + (xy 192.521753 79.24491) (xy 192.45509 79.178247) (xy 192.376702 79.12587) (xy 192.289603 79.089792) (xy 192.197138 79.0714) + (xy 192.102862 79.0714) (xy 192.010397 79.089792) (xy 191.923298 79.12587) (xy 191.84491 79.178247) (xy 191.778247 79.24491) + (xy 191.72587 79.323298) (xy 191.689792 79.410397) (xy 191.6714 79.502862) (xy 191.6714 79.597138) (xy 191.689792 79.689603) + (xy 191.72587 79.776702) (xy 191.778247 79.85509) (xy 191.84491 79.921753) (xy 191.923298 79.97413) (xy 192.010397 80.010208) + (xy 192.102862 80.0286) (xy 192.197138 80.0286) (xy 192.289603 80.010208) (xy 192.376702 79.97413) (xy 192.45509 79.921753) + (xy 192.521753 79.85509) (xy 192.522749 79.8536) (xy 192.619557 79.8536) (xy 192.578247 79.89491) (xy 192.52587 79.973298) + (xy 192.489792 80.060397) (xy 192.4714 80.152862) (xy 192.4714 80.247138) (xy 192.489792 80.339603) (xy 192.52587 80.426702) + (xy 192.578247 80.50509) (xy 192.619557 80.5464) (xy 192.522749 80.5464) (xy 192.521753 80.54491) (xy 192.45509 80.478247) + (xy 192.376702 80.42587) (xy 192.289603 80.389792) (xy 192.197138 80.3714) (xy 192.102862 80.3714) (xy 192.010397 80.389792) + (xy 191.923298 80.42587) (xy 191.84491 80.478247) (xy 191.778247 80.54491) (xy 191.72587 80.623298) (xy 191.689792 80.710397) + (xy 191.6714 80.802862) (xy 191.6714 80.897138) (xy 191.689792 80.989603) (xy 191.72587 81.076702) (xy 191.778247 81.15509) + (xy 191.84491 81.221753) (xy 191.923298 81.27413) (xy 192.010397 81.310208) (xy 192.102862 81.3286) (xy 192.197138 81.3286) + (xy 192.289603 81.310208) (xy 192.376702 81.27413) (xy 192.45509 81.221753) (xy 192.521753 81.15509) (xy 192.522749 81.1536) + (xy 192.619557 81.1536) (xy 192.578247 81.19491) (xy 192.52587 81.273298) (xy 192.489792 81.360397) (xy 192.4714 81.452862) + (xy 192.4714 81.547138) (xy 192.489792 81.639603) (xy 192.52587 81.726702) (xy 192.578247 81.80509) (xy 192.619557 81.8464) + (xy 192.522749 81.8464) (xy 192.521753 81.84491) (xy 192.45509 81.778247) (xy 192.376702 81.72587) (xy 192.289603 81.689792) + (xy 192.197138 81.6714) (xy 192.102862 81.6714) (xy 192.010397 81.689792) (xy 191.923298 81.72587) (xy 191.84491 81.778247) + (xy 191.778247 81.84491) (xy 191.72587 81.923298) (xy 191.689792 82.010397) (xy 191.6714 82.102862) (xy 191.6714 82.197138) + (xy 191.689792 82.289603) (xy 191.72587 82.376702) (xy 191.778247 82.45509) (xy 191.84491 82.521753) (xy 191.923298 82.57413) + (xy 192.010397 82.610208) (xy 192.102862 82.6286) (xy 192.197138 82.6286) (xy 192.289603 82.610208) (xy 192.376702 82.57413) + (xy 192.45509 82.521753) (xy 192.521753 82.45509) (xy 192.522749 82.4536) (xy 192.619557 82.4536) (xy 192.578247 82.49491) + (xy 192.52587 82.573298) (xy 192.489792 82.660397) (xy 192.4714 82.752862) (xy 192.4714 82.847138) (xy 192.489792 82.939603) + (xy 192.52587 83.026702) (xy 192.578247 83.10509) (xy 192.64491 83.171753) (xy 192.723298 83.22413) (xy 192.810397 83.260208) + (xy 192.902862 83.2786) (xy 192.997138 83.2786) (xy 193.040601 83.269955) (xy 197.096401 87.325756) (xy 197.0964 93.245096) + (xy 197.094932 93.26) (xy 197.0964 93.274903) (xy 197.100794 93.319515) (xy 197.118154 93.376743) (xy 197.146345 93.429486) + (xy 197.184284 93.475716) (xy 197.195872 93.485226) (xy 199.766122 96.055478) (xy 199.694968 96.227261) (xy 199.6564 96.421154) + (xy 199.6564 96.618846) (xy 199.694968 96.812739) (xy 199.770621 96.995383) (xy 199.880453 97.159758) (xy 200.020242 97.299547) + (xy 200.184617 97.409379) (xy 200.367261 97.485032) (xy 200.561154 97.5236) (xy 200.758846 97.5236) (xy 200.952739 97.485032) + (xy 201.135383 97.409379) (xy 201.299758 97.299547) (xy 201.439547 97.159758) (xy 201.549379 96.995383) (xy 201.625032 96.812739) + (xy 201.6636 96.618846) (xy 201.6636 96.421154) (xy 202.1964 96.421154) (xy 202.1964 96.618846) (xy 202.234968 96.812739) + (xy 202.310621 96.995383) (xy 202.420453 97.159758) (xy 202.560242 97.299547) (xy 202.724617 97.409379) (xy 202.907261 97.485032) + (xy 203.101154 97.5236) (xy 203.298846 97.5236) (xy 203.492739 97.485032) (xy 203.675383 97.409379) (xy 203.839758 97.299547) + (xy 203.979547 97.159758) (xy 204.089379 96.995383) (xy 204.165032 96.812739) (xy 204.2036 96.618846) (xy 204.2036 96.421154) + (xy 204.7364 96.421154) (xy 204.7364 96.618846) (xy 204.774968 96.812739) (xy 204.850621 96.995383) (xy 204.960453 97.159758) + (xy 205.100242 97.299547) (xy 205.264617 97.409379) (xy 205.447261 97.485032) (xy 205.641154 97.5236) (xy 205.838846 97.5236) + (xy 206.032739 97.485032) (xy 206.215383 97.409379) (xy 206.379758 97.299547) (xy 206.519547 97.159758) (xy 206.629379 96.995383) + (xy 206.705032 96.812739) (xy 206.7436 96.618846) (xy 206.7436 96.421154) (xy 206.705032 96.227261) (xy 206.629379 96.044617) + (xy 206.519547 95.880242) (xy 206.379758 95.740453) (xy 206.215383 95.630621) (xy 206.032739 95.554968) (xy 205.838846 95.5164) + (xy 205.641154 95.5164) (xy 205.447261 95.554968) (xy 205.264617 95.630621) (xy 205.100242 95.740453) (xy 204.960453 95.880242) + (xy 204.850621 96.044617) (xy 204.774968 96.227261) (xy 204.7364 96.421154) (xy 204.2036 96.421154) (xy 204.165032 96.227261) + (xy 204.089379 96.044617) (xy 203.979547 95.880242) (xy 203.839758 95.740453) (xy 203.675383 95.630621) (xy 203.492739 95.554968) + (xy 203.298846 95.5164) (xy 203.101154 95.5164) (xy 202.907261 95.554968) (xy 202.724617 95.630621) (xy 202.560242 95.740453) + (xy 202.420453 95.880242) (xy 202.310621 96.044617) (xy 202.234968 96.227261) (xy 202.1964 96.421154) (xy 201.6636 96.421154) + (xy 201.625032 96.227261) (xy 201.549379 96.044617) (xy 201.439547 95.880242) (xy 201.299758 95.740453) (xy 201.135383 95.630621) + (xy 200.952739 95.554968) (xy 200.758846 95.5164) (xy 200.561154 95.5164) (xy 200.367261 95.554968) (xy 200.195478 95.626122) + (xy 197.7036 93.134246) (xy 197.7036 91.452954) (xy 199.766122 93.515478) (xy 199.694968 93.687261) (xy 199.6564 93.881154) + (xy 199.6564 94.078846) (xy 199.694968 94.272739) (xy 199.770621 94.455383) (xy 199.880453 94.619758) (xy 200.020242 94.759547) + (xy 200.184617 94.869379) (xy 200.367261 94.945032) (xy 200.561154 94.9836) (xy 200.758846 94.9836) (xy 200.952739 94.945032) + (xy 201.135383 94.869379) (xy 201.299758 94.759547) (xy 201.439547 94.619758) (xy 201.549379 94.455383) (xy 201.625032 94.272739) + (xy 201.6636 94.078846) (xy 201.6636 93.881154) (xy 202.1964 93.881154) (xy 202.1964 94.078846) (xy 202.234968 94.272739) + (xy 202.310621 94.455383) (xy 202.420453 94.619758) (xy 202.560242 94.759547) (xy 202.724617 94.869379) (xy 202.907261 94.945032) + (xy 203.101154 94.9836) (xy 203.298846 94.9836) (xy 203.492739 94.945032) (xy 203.675383 94.869379) (xy 203.839758 94.759547) + (xy 203.979547 94.619758) (xy 204.089379 94.455383) (xy 204.165032 94.272739) (xy 204.2036 94.078846) (xy 204.2036 93.881154) + (xy 204.7364 93.881154) (xy 204.7364 94.078846) (xy 204.774968 94.272739) (xy 204.850621 94.455383) (xy 204.960453 94.619758) + (xy 205.100242 94.759547) (xy 205.264617 94.869379) (xy 205.447261 94.945032) (xy 205.641154 94.9836) (xy 205.838846 94.9836) + (xy 206.032739 94.945032) (xy 206.215383 94.869379) (xy 206.379758 94.759547) (xy 206.519547 94.619758) (xy 206.629379 94.455383) + (xy 206.705032 94.272739) (xy 206.7436 94.078846) (xy 206.7436 93.881154) (xy 206.705032 93.687261) (xy 206.629379 93.504617) + (xy 206.519547 93.340242) (xy 206.379758 93.200453) (xy 206.215383 93.090621) (xy 206.032739 93.014968) (xy 205.838846 92.9764) + (xy 205.641154 92.9764) (xy 205.447261 93.014968) (xy 205.264617 93.090621) (xy 205.100242 93.200453) (xy 204.960453 93.340242) + (xy 204.850621 93.504617) (xy 204.774968 93.687261) (xy 204.7364 93.881154) (xy 204.2036 93.881154) (xy 204.165032 93.687261) + (xy 204.089379 93.504617) (xy 203.979547 93.340242) (xy 203.839758 93.200453) (xy 203.675383 93.090621) (xy 203.492739 93.014968) + (xy 203.298846 92.9764) (xy 203.101154 92.9764) (xy 202.907261 93.014968) (xy 202.724617 93.090621) (xy 202.560242 93.200453) + (xy 202.420453 93.340242) (xy 202.310621 93.504617) (xy 202.234968 93.687261) (xy 202.1964 93.881154) (xy 201.6636 93.881154) + (xy 201.625032 93.687261) (xy 201.549379 93.504617) (xy 201.439547 93.340242) (xy 201.299758 93.200453) (xy 201.135383 93.090621) + (xy 200.952739 93.014968) (xy 200.758846 92.9764) (xy 200.561154 92.9764) (xy 200.367261 93.014968) (xy 200.195478 93.086122) + (xy 198.0036 90.894246) (xy 198.0036 89.212954) (xy 199.766123 90.975477) (xy 199.694968 91.147261) (xy 199.6564 91.341154) + (xy 199.6564 91.538846) (xy 199.694968 91.732739) (xy 199.770621 91.915383) (xy 199.880453 92.079758) (xy 200.020242 92.219547) + (xy 200.184617 92.329379) (xy 200.367261 92.405032) (xy 200.561154 92.4436) (xy 200.758846 92.4436) (xy 200.952739 92.405032) + (xy 201.135383 92.329379) (xy 201.299758 92.219547) (xy 201.439547 92.079758) (xy 201.549379 91.915383) (xy 201.625032 91.732739) + (xy 201.6636 91.538846) (xy 201.6636 91.341154) (xy 202.1964 91.341154) (xy 202.1964 91.538846) (xy 202.234968 91.732739) + (xy 202.310621 91.915383) (xy 202.420453 92.079758) (xy 202.560242 92.219547) (xy 202.724617 92.329379) (xy 202.907261 92.405032) + (xy 203.101154 92.4436) (xy 203.298846 92.4436) (xy 203.492739 92.405032) (xy 203.675383 92.329379) (xy 203.839758 92.219547) + (xy 203.979547 92.079758) (xy 204.089379 91.915383) (xy 204.165032 91.732739) (xy 204.2036 91.538846) (xy 204.2036 91.341154) + (xy 204.7364 91.341154) (xy 204.7364 91.538846) (xy 204.774968 91.732739) (xy 204.850621 91.915383) (xy 204.960453 92.079758) + (xy 205.100242 92.219547) (xy 205.264617 92.329379) (xy 205.447261 92.405032) (xy 205.641154 92.4436) (xy 205.838846 92.4436) + (xy 206.032739 92.405032) (xy 206.215383 92.329379) (xy 206.379758 92.219547) (xy 206.519547 92.079758) (xy 206.629379 91.915383) + (xy 206.705032 91.732739) (xy 206.7436 91.538846) (xy 206.7436 91.341154) (xy 206.705032 91.147261) (xy 206.629379 90.964617) + (xy 206.519547 90.800242) (xy 206.379758 90.660453) (xy 206.215383 90.550621) (xy 206.032739 90.474968) (xy 205.838846 90.4364) + (xy 205.641154 90.4364) (xy 205.447261 90.474968) (xy 205.264617 90.550621) (xy 205.100242 90.660453) (xy 204.960453 90.800242) + (xy 204.850621 90.964617) (xy 204.774968 91.147261) (xy 204.7364 91.341154) (xy 204.2036 91.341154) (xy 204.165032 91.147261) + (xy 204.089379 90.964617) (xy 203.979547 90.800242) (xy 203.839758 90.660453) (xy 203.675383 90.550621) (xy 203.492739 90.474968) + (xy 203.298846 90.4364) (xy 203.101154 90.4364) (xy 202.907261 90.474968) (xy 202.724617 90.550621) (xy 202.560242 90.660453) + (xy 202.420453 90.800242) (xy 202.310621 90.964617) (xy 202.234968 91.147261) (xy 202.1964 91.341154) (xy 201.6636 91.341154) + (xy 201.625032 91.147261) (xy 201.549379 90.964617) (xy 201.439547 90.800242) (xy 201.299758 90.660453) (xy 201.135383 90.550621) + (xy 200.952739 90.474968) (xy 200.758846 90.4364) (xy 200.561154 90.4364) (xy 200.367261 90.474968) (xy 200.195477 90.546123) + (xy 198.3036 88.654246) (xy 198.3036 87.290454) (xy 199.682597 88.669452) (xy 199.6564 88.801154) (xy 199.6564 88.998846) + (xy 199.694968 89.192739) (xy 199.770621 89.375383) (xy 199.880453 89.539758) (xy 200.020242 89.679547) (xy 200.184617 89.789379) + (xy 200.367261 89.865032) (xy 200.561154 89.9036) (xy 200.758846 89.9036) (xy 200.952739 89.865032) (xy 201.135383 89.789379) + (xy 201.299758 89.679547) (xy 201.439547 89.539758) (xy 201.549379 89.375383) (xy 201.625032 89.192739) (xy 201.6636 88.998846) + (xy 201.6636 88.801154) (xy 202.1964 88.801154) (xy 202.1964 88.998846) (xy 202.234968 89.192739) (xy 202.310621 89.375383) + (xy 202.420453 89.539758) (xy 202.560242 89.679547) (xy 202.724617 89.789379) (xy 202.907261 89.865032) (xy 203.101154 89.9036) + (xy 203.298846 89.9036) (xy 203.492739 89.865032) (xy 203.675383 89.789379) (xy 203.839758 89.679547) (xy 203.979547 89.539758) + (xy 204.089379 89.375383) (xy 204.165032 89.192739) (xy 204.2036 88.998846) (xy 204.2036 88.801154) (xy 204.7364 88.801154) + (xy 204.7364 88.998846) (xy 204.774968 89.192739) (xy 204.850621 89.375383) (xy 204.960453 89.539758) (xy 205.100242 89.679547) + (xy 205.264617 89.789379) (xy 205.447261 89.865032) (xy 205.641154 89.9036) (xy 205.838846 89.9036) (xy 206.032739 89.865032) + (xy 206.215383 89.789379) (xy 206.379758 89.679547) (xy 206.519547 89.539758) (xy 206.629379 89.375383) (xy 206.705032 89.192739) + (xy 206.7436 88.998846) (xy 206.7436 88.801154) (xy 206.705032 88.607261) (xy 206.629379 88.424617) (xy 206.519547 88.260242) + (xy 206.379758 88.120453) (xy 206.215383 88.010621) (xy 206.032739 87.934968) (xy 205.838846 87.8964) (xy 205.641154 87.8964) + (xy 205.447261 87.934968) (xy 205.264617 88.010621) (xy 205.100242 88.120453) (xy 204.960453 88.260242) (xy 204.850621 88.424617) + (xy 204.774968 88.607261) (xy 204.7364 88.801154) (xy 204.2036 88.801154) (xy 204.165032 88.607261) (xy 204.089379 88.424617) + (xy 203.979547 88.260242) (xy 203.839758 88.120453) (xy 203.675383 88.010621) (xy 203.492739 87.934968) (xy 203.298846 87.8964) + (xy 203.101154 87.8964) (xy 202.907261 87.934968) (xy 202.724617 88.010621) (xy 202.560242 88.120453) (xy 202.420453 88.260242) + (xy 202.310621 88.424617) (xy 202.234968 88.607261) (xy 202.1964 88.801154) (xy 201.6636 88.801154) (xy 201.625032 88.607261) + (xy 201.549379 88.424617) (xy 201.439547 88.260242) (xy 201.299758 88.120453) (xy 201.135383 88.010621) (xy 200.952739 87.934968) + (xy 200.758846 87.8964) (xy 200.561154 87.8964) (xy 200.367261 87.934968) (xy 200.184617 88.010621) (xy 200.020242 88.120453) + (xy 200.006275 88.13442) (xy 198.6536 86.781746) (xy 198.6536 86.282955) (xy 198.934779 86.564134) (xy 198.944284 86.575716) + (xy 198.969421 86.596345) (xy 198.990513 86.613655) (xy 199.043255 86.641846) (xy 199.100484 86.659206) (xy 199.16 86.665068) + (xy 199.174904 86.6636) (xy 199.699467 86.6636) (xy 199.770621 86.835383) (xy 199.880453 86.999758) (xy 200.020242 87.139547) + (xy 200.184617 87.249379) (xy 200.367261 87.325032) (xy 200.561154 87.3636) (xy 200.758846 87.3636) (xy 200.952739 87.325032) + (xy 201.135383 87.249379) (xy 201.299758 87.139547) (xy 201.439547 86.999758) (xy 201.549379 86.835383) (xy 201.625032 86.652739) + (xy 201.6636 86.458846) (xy 201.6636 86.261154) (xy 201.625032 86.067261) (xy 201.549379 85.884617) (xy 201.439547 85.720242) + (xy 201.299758 85.580453) (xy 201.135383 85.470621) (xy 200.952739 85.394968) (xy 200.758846 85.3564) (xy 200.561154 85.3564) + (xy 200.367261 85.394968) (xy 200.184617 85.470621) (xy 200.020242 85.580453) (xy 199.880453 85.720242) (xy 199.770621 85.884617) + (xy 199.699467 86.0564) (xy 199.285755 86.0564) (xy 197.352955 84.1236) (xy 199.699467 84.1236) (xy 199.770621 84.295383) + (xy 199.880453 84.459758) (xy 200.020242 84.599547) (xy 200.184617 84.709379) (xy 200.367261 84.785032) (xy 200.561154 84.8236) + (xy 200.758846 84.8236) (xy 200.952739 84.785032) (xy 201.135383 84.709379) (xy 201.299758 84.599547) (xy 201.439547 84.459758) + (xy 201.549379 84.295383) (xy 201.625032 84.112739) (xy 201.6636 83.918846) (xy 201.6636 83.721154) (xy 201.625032 83.527261) + (xy 201.549379 83.344617) (xy 201.439547 83.180242) (xy 201.299758 83.040453) (xy 201.135383 82.930621) (xy 200.952739 82.854968) + (xy 200.758846 82.8164) (xy 200.561154 82.8164) (xy 200.367261 82.854968) (xy 200.184617 82.930621) (xy 200.020242 83.040453) + (xy 199.880453 83.180242) (xy 199.770621 83.344617) (xy 199.699467 83.5164) (xy 197.395755 83.5164) (xy 195.462955 81.5836) + (xy 199.699467 81.5836) (xy 199.770621 81.755383) (xy 199.880453 81.919758) (xy 200.020242 82.059547) (xy 200.184617 82.169379) + (xy 200.367261 82.245032) (xy 200.561154 82.2836) (xy 200.758846 82.2836) (xy 200.952739 82.245032) (xy 201.135383 82.169379) + (xy 201.299758 82.059547) (xy 201.439547 81.919758) (xy 201.549379 81.755383) (xy 201.625032 81.572739) (xy 201.6636 81.378846) + (xy 201.6636 81.181154) (xy 201.625032 80.987261) (xy 201.549379 80.804617) (xy 201.439547 80.640242) (xy 201.299758 80.500453) + (xy 201.135383 80.390621) (xy 200.952739 80.314968) (xy 200.758846 80.2764) (xy 200.561154 80.2764) (xy 200.367261 80.314968) + (xy 200.184617 80.390621) (xy 200.020242 80.500453) (xy 199.880453 80.640242) (xy 199.770621 80.804617) (xy 199.699467 80.9764) + (xy 195.505756 80.9764) (xy 193.572954 79.0436) (xy 199.699467 79.0436) (xy 199.770621 79.215383) (xy 199.880453 79.379758) + (xy 200.020242 79.519547) (xy 200.184617 79.629379) (xy 200.367261 79.705032) (xy 200.561154 79.7436) (xy 200.758846 79.7436) + (xy 200.952739 79.705032) (xy 201.135383 79.629379) (xy 201.299758 79.519547) (xy 201.439547 79.379758) (xy 201.549379 79.215383) + (xy 201.625032 79.032739) (xy 201.6636 78.838846) (xy 201.6636 78.641154) (xy 201.625032 78.447261) (xy 201.549379 78.264617) + (xy 201.439547 78.100242) (xy 201.299758 77.960453) (xy 201.135383 77.850621) (xy 200.952739 77.774968) (xy 200.758846 77.7364) + (xy 200.561154 77.7364) (xy 200.367261 77.774968) (xy 200.184617 77.850621) (xy 200.020242 77.960453) (xy 199.880453 78.100242) + (xy 199.770621 78.264617) (xy 199.699467 78.4364) (xy 193.615755 78.4364) (xy 193.225226 78.045872) (xy 193.215716 78.034284) + (xy 193.169487 77.996345) (xy 193.116744 77.968154) (xy 193.059516 77.950794) (xy 193.014904 77.9464) (xy 193 77.944932) + (xy 192.985096 77.9464) (xy 192.522749 77.9464) (xy 192.521753 77.94491) (xy 192.45509 77.878247) (xy 192.376702 77.82587) + (xy 192.289603 77.789792) (xy 192.197138 77.7714) (xy 192.102862 77.7714) (xy 192.010397 77.789792) (xy 191.923298 77.82587) + (xy 191.84491 77.878247) (xy 191.778247 77.94491) (xy 191.72587 78.023298) (xy 191.689792 78.110397) (xy 191.6714 78.202862) + (xy 190.8036 78.202862) (xy 190.8036 77.410968) (xy 190.823298 77.42413) (xy 190.910397 77.460208) (xy 191.002862 77.4786) + (xy 191.097138 77.4786) (xy 191.189603 77.460208) (xy 191.276702 77.42413) (xy 191.35509 77.371753) (xy 191.421753 77.30509) + (xy 191.47413 77.226702) (xy 191.510208 77.139603) (xy 191.5286 77.047138) (xy 191.5286 76.952862) (xy 191.510208 76.860397) + (xy 191.47413 76.773298) (xy 191.421753 76.69491) (xy 191.35509 76.628247) (xy 191.276702 76.57587) (xy 191.189603 76.539792) + (xy 191.097138 76.5214) (xy 191.007954 76.5214) (xy 191.3714 76.157955) (xy 191.3714 76.202063) (xy 191.391713 76.304187) + (xy 191.43156 76.400386) (xy 191.489409 76.486963) (xy 191.563037 76.560591) (xy 191.649614 76.61844) (xy 191.745813 76.658287) + (xy 191.847937 76.6786) (xy 191.952063 76.6786) (xy 192.054187 76.658287) (xy 192.150386 76.61844) (xy 192.236963 76.560591) + (xy 192.310591 76.486963) (xy 192.36844 76.400386) (xy 192.408287 76.304187) (xy 192.4286 76.202063) (xy 192.4286 76.097937) + (xy 192.408287 75.995813) (xy 192.36844 75.899614) (xy 192.310591 75.813037) (xy 192.236963 75.739409) (xy 192.150386 75.68156) + (xy 192.054187 75.641713) (xy 191.952063 75.6214) (xy 191.879357 75.6214) (xy 191.881846 75.616744) (xy 191.899206 75.559516) + (xy 191.902006 75.531091) (xy 191.905068 75.5) (xy 191.9036 75.485096) (xy 191.9036 73.664904) (xy 191.905068 73.65) + (xy 191.899206 73.590484) (xy 191.892584 73.568654) (xy 191.881846 73.533256) (xy 191.853655 73.480513) (xy 191.815716 73.434284) + (xy 191.804135 73.42478) (xy 190.8036 72.424246) (xy 190.8036 69.102862) (xy 191.6714 69.102862) (xy 191.6714 69.197138) + (xy 191.689792 69.289603) (xy 191.72587 69.376702) (xy 191.778247 69.45509) (xy 191.84491 69.521753) (xy 191.923298 69.57413) + (xy 192.010397 69.610208) (xy 192.102862 69.6286) (xy 192.197138 69.6286) (xy 192.289603 69.610208) (xy 192.376702 69.57413) + (xy 192.45509 69.521753) (xy 192.521753 69.45509) (xy 192.522749 69.4536) (xy 192.619557 69.4536) (xy 192.578247 69.49491) + (xy 192.52587 69.573298) (xy 192.489792 69.660397) (xy 192.4714 69.752862) (xy 192.4714 69.847138) (xy 192.489792 69.939603) + (xy 192.52587 70.026702) (xy 192.578247 70.10509) (xy 192.619557 70.1464) (xy 192.522749 70.1464) (xy 192.521753 70.14491) + (xy 192.45509 70.078247) (xy 192.376702 70.02587) (xy 192.289603 69.989792) (xy 192.197138 69.9714) (xy 192.102862 69.9714) + (xy 192.010397 69.989792) (xy 191.923298 70.02587) (xy 191.84491 70.078247) (xy 191.778247 70.14491) (xy 191.72587 70.223298) + (xy 191.689792 70.310397) (xy 191.6714 70.402862) (xy 191.6714 70.497138) (xy 191.689792 70.589603) (xy 191.72587 70.676702) + (xy 191.778247 70.75509) (xy 191.84491 70.821753) (xy 191.923298 70.87413) (xy 192.010397 70.910208) (xy 192.102862 70.9286) + (xy 192.197138 70.9286) (xy 192.289603 70.910208) (xy 192.376702 70.87413) (xy 192.45509 70.821753) (xy 192.521753 70.75509) + (xy 192.522749 70.7536) (xy 192.619557 70.7536) (xy 192.578247 70.79491) (xy 192.52587 70.873298) (xy 192.489792 70.960397) + (xy 192.4714 71.052862) (xy 192.4714 71.147138) (xy 192.489792 71.239603) (xy 192.52587 71.326702) (xy 192.578247 71.40509) + (xy 192.619557 71.4464) (xy 192.522749 71.4464) (xy 192.521753 71.44491) (xy 192.45509 71.378247) (xy 192.376702 71.32587) + (xy 192.289603 71.289792) (xy 192.197138 71.2714) (xy 192.102862 71.2714) (xy 192.010397 71.289792) (xy 191.923298 71.32587) + (xy 191.84491 71.378247) (xy 191.778247 71.44491) (xy 191.72587 71.523298) (xy 191.689792 71.610397) (xy 191.6714 71.702862) + (xy 191.6714 71.797138) (xy 191.689792 71.889603) (xy 191.72587 71.976702) (xy 191.778247 72.05509) (xy 191.84491 72.121753) + (xy 191.923298 72.17413) (xy 192.010397 72.210208) (xy 192.102862 72.2286) (xy 192.197138 72.2286) (xy 192.289603 72.210208) + (xy 192.376702 72.17413) (xy 192.45509 72.121753) (xy 192.521753 72.05509) (xy 192.522749 72.0536) (xy 192.619557 72.0536) + (xy 192.578247 72.09491) (xy 192.52587 72.173298) (xy 192.489792 72.260397) (xy 192.4714 72.352862) (xy 192.4714 72.447138) + (xy 192.489792 72.539603) (xy 192.52587 72.626702) (xy 192.578247 72.70509) (xy 192.619557 72.7464) (xy 192.522749 72.7464) + (xy 192.521753 72.74491) (xy 192.45509 72.678247) (xy 192.376702 72.62587) (xy 192.289603 72.589792) (xy 192.197138 72.5714) + (xy 192.102862 72.5714) (xy 192.010397 72.589792) (xy 191.923298 72.62587) (xy 191.84491 72.678247) (xy 191.778247 72.74491) + (xy 191.72587 72.823298) (xy 191.689792 72.910397) (xy 191.6714 73.002862) (xy 191.6714 73.097138) (xy 191.689792 73.189603) + (xy 191.72587 73.276702) (xy 191.778247 73.35509) (xy 191.84491 73.421753) (xy 191.923298 73.47413) (xy 192.010397 73.510208) + (xy 192.102862 73.5286) (xy 192.197138 73.5286) (xy 192.289603 73.510208) (xy 192.376702 73.47413) (xy 192.45509 73.421753) + (xy 192.521753 73.35509) (xy 192.522749 73.3536) (xy 192.619557 73.3536) (xy 192.578247 73.39491) (xy 192.52587 73.473298) + (xy 192.489792 73.560397) (xy 192.4714 73.652862) (xy 192.4714 73.747138) (xy 192.489792 73.839603) (xy 192.52587 73.926702) + (xy 192.578247 74.00509) (xy 192.64491 74.071753) (xy 192.723298 74.12413) (xy 192.810397 74.160208) (xy 192.902862 74.1786) + (xy 192.997138 74.1786) (xy 193.040601 74.169955) (xy 195.274779 76.404134) (xy 195.284284 76.415716) (xy 195.330513 76.453655) + (xy 195.383256 76.481846) (xy 195.440484 76.499206) (xy 195.5 76.505068) (xy 195.514904 76.5036) (xy 199.699467 76.5036) + (xy 199.770621 76.675383) (xy 199.880453 76.839758) (xy 200.020242 76.979547) (xy 200.184617 77.089379) (xy 200.367261 77.165032) + (xy 200.561154 77.2036) (xy 200.758846 77.2036) (xy 200.952739 77.165032) (xy 201.135383 77.089379) (xy 201.299758 76.979547) + (xy 201.439547 76.839758) (xy 201.549379 76.675383) (xy 201.625032 76.492739) (xy 201.6636 76.298846) (xy 201.6636 76.101154) + (xy 202.1964 76.101154) (xy 202.1964 76.298846) (xy 202.234968 76.492739) (xy 202.310621 76.675383) (xy 202.420453 76.839758) + (xy 202.560242 76.979547) (xy 202.724617 77.089379) (xy 202.907261 77.165032) (xy 203.101154 77.2036) (xy 203.298846 77.2036) + (xy 203.492739 77.165032) (xy 203.675383 77.089379) (xy 203.839758 76.979547) (xy 203.979547 76.839758) (xy 204.089379 76.675383) + (xy 204.165032 76.492739) (xy 204.2036 76.298846) (xy 204.2036 76.101154) (xy 204.165032 75.907261) (xy 204.089379 75.724617) + (xy 203.979547 75.560242) (xy 203.839758 75.420453) (xy 203.675383 75.310621) (xy 203.492739 75.234968) (xy 203.298846 75.1964) + (xy 203.101154 75.1964) (xy 202.907261 75.234968) (xy 202.724617 75.310621) (xy 202.560242 75.420453) (xy 202.420453 75.560242) + (xy 202.310621 75.724617) (xy 202.234968 75.907261) (xy 202.1964 76.101154) (xy 201.6636 76.101154) (xy 201.625032 75.907261) + (xy 201.549379 75.724617) (xy 201.439547 75.560242) (xy 201.299758 75.420453) (xy 201.135383 75.310621) (xy 200.952739 75.234968) + (xy 200.758846 75.1964) (xy 200.561154 75.1964) (xy 200.367261 75.234968) (xy 200.184617 75.310621) (xy 200.020242 75.420453) + (xy 199.880453 75.560242) (xy 199.770621 75.724617) (xy 199.699467 75.8964) (xy 195.625755 75.8964) (xy 193.692954 73.9636) + (xy 199.699467 73.9636) (xy 199.770621 74.135383) (xy 199.880453 74.299758) (xy 200.020242 74.439547) (xy 200.184617 74.549379) + (xy 200.367261 74.625032) (xy 200.561154 74.6636) (xy 200.758846 74.6636) (xy 200.952739 74.625032) (xy 201.135383 74.549379) + (xy 201.299758 74.439547) (xy 201.439547 74.299758) (xy 201.549379 74.135383) (xy 201.625032 73.952739) (xy 201.6636 73.758846) + (xy 201.6636 73.561154) (xy 202.1964 73.561154) (xy 202.1964 73.758846) (xy 202.234968 73.952739) (xy 202.310621 74.135383) + (xy 202.420453 74.299758) (xy 202.560242 74.439547) (xy 202.724617 74.549379) (xy 202.907261 74.625032) (xy 203.101154 74.6636) + (xy 203.298846 74.6636) (xy 203.492739 74.625032) (xy 203.675383 74.549379) (xy 203.839758 74.439547) (xy 203.979547 74.299758) + (xy 204.089379 74.135383) (xy 204.165032 73.952739) (xy 204.2036 73.758846) (xy 204.2036 73.561154) (xy 204.7364 73.561154) + (xy 204.7364 73.758846) (xy 204.774968 73.952739) (xy 204.850621 74.135383) (xy 204.960453 74.299758) (xy 205.100242 74.439547) + (xy 205.264617 74.549379) (xy 205.447261 74.625032) (xy 205.641154 74.6636) (xy 205.838846 74.6636) (xy 206.032739 74.625032) + (xy 206.215383 74.549379) (xy 206.379758 74.439547) (xy 206.519547 74.299758) (xy 206.629379 74.135383) (xy 206.705032 73.952739) + (xy 206.7436 73.758846) (xy 206.7436 73.561154) (xy 206.705032 73.367261) (xy 206.629379 73.184617) (xy 206.519547 73.020242) + (xy 206.379758 72.880453) (xy 206.215383 72.770621) (xy 206.032739 72.694968) (xy 205.838846 72.6564) (xy 205.641154 72.6564) + (xy 205.447261 72.694968) (xy 205.264617 72.770621) (xy 205.100242 72.880453) (xy 204.960453 73.020242) (xy 204.850621 73.184617) + (xy 204.774968 73.367261) (xy 204.7364 73.561154) (xy 204.2036 73.561154) (xy 204.165032 73.367261) (xy 204.089379 73.184617) + (xy 203.979547 73.020242) (xy 203.839758 72.880453) (xy 203.675383 72.770621) (xy 203.492739 72.694968) (xy 203.298846 72.6564) + (xy 203.101154 72.6564) (xy 202.907261 72.694968) (xy 202.724617 72.770621) (xy 202.560242 72.880453) (xy 202.420453 73.020242) + (xy 202.310621 73.184617) (xy 202.234968 73.367261) (xy 202.1964 73.561154) (xy 201.6636 73.561154) (xy 201.625032 73.367261) + (xy 201.549379 73.184617) (xy 201.439547 73.020242) (xy 201.299758 72.880453) (xy 201.135383 72.770621) (xy 200.952739 72.694968) + (xy 200.758846 72.6564) (xy 200.561154 72.6564) (xy 200.367261 72.694968) (xy 200.184617 72.770621) (xy 200.020242 72.880453) + (xy 199.880453 73.020242) (xy 199.770621 73.184617) (xy 199.699467 73.3564) (xy 193.735756 73.3564) (xy 193.225226 72.845872) + (xy 193.215716 72.834284) (xy 193.191387 72.814318) (xy 193.25509 72.771753) (xy 193.321753 72.70509) (xy 193.37413 72.626702) + (xy 193.410208 72.539603) (xy 193.4286 72.447138) (xy 193.4286 72.352862) (xy 193.42825 72.351104) (xy 194.355756 71.4236) + (xy 199.699467 71.4236) (xy 199.770621 71.595383) (xy 199.880453 71.759758) (xy 200.020242 71.899547) (xy 200.184617 72.009379) + (xy 200.367261 72.085032) (xy 200.561154 72.1236) (xy 200.758846 72.1236) (xy 200.952739 72.085032) (xy 201.135383 72.009379) + (xy 201.299758 71.899547) (xy 201.439547 71.759758) (xy 201.549379 71.595383) (xy 201.625032 71.412739) (xy 201.6636 71.218846) + (xy 201.6636 71.021154) (xy 202.1964 71.021154) (xy 202.1964 71.218846) (xy 202.234968 71.412739) (xy 202.310621 71.595383) + (xy 202.420453 71.759758) (xy 202.560242 71.899547) (xy 202.724617 72.009379) (xy 202.907261 72.085032) (xy 203.101154 72.1236) + (xy 203.298846 72.1236) (xy 203.492739 72.085032) (xy 203.675383 72.009379) (xy 203.839758 71.899547) (xy 203.979547 71.759758) + (xy 204.089379 71.595383) (xy 204.165032 71.412739) (xy 204.2036 71.218846) (xy 204.2036 71.021154) (xy 204.7364 71.021154) + (xy 204.7364 71.218846) (xy 204.774968 71.412739) (xy 204.850621 71.595383) (xy 204.960453 71.759758) (xy 205.100242 71.899547) + (xy 205.264617 72.009379) (xy 205.447261 72.085032) (xy 205.641154 72.1236) (xy 205.838846 72.1236) (xy 206.032739 72.085032) + (xy 206.215383 72.009379) (xy 206.379758 71.899547) (xy 206.519547 71.759758) (xy 206.629379 71.595383) (xy 206.705032 71.412739) + (xy 206.7436 71.218846) (xy 206.7436 71.021154) (xy 206.705032 70.827261) (xy 206.629379 70.644617) (xy 206.519547 70.480242) + (xy 206.379758 70.340453) (xy 206.215383 70.230621) (xy 206.032739 70.154968) (xy 205.838846 70.1164) (xy 205.641154 70.1164) + (xy 205.447261 70.154968) (xy 205.264617 70.230621) (xy 205.100242 70.340453) (xy 204.960453 70.480242) (xy 204.850621 70.644617) + (xy 204.774968 70.827261) (xy 204.7364 71.021154) (xy 204.2036 71.021154) (xy 204.165032 70.827261) (xy 204.089379 70.644617) + (xy 203.979547 70.480242) (xy 203.839758 70.340453) (xy 203.675383 70.230621) (xy 203.492739 70.154968) (xy 203.298846 70.1164) + (xy 203.101154 70.1164) (xy 202.907261 70.154968) (xy 202.724617 70.230621) (xy 202.560242 70.340453) (xy 202.420453 70.480242) + (xy 202.310621 70.644617) (xy 202.234968 70.827261) (xy 202.1964 71.021154) (xy 201.6636 71.021154) (xy 201.625032 70.827261) + (xy 201.549379 70.644617) (xy 201.439547 70.480242) (xy 201.299758 70.340453) (xy 201.135383 70.230621) (xy 200.952739 70.154968) + (xy 200.758846 70.1164) (xy 200.561154 70.1164) (xy 200.367261 70.154968) (xy 200.184617 70.230621) (xy 200.020242 70.340453) + (xy 199.880453 70.480242) (xy 199.770621 70.644617) (xy 199.699467 70.8164) (xy 194.362954 70.8164) (xy 196.295755 68.8836) + (xy 199.699467 68.8836) (xy 199.770621 69.055383) (xy 199.880453 69.219758) (xy 200.020242 69.359547) (xy 200.184617 69.469379) + (xy 200.367261 69.545032) (xy 200.561154 69.5836) (xy 200.758846 69.5836) (xy 200.952739 69.545032) (xy 201.135383 69.469379) + (xy 201.299758 69.359547) (xy 201.439547 69.219758) (xy 201.549379 69.055383) (xy 201.625032 68.872739) (xy 201.6636 68.678846) + (xy 201.6636 68.481154) (xy 202.1964 68.481154) (xy 202.1964 68.678846) (xy 202.234968 68.872739) (xy 202.310621 69.055383) + (xy 202.420453 69.219758) (xy 202.560242 69.359547) (xy 202.724617 69.469379) (xy 202.907261 69.545032) (xy 203.101154 69.5836) + (xy 203.298846 69.5836) (xy 203.492739 69.545032) (xy 203.675383 69.469379) (xy 203.839758 69.359547) (xy 203.979547 69.219758) + (xy 204.089379 69.055383) (xy 204.165032 68.872739) (xy 204.2036 68.678846) (xy 204.2036 68.481154) (xy 204.7364 68.481154) + (xy 204.7364 68.678846) (xy 204.774968 68.872739) (xy 204.850621 69.055383) (xy 204.960453 69.219758) (xy 205.100242 69.359547) + (xy 205.264617 69.469379) (xy 205.447261 69.545032) (xy 205.641154 69.5836) (xy 205.838846 69.5836) (xy 206.032739 69.545032) + (xy 206.215383 69.469379) (xy 206.379758 69.359547) (xy 206.519547 69.219758) (xy 206.629379 69.055383) (xy 206.705032 68.872739) + (xy 206.7436 68.678846) (xy 206.7436 68.481154) (xy 206.705032 68.287261) (xy 206.629379 68.104617) (xy 206.519547 67.940242) + (xy 206.379758 67.800453) (xy 206.215383 67.690621) (xy 206.032739 67.614968) (xy 205.838846 67.5764) (xy 205.641154 67.5764) + (xy 205.447261 67.614968) (xy 205.264617 67.690621) (xy 205.100242 67.800453) (xy 204.960453 67.940242) (xy 204.850621 68.104617) + (xy 204.774968 68.287261) (xy 204.7364 68.481154) (xy 204.2036 68.481154) (xy 204.165032 68.287261) (xy 204.089379 68.104617) + (xy 203.979547 67.940242) (xy 203.839758 67.800453) (xy 203.675383 67.690621) (xy 203.492739 67.614968) (xy 203.298846 67.5764) + (xy 203.101154 67.5764) (xy 202.907261 67.614968) (xy 202.724617 67.690621) (xy 202.560242 67.800453) (xy 202.420453 67.940242) + (xy 202.310621 68.104617) (xy 202.234968 68.287261) (xy 202.1964 68.481154) (xy 201.6636 68.481154) (xy 201.625032 68.287261) + (xy 201.549379 68.104617) (xy 201.439547 67.940242) (xy 201.299758 67.800453) (xy 201.135383 67.690621) (xy 200.952739 67.614968) + (xy 200.758846 67.5764) (xy 200.561154 67.5764) (xy 200.367261 67.614968) (xy 200.184617 67.690621) (xy 200.020242 67.800453) + (xy 199.880453 67.940242) (xy 199.770621 68.104617) (xy 199.699467 68.2764) (xy 196.252955 68.2764) (xy 198.185755 66.3436) + (xy 199.699467 66.3436) (xy 199.770621 66.515383) (xy 199.880453 66.679758) (xy 200.020242 66.819547) (xy 200.184617 66.929379) + (xy 200.367261 67.005032) (xy 200.561154 67.0436) (xy 200.758846 67.0436) (xy 200.952739 67.005032) (xy 201.135383 66.929379) + (xy 201.299758 66.819547) (xy 201.439547 66.679758) (xy 201.549379 66.515383) (xy 201.625032 66.332739) (xy 201.6636 66.138846) + (xy 201.6636 65.941154) (xy 202.1964 65.941154) (xy 202.1964 66.138846) (xy 202.234968 66.332739) (xy 202.310621 66.515383) + (xy 202.420453 66.679758) (xy 202.560242 66.819547) (xy 202.724617 66.929379) (xy 202.907261 67.005032) (xy 203.101154 67.0436) + (xy 203.298846 67.0436) (xy 203.492739 67.005032) (xy 203.675383 66.929379) (xy 203.839758 66.819547) (xy 203.979547 66.679758) + (xy 204.089379 66.515383) (xy 204.165032 66.332739) (xy 204.2036 66.138846) (xy 204.2036 65.941154) (xy 204.7364 65.941154) + (xy 204.7364 66.138846) (xy 204.774968 66.332739) (xy 204.850621 66.515383) (xy 204.960453 66.679758) (xy 205.100242 66.819547) + (xy 205.264617 66.929379) (xy 205.447261 67.005032) (xy 205.641154 67.0436) (xy 205.838846 67.0436) (xy 206.032739 67.005032) + (xy 206.215383 66.929379) (xy 206.379758 66.819547) (xy 206.519547 66.679758) (xy 206.629379 66.515383) (xy 206.705032 66.332739) + (xy 206.7436 66.138846) (xy 206.7436 65.941154) (xy 206.705032 65.747261) (xy 206.629379 65.564617) (xy 206.519547 65.400242) + (xy 206.379758 65.260453) (xy 206.215383 65.150621) (xy 206.032739 65.074968) (xy 205.838846 65.0364) (xy 205.641154 65.0364) + (xy 205.447261 65.074968) (xy 205.264617 65.150621) (xy 205.100242 65.260453) (xy 204.960453 65.400242) (xy 204.850621 65.564617) + (xy 204.774968 65.747261) (xy 204.7364 65.941154) (xy 204.2036 65.941154) (xy 204.165032 65.747261) (xy 204.089379 65.564617) + (xy 203.979547 65.400242) (xy 203.839758 65.260453) (xy 203.675383 65.150621) (xy 203.492739 65.074968) (xy 203.298846 65.0364) + (xy 203.101154 65.0364) (xy 202.907261 65.074968) (xy 202.724617 65.150621) (xy 202.560242 65.260453) (xy 202.420453 65.400242) + (xy 202.310621 65.564617) (xy 202.234968 65.747261) (xy 202.1964 65.941154) (xy 201.6636 65.941154) (xy 201.625032 65.747261) + (xy 201.549379 65.564617) (xy 201.439547 65.400242) (xy 201.299758 65.260453) (xy 201.135383 65.150621) (xy 200.952739 65.074968) + (xy 200.758846 65.0364) (xy 200.561154 65.0364) (xy 200.367261 65.074968) (xy 200.184617 65.150621) (xy 200.020242 65.260453) + (xy 199.880453 65.400242) (xy 199.770621 65.564617) (xy 199.699467 65.7364) (xy 198.142955 65.7364) (xy 199.824034 64.055322) + (xy 199.880453 64.139758) (xy 200.020242 64.279547) (xy 200.184617 64.389379) (xy 200.367261 64.465032) (xy 200.561154 64.5036) + (xy 200.758846 64.5036) (xy 200.952739 64.465032) (xy 201.135383 64.389379) (xy 201.299758 64.279547) (xy 201.439547 64.139758) + (xy 201.549379 63.975383) (xy 201.625032 63.792739) (xy 201.6636 63.598846) (xy 201.6636 63.401154) (xy 202.1964 63.401154) + (xy 202.1964 63.598846) (xy 202.234968 63.792739) (xy 202.310621 63.975383) (xy 202.420453 64.139758) (xy 202.560242 64.279547) + (xy 202.724617 64.389379) (xy 202.907261 64.465032) (xy 203.101154 64.5036) (xy 203.298846 64.5036) (xy 203.492739 64.465032) + (xy 203.675383 64.389379) (xy 203.839758 64.279547) (xy 203.979547 64.139758) (xy 204.089379 63.975383) (xy 204.165032 63.792739) + (xy 204.2036 63.598846) (xy 204.2036 63.401154) (xy 204.7364 63.401154) (xy 204.7364 63.598846) (xy 204.774968 63.792739) + (xy 204.850621 63.975383) (xy 204.960453 64.139758) (xy 205.100242 64.279547) (xy 205.264617 64.389379) (xy 205.447261 64.465032) + (xy 205.641154 64.5036) (xy 205.838846 64.5036) (xy 206.032739 64.465032) (xy 206.215383 64.389379) (xy 206.379758 64.279547) + (xy 206.519547 64.139758) (xy 206.629379 63.975383) (xy 206.705032 63.792739) (xy 206.7436 63.598846) (xy 206.7436 63.401154) + (xy 206.705032 63.207261) (xy 206.629379 63.024617) (xy 206.519547 62.860242) (xy 206.379758 62.720453) (xy 206.215383 62.610621) + (xy 206.032739 62.534968) (xy 205.838846 62.4964) (xy 205.641154 62.4964) (xy 205.447261 62.534968) (xy 205.264617 62.610621) + (xy 205.100242 62.720453) (xy 204.960453 62.860242) (xy 204.850621 63.024617) (xy 204.774968 63.207261) (xy 204.7364 63.401154) + (xy 204.2036 63.401154) (xy 204.165032 63.207261) (xy 204.089379 63.024617) (xy 203.979547 62.860242) (xy 203.839758 62.720453) + (xy 203.675383 62.610621) (xy 203.492739 62.534968) (xy 203.298846 62.4964) (xy 203.101154 62.4964) (xy 202.907261 62.534968) + (xy 202.724617 62.610621) (xy 202.560242 62.720453) (xy 202.420453 62.860242) (xy 202.310621 63.024617) (xy 202.234968 63.207261) + (xy 202.1964 63.401154) (xy 201.6636 63.401154) (xy 201.625032 63.207261) (xy 201.549379 63.024617) (xy 201.439547 62.860242) + (xy 201.299758 62.720453) (xy 201.135383 62.610621) (xy 200.952739 62.534968) (xy 200.758846 62.4964) (xy 200.561154 62.4964) + (xy 200.367261 62.534968) (xy 200.184617 62.610621) (xy 200.020242 62.720453) (xy 199.880453 62.860242) (xy 199.770621 63.024617) + (xy 199.694968 63.207261) (xy 199.665565 63.355079) (xy 198.6536 64.367044) (xy 198.6536 63.395754) (xy 200.195478 61.853878) + (xy 200.367261 61.925032) (xy 200.561154 61.9636) (xy 200.758846 61.9636) (xy 200.952739 61.925032) (xy 201.135383 61.849379) + (xy 201.299758 61.739547) (xy 201.439547 61.599758) (xy 201.549379 61.435383) (xy 201.625032 61.252739) (xy 201.6636 61.058846) + (xy 201.6636 60.861154) (xy 202.1964 60.861154) (xy 202.1964 61.058846) (xy 202.234968 61.252739) (xy 202.310621 61.435383) + (xy 202.420453 61.599758) (xy 202.560242 61.739547) (xy 202.724617 61.849379) (xy 202.907261 61.925032) (xy 203.101154 61.9636) + (xy 203.298846 61.9636) (xy 203.492739 61.925032) (xy 203.675383 61.849379) (xy 203.839758 61.739547) (xy 203.979547 61.599758) + (xy 204.089379 61.435383) (xy 204.165032 61.252739) (xy 204.2036 61.058846) (xy 204.2036 60.861154) (xy 204.7364 60.861154) + (xy 204.7364 61.058846) (xy 204.774968 61.252739) (xy 204.850621 61.435383) (xy 204.960453 61.599758) (xy 205.100242 61.739547) + (xy 205.264617 61.849379) (xy 205.447261 61.925032) (xy 205.641154 61.9636) (xy 205.838846 61.9636) (xy 206.032739 61.925032) + (xy 206.215383 61.849379) (xy 206.379758 61.739547) (xy 206.519547 61.599758) (xy 206.629379 61.435383) (xy 206.705032 61.252739) + (xy 206.7436 61.058846) (xy 206.7436 60.861154) (xy 206.705032 60.667261) (xy 206.629379 60.484617) (xy 206.519547 60.320242) + (xy 206.379758 60.180453) (xy 206.215383 60.070621) (xy 206.032739 59.994968) (xy 205.838846 59.9564) (xy 205.641154 59.9564) + (xy 205.447261 59.994968) (xy 205.264617 60.070621) (xy 205.100242 60.180453) (xy 204.960453 60.320242) (xy 204.850621 60.484617) + (xy 204.774968 60.667261) (xy 204.7364 60.861154) (xy 204.2036 60.861154) (xy 204.165032 60.667261) (xy 204.089379 60.484617) + (xy 203.979547 60.320242) (xy 203.839758 60.180453) (xy 203.675383 60.070621) (xy 203.492739 59.994968) (xy 203.298846 59.9564) + (xy 203.101154 59.9564) (xy 202.907261 59.994968) (xy 202.724617 60.070621) (xy 202.560242 60.180453) (xy 202.420453 60.320242) + (xy 202.310621 60.484617) (xy 202.234968 60.667261) (xy 202.1964 60.861154) (xy 201.6636 60.861154) (xy 201.625032 60.667261) + (xy 201.549379 60.484617) (xy 201.439547 60.320242) (xy 201.299758 60.180453) (xy 201.135383 60.070621) (xy 200.952739 59.994968) + (xy 200.758846 59.9564) (xy 200.561154 59.9564) (xy 200.367261 59.994968) (xy 200.184617 60.070621) (xy 200.020242 60.180453) + (xy 199.880453 60.320242) (xy 199.770621 60.484617) (xy 199.694968 60.667261) (xy 199.6564 60.861154) (xy 199.6564 61.058846) + (xy 199.694968 61.252739) (xy 199.766122 61.424522) (xy 198.3536 62.837046) (xy 198.3536 61.155754) (xy 200.195478 59.313878) + (xy 200.367261 59.385032) (xy 200.561154 59.4236) (xy 200.758846 59.4236) (xy 200.952739 59.385032) (xy 201.135383 59.309379) + (xy 201.299758 59.199547) (xy 201.356473 59.142832) (xy 202.581537 59.142832) (xy 202.668539 59.277049) (xy 202.845953 59.364265) + (xy 203.036972 59.415192) (xy 203.234257 59.427875) (xy 203.430225 59.401826) (xy 203.617346 59.338046) (xy 203.731461 59.277049) + (xy 203.818463 59.142832) (xy 203.2 58.524369) (xy 202.581537 59.142832) (xy 201.356473 59.142832) (xy 201.439547 59.059758) + (xy 201.549379 58.895383) (xy 201.625032 58.712739) (xy 201.6636 58.518846) (xy 201.6636 58.454257) (xy 202.192125 58.454257) + (xy 202.218174 58.650225) (xy 202.281954 58.837346) (xy 202.342951 58.951461) (xy 202.477168 59.038463) (xy 203.095631 58.42) + (xy 203.304369 58.42) (xy 203.922832 59.038463) (xy 204.057049 58.951461) (xy 204.144265 58.774047) (xy 204.195192 58.583028) + (xy 204.207875 58.385743) (xy 204.19929 58.321154) (xy 204.7364 58.321154) (xy 204.7364 58.518846) (xy 204.774968 58.712739) + (xy 204.850621 58.895383) (xy 204.960453 59.059758) (xy 205.100242 59.199547) (xy 205.264617 59.309379) (xy 205.447261 59.385032) + (xy 205.641154 59.4236) (xy 205.838846 59.4236) (xy 206.032739 59.385032) (xy 206.215383 59.309379) (xy 206.379758 59.199547) + (xy 206.519547 59.059758) (xy 206.629379 58.895383) (xy 206.705032 58.712739) (xy 206.7436 58.518846) (xy 206.7436 58.321154) + (xy 206.705032 58.127261) (xy 206.629379 57.944617) (xy 206.519547 57.780242) (xy 206.379758 57.640453) (xy 206.215383 57.530621) + (xy 206.032739 57.454968) (xy 205.838846 57.4164) (xy 205.641154 57.4164) (xy 205.447261 57.454968) (xy 205.264617 57.530621) + (xy 205.100242 57.640453) (xy 204.960453 57.780242) (xy 204.850621 57.944617) (xy 204.774968 58.127261) (xy 204.7364 58.321154) + (xy 204.19929 58.321154) (xy 204.181826 58.189775) (xy 204.118046 58.002654) (xy 204.057049 57.888539) (xy 203.922832 57.801537) + (xy 203.304369 58.42) (xy 203.095631 58.42) (xy 202.477168 57.801537) (xy 202.342951 57.888539) (xy 202.255735 58.065953) + (xy 202.204808 58.256972) (xy 202.192125 58.454257) (xy 201.6636 58.454257) (xy 201.6636 58.321154) (xy 201.625032 58.127261) + (xy 201.549379 57.944617) (xy 201.439547 57.780242) (xy 201.356473 57.697168) (xy 202.581537 57.697168) (xy 203.2 58.315631) + (xy 203.818463 57.697168) (xy 203.731461 57.562951) (xy 203.554047 57.475735) (xy 203.363028 57.424808) (xy 203.165743 57.412125) + (xy 202.969775 57.438174) (xy 202.782654 57.501954) (xy 202.668539 57.562951) (xy 202.581537 57.697168) (xy 201.356473 57.697168) + (xy 201.299758 57.640453) (xy 201.135383 57.530621) (xy 200.952739 57.454968) (xy 200.758846 57.4164) (xy 200.561154 57.4164) + (xy 200.367261 57.454968) (xy 200.184617 57.530621) (xy 200.020242 57.640453) (xy 199.880453 57.780242) (xy 199.770621 57.944617) + (xy 199.694968 58.127261) (xy 199.6564 58.321154) (xy 199.6564 58.518846) (xy 199.694968 58.712739) (xy 199.766122 58.884522) + (xy 197.845872 60.804774) (xy 197.834284 60.814284) (xy 197.796345 60.860514) (xy 197.768154 60.913257) (xy 197.753974 60.960001) + (xy 197.750794 60.970485) (xy 197.744932 61.03) (xy 197.7464 61.044904) (xy 197.746401 63.974244) (xy 192.874246 68.8464) + (xy 192.522749 68.8464) (xy 192.521753 68.84491) (xy 192.45509 68.778247) (xy 192.376702 68.72587) (xy 192.289603 68.689792) + (xy 192.197138 68.6714) (xy 192.102862 68.6714) (xy 192.010397 68.689792) (xy 191.923298 68.72587) (xy 191.84491 68.778247) + (xy 191.778247 68.84491) (xy 191.72587 68.923298) (xy 191.689792 69.010397) (xy 191.6714 69.102862) (xy 190.8036 69.102862) + (xy 190.8036 68.310968) (xy 190.823298 68.32413) (xy 190.910397 68.360208) (xy 191.002862 68.3786) (xy 191.097138 68.3786) + (xy 191.189603 68.360208) (xy 191.276702 68.32413) (xy 191.35509 68.271753) (xy 191.421753 68.20509) (xy 191.47413 68.126702) + (xy 191.510208 68.039603) (xy 191.5286 67.947138) (xy 191.5286 67.852862) (xy 191.510208 67.760397) (xy 191.47413 67.673298) + (xy 191.421753 67.59491) (xy 191.35509 67.528247) (xy 191.276702 67.47587) (xy 191.189603 67.439792) (xy 191.097138 67.4214) + (xy 191.057954 67.4214) (xy 191.372377 67.106977) (xy 191.391713 67.204187) (xy 191.43156 67.300386) (xy 191.489409 67.386963) + (xy 191.563037 67.460591) (xy 191.649614 67.51844) (xy 191.745813 67.558287) (xy 191.847937 67.5786) (xy 191.952063 67.5786) + (xy 192.054187 67.558287) (xy 192.150386 67.51844) (xy 192.236963 67.460591) (xy 192.310591 67.386963) (xy 192.36844 67.300386) + (xy 192.408287 67.204187) (xy 192.4286 67.102063) (xy 192.4286 66.997937) (xy 192.408287 66.895813) (xy 192.36844 66.799614) + (xy 192.310591 66.713037) (xy 192.236963 66.639409) (xy 192.150386 66.58156) (xy 192.054187 66.541713) (xy 191.952063 66.5214) + (xy 191.847937 66.5214) (xy 191.8036 66.530219) (xy 191.8036 66.025754) (xy 192.101104 65.72825) (xy 192.102862 65.7286) + (xy 192.197138 65.7286) (xy 192.289603 65.710208) (xy 192.376702 65.67413) (xy 192.45509 65.621753) (xy 192.521753 65.55509) + (xy 192.57413 65.476702) (xy 192.610208 65.389603) (xy 192.6286 65.297138) (xy 192.6286 65.202862) (xy 192.610208 65.110397) + (xy 192.57413 65.023298) (xy 192.521753 64.94491) (xy 192.45509 64.878247) (xy 192.376702 64.82587) (xy 192.289603 64.789792) + (xy 192.197138 64.7714) (xy 192.102862 64.7714) (xy 192.010397 64.789792) (xy 191.923298 64.82587) (xy 191.84491 64.878247) + (xy 191.778247 64.94491) (xy 191.72587 65.023298) (xy 191.689792 65.110397) (xy 191.6714 65.202862) (xy 191.6714 65.297138) + (xy 191.67175 65.298896) (xy 191.295872 65.674774) (xy 191.284284 65.684284) (xy 191.246345 65.730514) (xy 191.218154 65.783257) + (xy 191.204605 65.827923) (xy 191.126702 65.77587) (xy 191.039603 65.739792) (xy 190.947138 65.7214) (xy 190.852862 65.7214) + (xy 190.760397 65.739792) (xy 190.673298 65.77587) (xy 190.59491 65.828247) (xy 190.528247 65.89491) (xy 190.47587 65.973298) + (xy 190.439792 66.060397) (xy 190.4214 66.152862) (xy 190.4214 66.247138) (xy 190.439792 66.339603) (xy 190.47587 66.426702) + (xy 190.528247 66.50509) (xy 190.59491 66.571753) (xy 190.673298 66.62413) (xy 190.760397 66.660208) (xy 190.852862 66.6786) + (xy 190.942045 66.6786) (xy 190.295872 67.324774) (xy 190.284284 67.334284) (xy 190.246345 67.380514) (xy 190.218154 67.433257) + (xy 190.204975 67.476702) (xy 190.200794 67.490485) (xy 190.194932 67.55) (xy 190.1964 67.564904) (xy 190.1964 68.089032) + (xy 190.176702 68.07587) (xy 190.089603 68.039792) (xy 189.997138 68.0214) (xy 189.902862 68.0214) (xy 189.810397 68.039792) + (xy 189.723298 68.07587) (xy 189.64491 68.128247) (xy 189.578247 68.19491) (xy 189.52587 68.273298) (xy 189.489792 68.360397) + (xy 189.4714 68.452862) (xy 189.4714 68.547138) (xy 189.489792 68.639603) (xy 189.52587 68.726702) (xy 189.578247 68.80509) + (xy 189.64491 68.871753) (xy 189.723298 68.92413) (xy 189.810397 68.960208) (xy 189.902862 68.9786) (xy 189.997138 68.9786) + (xy 190.089603 68.960208) (xy 190.176702 68.92413) (xy 190.1964 68.910968) (xy 190.196401 72.535086) (xy 190.194932 72.55) + (xy 190.200794 72.609515) (xy 190.210047 72.640016) (xy 190.218155 72.666744) (xy 190.246346 72.719487) (xy 190.284285 72.765716) + (xy 190.295867 72.775221) (xy 191.2964 73.775755) (xy 191.296401 75.031798) (xy 191.271753 74.99491) (xy 191.20509 74.928247) + (xy 191.126702 74.87587) (xy 191.039603 74.839792) (xy 190.947138 74.8214) (xy 190.852862 74.8214) (xy 190.760397 74.839792) + (xy 190.673298 74.87587) (xy 190.59491 74.928247) (xy 190.528247 74.99491) (xy 190.47587 75.073298) (xy 190.439792 75.160397) + (xy 190.4214 75.252862) (xy 190.4214 75.347138) (xy 190.439792 75.439603) (xy 190.47587 75.526702) (xy 190.528247 75.60509) + (xy 190.59491 75.671753) (xy 190.673298 75.72413) (xy 190.760397 75.760208) (xy 190.852862 75.7786) (xy 190.892045 75.7786) + (xy 190.295872 76.374774) (xy 190.284284 76.384284) (xy 190.246345 76.430514) (xy 190.218154 76.483257) (xy 190.204975 76.526702) + (xy 190.200794 76.540485) (xy 190.194932 76.6) (xy 190.1964 76.614904) (xy 190.1964 77.189032) (xy 190.176702 77.17587) + (xy 190.089603 77.139792) (xy 189.997138 77.1214) (xy 189.902862 77.1214) (xy 189.810397 77.139792) (xy 189.723298 77.17587) + (xy 189.64491 77.228247) (xy 189.578247 77.29491) (xy 189.52587 77.373298) (xy 189.489792 77.460397) (xy 189.4714 77.552862) + (xy 189.4714 77.647138) (xy 189.489792 77.739603) (xy 189.52587 77.826702) (xy 189.578247 77.90509) (xy 189.64491 77.971753) + (xy 189.723298 78.02413) (xy 189.810397 78.060208) (xy 189.902862 78.0786) (xy 189.997138 78.0786) (xy 190.089603 78.060208) + (xy 190.176702 78.02413) (xy 190.1964 78.010968) (xy 190.196401 81.071674) (xy 190.165716 81.034284) (xy 190.154139 81.024783) + (xy 188.4536 79.324246) (xy 188.4536 75.650754) (xy 189.450755 74.6536) (xy 189.577251 74.6536) (xy 189.578247 74.65509) + (xy 189.64491 74.721753) (xy 189.723298 74.77413) (xy 189.810397 74.810208) (xy 189.902862 74.8286) (xy 189.997138 74.8286) + (xy 190.089603 74.810208) (xy 190.176702 74.77413) (xy 190.25509 74.721753) (xy 190.321753 74.65509) (xy 190.37413 74.576702) + (xy 190.410208 74.489603) (xy 190.4286 74.397138) (xy 190.4286 74.302862) (xy 190.410208 74.210397) (xy 190.37413 74.123298) + (xy 190.321753 74.04491) (xy 190.25509 73.978247) (xy 190.176702 73.92587) (xy 190.089603 73.889792) (xy 189.997138 73.8714) + (xy 189.902862 73.8714) (xy 189.810397 73.889792) (xy 189.723298 73.92587) (xy 189.64491 73.978247) (xy 189.578247 74.04491) + (xy 189.577251 74.0464) (xy 189.339904 74.0464) (xy 189.325 74.044932) (xy 189.310096 74.0464) (xy 189.265484 74.050794) + (xy 189.208256 74.068154) (xy 189.155513 74.096345) (xy 189.109284 74.134284) (xy 189.099779 74.145866) (xy 187.945867 75.299779) + (xy 187.934285 75.309284) (xy 187.896346 75.355513) (xy 187.873402 75.39844) (xy 187.868155 75.408256) (xy 187.850794 75.465485) + (xy 187.844932 75.525) (xy 187.846401 75.539914) (xy 187.8464 79.435096) (xy 187.844932 79.45) (xy 187.8464 79.464903) + (xy 187.850794 79.509515) (xy 187.868154 79.566743) (xy 187.896345 79.619486) (xy 187.934284 79.665716) (xy 187.945872 79.675226) + (xy 189.646401 81.375757) (xy 189.6464 83.077251) (xy 189.64491 83.078247) (xy 189.578247 83.14491) (xy 189.52587 83.223298) + (xy 189.489792 83.310397) (xy 189.4714 83.402862) (xy 189.4714 83.497138) (xy 189.489792 83.589603) (xy 189.52587 83.676702) + (xy 189.578247 83.75509) (xy 189.64491 83.821753) (xy 189.723298 83.87413) (xy 189.810397 83.910208) (xy 189.902862 83.9286) + (xy 189.997138 83.9286) (xy 190.089603 83.910208) (xy 190.176702 83.87413) (xy 190.25509 83.821753) (xy 190.321753 83.75509) + (xy 190.322749 83.7536) (xy 191.274246 83.7536) (xy 193.496401 85.975756) (xy 193.4964 90.724244) (xy 192.474246 91.7464) + (xy 191.964904 91.7464) (xy 191.95 91.744932) (xy 191.935096 91.7464) (xy 191.890484 91.750794) (xy 191.833256 91.768154) + (xy 191.780513 91.796345) (xy 191.734284 91.834284) (xy 191.724779 91.845867) (xy 191.174246 92.3964) (xy 190.564903 92.3964) + (xy 190.549999 92.394932) (xy 190.498545 92.4) (xy 190.490484 92.400794) (xy 190.433256 92.418154) (xy 190.380513 92.446345) + (xy 190.334284 92.484284) (xy 190.324778 92.495867) (xy 190.124246 92.6964) (xy 189.314904 92.6964) (xy 189.3 92.694932) + (xy 189.285096 92.6964) (xy 189.240484 92.700794) (xy 189.183256 92.718154) (xy 189.130513 92.746345) (xy 189.084284 92.784284) + (xy 189.074779 92.795866) (xy 187.945867 93.924779) (xy 187.934285 93.934284) (xy 187.896346 93.980513) (xy 187.876792 94.017097) + (xy 187.868155 94.033256) (xy 187.850794 94.090485) (xy 187.844932 94.15) (xy 187.846401 94.164914) (xy 187.8464 100.335096) + (xy 187.844932 100.35) (xy 187.8464 100.364903) (xy 187.850794 100.409515) (xy 187.868154 100.466743) (xy 187.896345 100.519486) + (xy 187.934284 100.565716) (xy 187.945872 100.575226) (xy 188.97175 101.601104) (xy 188.9714 101.602862) (xy 188.9714 101.697138) + (xy 188.989792 101.789603) (xy 189.02587 101.876702) (xy 189.078247 101.95509) (xy 189.14491 102.021753) (xy 189.156946 102.029795) + (xy 189.168154 102.066744) (xy 189.196346 102.119487) (xy 189.234285 102.165716) (xy 189.280514 102.203655) (xy 189.333257 102.231846) + (xy 189.390485 102.249206) (xy 189.45 102.255068) (xy 189.509516 102.249206) (xy 189.566744 102.231846) (xy 189.619487 102.203655) + (xy 189.665716 102.165716) (xy 189.703655 102.119487) (xy 189.731846 102.066744) (xy 189.743054 102.029795) (xy 189.75509 102.021753) + (xy 189.821753 101.95509) (xy 189.87413 101.876702) (xy 189.910208 101.789603) (xy 189.9286 101.697138) (xy 189.9286 101.602862) + (xy 189.910208 101.510397) (xy 189.87413 101.423298) (xy 189.821753 101.34491) (xy 189.75509 101.278247) (xy 189.676702 101.22587) + (xy 189.589603 101.189792) (xy 189.497138 101.1714) (xy 189.402862 101.1714) (xy 189.401104 101.17175) (xy 188.4536 100.224246) + (xy 188.4536 94.275754) (xy 189.425755 93.3036) (xy 190.095287 93.3036) (xy 190.0964 93.314904) (xy 190.096401 93.974244) + (xy 188.895872 95.174774) (xy 188.884284 95.184284) (xy 188.846345 95.230514) (xy 188.818154 95.283257) (xy 188.805215 95.32591) + (xy 188.800794 95.340485) (xy 188.794932 95.4) (xy 188.7964 95.414903) (xy 188.796401 99.335086) (xy 188.794932 99.35) + (xy 188.800794 99.409515) (xy 188.814184 99.453654) (xy 188.818155 99.466744) (xy 188.846346 99.519487) (xy 188.884285 99.565716) + (xy 188.895867 99.575221) (xy 192.6964 103.375755) (xy 192.696401 106.624244) (xy 182.022246 117.2984) (xy 166.003904 117.2984) + (xy 165.989 117.296932) (xy 165.974096 117.2984) (xy 165.929484 117.302794) (xy 165.872256 117.320154) (xy 165.819513 117.348345) + (xy 165.773284 117.386284) (xy 165.763779 117.397866) (xy 161.037246 122.1244) (xy 121.453756 122.1244) (xy 118.5536 119.224246) + (xy 118.5536 118.682954) (xy 121.867779 121.997134) (xy 121.877284 122.008716) (xy 121.923513 122.046655) (xy 121.976256 122.074846) + (xy 122.033484 122.092206) (xy 122.093 122.098068) (xy 122.107904 122.0966) (xy 160.767096 122.0966) (xy 160.782 122.098068) + (xy 160.796904 122.0966) (xy 160.841516 122.092206) (xy 160.898744 122.074846) (xy 160.951487 122.046655) (xy 160.997716 122.008716) + (xy 161.007226 121.997128) (xy 165.860755 117.1436) (xy 181.545096 117.1436) (xy 181.56 117.145068) (xy 181.574904 117.1436) + (xy 181.619516 117.139206) (xy 181.676744 117.121846) (xy 181.729487 117.093655) (xy 181.775716 117.055716) (xy 181.785226 117.044128) + (xy 186.701105 112.128251) (xy 186.702862 112.1286) (xy 186.797138 112.1286) (xy 186.889603 112.110208) (xy 186.976702 112.07413) + (xy 187.05509 112.021753) (xy 187.121753 111.95509) (xy 187.17413 111.876702) (xy 187.210208 111.789603) (xy 187.2286 111.697138) + (xy 187.2286 111.602862) (xy 187.210208 111.510397) (xy 187.17413 111.423298) (xy 187.121753 111.34491) (xy 187.05509 111.278247) + (xy 186.976702 111.22587) (xy 186.889603 111.189792) (xy 186.797138 111.1714) (xy 186.702862 111.1714) (xy 186.610397 111.189792) + (xy 186.523298 111.22587) (xy 186.44491 111.278247) (xy 186.378247 111.34491) (xy 186.32587 111.423298) (xy 186.289792 111.510397) + (xy 186.2714 111.602862) (xy 186.2714 111.697138) (xy 186.271749 111.698895) (xy 184.110215 113.86043) (xy 184.110208 113.860397) + (xy 184.07413 113.773298) (xy 184.021753 113.69491) (xy 183.95509 113.628247) (xy 183.876702 113.57587) (xy 183.789603 113.539792) + (xy 183.697138 113.5214) (xy 183.602862 113.5214) (xy 183.510397 113.539792) (xy 183.423298 113.57587) (xy 183.34491 113.628247) + (xy 183.278247 113.69491) (xy 183.22587 113.773298) (xy 183.189792 113.860397) (xy 183.1714 113.952862) (xy 183.1714 114.047138) + (xy 183.189792 114.139603) (xy 183.22587 114.226702) (xy 183.278247 114.30509) (xy 183.34491 114.371753) (xy 183.423298 114.42413) + (xy 183.510397 114.460208) (xy 183.510431 114.460215) (xy 181.434246 116.5364) (xy 165.749904 116.5364) (xy 165.735 116.534932) + (xy 165.675484 116.540794) (xy 165.618256 116.558154) (xy 165.565513 116.586345) (xy 165.519284 116.624284) (xy 165.509779 116.635866) + (xy 160.656246 121.4894) (xy 122.218755 121.4894) (xy 119.0536 118.324246) (xy 119.0536 114.364904) (xy 119.055068 114.35) + (xy 119.444932 114.35) (xy 119.446401 114.364914) (xy 119.4464 118.035096) (xy 119.444932 118.05) (xy 119.4464 118.064903) + (xy 119.450794 118.109515) (xy 119.468154 118.166743) (xy 119.496345 118.219486) (xy 119.534284 118.265716) (xy 119.545872 118.275226) + (xy 122.632779 121.362134) (xy 122.642284 121.373716) (xy 122.688513 121.411655) (xy 122.741256 121.439846) (xy 122.789521 121.454487) + (xy 122.798484 121.457206) (xy 122.858 121.463068) (xy 122.872904 121.4616) (xy 160.386096 121.4616) (xy 160.401 121.463068) + (xy 160.415904 121.4616) (xy 160.460516 121.457206) (xy 160.517744 121.439846) (xy 160.570487 121.411655) (xy 160.616716 121.373716) + (xy 160.626226 121.362128) (xy 165.606755 116.3816) (xy 175.880096 116.3816) (xy 175.895 116.383068) (xy 175.909904 116.3816) + (xy 175.954516 116.377206) (xy 176.011744 116.359846) (xy 176.064487 116.331655) (xy 176.110716 116.293716) (xy 176.120226 116.282128) + (xy 178.449492 113.952862) (xy 180.9714 113.952862) (xy 180.9714 114.047138) (xy 180.989792 114.139603) (xy 181.02587 114.226702) + (xy 181.078247 114.30509) (xy 181.14491 114.371753) (xy 181.223298 114.42413) (xy 181.310397 114.460208) (xy 181.402862 114.4786) + (xy 181.497138 114.4786) (xy 181.589603 114.460208) (xy 181.676702 114.42413) (xy 181.75509 114.371753) (xy 181.821753 114.30509) + (xy 181.87413 114.226702) (xy 181.910208 114.139603) (xy 181.9286 114.047138) (xy 181.9286 113.952862) (xy 181.910208 113.860397) + (xy 181.87413 113.773298) (xy 181.821753 113.69491) (xy 181.75509 113.628247) (xy 181.676702 113.57587) (xy 181.589603 113.539792) + (xy 181.497138 113.5214) (xy 181.402862 113.5214) (xy 181.310397 113.539792) (xy 181.223298 113.57587) (xy 181.14491 113.628247) + (xy 181.078247 113.69491) (xy 181.02587 113.773298) (xy 180.989792 113.860397) (xy 180.9714 113.952862) (xy 178.449492 113.952862) + (xy 179.049492 113.352862) (xy 182.0714 113.352862) (xy 182.0714 113.447138) (xy 182.089792 113.539603) (xy 182.12587 113.626702) + (xy 182.178247 113.70509) (xy 182.24491 113.771753) (xy 182.323298 113.82413) (xy 182.410397 113.860208) (xy 182.502862 113.8786) + (xy 182.597138 113.8786) (xy 182.689603 113.860208) (xy 182.776702 113.82413) (xy 182.85509 113.771753) (xy 182.921753 113.70509) + (xy 182.97413 113.626702) (xy 183.010208 113.539603) (xy 183.0286 113.447138) (xy 183.0286 113.352862) (xy 183.010208 113.260397) + (xy 182.97413 113.173298) (xy 182.921753 113.09491) (xy 182.85509 113.028247) (xy 182.776702 112.97587) (xy 182.689603 112.939792) + (xy 182.597138 112.9214) (xy 182.502862 112.9214) (xy 182.410397 112.939792) (xy 182.323298 112.97587) (xy 182.24491 113.028247) + (xy 182.178247 113.09491) (xy 182.12587 113.173298) (xy 182.089792 113.260397) (xy 182.0714 113.352862) (xy 179.049492 113.352862) + (xy 179.904417 112.497937) (xy 182.8714 112.497937) (xy 182.8714 112.602063) (xy 182.891713 112.704187) (xy 182.93156 112.800386) + (xy 182.989409 112.886963) (xy 183.063037 112.960591) (xy 183.149614 113.01844) (xy 183.245813 113.058287) (xy 183.347937 113.0786) + (xy 183.452063 113.0786) (xy 183.554187 113.058287) (xy 183.650386 113.01844) (xy 183.736963 112.960591) (xy 183.810591 112.886963) + (xy 183.86844 112.800386) (xy 183.908287 112.704187) (xy 183.9286 112.602063) (xy 183.9286 112.497937) (xy 183.908287 112.395813) + (xy 183.86844 112.299614) (xy 183.810591 112.213037) (xy 183.736963 112.139409) (xy 183.650386 112.08156) (xy 183.554187 112.041713) + (xy 183.452063 112.0214) (xy 183.347937 112.0214) (xy 183.245813 112.041713) (xy 183.149614 112.08156) (xy 183.063037 112.139409) + (xy 182.989409 112.213037) (xy 182.93156 112.299614) (xy 182.891713 112.395813) (xy 182.8714 112.497937) (xy 179.904417 112.497937) + (xy 180.749492 111.652862) (xy 181.9214 111.652862) (xy 181.9214 111.747138) (xy 181.939792 111.839603) (xy 181.97587 111.926702) + (xy 182.028247 112.00509) (xy 182.09491 112.071753) (xy 182.173298 112.12413) (xy 182.260397 112.160208) (xy 182.352862 112.1786) + (xy 182.447138 112.1786) (xy 182.539603 112.160208) (xy 182.626702 112.12413) (xy 182.70509 112.071753) (xy 182.771753 112.00509) + (xy 182.82413 111.926702) (xy 182.860208 111.839603) (xy 182.8786 111.747138) (xy 182.8786 111.652862) (xy 182.860208 111.560397) + (xy 182.82413 111.473298) (xy 182.771753 111.39491) (xy 182.70509 111.328247) (xy 182.626702 111.27587) (xy 182.539603 111.239792) + (xy 182.447138 111.2214) (xy 182.352862 111.2214) (xy 182.260397 111.239792) (xy 182.173298 111.27587) (xy 182.09491 111.328247) + (xy 182.028247 111.39491) (xy 181.97587 111.473298) (xy 181.939792 111.560397) (xy 181.9214 111.652862) (xy 180.749492 111.652862) + (xy 185.904134 106.498221) (xy 185.915716 106.488716) (xy 185.953655 106.442487) (xy 185.981846 106.389744) (xy 185.999206 106.332516) + (xy 186.0036 106.287904) (xy 186.005068 106.273) (xy 186.0036 106.258096) (xy 186.0036 104.852862) (xy 189.4714 104.852862) + (xy 189.4714 104.947138) (xy 189.489792 105.039603) (xy 189.52587 105.126702) (xy 189.578247 105.20509) (xy 189.64491 105.271753) + (xy 189.723298 105.32413) (xy 189.810397 105.360208) (xy 189.902862 105.3786) (xy 189.997138 105.3786) (xy 190.089603 105.360208) + (xy 190.176702 105.32413) (xy 190.25509 105.271753) (xy 190.321753 105.20509) (xy 190.37413 105.126702) (xy 190.410208 105.039603) + (xy 190.4286 104.947138) (xy 190.4286 104.852862) (xy 191.6714 104.852862) (xy 191.6714 104.947138) (xy 191.689792 105.039603) + (xy 191.72587 105.126702) (xy 191.778247 105.20509) (xy 191.84491 105.271753) (xy 191.923298 105.32413) (xy 192.010397 105.360208) + (xy 192.102862 105.3786) (xy 192.197138 105.3786) (xy 192.289603 105.360208) (xy 192.376702 105.32413) (xy 192.45509 105.271753) + (xy 192.521753 105.20509) (xy 192.57413 105.126702) (xy 192.610208 105.039603) (xy 192.6286 104.947138) (xy 192.6286 104.852862) + (xy 192.610208 104.760397) (xy 192.57413 104.673298) (xy 192.521753 104.59491) (xy 192.45509 104.528247) (xy 192.376702 104.47587) + (xy 192.289603 104.439792) (xy 192.197138 104.4214) (xy 192.102862 104.4214) (xy 192.010397 104.439792) (xy 191.923298 104.47587) + (xy 191.84491 104.528247) (xy 191.778247 104.59491) (xy 191.72587 104.673298) (xy 191.689792 104.760397) (xy 191.6714 104.852862) + (xy 190.4286 104.852862) (xy 190.410208 104.760397) (xy 190.37413 104.673298) (xy 190.321753 104.59491) (xy 190.25509 104.528247) + (xy 190.176702 104.47587) (xy 190.089603 104.439792) (xy 189.997138 104.4214) (xy 189.902862 104.4214) (xy 189.810397 104.439792) + (xy 189.723298 104.47587) (xy 189.64491 104.528247) (xy 189.578247 104.59491) (xy 189.52587 104.673298) (xy 189.489792 104.760397) + (xy 189.4714 104.852862) (xy 186.0036 104.852862) (xy 186.0036 104.252862) (xy 190.5714 104.252862) (xy 190.5714 104.347138) + (xy 190.589792 104.439603) (xy 190.62587 104.526702) (xy 190.678247 104.60509) (xy 190.74491 104.671753) (xy 190.823298 104.72413) + (xy 190.910397 104.760208) (xy 191.002862 104.7786) (xy 191.097138 104.7786) (xy 191.189603 104.760208) (xy 191.276702 104.72413) + (xy 191.35509 104.671753) (xy 191.421753 104.60509) (xy 191.47413 104.526702) (xy 191.510208 104.439603) (xy 191.5286 104.347138) + (xy 191.5286 104.252862) (xy 191.510208 104.160397) (xy 191.47413 104.073298) (xy 191.421753 103.99491) (xy 191.35509 103.928247) + (xy 191.276702 103.87587) (xy 191.189603 103.839792) (xy 191.097138 103.8214) (xy 191.002862 103.8214) (xy 190.910397 103.839792) + (xy 190.823298 103.87587) (xy 190.74491 103.928247) (xy 190.678247 103.99491) (xy 190.62587 104.073298) (xy 190.589792 104.160397) + (xy 190.5714 104.252862) (xy 186.0036 104.252862) (xy 186.0036 103.397937) (xy 191.3714 103.397937) (xy 191.3714 103.502063) + (xy 191.391713 103.604187) (xy 191.43156 103.700386) (xy 191.489409 103.786963) (xy 191.563037 103.860591) (xy 191.649614 103.91844) + (xy 191.745813 103.958287) (xy 191.847937 103.9786) (xy 191.952063 103.9786) (xy 192.054187 103.958287) (xy 192.150386 103.91844) + (xy 192.236963 103.860591) (xy 192.310591 103.786963) (xy 192.36844 103.700386) (xy 192.408287 103.604187) (xy 192.4286 103.502063) + (xy 192.4286 103.397937) (xy 192.408287 103.295813) (xy 192.36844 103.199614) (xy 192.310591 103.113037) (xy 192.236963 103.039409) + (xy 192.150386 102.98156) (xy 192.054187 102.941713) (xy 191.952063 102.9214) (xy 191.847937 102.9214) (xy 191.745813 102.941713) + (xy 191.649614 102.98156) (xy 191.563037 103.039409) (xy 191.489409 103.113037) (xy 191.43156 103.199614) (xy 191.391713 103.295813) + (xy 191.3714 103.397937) (xy 186.0036 103.397937) (xy 186.0036 102.552862) (xy 190.4214 102.552862) (xy 190.4214 102.647138) + (xy 190.439792 102.739603) (xy 190.47587 102.826702) (xy 190.528247 102.90509) (xy 190.59491 102.971753) (xy 190.673298 103.02413) + (xy 190.760397 103.060208) (xy 190.852862 103.0786) (xy 190.947138 103.0786) (xy 191.039603 103.060208) (xy 191.126702 103.02413) + (xy 191.20509 102.971753) (xy 191.271753 102.90509) (xy 191.32413 102.826702) (xy 191.360208 102.739603) (xy 191.3786 102.647138) + (xy 191.3786 102.552862) (xy 191.360208 102.460397) (xy 191.32413 102.373298) (xy 191.271753 102.29491) (xy 191.20509 102.228247) + (xy 191.126702 102.17587) (xy 191.039603 102.139792) (xy 190.947138 102.1214) (xy 190.852862 102.1214) (xy 190.760397 102.139792) + (xy 190.673298 102.17587) (xy 190.59491 102.228247) (xy 190.528247 102.29491) (xy 190.47587 102.373298) (xy 190.439792 102.460397) + (xy 190.4214 102.552862) (xy 186.0036 102.552862) (xy 186.0036 86.914904) (xy 186.005068 86.9) (xy 185.999206 86.840484) + (xy 185.981846 86.783255) (xy 185.953655 86.730513) (xy 185.943513 86.718155) (xy 185.915716 86.684284) (xy 185.904135 86.67478) + (xy 183.675226 84.445872) (xy 183.665716 84.434284) (xy 183.619487 84.396345) (xy 183.566744 84.368154) (xy 183.509516 84.350794) + (xy 183.464904 84.3464) (xy 183.45 84.344932) (xy 183.435096 84.3464) (xy 176.625756 84.3464) (xy 176.4036 84.124246) + (xy 176.4036 77.9) (xy 176.594932 77.9) (xy 176.596401 77.914914) (xy 176.5964 83.285096) (xy 176.594932 83.3) + (xy 176.5964 83.314903) (xy 176.600794 83.359515) (xy 176.618154 83.416743) (xy 176.646345 83.469486) (xy 176.684284 83.515716) + (xy 176.695872 83.525226) (xy 177.324779 84.154133) (xy 177.334284 84.165716) (xy 177.380513 84.203655) (xy 177.433256 84.231846) + (xy 177.490484 84.249206) (xy 177.55 84.255068) (xy 177.564904 84.2536) (xy 177.935096 84.2536) (xy 177.95 84.255068) + (xy 177.964904 84.2536) (xy 178.009516 84.249206) (xy 178.066744 84.231846) (xy 178.119487 84.203655) (xy 178.165716 84.165716) + (xy 178.175226 84.154128) (xy 178.375755 83.9536) (xy 181.027251 83.9536) (xy 181.028247 83.95509) (xy 181.09491 84.021753) + (xy 181.173298 84.07413) (xy 181.260397 84.110208) (xy 181.352862 84.1286) (xy 181.447138 84.1286) (xy 181.539603 84.110208) + (xy 181.626702 84.07413) (xy 181.70509 84.021753) (xy 181.771753 83.95509) (xy 181.82413 83.876702) (xy 181.860208 83.789603) + (xy 181.8786 83.697138) (xy 181.8786 83.602862) (xy 181.860208 83.510397) (xy 181.82413 83.423298) (xy 181.771753 83.34491) + (xy 181.70509 83.278247) (xy 181.626702 83.22587) (xy 181.539603 83.189792) (xy 181.447138 83.1714) (xy 181.352862 83.1714) + (xy 181.260397 83.189792) (xy 181.173298 83.22587) (xy 181.09491 83.278247) (xy 181.028247 83.34491) (xy 181.027251 83.3464) + (xy 178.264903 83.3464) (xy 178.249999 83.344932) (xy 178.190484 83.350794) (xy 178.133256 83.368154) (xy 178.080513 83.396345) + (xy 178.034284 83.434284) (xy 178.024778 83.445867) (xy 177.824246 83.6464) (xy 177.675755 83.6464) (xy 177.2036 83.174246) + (xy 177.2036 78.202862) (xy 177.2714 78.202862) (xy 177.2714 78.297138) (xy 177.289792 78.389603) (xy 177.32587 78.476702) + (xy 177.378247 78.55509) (xy 177.44491 78.621753) (xy 177.523298 78.67413) (xy 177.610397 78.710208) (xy 177.702862 78.7286) + (xy 177.797138 78.7286) (xy 177.889603 78.710208) (xy 177.90264 78.704808) (xy 177.914903 78.7036) (xy 178.113318 78.7036) + (xy 178.089792 78.760397) (xy 178.0714 78.852862) (xy 178.0714 78.947138) (xy 178.089792 79.039603) (xy 178.12587 79.126702) + (xy 178.178247 79.20509) (xy 178.219557 79.2464) (xy 178.122749 79.2464) (xy 178.121753 79.24491) (xy 178.05509 79.178247) + (xy 177.976702 79.12587) (xy 177.889603 79.089792) (xy 177.797138 79.0714) (xy 177.702862 79.0714) (xy 177.610397 79.089792) + (xy 177.523298 79.12587) (xy 177.44491 79.178247) (xy 177.378247 79.24491) (xy 177.32587 79.323298) (xy 177.289792 79.410397) + (xy 177.2714 79.502862) (xy 177.2714 79.597138) (xy 177.289792 79.689603) (xy 177.32587 79.776702) (xy 177.378247 79.85509) + (xy 177.44491 79.921753) (xy 177.523298 79.97413) (xy 177.610397 80.010208) (xy 177.702862 80.0286) (xy 177.797138 80.0286) + (xy 177.889603 80.010208) (xy 177.976702 79.97413) (xy 178.05509 79.921753) (xy 178.121753 79.85509) (xy 178.122749 79.8536) + (xy 178.219557 79.8536) (xy 178.178247 79.89491) (xy 178.12587 79.973298) (xy 178.089792 80.060397) (xy 178.0714 80.152862) + (xy 178.0714 80.247138) (xy 178.089792 80.339603) (xy 178.12587 80.426702) (xy 178.178247 80.50509) (xy 178.219557 80.5464) + (xy 178.122749 80.5464) (xy 178.121753 80.54491) (xy 178.05509 80.478247) (xy 177.976702 80.42587) (xy 177.889603 80.389792) + (xy 177.797138 80.3714) (xy 177.702862 80.3714) (xy 177.610397 80.389792) (xy 177.523298 80.42587) (xy 177.44491 80.478247) + (xy 177.378247 80.54491) (xy 177.32587 80.623298) (xy 177.289792 80.710397) (xy 177.2714 80.802862) (xy 177.2714 80.897138) + (xy 177.289792 80.989603) (xy 177.32587 81.076702) (xy 177.378247 81.15509) (xy 177.44491 81.221753) (xy 177.523298 81.27413) + (xy 177.610397 81.310208) (xy 177.702862 81.3286) (xy 177.797138 81.3286) (xy 177.889603 81.310208) (xy 177.976702 81.27413) + (xy 178.05509 81.221753) (xy 178.121753 81.15509) (xy 178.122749 81.1536) (xy 178.219557 81.1536) (xy 178.178247 81.19491) + (xy 178.12587 81.273298) (xy 178.089792 81.360397) (xy 178.0714 81.452862) (xy 178.0714 81.547138) (xy 178.089792 81.639603) + (xy 178.12587 81.726702) (xy 178.178247 81.80509) (xy 178.219557 81.8464) (xy 178.122749 81.8464) (xy 178.121753 81.84491) + (xy 178.05509 81.778247) (xy 177.976702 81.72587) (xy 177.889603 81.689792) (xy 177.797138 81.6714) (xy 177.702862 81.6714) + (xy 177.610397 81.689792) (xy 177.523298 81.72587) (xy 177.44491 81.778247) (xy 177.378247 81.84491) (xy 177.32587 81.923298) + (xy 177.289792 82.010397) (xy 177.2714 82.102862) (xy 177.2714 82.197138) (xy 177.289792 82.289603) (xy 177.32587 82.376702) + (xy 177.378247 82.45509) (xy 177.44491 82.521753) (xy 177.523298 82.57413) (xy 177.610397 82.610208) (xy 177.702862 82.6286) + (xy 177.797138 82.6286) (xy 177.889603 82.610208) (xy 177.976702 82.57413) (xy 178.05509 82.521753) (xy 178.121753 82.45509) + (xy 178.122749 82.4536) (xy 178.219557 82.4536) (xy 178.178247 82.49491) (xy 178.12587 82.573298) (xy 178.089792 82.660397) + (xy 178.0714 82.752862) (xy 178.0714 82.847138) (xy 178.089792 82.939603) (xy 178.12587 83.026702) (xy 178.178247 83.10509) + (xy 178.24491 83.171753) (xy 178.323298 83.22413) (xy 178.410397 83.260208) (xy 178.502862 83.2786) (xy 178.597138 83.2786) + (xy 178.689603 83.260208) (xy 178.776702 83.22413) (xy 178.85509 83.171753) (xy 178.921753 83.10509) (xy 178.922749 83.1036) + (xy 186.174246 83.1036) (xy 186.596401 83.525756) (xy 186.5964 88.835096) (xy 186.594932 88.85) (xy 186.59704 88.8714) + (xy 186.600794 88.909515) (xy 186.618154 88.966743) (xy 186.646345 89.019486) (xy 186.684284 89.065716) (xy 186.695872 89.075226) + (xy 189.471749 91.851105) (xy 189.4714 91.852862) (xy 189.4714 91.947138) (xy 189.489792 92.039603) (xy 189.52587 92.126702) + (xy 189.578247 92.20509) (xy 189.64491 92.271753) (xy 189.723298 92.32413) (xy 189.810397 92.360208) (xy 189.902862 92.3786) + (xy 189.997138 92.3786) (xy 190.089603 92.360208) (xy 190.176702 92.32413) (xy 190.25509 92.271753) (xy 190.321753 92.20509) + (xy 190.37413 92.126702) (xy 190.410208 92.039603) (xy 190.4286 91.947138) (xy 190.4286 91.852862) (xy 190.410208 91.760397) + (xy 190.37413 91.673298) (xy 190.321753 91.59491) (xy 190.25509 91.528247) (xy 190.218203 91.5036) (xy 191.777251 91.5036) + (xy 191.778247 91.50509) (xy 191.84491 91.571753) (xy 191.923298 91.62413) (xy 192.010397 91.660208) (xy 192.102862 91.6786) + (xy 192.197138 91.6786) (xy 192.289603 91.660208) (xy 192.376702 91.62413) (xy 192.45509 91.571753) (xy 192.521753 91.50509) + (xy 192.57413 91.426702) (xy 192.610208 91.339603) (xy 192.6286 91.247138) (xy 192.6286 91.152862) (xy 192.610208 91.060397) + (xy 192.57413 90.973298) (xy 192.521753 90.89491) (xy 192.45509 90.828247) (xy 192.376702 90.77587) (xy 192.289603 90.739792) + (xy 192.197138 90.7214) (xy 192.102862 90.7214) (xy 192.010397 90.739792) (xy 191.923298 90.77587) (xy 191.84491 90.828247) + (xy 191.778247 90.89491) (xy 191.777251 90.8964) (xy 190.327559 90.8964) (xy 190.37413 90.826702) (xy 190.410208 90.739603) + (xy 190.4286 90.647138) (xy 190.4286 90.552862) (xy 190.410208 90.460397) (xy 190.37413 90.373298) (xy 190.321753 90.29491) + (xy 190.280443 90.2536) (xy 191.777251 90.2536) (xy 191.778247 90.25509) (xy 191.84491 90.321753) (xy 191.923298 90.37413) + (xy 192.010397 90.410208) (xy 192.102862 90.4286) (xy 192.197138 90.4286) (xy 192.289603 90.410208) (xy 192.376702 90.37413) + (xy 192.45509 90.321753) (xy 192.521753 90.25509) (xy 192.57413 90.176702) (xy 192.610208 90.089603) (xy 192.6286 89.997138) + (xy 192.6286 89.902862) (xy 192.610208 89.810397) (xy 192.57413 89.723298) (xy 192.521753 89.64491) (xy 192.45509 89.578247) + (xy 192.376702 89.52587) (xy 192.289603 89.489792) (xy 192.197138 89.4714) (xy 192.102862 89.4714) (xy 192.010397 89.489792) + (xy 191.923298 89.52587) (xy 191.84491 89.578247) (xy 191.778247 89.64491) (xy 191.777251 89.6464) (xy 190.280443 89.6464) + (xy 190.321753 89.60509) (xy 190.37413 89.526702) (xy 190.410208 89.439603) (xy 190.4286 89.347138) (xy 190.4286 89.252862) + (xy 190.410208 89.160397) (xy 190.37413 89.073298) (xy 190.321753 88.99491) (xy 190.280443 88.9536) (xy 191.777251 88.9536) + (xy 191.778247 88.95509) (xy 191.84491 89.021753) (xy 191.923298 89.07413) (xy 192.010397 89.110208) (xy 192.102862 89.1286) + (xy 192.197138 89.1286) (xy 192.289603 89.110208) (xy 192.376702 89.07413) (xy 192.45509 89.021753) (xy 192.521753 88.95509) + (xy 192.57413 88.876702) (xy 192.610208 88.789603) (xy 192.6286 88.697138) (xy 192.6286 88.602862) (xy 192.610208 88.510397) + (xy 192.57413 88.423298) (xy 192.521753 88.34491) (xy 192.45509 88.278247) (xy 192.376702 88.22587) (xy 192.289603 88.189792) + (xy 192.197138 88.1714) (xy 192.102862 88.1714) (xy 192.010397 88.189792) (xy 191.923298 88.22587) (xy 191.84491 88.278247) + (xy 191.778247 88.34491) (xy 191.777251 88.3464) (xy 190.280443 88.3464) (xy 190.321753 88.30509) (xy 190.37413 88.226702) + (xy 190.410208 88.139603) (xy 190.4286 88.047138) (xy 190.4286 87.952862) (xy 190.410208 87.860397) (xy 190.386682 87.8036) + (xy 192.785096 87.8036) (xy 192.79736 87.804808) (xy 192.810397 87.810208) (xy 192.902862 87.8286) (xy 192.997138 87.8286) + (xy 193.089603 87.810208) (xy 193.176702 87.77413) (xy 193.25509 87.721753) (xy 193.321753 87.65509) (xy 193.37413 87.576702) + (xy 193.410208 87.489603) (xy 193.4286 87.397138) (xy 193.4286 87.302862) (xy 193.410208 87.210397) (xy 193.37413 87.123298) + (xy 193.321753 87.04491) (xy 193.25509 86.978247) (xy 193.176702 86.92587) (xy 193.089603 86.889792) (xy 192.997138 86.8714) + (xy 192.902862 86.8714) (xy 192.810397 86.889792) (xy 192.723298 86.92587) (xy 192.64491 86.978247) (xy 192.578247 87.04491) + (xy 192.52587 87.123298) (xy 192.49559 87.1964) (xy 189.625755 87.1964) (xy 189.3036 86.874246) (xy 189.3036 86.652862) + (xy 189.4714 86.652862) (xy 189.4714 86.747138) (xy 189.489792 86.839603) (xy 189.52587 86.926702) (xy 189.578247 87.00509) + (xy 189.64491 87.071753) (xy 189.723298 87.12413) (xy 189.810397 87.160208) (xy 189.902862 87.1786) (xy 189.997138 87.1786) + (xy 190.089603 87.160208) (xy 190.176702 87.12413) (xy 190.25509 87.071753) (xy 190.321753 87.00509) (xy 190.37413 86.926702) + (xy 190.410208 86.839603) (xy 190.4286 86.747138) (xy 190.4286 86.652862) (xy 191.6714 86.652862) (xy 191.6714 86.747138) + (xy 191.689792 86.839603) (xy 191.72587 86.926702) (xy 191.778247 87.00509) (xy 191.84491 87.071753) (xy 191.923298 87.12413) + (xy 192.010397 87.160208) (xy 192.102862 87.1786) (xy 192.197138 87.1786) (xy 192.289603 87.160208) (xy 192.376702 87.12413) + (xy 192.45509 87.071753) (xy 192.521753 87.00509) (xy 192.57413 86.926702) (xy 192.610208 86.839603) (xy 192.6286 86.747138) + (xy 192.6286 86.652862) (xy 192.610208 86.560397) (xy 192.57413 86.473298) (xy 192.521753 86.39491) (xy 192.45509 86.328247) + (xy 192.376702 86.27587) (xy 192.289603 86.239792) (xy 192.197138 86.2214) (xy 192.102862 86.2214) (xy 192.010397 86.239792) + (xy 191.923298 86.27587) (xy 191.84491 86.328247) (xy 191.778247 86.39491) (xy 191.72587 86.473298) (xy 191.689792 86.560397) + (xy 191.6714 86.652862) (xy 190.4286 86.652862) (xy 190.410208 86.560397) (xy 190.37413 86.473298) (xy 190.321753 86.39491) + (xy 190.25509 86.328247) (xy 190.176702 86.27587) (xy 190.089603 86.239792) (xy 189.997138 86.2214) (xy 189.902862 86.2214) + (xy 189.810397 86.239792) (xy 189.723298 86.27587) (xy 189.64491 86.328247) (xy 189.578247 86.39491) (xy 189.52587 86.473298) + (xy 189.489792 86.560397) (xy 189.4714 86.652862) (xy 189.3036 86.652862) (xy 189.3036 86.052862) (xy 190.5714 86.052862) + (xy 190.5714 86.147138) (xy 190.589792 86.239603) (xy 190.62587 86.326702) (xy 190.678247 86.40509) (xy 190.74491 86.471753) + (xy 190.823298 86.52413) (xy 190.910397 86.560208) (xy 191.002862 86.5786) (xy 191.097138 86.5786) (xy 191.189603 86.560208) + (xy 191.276702 86.52413) (xy 191.35509 86.471753) (xy 191.421753 86.40509) (xy 191.47413 86.326702) (xy 191.510208 86.239603) + (xy 191.5286 86.147138) (xy 191.5286 86.052862) (xy 191.510208 85.960397) (xy 191.47413 85.873298) (xy 191.421753 85.79491) + (xy 191.35509 85.728247) (xy 191.276702 85.67587) (xy 191.189603 85.639792) (xy 191.097138 85.6214) (xy 191.002862 85.6214) + (xy 190.910397 85.639792) (xy 190.823298 85.67587) (xy 190.74491 85.728247) (xy 190.678247 85.79491) (xy 190.62587 85.873298) + (xy 190.589792 85.960397) (xy 190.5714 86.052862) (xy 189.3036 86.052862) (xy 189.3036 85.197937) (xy 191.3714 85.197937) + (xy 191.3714 85.302063) (xy 191.391713 85.404187) (xy 191.43156 85.500386) (xy 191.489409 85.586963) (xy 191.563037 85.660591) + (xy 191.649614 85.71844) (xy 191.745813 85.758287) (xy 191.847937 85.7786) (xy 191.952063 85.7786) (xy 192.054187 85.758287) + (xy 192.150386 85.71844) (xy 192.236963 85.660591) (xy 192.310591 85.586963) (xy 192.36844 85.500386) (xy 192.408287 85.404187) + (xy 192.4286 85.302063) (xy 192.4286 85.197937) (xy 192.408287 85.095813) (xy 192.36844 84.999614) (xy 192.310591 84.913037) + (xy 192.236963 84.839409) (xy 192.150386 84.78156) (xy 192.054187 84.741713) (xy 191.952063 84.7214) (xy 191.847937 84.7214) + (xy 191.745813 84.741713) (xy 191.649614 84.78156) (xy 191.563037 84.839409) (xy 191.489409 84.913037) (xy 191.43156 84.999614) + (xy 191.391713 85.095813) (xy 191.3714 85.197937) (xy 189.3036 85.197937) (xy 189.3036 84.352862) (xy 190.4214 84.352862) + (xy 190.4214 84.447138) (xy 190.439792 84.539603) (xy 190.47587 84.626702) (xy 190.528247 84.70509) (xy 190.59491 84.771753) + (xy 190.673298 84.82413) (xy 190.760397 84.860208) (xy 190.852862 84.8786) (xy 190.947138 84.8786) (xy 191.039603 84.860208) + (xy 191.126702 84.82413) (xy 191.20509 84.771753) (xy 191.271753 84.70509) (xy 191.32413 84.626702) (xy 191.360208 84.539603) + (xy 191.3786 84.447138) (xy 191.3786 84.352862) (xy 191.360208 84.260397) (xy 191.32413 84.173298) (xy 191.271753 84.09491) + (xy 191.20509 84.028247) (xy 191.126702 83.97587) (xy 191.039603 83.939792) (xy 190.947138 83.9214) (xy 190.852862 83.9214) + (xy 190.760397 83.939792) (xy 190.673298 83.97587) (xy 190.59491 84.028247) (xy 190.528247 84.09491) (xy 190.47587 84.173298) + (xy 190.439792 84.260397) (xy 190.4214 84.352862) (xy 189.3036 84.352862) (xy 189.3036 82.364904) (xy 189.305068 82.35) + (xy 189.299206 82.290484) (xy 189.297118 82.2836) (xy 189.281846 82.233256) (xy 189.253655 82.180513) (xy 189.215716 82.134284) + (xy 189.204134 82.124779) (xy 185.275226 78.195872) (xy 185.265716 78.184284) (xy 185.219487 78.146345) (xy 185.166744 78.118154) + (xy 185.109516 78.100794) (xy 185.064904 78.0964) (xy 185.05 78.094932) (xy 185.035096 78.0964) (xy 178.20441 78.0964) + (xy 178.17413 78.023298) (xy 178.121753 77.94491) (xy 178.05509 77.878247) (xy 177.976702 77.82587) (xy 177.889603 77.789792) + (xy 177.797138 77.7714) (xy 177.702862 77.7714) (xy 177.610397 77.789792) (xy 177.523298 77.82587) (xy 177.44491 77.878247) + (xy 177.378247 77.94491) (xy 177.32587 78.023298) (xy 177.289792 78.110397) (xy 177.2714 78.202862) (xy 177.2036 78.202862) + (xy 177.2036 78.025754) (xy 177.676492 77.552862) (xy 183.1714 77.552862) (xy 183.1714 77.647138) (xy 183.189792 77.739603) + (xy 183.22587 77.826702) (xy 183.278247 77.90509) (xy 183.34491 77.971753) (xy 183.423298 78.02413) (xy 183.510397 78.060208) + (xy 183.602862 78.0786) (xy 183.697138 78.0786) (xy 183.789603 78.060208) (xy 183.876702 78.02413) (xy 183.95509 77.971753) + (xy 184.021753 77.90509) (xy 184.07413 77.826702) (xy 184.110208 77.739603) (xy 184.1286 77.647138) (xy 184.1286 77.552862) + (xy 184.110208 77.460397) (xy 184.07413 77.373298) (xy 184.021753 77.29491) (xy 183.95509 77.228247) (xy 183.876702 77.17587) + (xy 183.789603 77.139792) (xy 183.697138 77.1214) (xy 183.602862 77.1214) (xy 183.510397 77.139792) (xy 183.423298 77.17587) + (xy 183.34491 77.228247) (xy 183.278247 77.29491) (xy 183.22587 77.373298) (xy 183.189792 77.460397) (xy 183.1714 77.552862) + (xy 177.676492 77.552862) (xy 178.425755 76.8036) (xy 182.113318 76.8036) (xy 182.089792 76.860397) (xy 182.0714 76.952862) + (xy 182.0714 77.047138) (xy 182.089792 77.139603) (xy 182.12587 77.226702) (xy 182.178247 77.30509) (xy 182.24491 77.371753) + (xy 182.323298 77.42413) (xy 182.410397 77.460208) (xy 182.502862 77.4786) (xy 182.597138 77.4786) (xy 182.689603 77.460208) + (xy 182.776702 77.42413) (xy 182.85509 77.371753) (xy 182.921753 77.30509) (xy 182.97413 77.226702) (xy 183.010208 77.139603) + (xy 183.0286 77.047138) (xy 183.0286 76.979357) (xy 183.033256 76.981846) (xy 183.090484 76.999206) (xy 183.15 77.005068) + (xy 183.164904 77.0036) (xy 183.985096 77.0036) (xy 184 77.005068) (xy 184.014904 77.0036) (xy 184.059516 76.999206) + (xy 184.116744 76.981846) (xy 184.169487 76.953655) (xy 184.215716 76.915716) (xy 184.225225 76.904129) (xy 184.351104 76.77825) + (xy 184.352862 76.7786) (xy 184.447138 76.7786) (xy 184.539603 76.760208) (xy 184.626702 76.72413) (xy 184.70509 76.671753) + (xy 184.771753 76.60509) (xy 184.82413 76.526702) (xy 184.860208 76.439603) (xy 184.8786 76.347138) (xy 184.8786 76.252862) + (xy 184.860208 76.160397) (xy 184.82413 76.073298) (xy 184.771753 75.99491) (xy 184.70509 75.928247) (xy 184.626702 75.87587) + (xy 184.539603 75.839792) (xy 184.447138 75.8214) (xy 184.352862 75.8214) (xy 184.260397 75.839792) (xy 184.173298 75.87587) + (xy 184.09491 75.928247) (xy 184.028247 75.99491) (xy 183.97587 76.073298) (xy 183.939792 76.160397) (xy 183.9214 76.252862) + (xy 183.9214 76.347138) (xy 183.92175 76.348896) (xy 183.874246 76.3964) (xy 183.870091 76.3964) (xy 183.908287 76.304187) + (xy 183.9286 76.202063) (xy 183.9286 76.097937) (xy 183.908287 75.995813) (xy 183.86844 75.899614) (xy 183.810591 75.813037) + (xy 183.736963 75.739409) (xy 183.650386 75.68156) (xy 183.554187 75.641713) (xy 183.452063 75.6214) (xy 183.347937 75.6214) + (xy 183.245813 75.641713) (xy 183.149614 75.68156) (xy 183.063037 75.739409) (xy 182.989409 75.813037) (xy 182.93156 75.899614) + (xy 182.891713 75.995813) (xy 182.8714 76.097937) (xy 182.8714 76.1964) (xy 178.314904 76.1964) (xy 178.3 76.194932) + (xy 178.285096 76.1964) (xy 178.240484 76.200794) (xy 178.183256 76.218154) (xy 178.130513 76.246345) (xy 178.084284 76.284284) + (xy 178.074779 76.295866) (xy 176.695867 77.674779) (xy 176.684285 77.684284) (xy 176.646346 77.730513) (xy 176.62252 77.77509) + (xy 176.618155 77.783256) (xy 176.600794 77.840485) (xy 176.594932 77.9) (xy 176.4036 77.9) (xy 176.4036 75.252862) + (xy 182.1214 75.252862) (xy 182.1214 75.347138) (xy 182.139792 75.439603) (xy 182.17587 75.526702) (xy 182.228247 75.60509) + (xy 182.29491 75.671753) (xy 182.373298 75.72413) (xy 182.460397 75.760208) (xy 182.552862 75.7786) (xy 182.647138 75.7786) + (xy 182.739603 75.760208) (xy 182.826702 75.72413) (xy 182.90509 75.671753) (xy 182.971753 75.60509) (xy 183.02413 75.526702) + (xy 183.060208 75.439603) (xy 183.0786 75.347138) (xy 183.0786 75.252862) (xy 183.060208 75.160397) (xy 183.02413 75.073298) + (xy 182.971753 74.99491) (xy 182.90509 74.928247) (xy 182.826702 74.87587) (xy 182.739603 74.839792) (xy 182.647138 74.8214) + (xy 182.552862 74.8214) (xy 182.460397 74.839792) (xy 182.373298 74.87587) (xy 182.29491 74.928247) (xy 182.228247 74.99491) + (xy 182.17587 75.073298) (xy 182.139792 75.160397) (xy 182.1214 75.252862) (xy 176.4036 75.252862) (xy 176.4036 69.102862) + (xy 177.2714 69.102862) (xy 177.2714 69.197138) (xy 177.289792 69.289603) (xy 177.32587 69.376702) (xy 177.378247 69.45509) + (xy 177.44491 69.521753) (xy 177.523298 69.57413) (xy 177.610397 69.610208) (xy 177.702862 69.6286) (xy 177.797138 69.6286) + (xy 177.889603 69.610208) (xy 177.90264 69.604808) (xy 177.914903 69.6036) (xy 178.113318 69.6036) (xy 178.089792 69.660397) + (xy 178.0714 69.752862) (xy 178.0714 69.847138) (xy 178.089792 69.939603) (xy 178.12587 70.026702) (xy 178.178247 70.10509) + (xy 178.219557 70.1464) (xy 178.122749 70.1464) (xy 178.121753 70.14491) (xy 178.05509 70.078247) (xy 177.976702 70.02587) + (xy 177.889603 69.989792) (xy 177.797138 69.9714) (xy 177.702862 69.9714) (xy 177.610397 69.989792) (xy 177.523298 70.02587) + (xy 177.44491 70.078247) (xy 177.378247 70.14491) (xy 177.32587 70.223298) (xy 177.289792 70.310397) (xy 177.2714 70.402862) + (xy 177.2714 70.497138) (xy 177.289792 70.589603) (xy 177.32587 70.676702) (xy 177.378247 70.75509) (xy 177.44491 70.821753) + (xy 177.523298 70.87413) (xy 177.610397 70.910208) (xy 177.702862 70.9286) (xy 177.797138 70.9286) (xy 177.889603 70.910208) + (xy 177.976702 70.87413) (xy 178.05509 70.821753) (xy 178.121753 70.75509) (xy 178.122749 70.7536) (xy 178.219557 70.7536) + (xy 178.178247 70.79491) (xy 178.12587 70.873298) (xy 178.089792 70.960397) (xy 178.0714 71.052862) (xy 178.0714 71.147138) + (xy 178.089792 71.239603) (xy 178.12587 71.326702) (xy 178.178247 71.40509) (xy 178.219557 71.4464) (xy 178.122749 71.4464) + (xy 178.121753 71.44491) (xy 178.05509 71.378247) (xy 177.976702 71.32587) (xy 177.889603 71.289792) (xy 177.797138 71.2714) + (xy 177.702862 71.2714) (xy 177.610397 71.289792) (xy 177.523298 71.32587) (xy 177.44491 71.378247) (xy 177.378247 71.44491) + (xy 177.32587 71.523298) (xy 177.289792 71.610397) (xy 177.2714 71.702862) (xy 177.2714 71.797138) (xy 177.289792 71.889603) + (xy 177.32587 71.976702) (xy 177.378247 72.05509) (xy 177.44491 72.121753) (xy 177.523298 72.17413) (xy 177.610397 72.210208) + (xy 177.702862 72.2286) (xy 177.797138 72.2286) (xy 177.889603 72.210208) (xy 177.976702 72.17413) (xy 178.05509 72.121753) + (xy 178.121753 72.05509) (xy 178.122749 72.0536) (xy 178.219557 72.0536) (xy 178.178247 72.09491) (xy 178.12587 72.173298) + (xy 178.089792 72.260397) (xy 178.0714 72.352862) (xy 178.0714 72.447138) (xy 178.089792 72.539603) (xy 178.12587 72.626702) + (xy 178.178247 72.70509) (xy 178.219557 72.7464) (xy 178.122749 72.7464) (xy 178.121753 72.74491) (xy 178.05509 72.678247) + (xy 177.976702 72.62587) (xy 177.889603 72.589792) (xy 177.797138 72.5714) (xy 177.702862 72.5714) (xy 177.610397 72.589792) + (xy 177.523298 72.62587) (xy 177.44491 72.678247) (xy 177.378247 72.74491) (xy 177.32587 72.823298) (xy 177.289792 72.910397) + (xy 177.2714 73.002862) (xy 177.2714 73.097138) (xy 177.289792 73.189603) (xy 177.32587 73.276702) (xy 177.378247 73.35509) + (xy 177.44491 73.421753) (xy 177.523298 73.47413) (xy 177.610397 73.510208) (xy 177.702862 73.5286) (xy 177.797138 73.5286) + (xy 177.889603 73.510208) (xy 177.976702 73.47413) (xy 178.05509 73.421753) (xy 178.121753 73.35509) (xy 178.122749 73.3536) + (xy 178.219557 73.3536) (xy 178.178247 73.39491) (xy 178.12587 73.473298) (xy 178.089792 73.560397) (xy 178.0714 73.652862) + (xy 178.0714 73.747138) (xy 178.089792 73.839603) (xy 178.12587 73.926702) (xy 178.178247 74.00509) (xy 178.24491 74.071753) + (xy 178.323298 74.12413) (xy 178.410397 74.160208) (xy 178.502862 74.1786) (xy 178.597138 74.1786) (xy 178.689603 74.160208) + (xy 178.776702 74.12413) (xy 178.85509 74.071753) (xy 178.921753 74.00509) (xy 178.922749 74.0036) (xy 183.185096 74.0036) + (xy 183.2 74.005068) (xy 183.214904 74.0036) (xy 183.259516 73.999206) (xy 183.316744 73.981846) (xy 183.369487 73.953655) + (xy 183.415716 73.915716) (xy 183.425226 73.904128) (xy 189.204134 68.125221) (xy 189.215716 68.115716) (xy 189.253655 68.069487) + (xy 189.281846 68.016744) (xy 189.299206 67.959516) (xy 189.3036 67.914904) (xy 189.305068 67.9) (xy 189.3036 67.885096) + (xy 189.3036 65.625754) (xy 189.8594 65.069955) (xy 189.902862 65.0786) (xy 189.997138 65.0786) (xy 190.089603 65.060208) + (xy 190.176702 65.02413) (xy 190.25509 64.971753) (xy 190.321753 64.90509) (xy 190.37413 64.826702) (xy 190.410208 64.739603) + (xy 190.4286 64.647138) (xy 190.4286 64.552862) (xy 190.410208 64.460397) (xy 190.37413 64.373298) (xy 190.321753 64.29491) + (xy 190.280443 64.2536) (xy 191.777251 64.2536) (xy 191.778247 64.25509) (xy 191.84491 64.321753) (xy 191.923298 64.37413) + (xy 192.010397 64.410208) (xy 192.102862 64.4286) (xy 192.197138 64.4286) (xy 192.289603 64.410208) (xy 192.376702 64.37413) + (xy 192.45509 64.321753) (xy 192.521753 64.25509) (xy 192.57413 64.176702) (xy 192.610208 64.089603) (xy 192.6286 63.997138) + (xy 192.6286 63.902862) (xy 192.610208 63.810397) (xy 192.57413 63.723298) (xy 192.521753 63.64491) (xy 192.45509 63.578247) + (xy 192.376702 63.52587) (xy 192.289603 63.489792) (xy 192.197138 63.4714) (xy 192.102862 63.4714) (xy 192.010397 63.489792) + (xy 191.923298 63.52587) (xy 191.84491 63.578247) (xy 191.778247 63.64491) (xy 191.777251 63.6464) (xy 190.280443 63.6464) + (xy 190.321753 63.60509) (xy 190.37413 63.526702) (xy 190.410208 63.439603) (xy 190.4286 63.347138) (xy 190.4286 63.252862) + (xy 190.410208 63.160397) (xy 190.37413 63.073298) (xy 190.321753 62.99491) (xy 190.280443 62.9536) (xy 191.777251 62.9536) + (xy 191.778247 62.95509) (xy 191.84491 63.021753) (xy 191.923298 63.07413) (xy 192.010397 63.110208) (xy 192.102862 63.1286) + (xy 192.197138 63.1286) (xy 192.289603 63.110208) (xy 192.376702 63.07413) (xy 192.45509 63.021753) (xy 192.521753 62.95509) + (xy 192.57413 62.876702) (xy 192.610208 62.789603) (xy 192.6286 62.697138) (xy 192.6286 62.602862) (xy 192.610208 62.510397) + (xy 192.57413 62.423298) (xy 192.521753 62.34491) (xy 192.45509 62.278247) (xy 192.376702 62.22587) (xy 192.289603 62.189792) + (xy 192.197138 62.1714) (xy 192.102862 62.1714) (xy 192.010397 62.189792) (xy 191.923298 62.22587) (xy 191.84491 62.278247) + (xy 191.778247 62.34491) (xy 191.777251 62.3464) (xy 190.280443 62.3464) (xy 190.321753 62.30509) (xy 190.37413 62.226702) + (xy 190.410208 62.139603) (xy 190.4286 62.047138) (xy 190.4286 61.952862) (xy 190.410208 61.860397) (xy 190.37413 61.773298) + (xy 190.321753 61.69491) (xy 190.280443 61.6536) (xy 191.777251 61.6536) (xy 191.778247 61.65509) (xy 191.84491 61.721753) + (xy 191.923298 61.77413) (xy 192.010397 61.810208) (xy 192.102862 61.8286) (xy 192.197138 61.8286) (xy 192.289603 61.810208) + (xy 192.376702 61.77413) (xy 192.45509 61.721753) (xy 192.521753 61.65509) (xy 192.57413 61.576702) (xy 192.610208 61.489603) + (xy 192.6286 61.397138) (xy 192.6286 61.302862) (xy 192.610208 61.210397) (xy 192.57413 61.123298) (xy 192.521753 61.04491) + (xy 192.45509 60.978247) (xy 192.376702 60.92587) (xy 192.289603 60.889792) (xy 192.197138 60.8714) (xy 192.102862 60.8714) + (xy 192.010397 60.889792) (xy 191.923298 60.92587) (xy 191.84491 60.978247) (xy 191.778247 61.04491) (xy 191.777251 61.0464) + (xy 190.280443 61.0464) (xy 190.321753 61.00509) (xy 190.37413 60.926702) (xy 190.410208 60.839603) (xy 190.4286 60.747138) + (xy 190.4286 60.652862) (xy 190.410208 60.560397) (xy 190.386682 60.5036) (xy 192.785096 60.5036) (xy 192.79736 60.504808) + (xy 192.810397 60.510208) (xy 192.902862 60.5286) (xy 192.997138 60.5286) (xy 193.089603 60.510208) (xy 193.176702 60.47413) + (xy 193.25509 60.421753) (xy 193.321753 60.35509) (xy 193.37413 60.276702) (xy 193.410208 60.189603) (xy 193.4286 60.097138) + (xy 193.4286 60.002862) (xy 193.410208 59.910397) (xy 193.37413 59.823298) (xy 193.321753 59.74491) (xy 193.25509 59.678247) + (xy 193.176702 59.62587) (xy 193.089603 59.589792) (xy 192.997138 59.5714) (xy 192.902862 59.5714) (xy 192.810397 59.589792) + (xy 192.723298 59.62587) (xy 192.64491 59.678247) (xy 192.578247 59.74491) (xy 192.52587 59.823298) (xy 192.49559 59.8964) + (xy 189.764904 59.8964) (xy 189.75 59.894932) (xy 189.735096 59.8964) (xy 189.690484 59.900794) (xy 189.633256 59.918154) + (xy 189.580513 59.946345) (xy 189.534284 59.984284) (xy 189.524779 59.995866) (xy 186.695867 62.824779) (xy 186.684285 62.834284) + (xy 186.646346 62.880513) (xy 186.633977 62.903655) (xy 186.618155 62.933256) (xy 186.600794 62.990485) (xy 186.594932 63.05) + (xy 186.596401 63.064914) (xy 186.5964 66.724244) (xy 184.324246 68.9964) (xy 178.20441 68.9964) (xy 178.17413 68.923298) + (xy 178.121753 68.84491) (xy 178.05509 68.778247) (xy 177.976702 68.72587) (xy 177.889603 68.689792) (xy 177.797138 68.6714) + (xy 177.702862 68.6714) (xy 177.610397 68.689792) (xy 177.523298 68.72587) (xy 177.44491 68.778247) (xy 177.378247 68.84491) + (xy 177.32587 68.923298) (xy 177.289792 69.010397) (xy 177.2714 69.102862) (xy 176.4036 69.102862) (xy 176.4036 68.452862) + (xy 183.1714 68.452862) (xy 183.1714 68.547138) (xy 183.189792 68.639603) (xy 183.22587 68.726702) (xy 183.278247 68.80509) + (xy 183.34491 68.871753) (xy 183.423298 68.92413) (xy 183.510397 68.960208) (xy 183.602862 68.9786) (xy 183.697138 68.9786) + (xy 183.789603 68.960208) (xy 183.876702 68.92413) (xy 183.95509 68.871753) (xy 184.021753 68.80509) (xy 184.07413 68.726702) + (xy 184.110208 68.639603) (xy 184.1286 68.547138) (xy 184.1286 68.452862) (xy 184.110208 68.360397) (xy 184.07413 68.273298) + (xy 184.021753 68.19491) (xy 183.95509 68.128247) (xy 183.876702 68.07587) (xy 183.789603 68.039792) (xy 183.697138 68.0214) + (xy 183.602862 68.0214) (xy 183.510397 68.039792) (xy 183.423298 68.07587) (xy 183.34491 68.128247) (xy 183.278247 68.19491) + (xy 183.22587 68.273298) (xy 183.189792 68.360397) (xy 183.1714 68.452862) (xy 176.4036 68.452862) (xy 176.4036 67.852862) + (xy 182.0714 67.852862) (xy 182.0714 67.947138) (xy 182.089792 68.039603) (xy 182.12587 68.126702) (xy 182.178247 68.20509) + (xy 182.24491 68.271753) (xy 182.323298 68.32413) (xy 182.410397 68.360208) (xy 182.502862 68.3786) (xy 182.597138 68.3786) + (xy 182.689603 68.360208) (xy 182.776702 68.32413) (xy 182.85509 68.271753) (xy 182.921753 68.20509) (xy 182.97413 68.126702) + (xy 183.010208 68.039603) (xy 183.0286 67.947138) (xy 183.0286 67.852862) (xy 183.010208 67.760397) (xy 182.97413 67.673298) + (xy 182.921753 67.59491) (xy 182.85509 67.528247) (xy 182.776702 67.47587) (xy 182.689603 67.439792) (xy 182.597138 67.4214) + (xy 182.502862 67.4214) (xy 182.410397 67.439792) (xy 182.323298 67.47587) (xy 182.24491 67.528247) (xy 182.178247 67.59491) + (xy 182.12587 67.673298) (xy 182.089792 67.760397) (xy 182.0714 67.852862) (xy 176.4036 67.852862) (xy 176.4036 67.525754) + (xy 178.175756 65.7536) (xy 182.227062 65.7536) (xy 182.173298 65.77587) (xy 182.09491 65.828247) (xy 182.028247 65.89491) + (xy 181.97587 65.973298) (xy 181.939792 66.060397) (xy 181.9214 66.152862) (xy 181.9214 66.247138) (xy 181.939792 66.339603) + (xy 181.97587 66.426702) (xy 182.028247 66.50509) (xy 182.09491 66.571753) (xy 182.173298 66.62413) (xy 182.260397 66.660208) + (xy 182.352862 66.6786) (xy 182.447138 66.6786) (xy 182.539603 66.660208) (xy 182.626702 66.62413) (xy 182.70509 66.571753) + (xy 182.771753 66.50509) (xy 182.82413 66.426702) (xy 182.860208 66.339603) (xy 182.8786 66.247138) (xy 182.8786 66.152862) + (xy 182.860208 66.060397) (xy 182.82413 65.973298) (xy 182.771753 65.89491) (xy 182.70509 65.828247) (xy 182.626702 65.77587) + (xy 182.572938 65.7536) (xy 182.824246 65.7536) (xy 183.655868 66.585223) (xy 183.650386 66.58156) (xy 183.554187 66.541713) + (xy 183.452063 66.5214) (xy 183.347937 66.5214) (xy 183.245813 66.541713) (xy 183.149614 66.58156) (xy 183.063037 66.639409) + (xy 182.989409 66.713037) (xy 182.93156 66.799614) (xy 182.891713 66.895813) (xy 182.8714 66.997937) (xy 182.8714 67.102063) + (xy 182.891713 67.204187) (xy 182.93156 67.300386) (xy 182.989409 67.386963) (xy 183.063037 67.460591) (xy 183.149614 67.51844) + (xy 183.245813 67.558287) (xy 183.347937 67.5786) (xy 183.452063 67.5786) (xy 183.554187 67.558287) (xy 183.650386 67.51844) + (xy 183.736963 67.460591) (xy 183.810591 67.386963) (xy 183.86844 67.300386) (xy 183.908287 67.204187) (xy 183.9286 67.102063) + (xy 183.9286 66.997937) (xy 183.908287 66.895813) (xy 183.86844 66.799614) (xy 183.864778 66.794133) (xy 184.271749 67.201105) + (xy 184.2714 67.202862) (xy 184.2714 67.297138) (xy 184.289792 67.389603) (xy 184.32587 67.476702) (xy 184.378247 67.55509) + (xy 184.44491 67.621753) (xy 184.523298 67.67413) (xy 184.610397 67.710208) (xy 184.702862 67.7286) (xy 184.797138 67.7286) + (xy 184.889603 67.710208) (xy 184.976702 67.67413) (xy 185.05509 67.621753) (xy 185.121753 67.55509) (xy 185.17413 67.476702) + (xy 185.210208 67.389603) (xy 185.2286 67.297138) (xy 185.2286 67.202862) (xy 185.210208 67.110397) (xy 185.17413 67.023298) + (xy 185.121753 66.94491) (xy 185.05509 66.878247) (xy 184.976702 66.82587) (xy 184.889603 66.789792) (xy 184.797138 66.7714) + (xy 184.702862 66.7714) (xy 184.701105 66.771749) (xy 183.175226 65.245872) (xy 183.165716 65.234284) (xy 183.119487 65.196345) + (xy 183.066744 65.168154) (xy 183.009516 65.150794) (xy 182.964904 65.1464) (xy 182.95 65.144932) (xy 182.935096 65.1464) + (xy 178.064903 65.1464) (xy 178.049999 65.144932) (xy 177.990483 65.150794) (xy 177.965563 65.158354) (xy 177.933256 65.168154) + (xy 177.880513 65.196345) (xy 177.834284 65.234284) (xy 177.824783 65.245861) (xy 175.895872 67.174774) (xy 175.884284 67.184284) + (xy 175.846345 67.230514) (xy 175.818154 67.283257) (xy 175.808554 67.314904) (xy 175.800794 67.340485) (xy 175.794932 67.4) + (xy 175.7964 67.414904) (xy 175.796401 84.235086) (xy 175.794932 84.25) (xy 175.800794 84.309515) (xy 175.817843 84.365716) + (xy 175.818155 84.366744) (xy 175.846346 84.419487) (xy 175.884285 84.465716) (xy 175.895867 84.475221) (xy 176.274782 84.854138) + (xy 176.284284 84.865716) (xy 176.330513 84.903655) (xy 176.383256 84.931846) (xy 176.415563 84.941646) (xy 176.440483 84.949206) + (xy 176.499999 84.955068) (xy 176.514903 84.9536) (xy 183.324246 84.9536) (xy 185.3964 87.025755) (xy 185.396401 106.147244) + (xy 175.769246 115.7744) (xy 165.495904 115.7744) (xy 165.481 115.772932) (xy 165.466096 115.7744) (xy 165.421484 115.778794) + (xy 165.364256 115.796154) (xy 165.311513 115.824345) (xy 165.265284 115.862284) (xy 165.255779 115.873866) (xy 160.275246 120.8544) + (xy 122.983755 120.8544) (xy 120.0536 117.924246) (xy 120.0536 117.282954) (xy 123.497779 120.727134) (xy 123.507284 120.738716) + (xy 123.553513 120.776655) (xy 123.606256 120.804846) (xy 123.654521 120.819487) (xy 123.663484 120.822206) (xy 123.723 120.828068) + (xy 123.737904 120.8266) (xy 160.005096 120.8266) (xy 160.02 120.828068) (xy 160.034904 120.8266) (xy 160.079516 120.822206) + (xy 160.136744 120.804846) (xy 160.189487 120.776655) (xy 160.235716 120.738716) (xy 160.245226 120.727128) (xy 165.352755 115.6196) + (xy 173.975096 115.6196) (xy 173.99 115.621068) (xy 174.004904 115.6196) (xy 174.049516 115.615206) (xy 174.106744 115.597846) + (xy 174.159487 115.569655) (xy 174.205716 115.531716) (xy 174.215226 115.520128) (xy 184.804135 104.93122) (xy 184.815716 104.921716) + (xy 184.853655 104.875487) (xy 184.881846 104.822744) (xy 184.899206 104.765516) (xy 184.900961 104.747702) (xy 184.905068 104.706) + (xy 184.9036 104.691096) (xy 184.9036 91.114903) (xy 184.905068 91.099999) (xy 184.899206 91.040483) (xy 184.887166 91.000794) + (xy 184.881846 90.983256) (xy 184.853655 90.930513) (xy 184.815716 90.884284) (xy 184.804139 90.874783) (xy 184.3536 90.424246) + (xy 184.3536 87.072749) (xy 184.35509 87.071753) (xy 184.421753 87.00509) (xy 184.47413 86.926702) (xy 184.510208 86.839603) + (xy 184.5286 86.747138) (xy 184.5286 86.652862) (xy 184.510208 86.560397) (xy 184.47413 86.473298) (xy 184.421753 86.39491) + (xy 184.35509 86.328247) (xy 184.276702 86.27587) (xy 184.189603 86.239792) (xy 184.097138 86.2214) (xy 184.002862 86.2214) + (xy 184.001105 86.221749) (xy 182.925226 85.145872) (xy 182.915716 85.134284) (xy 182.869487 85.096345) (xy 182.816744 85.068154) + (xy 182.759516 85.050794) (xy 182.714904 85.0464) (xy 182.7 85.044932) (xy 182.685096 85.0464) (xy 176.375756 85.0464) + (xy 175.3536 84.024246) (xy 175.3536 66.925754) (xy 182.575756 59.7036) (xy 185.877251 59.7036) (xy 185.878247 59.70509) + (xy 185.94491 59.771753) (xy 186.023298 59.82413) (xy 186.110397 59.860208) (xy 186.202862 59.8786) (xy 186.297138 59.8786) + (xy 186.389603 59.860208) (xy 186.476702 59.82413) (xy 186.55509 59.771753) (xy 186.621753 59.70509) (xy 186.67413 59.626702) + (xy 186.710208 59.539603) (xy 186.7286 59.447138) (xy 186.7286 59.352862) (xy 189.4714 59.352862) (xy 189.4714 59.447138) + (xy 189.489792 59.539603) (xy 189.52587 59.626702) (xy 189.578247 59.70509) (xy 189.64491 59.771753) (xy 189.723298 59.82413) + (xy 189.810397 59.860208) (xy 189.902862 59.8786) (xy 189.997138 59.8786) (xy 190.089603 59.860208) (xy 190.176702 59.82413) + (xy 190.25509 59.771753) (xy 190.321753 59.70509) (xy 190.37413 59.626702) (xy 190.410208 59.539603) (xy 190.4286 59.447138) + (xy 190.4286 59.352862) (xy 191.6714 59.352862) (xy 191.6714 59.447138) (xy 191.689792 59.539603) (xy 191.72587 59.626702) + (xy 191.778247 59.70509) (xy 191.84491 59.771753) (xy 191.923298 59.82413) (xy 192.010397 59.860208) (xy 192.102862 59.8786) + (xy 192.197138 59.8786) (xy 192.289603 59.860208) (xy 192.376702 59.82413) (xy 192.45509 59.771753) (xy 192.521753 59.70509) + (xy 192.57413 59.626702) (xy 192.610208 59.539603) (xy 192.6286 59.447138) (xy 192.6286 59.352862) (xy 192.610208 59.260397) + (xy 192.57413 59.173298) (xy 192.521753 59.09491) (xy 192.45509 59.028247) (xy 192.376702 58.97587) (xy 192.289603 58.939792) + (xy 192.197138 58.9214) (xy 192.102862 58.9214) (xy 192.010397 58.939792) (xy 191.923298 58.97587) (xy 191.84491 59.028247) + (xy 191.778247 59.09491) (xy 191.72587 59.173298) (xy 191.689792 59.260397) (xy 191.6714 59.352862) (xy 190.4286 59.352862) + (xy 190.410208 59.260397) (xy 190.37413 59.173298) (xy 190.321753 59.09491) (xy 190.25509 59.028247) (xy 190.176702 58.97587) + (xy 190.089603 58.939792) (xy 189.997138 58.9214) (xy 189.902862 58.9214) (xy 189.810397 58.939792) (xy 189.723298 58.97587) + (xy 189.64491 59.028247) (xy 189.578247 59.09491) (xy 189.52587 59.173298) (xy 189.489792 59.260397) (xy 189.4714 59.352862) + (xy 186.7286 59.352862) (xy 186.710208 59.260397) (xy 186.67413 59.173298) (xy 186.621753 59.09491) (xy 186.55509 59.028247) + (xy 186.476702 58.97587) (xy 186.389603 58.939792) (xy 186.297138 58.9214) (xy 186.202862 58.9214) (xy 186.110397 58.939792) + (xy 186.023298 58.97587) (xy 185.94491 59.028247) (xy 185.878247 59.09491) (xy 185.877251 59.0964) (xy 182.464903 59.0964) + (xy 182.449999 59.094932) (xy 182.390483 59.100794) (xy 182.376322 59.10509) (xy 182.333256 59.118154) (xy 182.280513 59.146345) + (xy 182.234284 59.184284) (xy 182.224783 59.195861) (xy 174.845872 66.574774) (xy 174.834284 66.584284) (xy 174.796345 66.630514) + (xy 174.768154 66.683257) (xy 174.757568 66.718155) (xy 174.750794 66.740485) (xy 174.744932 66.8) (xy 174.7464 66.814904) + (xy 174.746401 84.135086) (xy 174.744932 84.15) (xy 174.750794 84.209515) (xy 174.762835 84.249206) (xy 174.768155 84.266744) + (xy 174.796346 84.319487) (xy 174.834285 84.365716) (xy 174.845867 84.375221) (xy 176.024782 85.554138) (xy 176.034284 85.565716) + (xy 176.080513 85.603655) (xy 176.133256 85.631846) (xy 176.189465 85.648897) (xy 176.190484 85.649206) (xy 176.249999 85.655068) + (xy 176.264903 85.6536) (xy 182.574246 85.6536) (xy 183.571749 86.651105) (xy 183.5714 86.652862) (xy 183.5714 86.747138) + (xy 183.589792 86.839603) (xy 183.62587 86.926702) (xy 183.678247 87.00509) (xy 183.74491 87.071753) (xy 183.746401 87.072749) + (xy 183.7464 90.535096) (xy 183.744932 90.55) (xy 183.749279 90.59413) (xy 183.750794 90.609515) (xy 183.768154 90.666743) + (xy 183.796345 90.719486) (xy 183.834284 90.765716) (xy 183.845872 90.775226) (xy 184.296401 91.225757) (xy 184.2964 104.580245) + (xy 184.11121 104.765435) (xy 184.110208 104.760397) (xy 184.07413 104.673298) (xy 184.021753 104.59491) (xy 183.95509 104.528247) + (xy 183.876702 104.47587) (xy 183.789603 104.439792) (xy 183.697138 104.4214) (xy 183.602862 104.4214) (xy 183.510397 104.439792) + (xy 183.423298 104.47587) (xy 183.34491 104.528247) (xy 183.278247 104.59491) (xy 183.22587 104.673298) (xy 183.189792 104.760397) + (xy 183.1714 104.852862) (xy 183.1714 104.947138) (xy 183.189792 105.039603) (xy 183.22587 105.126702) (xy 183.278247 105.20509) + (xy 183.34491 105.271753) (xy 183.423298 105.32413) (xy 183.510397 105.360208) (xy 183.515435 105.36121) (xy 173.864246 115.0124) + (xy 165.241903 115.0124) (xy 165.226999 115.010932) (xy 165.167484 115.016794) (xy 165.110256 115.034154) (xy 165.057513 115.062345) + (xy 165.011284 115.100284) (xy 165.001779 115.111866) (xy 159.894246 120.2194) (xy 123.848755 120.2194) (xy 120.5536 116.924246) + (xy 120.5536 116.382954) (xy 123.374779 119.204134) (xy 123.384284 119.215716) (xy 123.430513 119.253655) (xy 123.483256 119.281846) + (xy 123.540484 119.299206) (xy 123.6 119.305068) (xy 123.614904 119.3036) (xy 125.324246 119.3036) (xy 125.374774 119.354128) + (xy 125.384284 119.365716) (xy 125.430513 119.403655) (xy 125.483256 119.431846) (xy 125.531056 119.446346) (xy 125.540484 119.449206) + (xy 125.599999 119.455068) (xy 125.614903 119.4536) (xy 136.232096 119.4536) (xy 136.247 119.455068) (xy 136.261904 119.4536) + (xy 136.306516 119.449206) (xy 136.363744 119.431846) (xy 136.416487 119.403655) (xy 136.462716 119.365716) (xy 136.472226 119.354128) + (xy 142.318105 113.50825) (xy 142.319862 113.5086) (xy 142.414138 113.5086) (xy 142.415896 113.50825) (xy 144.935779 116.028134) + (xy 144.945284 116.039716) (xy 144.991513 116.077655) (xy 145.044256 116.105846) (xy 145.101484 116.123206) (xy 145.161 116.129068) + (xy 145.175904 116.1276) (xy 163.656346 116.1276) (xy 163.67125 116.129068) (xy 163.686154 116.1276) (xy 163.730766 116.123206) + (xy 163.787994 116.105846) (xy 163.840737 116.077655) (xy 163.886966 116.039716) (xy 163.896476 116.028128) (xy 165.125505 114.7991) + (xy 166.822751 114.7991) (xy 166.823747 114.80059) (xy 166.89041 114.867253) (xy 166.968798 114.91963) (xy 167.055897 114.955708) + (xy 167.148362 114.9741) (xy 167.242638 114.9741) (xy 167.335103 114.955708) (xy 167.422202 114.91963) (xy 167.50059 114.867253) + (xy 167.567253 114.80059) (xy 167.61963 114.722202) (xy 167.655708 114.635103) (xy 167.6741 114.542638) (xy 167.6741 114.448362) + (xy 167.655708 114.355897) (xy 167.61963 114.268798) (xy 167.567253 114.19041) (xy 167.50059 114.123747) (xy 167.422202 114.07137) + (xy 167.335103 114.035292) (xy 167.242638 114.0169) (xy 167.148362 114.0169) (xy 167.055897 114.035292) (xy 166.968798 114.07137) + (xy 166.89041 114.123747) (xy 166.823747 114.19041) (xy 166.822751 114.1919) (xy 165.014654 114.1919) (xy 164.99975 114.190432) + (xy 164.984846 114.1919) (xy 164.940234 114.196294) (xy 164.883006 114.213654) (xy 164.830263 114.241845) (xy 164.784034 114.279784) + (xy 164.774529 114.291366) (xy 163.545496 115.5204) (xy 145.286755 115.5204) (xy 142.84525 113.078896) (xy 142.8456 113.077138) + (xy 142.8456 112.982862) (xy 142.827208 112.890397) (xy 142.79113 112.803298) (xy 142.738753 112.72491) (xy 142.67209 112.658247) + (xy 142.593702 112.60587) (xy 142.506603 112.569792) (xy 142.414138 112.5514) (xy 142.319862 112.5514) (xy 142.227397 112.569792) + (xy 142.140298 112.60587) (xy 142.06191 112.658247) (xy 141.995247 112.72491) (xy 141.94287 112.803298) (xy 141.906792 112.890397) + (xy 141.8884 112.982862) (xy 141.8884 113.077138) (xy 141.88875 113.078895) (xy 136.121246 118.8464) (xy 125.882954 118.8464) + (xy 126.275756 118.4536) (xy 133.676096 118.4536) (xy 133.691 118.455068) (xy 133.705904 118.4536) (xy 133.750516 118.449206) + (xy 133.807744 118.431846) (xy 133.860487 118.403655) (xy 133.906716 118.365716) (xy 133.916226 118.354128) (xy 139.651105 112.619251) + (xy 139.652862 112.6196) (xy 139.747138 112.6196) (xy 139.839603 112.601208) (xy 139.926702 112.56513) (xy 140.00509 112.512753) + (xy 140.071753 112.44609) (xy 140.12413 112.367702) (xy 140.160208 112.280603) (xy 140.1786 112.188138) (xy 140.1786 112.093862) + (xy 140.160208 112.001397) (xy 140.12413 111.914298) (xy 140.071753 111.83591) (xy 140.00509 111.769247) (xy 139.926702 111.71687) + (xy 139.839603 111.680792) (xy 139.747138 111.6624) (xy 139.652862 111.6624) (xy 139.560397 111.680792) (xy 139.473298 111.71687) + (xy 139.39491 111.769247) (xy 139.328247 111.83591) (xy 139.27587 111.914298) (xy 139.239792 112.001397) (xy 139.2214 112.093862) + (xy 139.2214 112.188138) (xy 139.221749 112.189895) (xy 133.565246 117.8464) (xy 129.132954 117.8464) (xy 133.851105 113.12825) + (xy 133.852862 113.1286) (xy 133.947138 113.1286) (xy 134.039603 113.110208) (xy 134.126702 113.07413) (xy 134.20509 113.021753) + (xy 134.271753 112.95509) (xy 134.32413 112.876702) (xy 134.360208 112.789603) (xy 134.3786 112.697138) (xy 134.3786 112.602862) + (xy 134.360208 112.510397) (xy 134.32413 112.423298) (xy 134.271753 112.34491) (xy 134.20509 112.278247) (xy 134.126702 112.22587) + (xy 134.039603 112.189792) (xy 133.947138 112.1714) (xy 133.852862 112.1714) (xy 133.760397 112.189792) (xy 133.673298 112.22587) + (xy 133.59491 112.278247) (xy 133.528247 112.34491) (xy 133.47587 112.423298) (xy 133.439792 112.510397) (xy 133.4214 112.602862) + (xy 133.4214 112.697138) (xy 133.42175 112.698895) (xy 128.624246 117.4964) (xy 126.064903 117.4964) (xy 126.049999 117.494932) + (xy 125.990484 117.500794) (xy 125.933256 117.518154) (xy 125.880513 117.546345) (xy 125.834284 117.584284) (xy 125.824779 117.595867) + (xy 125.324246 118.0964) (xy 124.025756 118.0964) (xy 122.0536 116.124246) (xy 122.0536 116.086682) (xy 122.110397 116.110208) + (xy 122.135933 116.115287) (xy 122.974779 116.954134) (xy 122.984284 116.965716) (xy 123.030513 117.003655) (xy 123.083256 117.031846) + (xy 123.123784 117.04414) (xy 123.140484 117.049206) (xy 123.2 117.055068) (xy 123.214904 117.0536) (xy 125.424246 117.0536) + (xy 125.724783 117.354139) (xy 125.734284 117.365716) (xy 125.780513 117.403655) (xy 125.833256 117.431846) (xy 125.865563 117.441646) + (xy 125.890483 117.449206) (xy 125.949999 117.455068) (xy 125.964903 117.4536) (xy 126.335096 117.4536) (xy 126.35 117.455068) + (xy 126.364904 117.4536) (xy 126.409516 117.449206) (xy 126.466744 117.431846) (xy 126.519487 117.403655) (xy 126.565716 117.365716) + (xy 126.575226 117.354128) (xy 126.854133 117.075222) (xy 126.865716 117.065716) (xy 126.903655 117.019487) (xy 126.931846 116.966744) + (xy 126.949206 116.909516) (xy 126.9536 116.864904) (xy 126.955068 116.850001) (xy 126.9536 116.835097) (xy 126.9536 115.975754) + (xy 127.475755 115.4536) (xy 128.385096 115.4536) (xy 128.4 115.455068) (xy 128.414904 115.4536) (xy 128.459516 115.449206) + (xy 128.516744 115.431846) (xy 128.569487 115.403655) (xy 128.615716 115.365716) (xy 128.625226 115.354128) (xy 129.054133 114.925222) + (xy 129.065716 114.915716) (xy 129.103655 114.869487) (xy 129.131846 114.816744) (xy 129.149206 114.759516) (xy 129.150143 114.749999) + (xy 129.155068 114.700001) (xy 129.1536 114.685097) (xy 129.1536 113.775754) (xy 131.373755 111.5556) (xy 142.368246 111.5556) + (xy 144.301749 113.489105) (xy 144.3014 113.490862) (xy 144.3014 113.585138) (xy 144.319792 113.677603) (xy 144.35587 113.764702) + (xy 144.408247 113.84309) (xy 144.47491 113.909753) (xy 144.553298 113.96213) (xy 144.640397 113.998208) (xy 144.732862 114.0166) + (xy 144.827138 114.0166) (xy 144.919603 113.998208) (xy 145.006702 113.96213) (xy 145.08509 113.909753) (xy 145.151753 113.84309) + (xy 145.20413 113.764702) (xy 145.240208 113.677603) (xy 145.2586 113.585138) (xy 145.2586 113.490862) (xy 145.240208 113.398397) + (xy 145.20413 113.311298) (xy 145.151753 113.23291) (xy 145.08509 113.166247) (xy 145.006702 113.11387) (xy 144.919603 113.077792) + (xy 144.827138 113.0594) (xy 144.732862 113.0594) (xy 144.731105 113.059749) (xy 142.909454 111.2381) (xy 143.320746 111.2381) + (xy 145.57175 113.489104) (xy 145.5714 113.490862) (xy 145.5714 113.585138) (xy 145.589792 113.677603) (xy 145.62587 113.764702) + (xy 145.678247 113.84309) (xy 145.74491 113.909753) (xy 145.823298 113.96213) (xy 145.910397 113.998208) (xy 146.002862 114.0166) + (xy 146.097138 114.0166) (xy 146.189603 113.998208) (xy 146.276702 113.96213) (xy 146.35509 113.909753) (xy 146.421753 113.84309) + (xy 146.47413 113.764702) (xy 146.510208 113.677603) (xy 146.5286 113.585138) (xy 146.5286 113.490862) (xy 146.510208 113.398397) + (xy 146.47413 113.311298) (xy 146.421753 113.23291) (xy 146.35509 113.166247) (xy 146.276702 113.11387) (xy 146.189603 113.077792) + (xy 146.097138 113.0594) (xy 146.002862 113.0594) (xy 146.001104 113.05975) (xy 143.861954 110.9206) (xy 144.273246 110.9206) + (xy 146.84175 113.489104) (xy 146.8414 113.490862) (xy 146.8414 113.585138) (xy 146.859792 113.677603) (xy 146.89587 113.764702) + (xy 146.948247 113.84309) (xy 147.01491 113.909753) (xy 147.093298 113.96213) (xy 147.180397 113.998208) (xy 147.272862 114.0166) + (xy 147.367138 114.0166) (xy 147.459603 113.998208) (xy 147.546702 113.96213) (xy 147.62509 113.909753) (xy 147.691753 113.84309) + (xy 147.74413 113.764702) (xy 147.780208 113.677603) (xy 147.7986 113.585138) (xy 147.7986 113.490862) (xy 147.780208 113.398397) + (xy 147.74413 113.311298) (xy 147.691753 113.23291) (xy 147.62509 113.166247) (xy 147.546702 113.11387) (xy 147.459603 113.077792) + (xy 147.367138 113.0594) (xy 147.272862 113.0594) (xy 147.271104 113.05975) (xy 144.814454 110.6031) (xy 145.225746 110.6031) + (xy 148.11175 113.489104) (xy 148.1114 113.490862) (xy 148.1114 113.585138) (xy 148.129792 113.677603) (xy 148.16587 113.764702) + (xy 148.218247 113.84309) (xy 148.28491 113.909753) (xy 148.363298 113.96213) (xy 148.450397 113.998208) (xy 148.542862 114.0166) + (xy 148.637138 114.0166) (xy 148.729603 113.998208) (xy 148.816702 113.96213) (xy 148.89509 113.909753) (xy 148.961753 113.84309) + (xy 149.01413 113.764702) (xy 149.050208 113.677603) (xy 149.0686 113.585138) (xy 149.0686 113.490862) (xy 149.050208 113.398397) + (xy 149.01413 113.311298) (xy 148.961753 113.23291) (xy 148.89509 113.166247) (xy 148.816702 113.11387) (xy 148.729603 113.077792) + (xy 148.637138 113.0594) (xy 148.542862 113.0594) (xy 148.541104 113.05975) (xy 145.766954 110.2856) (xy 146.178246 110.2856) + (xy 149.381749 113.489105) (xy 149.3814 113.490862) (xy 149.3814 113.585138) (xy 149.399792 113.677603) (xy 149.43587 113.764702) + (xy 149.488247 113.84309) (xy 149.55491 113.909753) (xy 149.633298 113.96213) (xy 149.720397 113.998208) (xy 149.812862 114.0166) + (xy 149.907138 114.0166) (xy 149.999603 113.998208) (xy 150.086702 113.96213) (xy 150.16509 113.909753) (xy 150.231753 113.84309) + (xy 150.28413 113.764702) (xy 150.320208 113.677603) (xy 150.3386 113.585138) (xy 150.3386 113.490862) (xy 150.320208 113.398397) + (xy 150.28413 113.311298) (xy 150.231753 113.23291) (xy 150.16509 113.166247) (xy 150.086702 113.11387) (xy 149.999603 113.077792) + (xy 149.907138 113.0594) (xy 149.812862 113.0594) (xy 149.811105 113.059749) (xy 146.719454 109.9681) (xy 147.130746 109.9681) + (xy 150.651749 113.489105) (xy 150.6514 113.490862) (xy 150.6514 113.585138) (xy 150.669792 113.677603) (xy 150.70587 113.764702) + (xy 150.758247 113.84309) (xy 150.82491 113.909753) (xy 150.903298 113.96213) (xy 150.990397 113.998208) (xy 151.082862 114.0166) + (xy 151.177138 114.0166) (xy 151.269603 113.998208) (xy 151.356702 113.96213) (xy 151.43509 113.909753) (xy 151.501753 113.84309) + (xy 151.55413 113.764702) (xy 151.590208 113.677603) (xy 151.6086 113.585138) (xy 151.6086 113.490862) (xy 151.590208 113.398397) + (xy 151.55413 113.311298) (xy 151.501753 113.23291) (xy 151.43509 113.166247) (xy 151.356702 113.11387) (xy 151.269603 113.077792) + (xy 151.177138 113.0594) (xy 151.082862 113.0594) (xy 151.081105 113.059749) (xy 147.671954 109.6506) (xy 148.083246 109.6506) + (xy 151.92175 113.489105) (xy 151.9214 113.490862) (xy 151.9214 113.585138) (xy 151.939792 113.677603) (xy 151.97587 113.764702) + (xy 152.028247 113.84309) (xy 152.09491 113.909753) (xy 152.173298 113.96213) (xy 152.260397 113.998208) (xy 152.352862 114.0166) + (xy 152.447138 114.0166) (xy 152.539603 113.998208) (xy 152.626702 113.96213) (xy 152.70509 113.909753) (xy 152.771753 113.84309) + (xy 152.82413 113.764702) (xy 152.860208 113.677603) (xy 152.8786 113.585138) (xy 152.8786 113.490862) (xy 152.860208 113.398397) + (xy 152.82413 113.311298) (xy 152.771753 113.23291) (xy 152.70509 113.166247) (xy 152.626702 113.11387) (xy 152.539603 113.077792) + (xy 152.447138 113.0594) (xy 152.352862 113.0594) (xy 152.351105 113.05975) (xy 148.624454 109.3331) (xy 149.035746 109.3331) + (xy 153.19175 113.489105) (xy 153.1914 113.490862) (xy 153.1914 113.585138) (xy 153.209792 113.677603) (xy 153.24587 113.764702) + (xy 153.298247 113.84309) (xy 153.36491 113.909753) (xy 153.443298 113.96213) (xy 153.530397 113.998208) (xy 153.622862 114.0166) + (xy 153.717138 114.0166) (xy 153.809603 113.998208) (xy 153.896702 113.96213) (xy 153.97509 113.909753) (xy 154.041753 113.84309) + (xy 154.09413 113.764702) (xy 154.130208 113.677603) (xy 154.1486 113.585138) (xy 154.1486 113.490862) (xy 154.130208 113.398397) + (xy 154.09413 113.311298) (xy 154.041753 113.23291) (xy 153.97509 113.166247) (xy 153.896702 113.11387) (xy 153.809603 113.077792) + (xy 153.717138 113.0594) (xy 153.622862 113.0594) (xy 153.621105 113.05975) (xy 149.576954 109.0156) (xy 149.988246 109.0156) + (xy 154.46175 113.489105) (xy 154.4614 113.490862) (xy 154.4614 113.585138) (xy 154.479792 113.677603) (xy 154.51587 113.764702) + (xy 154.568247 113.84309) (xy 154.63491 113.909753) (xy 154.713298 113.96213) (xy 154.800397 113.998208) (xy 154.892862 114.0166) + (xy 154.987138 114.0166) (xy 155.079603 113.998208) (xy 155.166702 113.96213) (xy 155.24509 113.909753) (xy 155.311753 113.84309) + (xy 155.36413 113.764702) (xy 155.400208 113.677603) (xy 155.4186 113.585138) (xy 155.4186 113.490862) (xy 155.400208 113.398397) + (xy 155.36413 113.311298) (xy 155.311753 113.23291) (xy 155.24509 113.166247) (xy 155.166702 113.11387) (xy 155.079603 113.077792) + (xy 154.987138 113.0594) (xy 154.892862 113.0594) (xy 154.891105 113.05975) (xy 150.529454 108.6981) (xy 150.940746 108.6981) + (xy 155.731749 113.489105) (xy 155.7314 113.490862) (xy 155.7314 113.585138) (xy 155.749792 113.677603) (xy 155.78587 113.764702) + (xy 155.838247 113.84309) (xy 155.90491 113.909753) (xy 155.983298 113.96213) (xy 156.070397 113.998208) (xy 156.162862 114.0166) + (xy 156.257138 114.0166) (xy 156.349603 113.998208) (xy 156.436702 113.96213) (xy 156.51509 113.909753) (xy 156.581753 113.84309) + (xy 156.63413 113.764702) (xy 156.670208 113.677603) (xy 156.6886 113.585138) (xy 156.6886 113.490862) (xy 156.670208 113.398397) + (xy 156.63413 113.311298) (xy 156.581753 113.23291) (xy 156.51509 113.166247) (xy 156.436702 113.11387) (xy 156.349603 113.077792) + (xy 156.257138 113.0594) (xy 156.162862 113.0594) (xy 156.161105 113.059749) (xy 151.481954 108.3806) (xy 151.893246 108.3806) + (xy 157.001749 113.489105) (xy 157.0014 113.490862) (xy 157.0014 113.585138) (xy 157.019792 113.677603) (xy 157.05587 113.764702) + (xy 157.108247 113.84309) (xy 157.17491 113.909753) (xy 157.253298 113.96213) (xy 157.340397 113.998208) (xy 157.432862 114.0166) + (xy 157.527138 114.0166) (xy 157.619603 113.998208) (xy 157.706702 113.96213) (xy 157.78509 113.909753) (xy 157.851753 113.84309) + (xy 157.90413 113.764702) (xy 157.940208 113.677603) (xy 157.9586 113.585138) (xy 157.9586 113.490862) (xy 157.940208 113.398397) + (xy 157.90413 113.311298) (xy 157.851753 113.23291) (xy 157.78509 113.166247) (xy 157.706702 113.11387) (xy 157.619603 113.077792) + (xy 157.527138 113.0594) (xy 157.432862 113.0594) (xy 157.431105 113.059749) (xy 152.434454 108.0631) (xy 152.718746 108.0631) + (xy 159.2084 114.552755) (xy 159.2084 114.562251) (xy 159.20691 114.563247) (xy 159.140247 114.62991) (xy 159.08787 114.708298) + (xy 159.051792 114.795397) (xy 159.0334 114.887862) (xy 159.0334 114.982138) (xy 159.051792 115.074603) (xy 159.08787 115.161702) + (xy 159.140247 115.24009) (xy 159.20691 115.306753) (xy 159.285298 115.35913) (xy 159.372397 115.395208) (xy 159.464862 115.4136) + (xy 159.559138 115.4136) (xy 159.651603 115.395208) (xy 159.738702 115.35913) (xy 159.81709 115.306753) (xy 159.883753 115.24009) + (xy 159.93613 115.161702) (xy 159.972208 115.074603) (xy 159.9906 114.982138) (xy 159.9906 114.887862) (xy 159.972208 114.795397) + (xy 159.93613 114.708298) (xy 159.883753 114.62991) (xy 159.81709 114.563247) (xy 159.8156 114.562251) (xy 159.8156 114.4766) + (xy 159.901251 114.4766) (xy 159.902247 114.47809) (xy 159.96891 114.544753) (xy 160.047298 114.59713) (xy 160.134397 114.633208) + (xy 160.226862 114.6516) (xy 160.321138 114.6516) (xy 160.413603 114.633208) (xy 160.500702 114.59713) (xy 160.57909 114.544753) + (xy 160.645753 114.47809) (xy 160.69813 114.399702) (xy 160.734208 114.312603) (xy 160.7526 114.220138) (xy 160.7526 114.125862) + (xy 160.734208 114.033397) (xy 160.69813 113.946298) (xy 160.645753 113.86791) (xy 160.57909 113.801247) (xy 160.500702 113.74887) + (xy 160.413603 113.712792) (xy 160.321138 113.6944) (xy 160.226862 113.6944) (xy 160.134397 113.712792) (xy 160.047298 113.74887) + (xy 159.96891 113.801247) (xy 159.902247 113.86791) (xy 159.901251 113.8694) (xy 159.891755 113.8694) (xy 153.450454 107.4281) + (xy 153.734746 107.4281) (xy 158.398749 112.092105) (xy 158.3984 112.093862) (xy 158.3984 112.188138) (xy 158.416792 112.280603) + (xy 158.45287 112.367702) (xy 158.505247 112.44609) (xy 158.57191 112.512753) (xy 158.650298 112.56513) (xy 158.737397 112.601208) + (xy 158.829862 112.6196) (xy 158.924138 112.6196) (xy 159.016603 112.601208) (xy 159.103702 112.56513) (xy 159.18209 112.512753) + (xy 159.248753 112.44609) (xy 159.30113 112.367702) (xy 159.337208 112.280603) (xy 159.3556 112.188138) (xy 159.3556 112.093862) + (xy 159.337208 112.001397) (xy 159.30113 111.914298) (xy 159.248753 111.83591) (xy 159.18209 111.769247) (xy 159.103702 111.71687) + (xy 159.016603 111.680792) (xy 158.924138 111.6624) (xy 158.829862 111.6624) (xy 158.828105 111.662749) (xy 154.275954 107.1106) + (xy 154.687246 107.1106) (xy 158.39875 110.822105) (xy 158.3984 110.823862) (xy 158.3984 110.918138) (xy 158.416792 111.010603) + (xy 158.45287 111.097702) (xy 158.505247 111.17609) (xy 158.57191 111.242753) (xy 158.650298 111.29513) (xy 158.737397 111.331208) + (xy 158.829862 111.3496) (xy 158.924138 111.3496) (xy 159.016603 111.331208) (xy 159.103702 111.29513) (xy 159.18209 111.242753) + (xy 159.248753 111.17609) (xy 159.30113 111.097702) (xy 159.337208 111.010603) (xy 159.3556 110.918138) (xy 159.3556 110.823862) + (xy 159.337208 110.731397) (xy 159.30113 110.644298) (xy 159.248753 110.56591) (xy 159.18209 110.499247) (xy 159.103702 110.44687) + (xy 159.016603 110.410792) (xy 158.924138 110.3924) (xy 158.829862 110.3924) (xy 158.828105 110.39275) (xy 155.228454 106.7931) + (xy 155.639746 106.7931) (xy 158.39875 109.552104) (xy 158.3984 109.553862) (xy 158.3984 109.648138) (xy 158.416792 109.740603) + (xy 158.45287 109.827702) (xy 158.505247 109.90609) (xy 158.57191 109.972753) (xy 158.650298 110.02513) (xy 158.737397 110.061208) + (xy 158.829862 110.0796) (xy 158.924138 110.0796) (xy 159.016603 110.061208) (xy 159.103702 110.02513) (xy 159.18209 109.972753) + (xy 159.248753 109.90609) (xy 159.30113 109.827702) (xy 159.337208 109.740603) (xy 159.3556 109.648138) (xy 159.3556 109.553862) + (xy 159.337208 109.461397) (xy 159.30113 109.374298) (xy 159.248753 109.29591) (xy 159.18209 109.229247) (xy 159.103702 109.17687) + (xy 159.016603 109.140792) (xy 158.924138 109.1224) (xy 158.829862 109.1224) (xy 158.828104 109.12275) (xy 156.180954 106.4756) + (xy 156.592246 106.4756) (xy 158.39875 108.282104) (xy 158.3984 108.283862) (xy 158.3984 108.378138) (xy 158.416792 108.470603) + (xy 158.45287 108.557702) (xy 158.505247 108.63609) (xy 158.57191 108.702753) (xy 158.650298 108.75513) (xy 158.737397 108.791208) + (xy 158.829862 108.8096) (xy 158.924138 108.8096) (xy 159.016603 108.791208) (xy 159.103702 108.75513) (xy 159.18209 108.702753) + (xy 159.248753 108.63609) (xy 159.30113 108.557702) (xy 159.337208 108.470603) (xy 159.3556 108.378138) (xy 159.3556 108.283862) + (xy 159.337208 108.191397) (xy 159.30113 108.104298) (xy 159.248753 108.02591) (xy 159.18209 107.959247) (xy 159.103702 107.90687) + (xy 159.016603 107.870792) (xy 158.924138 107.8524) (xy 158.829862 107.8524) (xy 158.828104 107.85275) (xy 157.133454 106.1581) + (xy 157.544746 106.1581) (xy 158.39875 107.012104) (xy 158.3984 107.013862) (xy 158.3984 107.108138) (xy 158.416792 107.200603) + (xy 158.45287 107.287702) (xy 158.505247 107.36609) (xy 158.57191 107.432753) (xy 158.650298 107.48513) (xy 158.737397 107.521208) + (xy 158.829862 107.5396) (xy 158.924138 107.5396) (xy 159.016603 107.521208) (xy 159.103702 107.48513) (xy 159.18209 107.432753) + (xy 159.248753 107.36609) (xy 159.30113 107.287702) (xy 159.337208 107.200603) (xy 159.3556 107.108138) (xy 159.3556 107.013862) + (xy 159.337208 106.921397) (xy 159.30113 106.834298) (xy 159.248753 106.75591) (xy 159.18209 106.689247) (xy 159.103702 106.63687) + (xy 159.016603 106.600792) (xy 158.924138 106.5824) (xy 158.829862 106.5824) (xy 158.828104 106.58275) (xy 158.085954 105.8406) + (xy 158.39889 105.8406) (xy 158.416792 105.930603) (xy 158.45287 106.017702) (xy 158.505247 106.09609) (xy 158.57191 106.162753) + (xy 158.650298 106.21513) (xy 158.737397 106.251208) (xy 158.829862 106.2696) (xy 158.924138 106.2696) (xy 159.016603 106.251208) + (xy 159.103702 106.21513) (xy 159.18209 106.162753) (xy 159.248753 106.09609) (xy 159.30113 106.017702) (xy 159.337208 105.930603) + (xy 159.3556 105.838138) (xy 159.3556 105.743862) (xy 159.337208 105.651397) (xy 159.30113 105.564298) (xy 159.248753 105.48591) + (xy 159.18209 105.419247) (xy 159.103702 105.36687) (xy 159.016603 105.330792) (xy 158.924138 105.3124) (xy 158.829862 105.3124) + (xy 158.828275 105.312716) (xy 158.792487 105.283345) (xy 158.739744 105.255154) (xy 158.682516 105.237794) (xy 158.637904 105.2334) + (xy 158.623 105.231932) (xy 158.608096 105.2334) (xy 158.593955 105.2334) (xy 158.828105 104.99925) (xy 158.829862 104.9996) + (xy 158.924138 104.9996) (xy 159.016603 104.981208) (xy 159.103702 104.94513) (xy 159.18209 104.892753) (xy 159.221981 104.852862) + (xy 180.9714 104.852862) (xy 180.9714 104.947138) (xy 180.989792 105.039603) (xy 181.02587 105.126702) (xy 181.078247 105.20509) + (xy 181.14491 105.271753) (xy 181.223298 105.32413) (xy 181.310397 105.360208) (xy 181.402862 105.3786) (xy 181.497138 105.3786) + (xy 181.589603 105.360208) (xy 181.676702 105.32413) (xy 181.75509 105.271753) (xy 181.821753 105.20509) (xy 181.87413 105.126702) + (xy 181.910208 105.039603) (xy 181.9286 104.947138) (xy 181.9286 104.852862) (xy 181.910208 104.760397) (xy 181.87413 104.673298) + (xy 181.821753 104.59491) (xy 181.75509 104.528247) (xy 181.676702 104.47587) (xy 181.589603 104.439792) (xy 181.497138 104.4214) + (xy 181.402862 104.4214) (xy 181.310397 104.439792) (xy 181.223298 104.47587) (xy 181.14491 104.528247) (xy 181.078247 104.59491) + (xy 181.02587 104.673298) (xy 180.989792 104.760397) (xy 180.9714 104.852862) (xy 159.221981 104.852862) (xy 159.248753 104.82609) + (xy 159.30113 104.747702) (xy 159.337208 104.660603) (xy 159.3556 104.568138) (xy 159.3556 104.473862) (xy 159.337208 104.381397) + (xy 159.30113 104.294298) (xy 159.273444 104.252862) (xy 182.0714 104.252862) (xy 182.0714 104.347138) (xy 182.089792 104.439603) + (xy 182.12587 104.526702) (xy 182.178247 104.60509) (xy 182.24491 104.671753) (xy 182.323298 104.72413) (xy 182.410397 104.760208) + (xy 182.502862 104.7786) (xy 182.597138 104.7786) (xy 182.689603 104.760208) (xy 182.776702 104.72413) (xy 182.85509 104.671753) + (xy 182.921753 104.60509) (xy 182.97413 104.526702) (xy 183.010208 104.439603) (xy 183.0286 104.347138) (xy 183.0286 104.252862) + (xy 183.010208 104.160397) (xy 182.97413 104.073298) (xy 182.921753 103.99491) (xy 182.85509 103.928247) (xy 182.776702 103.87587) + (xy 182.689603 103.839792) (xy 182.597138 103.8214) (xy 182.502862 103.8214) (xy 182.410397 103.839792) (xy 182.323298 103.87587) + (xy 182.24491 103.928247) (xy 182.178247 103.99491) (xy 182.12587 104.073298) (xy 182.089792 104.160397) (xy 182.0714 104.252862) + (xy 159.273444 104.252862) (xy 159.248753 104.21591) (xy 159.18209 104.149247) (xy 159.103702 104.09687) (xy 159.016603 104.060792) + (xy 158.924138 104.0424) (xy 158.829862 104.0424) (xy 158.737397 104.060792) (xy 158.650298 104.09687) (xy 158.57191 104.149247) + (xy 158.505247 104.21591) (xy 158.45287 104.294298) (xy 158.416792 104.381397) (xy 158.3984 104.473862) (xy 158.3984 104.568138) + (xy 158.39875 104.569895) (xy 158.052746 104.9159) (xy 156.244454 104.9159) (xy 157.961417 103.198937) (xy 158.3484 103.198937) + (xy 158.3484 103.303063) (xy 158.368713 103.405187) (xy 158.40856 103.501386) (xy 158.466409 103.587963) (xy 158.540037 103.661591) + (xy 158.626614 103.71944) (xy 158.722813 103.759287) (xy 158.824937 103.7796) (xy 158.929063 103.7796) (xy 159.031187 103.759287) + (xy 159.127386 103.71944) (xy 159.213963 103.661591) (xy 159.287591 103.587963) (xy 159.34544 103.501386) (xy 159.385287 103.405187) + (xy 159.4056 103.303063) (xy 159.4056 103.198937) (xy 159.403641 103.189088) (xy 161.1059 103.189088) (xy 161.1059 103.312912) + (xy 161.130056 103.434356) (xy 161.177441 103.548754) (xy 161.246234 103.651709) (xy 161.333791 103.739266) (xy 161.436746 103.808059) + (xy 161.551144 103.855444) (xy 161.672588 103.8796) (xy 161.796412 103.8796) (xy 161.917856 103.855444) (xy 162.032254 103.808059) + (xy 162.135209 103.739266) (xy 162.222766 103.651709) (xy 162.291559 103.548754) (xy 162.338944 103.434356) (xy 162.3631 103.312912) + (xy 162.3631 103.189088) (xy 163.7729 103.189088) (xy 163.7729 103.312912) (xy 163.797056 103.434356) (xy 163.844441 103.548754) + (xy 163.913234 103.651709) (xy 164.000791 103.739266) (xy 164.103746 103.808059) (xy 164.218144 103.855444) (xy 164.339588 103.8796) + (xy 164.463412 103.8796) (xy 164.584856 103.855444) (xy 164.699254 103.808059) (xy 164.802209 103.739266) (xy 164.889766 103.651709) + (xy 164.958559 103.548754) (xy 165.005944 103.434356) (xy 165.014878 103.389437) (xy 166.2224 103.389437) (xy 166.2224 103.493563) + (xy 166.242713 103.595687) (xy 166.28256 103.691886) (xy 166.340409 103.778463) (xy 166.414037 103.852091) (xy 166.500614 103.90994) + (xy 166.596813 103.949787) (xy 166.698937 103.9701) (xy 166.803063 103.9701) (xy 166.905187 103.949787) (xy 167.001386 103.90994) + (xy 167.087963 103.852091) (xy 167.161591 103.778463) (xy 167.21944 103.691886) (xy 167.259287 103.595687) (xy 167.2796 103.493563) + (xy 167.2796 103.397937) (xy 182.8714 103.397937) (xy 182.8714 103.502063) (xy 182.891713 103.604187) (xy 182.93156 103.700386) + (xy 182.989409 103.786963) (xy 183.063037 103.860591) (xy 183.149614 103.91844) (xy 183.245813 103.958287) (xy 183.347937 103.9786) + (xy 183.452063 103.9786) (xy 183.554187 103.958287) (xy 183.650386 103.91844) (xy 183.736963 103.860591) (xy 183.810591 103.786963) + (xy 183.86844 103.700386) (xy 183.908287 103.604187) (xy 183.9286 103.502063) (xy 183.9286 103.397937) (xy 183.908287 103.295813) + (xy 183.86844 103.199614) (xy 183.810591 103.113037) (xy 183.736963 103.039409) (xy 183.650386 102.98156) (xy 183.554187 102.941713) + (xy 183.452063 102.9214) (xy 183.347937 102.9214) (xy 183.245813 102.941713) (xy 183.149614 102.98156) (xy 183.063037 103.039409) + (xy 182.989409 103.113037) (xy 182.93156 103.199614) (xy 182.891713 103.295813) (xy 182.8714 103.397937) (xy 167.2796 103.397937) + (xy 167.2796 103.389437) (xy 167.259287 103.287313) (xy 167.21944 103.191114) (xy 167.161591 103.104537) (xy 167.087963 103.030909) + (xy 167.001386 102.97306) (xy 166.905187 102.933213) (xy 166.803063 102.9129) (xy 166.698937 102.9129) (xy 166.596813 102.933213) + (xy 166.500614 102.97306) (xy 166.414037 103.030909) (xy 166.340409 103.104537) (xy 166.28256 103.191114) (xy 166.242713 103.287313) + (xy 166.2224 103.389437) (xy 165.014878 103.389437) (xy 165.0301 103.312912) (xy 165.0301 103.189088) (xy 165.005944 103.067644) + (xy 164.958559 102.953246) (xy 164.889766 102.850291) (xy 164.802209 102.762734) (xy 164.699254 102.693941) (xy 164.584856 102.646556) + (xy 164.463412 102.6224) (xy 164.339588 102.6224) (xy 164.218144 102.646556) (xy 164.103746 102.693941) (xy 164.000791 102.762734) + (xy 163.913234 102.850291) (xy 163.844441 102.953246) (xy 163.797056 103.067644) (xy 163.7729 103.189088) (xy 162.3631 103.189088) + (xy 162.338944 103.067644) (xy 162.291559 102.953246) (xy 162.222766 102.850291) (xy 162.135209 102.762734) (xy 162.032254 102.693941) + (xy 161.917856 102.646556) (xy 161.796412 102.6224) (xy 161.672588 102.6224) (xy 161.551144 102.646556) (xy 161.436746 102.693941) + (xy 161.333791 102.762734) (xy 161.246234 102.850291) (xy 161.177441 102.953246) (xy 161.130056 103.067644) (xy 161.1059 103.189088) + (xy 159.403641 103.189088) (xy 159.385287 103.096813) (xy 159.34544 103.000614) (xy 159.287591 102.914037) (xy 159.213963 102.840409) + (xy 159.127386 102.78256) (xy 159.031187 102.742713) (xy 158.929063 102.7224) (xy 158.824937 102.7224) (xy 158.722813 102.742713) + (xy 158.626614 102.78256) (xy 158.540037 102.840409) (xy 158.466409 102.914037) (xy 158.40856 103.000614) (xy 158.368713 103.096813) + (xy 158.3484 103.198937) (xy 157.961417 103.198937) (xy 158.724492 102.435863) (xy 158.737397 102.441208) (xy 158.829862 102.4596) + (xy 158.924138 102.4596) (xy 159.016603 102.441208) (xy 159.103702 102.40513) (xy 159.18209 102.352753) (xy 159.248753 102.28609) + (xy 159.275248 102.246437) (xy 165.0794 102.246437) (xy 165.0794 102.350563) (xy 165.099713 102.452687) (xy 165.13956 102.548886) + (xy 165.197409 102.635463) (xy 165.271037 102.709091) (xy 165.357614 102.76694) (xy 165.453813 102.806787) (xy 165.555937 102.8271) + (xy 165.660063 102.8271) (xy 165.762187 102.806787) (xy 165.858386 102.76694) (xy 165.944963 102.709091) (xy 166.018591 102.635463) + (xy 166.073783 102.552862) (xy 181.9214 102.552862) (xy 181.9214 102.647138) (xy 181.939792 102.739603) (xy 181.97587 102.826702) + (xy 182.028247 102.90509) (xy 182.09491 102.971753) (xy 182.173298 103.02413) (xy 182.260397 103.060208) (xy 182.352862 103.0786) + (xy 182.447138 103.0786) (xy 182.539603 103.060208) (xy 182.626702 103.02413) (xy 182.70509 102.971753) (xy 182.771753 102.90509) + (xy 182.82413 102.826702) (xy 182.860208 102.739603) (xy 182.8786 102.647138) (xy 182.8786 102.552862) (xy 182.860208 102.460397) + (xy 182.82413 102.373298) (xy 182.771753 102.29491) (xy 182.70509 102.228247) (xy 182.626702 102.17587) (xy 182.539603 102.139792) + (xy 182.447138 102.1214) (xy 182.352862 102.1214) (xy 182.260397 102.139792) (xy 182.173298 102.17587) (xy 182.09491 102.228247) + (xy 182.028247 102.29491) (xy 181.97587 102.373298) (xy 181.939792 102.460397) (xy 181.9214 102.552862) (xy 166.073783 102.552862) + (xy 166.07644 102.548886) (xy 166.116287 102.452687) (xy 166.1366 102.350563) (xy 166.1366 102.246437) (xy 166.116287 102.144313) + (xy 166.07644 102.048114) (xy 166.018591 101.961537) (xy 165.944963 101.887909) (xy 165.858386 101.83006) (xy 165.762187 101.790213) + (xy 165.660063 101.7699) (xy 165.555937 101.7699) (xy 165.453813 101.790213) (xy 165.357614 101.83006) (xy 165.271037 101.887909) + (xy 165.197409 101.961537) (xy 165.13956 102.048114) (xy 165.099713 102.144313) (xy 165.0794 102.246437) (xy 159.275248 102.246437) + (xy 159.30113 102.207702) (xy 159.337208 102.120603) (xy 159.3556 102.028138) (xy 159.3556 101.933862) (xy 159.337208 101.841397) + (xy 159.30113 101.754298) (xy 159.248753 101.67591) (xy 159.18209 101.609247) (xy 159.103702 101.55687) (xy 159.016603 101.520792) + (xy 158.924138 101.5024) (xy 158.829862 101.5024) (xy 158.737397 101.520792) (xy 158.650298 101.55687) (xy 158.57191 101.609247) + (xy 158.505247 101.67591) (xy 158.45287 101.754298) (xy 158.416792 101.841397) (xy 158.406002 101.895643) (xy 155.703246 104.5984) + (xy 155.418954 104.5984) (xy 158.828105 101.189251) (xy 158.829862 101.1896) (xy 158.924138 101.1896) (xy 159.016603 101.171208) + (xy 159.103702 101.13513) (xy 159.18209 101.082753) (xy 159.248753 101.01609) (xy 159.30113 100.937702) (xy 159.337208 100.850603) + (xy 159.3556 100.758138) (xy 159.3556 100.663862) (xy 159.337208 100.571397) (xy 159.30113 100.484298) (xy 159.248753 100.40591) + (xy 159.18209 100.339247) (xy 159.103702 100.28687) (xy 159.016603 100.250792) (xy 158.924138 100.2324) (xy 158.829862 100.2324) + (xy 158.737397 100.250792) (xy 158.650298 100.28687) (xy 158.57191 100.339247) (xy 158.505247 100.40591) (xy 158.45287 100.484298) + (xy 158.416792 100.571397) (xy 158.3984 100.663862) (xy 158.3984 100.758138) (xy 158.398749 100.759895) (xy 154.877746 104.2809) + (xy 154.466454 104.2809) (xy 158.828105 99.91925) (xy 158.829862 99.9196) (xy 158.924138 99.9196) (xy 159.016603 99.901208) + (xy 159.103702 99.86513) (xy 159.18209 99.812753) (xy 159.248753 99.74609) (xy 159.30113 99.667702) (xy 159.337208 99.580603) + (xy 159.3556 99.488138) (xy 159.3556 99.393862) (xy 159.337208 99.301397) (xy 159.30113 99.214298) (xy 159.248753 99.13591) + (xy 159.18209 99.069247) (xy 159.103702 99.01687) (xy 159.016603 98.980792) (xy 158.924138 98.9624) (xy 158.829862 98.9624) + (xy 158.737397 98.980792) (xy 158.650298 99.01687) (xy 158.57191 99.069247) (xy 158.505247 99.13591) (xy 158.45287 99.214298) + (xy 158.416792 99.301397) (xy 158.3984 99.393862) (xy 158.3984 99.488138) (xy 158.39875 99.489895) (xy 153.925246 103.9634) + (xy 130.442756 103.9634) (xy 129.375226 102.895872) (xy 129.365716 102.884284) (xy 129.319487 102.846345) (xy 129.266744 102.818154) + (xy 129.209516 102.800794) (xy 129.164904 102.7964) (xy 129.15 102.794932) (xy 129.135096 102.7964) (xy 126.314904 102.7964) + (xy 126.3 102.794932) (xy 126.285096 102.7964) (xy 126.240484 102.800794) (xy 126.183256 102.818154) (xy 126.130513 102.846345) + (xy 126.084284 102.884284) (xy 126.074778 102.895867) (xy 125.848896 103.12175) (xy 125.847138 103.1214) (xy 125.752862 103.1214) + (xy 125.660397 103.139792) (xy 125.573298 103.17587) (xy 125.49491 103.228247) (xy 125.428247 103.29491) (xy 125.37587 103.373298) + (xy 125.339792 103.460397) (xy 125.3214 103.552862) (xy 125.3214 103.647138) (xy 125.339792 103.739603) (xy 125.37587 103.826702) + (xy 125.428247 103.90509) (xy 125.49491 103.971753) (xy 125.573298 104.02413) (xy 125.660397 104.060208) (xy 125.752862 104.0786) + (xy 125.847138 104.0786) (xy 125.939603 104.060208) (xy 126.026702 104.02413) (xy 126.10509 103.971753) (xy 126.171753 103.90509) + (xy 126.22413 103.826702) (xy 126.260208 103.739603) (xy 126.2786 103.647138) (xy 126.2786 103.552862) (xy 126.27825 103.551104) + (xy 126.425755 103.4036) (xy 129.024246 103.4036) (xy 129.117046 103.4964) (xy 128.664903 103.4964) (xy 128.649999 103.494932) + (xy 128.590483 103.500794) (xy 128.565563 103.508354) (xy 128.533256 103.518154) (xy 128.480513 103.546345) (xy 128.434284 103.584284) + (xy 128.424783 103.595861) (xy 128.124246 103.8964) (xy 127.414904 103.8964) (xy 127.4 103.894932) (xy 127.385096 103.8964) + (xy 127.340484 103.900794) (xy 127.283256 103.918154) (xy 127.230513 103.946345) (xy 127.184284 103.984284) (xy 127.174779 103.995866) + (xy 125.874246 105.2964) (xy 125.272749 105.2964) (xy 125.271753 105.29491) (xy 125.20509 105.228247) (xy 125.126702 105.17587) + (xy 125.039603 105.139792) (xy 124.947138 105.1214) (xy 124.852862 105.1214) (xy 124.760397 105.139792) (xy 124.673298 105.17587) + (xy 124.59491 105.228247) (xy 124.528247 105.29491) (xy 124.47587 105.373298) (xy 124.439792 105.460397) (xy 124.4214 105.552862) + (xy 124.4214 105.647138) (xy 124.439792 105.739603) (xy 124.47587 105.826702) (xy 124.528247 105.90509) (xy 124.59491 105.971753) + (xy 124.673298 106.02413) (xy 124.760397 106.060208) (xy 124.852862 106.0786) (xy 124.947138 106.0786) (xy 125.039603 106.060208) + (xy 125.126702 106.02413) (xy 125.20509 105.971753) (xy 125.271753 105.90509) (xy 125.272749 105.9036) (xy 125.363318 105.9036) + (xy 125.339792 105.960397) (xy 125.3214 106.052862) (xy 125.3214 106.147138) (xy 125.339792 106.239603) (xy 125.363318 106.2964) + (xy 125.272749 106.2964) (xy 125.271753 106.29491) (xy 125.20509 106.228247) (xy 125.126702 106.17587) (xy 125.039603 106.139792) + (xy 124.947138 106.1214) (xy 124.852862 106.1214) (xy 124.760397 106.139792) (xy 124.673298 106.17587) (xy 124.59491 106.228247) + (xy 124.528247 106.29491) (xy 124.47587 106.373298) (xy 124.439792 106.460397) (xy 124.4214 106.552862) (xy 124.4214 106.647138) + (xy 124.439792 106.739603) (xy 124.47587 106.826702) (xy 124.528247 106.90509) (xy 124.59491 106.971753) (xy 124.673298 107.02413) + (xy 124.760397 107.060208) (xy 124.852862 107.0786) (xy 124.947138 107.0786) (xy 125.039603 107.060208) (xy 125.126702 107.02413) + (xy 125.20509 106.971753) (xy 125.271753 106.90509) (xy 125.272749 106.9036) (xy 125.363318 106.9036) (xy 125.339792 106.960397) + (xy 125.3214 107.052862) (xy 125.3214 107.147138) (xy 125.339792 107.239603) (xy 125.363318 107.2964) (xy 125.272749 107.2964) + (xy 125.271753 107.29491) (xy 125.20509 107.228247) (xy 125.126702 107.17587) (xy 125.039603 107.139792) (xy 124.947138 107.1214) + (xy 124.852862 107.1214) (xy 124.760397 107.139792) (xy 124.673298 107.17587) (xy 124.59491 107.228247) (xy 124.528247 107.29491) + (xy 124.47587 107.373298) (xy 124.439792 107.460397) (xy 124.4214 107.552862) (xy 124.4214 107.647138) (xy 124.439792 107.739603) + (xy 124.47587 107.826702) (xy 124.528247 107.90509) (xy 124.59491 107.971753) (xy 124.673298 108.02413) (xy 124.760397 108.060208) + (xy 124.852862 108.0786) (xy 124.947138 108.0786) (xy 125.039603 108.060208) (xy 125.126702 108.02413) (xy 125.20509 107.971753) + (xy 125.271753 107.90509) (xy 125.272749 107.9036) (xy 125.363318 107.9036) (xy 125.339792 107.960397) (xy 125.3214 108.052862) + (xy 125.3214 108.147138) (xy 125.339792 108.239603) (xy 125.363318 108.2964) (xy 125.272749 108.2964) (xy 125.271753 108.29491) + (xy 125.20509 108.228247) (xy 125.126702 108.17587) (xy 125.039603 108.139792) (xy 124.947138 108.1214) (xy 124.852862 108.1214) + (xy 124.760397 108.139792) (xy 124.673298 108.17587) (xy 124.59491 108.228247) (xy 124.528247 108.29491) (xy 124.47587 108.373298) + (xy 124.439792 108.460397) (xy 124.4214 108.552862) (xy 124.4214 108.647138) (xy 124.439792 108.739603) (xy 124.47587 108.826702) + (xy 124.528247 108.90509) (xy 124.59491 108.971753) (xy 124.673298 109.02413) (xy 124.760397 109.060208) (xy 124.852862 109.0786) + (xy 124.947138 109.0786) (xy 125.039603 109.060208) (xy 125.126702 109.02413) (xy 125.20509 108.971753) (xy 125.271753 108.90509) + (xy 125.272749 108.9036) (xy 125.363318 108.9036) (xy 125.339792 108.960397) (xy 125.3214 109.052862) (xy 125.3214 109.147138) + (xy 125.339792 109.239603) (xy 125.363318 109.2964) (xy 125.272749 109.2964) (xy 125.271753 109.29491) (xy 125.20509 109.228247) + (xy 125.126702 109.17587) (xy 125.039603 109.139792) (xy 124.947138 109.1214) (xy 124.852862 109.1214) (xy 124.760397 109.139792) + (xy 124.673298 109.17587) (xy 124.59491 109.228247) (xy 124.528247 109.29491) (xy 124.47587 109.373298) (xy 124.439792 109.460397) + (xy 124.4214 109.552862) (xy 124.4214 109.647138) (xy 124.439792 109.739603) (xy 124.47587 109.826702) (xy 124.528247 109.90509) + (xy 124.59491 109.971753) (xy 124.673298 110.02413) (xy 124.760397 110.060208) (xy 124.852862 110.0786) (xy 124.947138 110.0786) + (xy 125.039603 110.060208) (xy 125.126702 110.02413) (xy 125.20509 109.971753) (xy 125.271753 109.90509) (xy 125.272749 109.9036) + (xy 125.363318 109.9036) (xy 125.339792 109.960397) (xy 125.3214 110.052862) (xy 125.3214 110.147138) (xy 125.339792 110.239603) + (xy 125.363318 110.2964) (xy 125.272749 110.2964) (xy 125.271753 110.29491) (xy 125.20509 110.228247) (xy 125.126702 110.17587) + (xy 125.039603 110.139792) (xy 124.947138 110.1214) (xy 124.852862 110.1214) (xy 124.760397 110.139792) (xy 124.673298 110.17587) + (xy 124.59491 110.228247) (xy 124.528247 110.29491) (xy 124.47587 110.373298) (xy 124.439792 110.460397) (xy 124.4214 110.552862) + (xy 124.4214 110.647138) (xy 124.439792 110.739603) (xy 124.47587 110.826702) (xy 124.528247 110.90509) (xy 124.59491 110.971753) + (xy 124.673298 111.02413) (xy 124.760397 111.060208) (xy 124.852862 111.0786) (xy 124.947138 111.0786) (xy 125.039603 111.060208) + (xy 125.126702 111.02413) (xy 125.20509 110.971753) (xy 125.271753 110.90509) (xy 125.272749 110.9036) (xy 125.363318 110.9036) + (xy 125.339792 110.960397) (xy 125.3214 111.052862) (xy 125.3214 111.147138) (xy 125.339792 111.239603) (xy 125.363318 111.2964) + (xy 125.272749 111.2964) (xy 125.271753 111.29491) (xy 125.20509 111.228247) (xy 125.126702 111.17587) (xy 125.039603 111.139792) + (xy 124.947138 111.1214) (xy 124.852862 111.1214) (xy 124.760397 111.139792) (xy 124.673298 111.17587) (xy 124.59491 111.228247) + (xy 124.528247 111.29491) (xy 124.47587 111.373298) (xy 124.439792 111.460397) (xy 124.4214 111.552862) (xy 124.4214 111.647138) + (xy 124.439792 111.739603) (xy 124.47587 111.826702) (xy 124.528247 111.90509) (xy 124.59491 111.971753) (xy 124.673298 112.02413) + (xy 124.760397 112.060208) (xy 124.852862 112.0786) (xy 124.947138 112.0786) (xy 125.039603 112.060208) (xy 125.126702 112.02413) + (xy 125.20509 111.971753) (xy 125.271753 111.90509) (xy 125.272749 111.9036) (xy 125.363318 111.9036) (xy 125.339792 111.960397) + (xy 125.3214 112.052862) (xy 125.3214 112.147138) (xy 125.339792 112.239603) (xy 125.363318 112.2964) (xy 124.75441 112.2964) + (xy 124.72413 112.223298) (xy 124.671753 112.14491) (xy 124.60509 112.078247) (xy 124.526702 112.02587) (xy 124.439603 111.989792) + (xy 124.347138 111.9714) (xy 124.252862 111.9714) (xy 124.160397 111.989792) (xy 124.073298 112.02587) (xy 123.99491 112.078247) + (xy 123.928247 112.14491) (xy 123.87587 112.223298) (xy 123.839792 112.310397) (xy 123.8214 112.402862) (xy 123.8214 112.497138) + (xy 123.839792 112.589603) (xy 123.87587 112.676702) (xy 123.928247 112.75509) (xy 123.99491 112.821753) (xy 124.073298 112.87413) + (xy 124.160397 112.910208) (xy 124.252862 112.9286) (xy 124.347138 112.9286) (xy 124.439603 112.910208) (xy 124.45264 112.904808) + (xy 124.464903 112.9036) (xy 124.767044 112.9036) (xy 124.348896 113.32175) (xy 124.347138 113.3214) (xy 124.252862 113.3214) + (xy 124.160397 113.339792) (xy 124.073298 113.37587) (xy 123.99491 113.428247) (xy 123.928247 113.49491) (xy 123.87587 113.573298) + (xy 123.839792 113.660397) (xy 123.8214 113.752862) (xy 123.8214 113.847138) (xy 123.839792 113.939603) (xy 123.87587 114.026702) + (xy 123.928247 114.10509) (xy 123.99491 114.171753) (xy 124.073298 114.22413) (xy 124.160397 114.260208) (xy 124.252862 114.2786) + (xy 124.347138 114.2786) (xy 124.439603 114.260208) (xy 124.526702 114.22413) (xy 124.60509 114.171753) (xy 124.671753 114.10509) + (xy 124.72413 114.026702) (xy 124.760208 113.939603) (xy 124.7786 113.847138) (xy 124.7786 113.752862) (xy 124.77825 113.751104) + (xy 125.325756 113.2036) (xy 126.617046 113.2036) (xy 126.097482 113.723164) (xy 126.026702 113.67587) (xy 125.939603 113.639792) + (xy 125.847138 113.6214) (xy 125.752862 113.6214) (xy 125.660397 113.639792) (xy 125.573298 113.67587) (xy 125.49491 113.728247) + (xy 125.428247 113.79491) (xy 125.37587 113.873298) (xy 125.339792 113.960397) (xy 125.3214 114.052862) (xy 125.3214 114.147138) + (xy 125.339792 114.239603) (xy 125.363318 114.2964) (xy 125.272749 114.2964) (xy 125.271753 114.29491) (xy 125.20509 114.228247) + (xy 125.126702 114.17587) (xy 125.039603 114.139792) (xy 124.947138 114.1214) (xy 124.852862 114.1214) (xy 124.760397 114.139792) + (xy 124.673298 114.17587) (xy 124.59491 114.228247) (xy 124.528247 114.29491) (xy 124.47587 114.373298) (xy 124.439792 114.460397) + (xy 124.4214 114.552862) (xy 124.4214 114.647138) (xy 124.439792 114.739603) (xy 124.47587 114.826702) (xy 124.489032 114.8464) + (xy 124.275756 114.8464) (xy 124.22825 114.798895) (xy 124.2286 114.797138) (xy 124.2286 114.702862) (xy 124.210208 114.610397) + (xy 124.17413 114.523298) (xy 124.121753 114.44491) (xy 124.05509 114.378247) (xy 123.976702 114.32587) (xy 123.889603 114.289792) + (xy 123.797138 114.2714) (xy 123.702862 114.2714) (xy 123.610397 114.289792) (xy 123.523298 114.32587) (xy 123.44491 114.378247) + (xy 123.378247 114.44491) (xy 123.32587 114.523298) (xy 123.289792 114.610397) (xy 123.2714 114.702862) (xy 123.2714 114.797138) + (xy 123.289792 114.889603) (xy 123.32587 114.976702) (xy 123.378247 115.05509) (xy 123.44491 115.121753) (xy 123.523298 115.17413) + (xy 123.610397 115.210208) (xy 123.702862 115.2286) (xy 123.797138 115.2286) (xy 123.798895 115.22825) (xy 123.924783 115.354139) + (xy 123.934284 115.365716) (xy 123.980513 115.403655) (xy 124.033256 115.431846) (xy 124.065563 115.441646) (xy 124.090483 115.449206) + (xy 124.149999 115.455068) (xy 124.164903 115.4536) (xy 125.717046 115.4536) (xy 125.324246 115.8464) (xy 123.875755 115.8464) + (xy 123.72825 115.698896) (xy 123.7286 115.697138) (xy 123.7286 115.602862) (xy 123.710208 115.510397) (xy 123.67413 115.423298) + (xy 123.621753 115.34491) (xy 123.55509 115.278247) (xy 123.476702 115.22587) (xy 123.389603 115.189792) (xy 123.297138 115.1714) + (xy 123.202862 115.1714) (xy 123.110397 115.189792) (xy 123.0536 115.213318) (xy 123.0536 115.122749) (xy 123.05509 115.121753) + (xy 123.121753 115.05509) (xy 123.17413 114.976702) (xy 123.210208 114.889603) (xy 123.2286 114.797138) (xy 123.2286 114.702862) + (xy 123.210208 114.610397) (xy 123.17413 114.523298) (xy 123.121753 114.44491) (xy 123.05509 114.378247) (xy 122.976702 114.32587) + (xy 122.889603 114.289792) (xy 122.797138 114.2714) (xy 122.702862 114.2714) (xy 122.610397 114.289792) (xy 122.523298 114.32587) + (xy 122.44491 114.378247) (xy 122.378247 114.44491) (xy 122.32587 114.523298) (xy 122.289792 114.610397) (xy 122.2714 114.702862) + (xy 122.2714 114.797138) (xy 122.289792 114.889603) (xy 122.32587 114.976702) (xy 122.378247 115.05509) (xy 122.44491 115.121753) + (xy 122.4464 115.122749) (xy 122.4464 115.213319) (xy 122.389603 115.189792) (xy 122.297138 115.1714) (xy 122.202862 115.1714) + (xy 122.110397 115.189792) (xy 122.0536 115.213318) (xy 122.0536 115.122749) (xy 122.05509 115.121753) (xy 122.121753 115.05509) + (xy 122.17413 114.976702) (xy 122.210208 114.889603) (xy 122.2286 114.797138) (xy 122.2286 114.702862) (xy 122.210208 114.610397) + (xy 122.17413 114.523298) (xy 122.121753 114.44491) (xy 122.05509 114.378247) (xy 121.976702 114.32587) (xy 121.889603 114.289792) + (xy 121.797138 114.2714) (xy 121.702862 114.2714) (xy 121.610397 114.289792) (xy 121.523298 114.32587) (xy 121.44491 114.378247) + (xy 121.378247 114.44491) (xy 121.32587 114.523298) (xy 121.289792 114.610397) (xy 121.2714 114.702862) (xy 121.2714 114.797138) + (xy 121.289792 114.889603) (xy 121.32587 114.976702) (xy 121.378247 115.05509) (xy 121.44491 115.121753) (xy 121.446401 115.122749) + (xy 121.446401 115.213319) (xy 121.389603 115.189792) (xy 121.297138 115.1714) (xy 121.202862 115.1714) (xy 121.110397 115.189792) + (xy 121.0536 115.213318) (xy 121.0536 115.122749) (xy 121.05509 115.121753) (xy 121.121753 115.05509) (xy 121.17413 114.976702) + (xy 121.210208 114.889603) (xy 121.2286 114.797138) (xy 121.2286 114.702862) (xy 121.210208 114.610397) (xy 121.17413 114.523298) + (xy 121.121753 114.44491) (xy 121.05509 114.378247) (xy 120.976702 114.32587) (xy 120.889603 114.289792) (xy 120.797138 114.2714) + (xy 120.702862 114.2714) (xy 120.610397 114.289792) (xy 120.523298 114.32587) (xy 120.44491 114.378247) (xy 120.378247 114.44491) + (xy 120.32587 114.523298) (xy 120.289792 114.610397) (xy 120.2714 114.702862) (xy 120.2714 114.797138) (xy 120.289792 114.889603) + (xy 120.32587 114.976702) (xy 120.378247 115.05509) (xy 120.44491 115.121753) (xy 120.446401 115.122749) (xy 120.446401 115.213319) + (xy 120.389603 115.189792) (xy 120.297138 115.1714) (xy 120.202862 115.1714) (xy 120.110397 115.189792) (xy 120.0536 115.213318) + (xy 120.0536 114.617369) (xy 120.089603 114.610208) (xy 120.176702 114.57413) (xy 120.25509 114.521753) (xy 120.321753 114.45509) + (xy 120.37413 114.376702) (xy 120.410208 114.289603) (xy 120.4286 114.197138) (xy 120.4286 114.102862) (xy 120.410208 114.010397) + (xy 120.37413 113.923298) (xy 120.321753 113.84491) (xy 120.25509 113.778247) (xy 120.176702 113.72587) (xy 120.089603 113.689792) + (xy 119.997138 113.6714) (xy 119.902862 113.6714) (xy 119.810397 113.689792) (xy 119.723298 113.72587) (xy 119.64491 113.778247) + (xy 119.578247 113.84491) (xy 119.52587 113.923298) (xy 119.489792 114.010397) (xy 119.4714 114.102862) (xy 119.4714 114.197138) + (xy 119.475756 114.219036) (xy 119.468155 114.233256) (xy 119.450794 114.290485) (xy 119.444932 114.35) (xy 119.055068 114.35) + (xy 119.049206 114.290484) (xy 119.043078 114.270284) (xy 119.031846 114.233256) (xy 119.024245 114.219034) (xy 119.0286 114.197138) + (xy 119.0286 114.102862) (xy 119.010208 114.010397) (xy 118.97413 113.923298) (xy 118.921753 113.84491) (xy 118.85509 113.778247) + (xy 118.776702 113.72587) (xy 118.689603 113.689792) (xy 118.597138 113.6714) (xy 118.502862 113.6714) (xy 118.410397 113.689792) + (xy 118.323298 113.72587) (xy 118.24491 113.778247) (xy 118.178247 113.84491) (xy 118.12587 113.923298) (xy 118.089792 114.010397) + (xy 118.0714 114.102862) (xy 118.0714 114.197138) (xy 118.089792 114.289603) (xy 118.12587 114.376702) (xy 118.178247 114.45509) + (xy 118.24491 114.521753) (xy 118.323298 114.57413) (xy 118.410397 114.610208) (xy 118.4464 114.617369) (xy 118.4464 115.213318) + (xy 118.389603 115.189792) (xy 118.297138 115.1714) (xy 118.202862 115.1714) (xy 118.110397 115.189792) (xy 118.0536 115.213318) + (xy 118.0536 115.122749) (xy 118.05509 115.121753) (xy 118.121753 115.05509) (xy 118.17413 114.976702) (xy 118.210208 114.889603) + (xy 118.2286 114.797138) (xy 118.2286 114.702862) (xy 118.210208 114.610397) (xy 118.17413 114.523298) (xy 118.121753 114.44491) + (xy 118.05509 114.378247) (xy 117.976702 114.32587) (xy 117.889603 114.289792) (xy 117.797138 114.2714) (xy 117.702862 114.2714) + (xy 117.610397 114.289792) (xy 117.523298 114.32587) (xy 117.44491 114.378247) (xy 117.378247 114.44491) (xy 117.32587 114.523298) + (xy 117.289792 114.610397) (xy 117.2714 114.702862) (xy 117.2714 114.797138) (xy 117.289792 114.889603) (xy 117.32587 114.976702) + (xy 117.378247 115.05509) (xy 117.44491 115.121753) (xy 117.4464 115.122749) (xy 117.446401 118.524244) (xy 116.945872 119.024774) + (xy 116.934284 119.034284) (xy 116.896345 119.080514) (xy 116.868154 119.133257) (xy 116.853819 119.180514) (xy 116.850794 119.190485) + (xy 116.844932 119.25) (xy 116.1536 119.25) (xy 116.1536 117.288254) (xy 116.45414 116.987716) (xy 116.465716 116.978216) + (xy 116.503655 116.931987) (xy 116.531846 116.879244) (xy 116.545484 116.834285) (xy 116.549206 116.822017) (xy 116.555068 116.762501) + (xy 116.5536 116.747597) (xy 116.5536 116.022749) (xy 116.55509 116.021753) (xy 116.621753 115.95509) (xy 116.67413 115.876702) + (xy 116.710208 115.789603) (xy 116.7286 115.697138) (xy 116.7286 115.602862) (xy 116.710208 115.510397) (xy 116.67413 115.423298) + (xy 116.621753 115.34491) (xy 116.55509 115.278247) (xy 116.476702 115.22587) (xy 116.389603 115.189792) (xy 116.297138 115.1714) + (xy 116.202862 115.1714) (xy 116.110397 115.189792) (xy 116.0536 115.213318) (xy 116.0536 115.122749) (xy 116.05509 115.121753) + (xy 116.121753 115.05509) (xy 116.17413 114.976702) (xy 116.210208 114.889603) (xy 116.2286 114.797138) (xy 116.2286 114.702862) + (xy 116.21745 114.646806) (xy 116.271749 114.701105) (xy 116.2714 114.702862) (xy 116.2714 114.797138) (xy 116.289792 114.889603) + (xy 116.32587 114.976702) (xy 116.378247 115.05509) (xy 116.44491 115.121753) (xy 116.523298 115.17413) (xy 116.610397 115.210208) + (xy 116.702862 115.2286) (xy 116.797138 115.2286) (xy 116.889603 115.210208) (xy 116.976702 115.17413) (xy 117.05509 115.121753) + (xy 117.121753 115.05509) (xy 117.17413 114.976702) (xy 117.210208 114.889603) (xy 117.2286 114.797138) (xy 117.2286 114.702862) + (xy 117.210208 114.610397) (xy 117.17413 114.523298) (xy 117.121753 114.44491) (xy 117.05509 114.378247) (xy 116.976702 114.32587) + (xy 116.889603 114.289792) (xy 116.797138 114.2714) (xy 116.702862 114.2714) (xy 116.701105 114.271749) (xy 114.825226 112.395872) + (xy 114.815716 112.384284) (xy 114.769487 112.346345) (xy 114.716744 112.318154) (xy 114.659516 112.300794) (xy 114.614904 112.2964) + (xy 114.6 112.294932) (xy 114.585096 112.2964) (xy 112.264903 112.2964) (xy 112.249999 112.294932) (xy 112.202464 112.299614) + (xy 112.190484 112.300794) (xy 112.133256 112.318154) (xy 112.080513 112.346345) (xy 112.034284 112.384284) (xy 112.024779 112.395866) + (xy 108.645872 115.774774) (xy 108.634284 115.784284) (xy 108.596345 115.830514) (xy 108.568154 115.883257) (xy 108.555557 115.924784) + (xy 108.550794 115.940485) (xy 108.544932 116) (xy 108.5464 116.014904) (xy 108.546401 121.474243) (xy 107.824246 122.1964) + (xy 106.375755 122.1964) (xy 104.9516 120.772246) (xy 104.9516 114.570362) (xy 105.1854 114.570362) (xy 105.1854 114.664638) + (xy 105.203792 114.757103) (xy 105.23987 114.844202) (xy 105.292247 114.92259) (xy 105.35891 114.989253) (xy 105.437298 115.04163) + (xy 105.524397 115.077708) (xy 105.616862 115.0961) (xy 105.711138 115.0961) (xy 105.712896 115.09575) (xy 106.376401 115.759256) + (xy 106.3764 120.150251) (xy 106.37491 120.151247) (xy 106.308247 120.21791) (xy 106.25587 120.296298) (xy 106.219792 120.383397) + (xy 106.2014 120.475862) (xy 106.2014 120.570138) (xy 106.219792 120.662603) (xy 106.25587 120.749702) (xy 106.308247 120.82809) + (xy 106.37491 120.894753) (xy 106.453298 120.94713) (xy 106.540397 120.983208) (xy 106.632862 121.0016) (xy 106.727138 121.0016) + (xy 106.819603 120.983208) (xy 106.906702 120.94713) (xy 106.98509 120.894753) (xy 107.051753 120.82809) (xy 107.10413 120.749702) + (xy 107.140208 120.662603) (xy 107.1586 120.570138) (xy 107.1586 120.475862) (xy 107.4714 120.475862) (xy 107.4714 120.570138) + (xy 107.489792 120.662603) (xy 107.52587 120.749702) (xy 107.578247 120.82809) (xy 107.64491 120.894753) (xy 107.723298 120.94713) + (xy 107.810397 120.983208) (xy 107.902862 121.0016) (xy 107.997138 121.0016) (xy 108.089603 120.983208) (xy 108.176702 120.94713) + (xy 108.25509 120.894753) (xy 108.321753 120.82809) (xy 108.37413 120.749702) (xy 108.410208 120.662603) (xy 108.4286 120.570138) + (xy 108.4286 120.475862) (xy 108.410208 120.383397) (xy 108.37413 120.296298) (xy 108.321753 120.21791) (xy 108.25509 120.151247) + (xy 108.2536 120.150251) (xy 108.2536 115.759254) (xy 108.917104 115.09575) (xy 108.918862 115.0961) (xy 109.013138 115.0961) + (xy 109.105603 115.077708) (xy 109.192702 115.04163) (xy 109.27109 114.989253) (xy 109.337753 114.92259) (xy 109.39013 114.844202) + (xy 109.426208 114.757103) (xy 109.4446 114.664638) (xy 109.4446 114.570362) (xy 109.44425 114.568604) (xy 120.004135 104.00872) + (xy 120.015716 103.999216) (xy 120.053655 103.952987) (xy 120.081846 103.900244) (xy 120.099206 103.843016) (xy 120.1036 103.798404) + (xy 120.1036 103.798403) (xy 120.105068 103.7835) (xy 120.1036 103.768596) (xy 120.1036 100.625754) (xy 120.476492 100.252862) + (xy 121.2714 100.252862) (xy 121.2714 100.347138) (xy 121.289792 100.439603) (xy 121.32587 100.526702) (xy 121.378247 100.60509) + (xy 121.44491 100.671753) (xy 121.523298 100.72413) (xy 121.610397 100.760208) (xy 121.702862 100.7786) (xy 121.797138 100.7786) + (xy 121.889603 100.760208) (xy 121.976702 100.72413) (xy 122.05509 100.671753) (xy 122.121753 100.60509) (xy 122.129032 100.594197) + (xy 122.169746 100.581846) (xy 122.222489 100.553655) (xy 122.268718 100.515716) (xy 122.278228 100.504128) (xy 123.757142 99.025216) + (xy 123.768718 99.015716) (xy 123.791966 98.987388) (xy 124.375755 98.4036) (xy 127.685096 98.4036) (xy 127.7 98.405068) + (xy 127.714904 98.4036) (xy 127.759516 98.399206) (xy 127.816744 98.381846) (xy 127.869487 98.353655) (xy 127.915716 98.315716) + (xy 127.920869 98.309437) (xy 129.9004 98.309437) (xy 129.9004 98.413563) (xy 129.920713 98.515687) (xy 129.96056 98.611886) + (xy 130.018409 98.698463) (xy 130.092037 98.772091) (xy 130.178614 98.82994) (xy 130.274813 98.869787) (xy 130.376937 98.8901) + (xy 130.481063 98.8901) (xy 130.583187 98.869787) (xy 130.679386 98.82994) (xy 130.765963 98.772091) (xy 130.839591 98.698463) + (xy 130.89744 98.611886) (xy 130.937287 98.515687) (xy 130.9576 98.413563) (xy 130.9576 98.309437) (xy 130.937287 98.207313) + (xy 130.89744 98.111114) (xy 130.896087 98.109088) (xy 132.1499 98.109088) (xy 132.1499 98.232912) (xy 132.174056 98.354356) + (xy 132.221441 98.468754) (xy 132.290234 98.571709) (xy 132.377791 98.659266) (xy 132.480746 98.728059) (xy 132.595144 98.775444) + (xy 132.716588 98.7996) (xy 132.840412 98.7996) (xy 132.961856 98.775444) (xy 133.076254 98.728059) (xy 133.179209 98.659266) + (xy 133.266766 98.571709) (xy 133.335559 98.468754) (xy 133.382944 98.354356) (xy 133.4071 98.232912) (xy 133.4071 98.109088) + (xy 133.382944 97.987644) (xy 133.335559 97.873246) (xy 133.266766 97.770291) (xy 133.179209 97.682734) (xy 133.076254 97.613941) + (xy 132.961856 97.566556) (xy 132.840412 97.5424) (xy 132.716588 97.5424) (xy 132.595144 97.566556) (xy 132.480746 97.613941) + (xy 132.377791 97.682734) (xy 132.290234 97.770291) (xy 132.221441 97.873246) (xy 132.174056 97.987644) (xy 132.1499 98.109088) + (xy 130.896087 98.109088) (xy 130.839591 98.024537) (xy 130.765963 97.950909) (xy 130.679386 97.89306) (xy 130.583187 97.853213) + (xy 130.481063 97.8329) (xy 130.376937 97.8329) (xy 130.274813 97.853213) (xy 130.178614 97.89306) (xy 130.092037 97.950909) + (xy 130.018409 98.024537) (xy 129.96056 98.111114) (xy 129.920713 98.207313) (xy 129.9004 98.309437) (xy 127.920869 98.309437) + (xy 127.925226 98.304128) (xy 129.062918 97.166437) (xy 131.0434 97.166437) (xy 131.0434 97.270563) (xy 131.063713 97.372687) + (xy 131.10356 97.468886) (xy 131.161409 97.555463) (xy 131.235037 97.629091) (xy 131.321614 97.68694) (xy 131.417813 97.726787) + (xy 131.519937 97.7471) (xy 131.624063 97.7471) (xy 131.726187 97.726787) (xy 131.822386 97.68694) (xy 131.908963 97.629091) + (xy 131.982591 97.555463) (xy 132.04044 97.468886) (xy 132.080287 97.372687) (xy 132.1006 97.270563) (xy 132.1006 97.166437) + (xy 132.080287 97.064313) (xy 132.04044 96.968114) (xy 131.982591 96.881537) (xy 131.908963 96.807909) (xy 131.822386 96.75006) + (xy 131.726187 96.710213) (xy 131.624063 96.6899) (xy 131.519937 96.6899) (xy 131.417813 96.710213) (xy 131.321614 96.75006) + (xy 131.235037 96.807909) (xy 131.161409 96.881537) (xy 131.10356 96.968114) (xy 131.063713 97.064313) (xy 131.0434 97.166437) + (xy 129.062918 97.166437) (xy 129.625756 96.6036) (xy 132.685096 96.6036) (xy 132.7 96.605068) (xy 132.714904 96.6036) + (xy 132.759516 96.599206) (xy 132.816744 96.581846) (xy 132.869487 96.553655) (xy 132.915716 96.515716) (xy 132.925226 96.504128) + (xy 133.496401 95.932954) (xy 133.4964 99.274245) (xy 132.807746 99.9629) (xy 127.776903 99.9629) (xy 127.761999 99.961432) + (xy 127.702484 99.967294) (xy 127.645256 99.984654) (xy 127.592513 100.012845) (xy 127.546284 100.050784) (xy 127.536778 100.062367) + (xy 127.064746 100.5344) (xy 123.776404 100.5344) (xy 123.7615 100.532932) (xy 123.746596 100.5344) (xy 123.701984 100.538794) + (xy 123.644756 100.556154) (xy 123.592013 100.584345) (xy 123.545784 100.622284) (xy 123.536279 100.633866) (xy 122.045867 102.124279) + (xy 122.034285 102.133784) (xy 121.996346 102.180013) (xy 121.968155 102.232756) (xy 121.951976 102.28609) (xy 121.950794 102.289985) + (xy 121.944932 102.3495) (xy 121.9464 102.364404) (xy 121.9464 102.735096) (xy 121.944932 102.75) (xy 121.9464 102.764903) + (xy 121.950794 102.809515) (xy 121.968154 102.866743) (xy 121.996345 102.919486) (xy 122.034284 102.965716) (xy 122.045872 102.975226) + (xy 122.724779 103.654133) (xy 122.734284 103.665716) (xy 122.780513 103.703655) (xy 122.833256 103.731846) (xy 122.861732 103.740484) + (xy 122.870205 103.743055) (xy 122.878247 103.75509) (xy 122.94491 103.821753) (xy 123.023298 103.87413) (xy 123.110397 103.910208) + (xy 123.202862 103.9286) (xy 123.297138 103.9286) (xy 123.389603 103.910208) (xy 123.476702 103.87413) (xy 123.55509 103.821753) + (xy 123.621753 103.75509) (xy 123.67413 103.676702) (xy 123.710208 103.589603) (xy 123.7286 103.497138) (xy 123.7286 103.402862) + (xy 123.7714 103.402862) (xy 123.7714 103.497138) (xy 123.789792 103.589603) (xy 123.82587 103.676702) (xy 123.878247 103.75509) + (xy 123.94491 103.821753) (xy 124.023298 103.87413) (xy 124.110397 103.910208) (xy 124.202862 103.9286) (xy 124.297138 103.9286) + (xy 124.389603 103.910208) (xy 124.476702 103.87413) (xy 124.55509 103.821753) (xy 124.621753 103.75509) (xy 124.67413 103.676702) + (xy 124.710208 103.589603) (xy 124.7286 103.497138) (xy 124.7286 103.402862) (xy 124.72825 103.401104) (xy 125.625755 102.5036) + (xy 133.235096 102.5036) (xy 133.25 102.505068) (xy 133.264904 102.5036) (xy 133.309516 102.499206) (xy 133.366744 102.481846) + (xy 133.419487 102.453655) (xy 133.465716 102.415716) (xy 133.475226 102.404128) (xy 136.654139 99.225217) (xy 136.665716 99.215716) + (xy 136.703655 99.169487) (xy 136.731846 99.116744) (xy 136.746254 99.069247) (xy 136.749206 99.059517) (xy 136.755068 99.000001) + (xy 136.7536 98.985097) (xy 136.7536 98.109088) (xy 137.8014 98.109088) (xy 137.8014 98.232912) (xy 137.825556 98.354356) + (xy 137.872941 98.468754) (xy 137.941734 98.571709) (xy 138.029291 98.659266) (xy 138.132246 98.728059) (xy 138.246644 98.775444) + (xy 138.368088 98.7996) (xy 138.491912 98.7996) (xy 138.613356 98.775444) (xy 138.727754 98.728059) (xy 138.830709 98.659266) + (xy 138.918266 98.571709) (xy 138.987059 98.468754) (xy 139.034444 98.354356) (xy 139.0586 98.232912) (xy 139.0586 98.109088) + (xy 139.034444 97.987644) (xy 138.987059 97.873246) (xy 138.918266 97.770291) (xy 138.830709 97.682734) (xy 138.727754 97.613941) + (xy 138.613356 97.566556) (xy 138.491912 97.5424) (xy 138.368088 97.5424) (xy 138.246644 97.566556) (xy 138.132246 97.613941) + (xy 138.029291 97.682734) (xy 137.941734 97.770291) (xy 137.872941 97.873246) (xy 137.825556 97.987644) (xy 137.8014 98.109088) + (xy 136.7536 98.109088) (xy 136.7536 96.853862) (xy 138.0784 96.853862) (xy 138.0784 96.948138) (xy 138.096792 97.040603) + (xy 138.13287 97.127702) (xy 138.185247 97.20609) (xy 138.25191 97.272753) (xy 138.330298 97.32513) (xy 138.417397 97.361208) + (xy 138.509862 97.3796) (xy 138.604138 97.3796) (xy 138.696603 97.361208) (xy 138.783702 97.32513) (xy 138.86209 97.272753) + (xy 138.928753 97.20609) (xy 138.98113 97.127702) (xy 139.017208 97.040603) (xy 139.0356 96.948138) (xy 139.0356 96.853862) + (xy 139.017208 96.761397) (xy 138.98113 96.674298) (xy 138.928753 96.59591) (xy 138.86209 96.529247) (xy 138.783702 96.47687) + (xy 138.696603 96.440792) (xy 138.604138 96.4224) (xy 138.509862 96.4224) (xy 138.417397 96.440792) (xy 138.330298 96.47687) + (xy 138.25191 96.529247) (xy 138.185247 96.59591) (xy 138.13287 96.674298) (xy 138.096792 96.761397) (xy 138.0784 96.853862) + (xy 136.7536 96.853862) (xy 136.7536 95.583862) (xy 138.0784 95.583862) (xy 138.0784 95.678138) (xy 138.096792 95.770603) + (xy 138.13287 95.857702) (xy 138.185247 95.93609) (xy 138.25191 96.002753) (xy 138.330298 96.05513) (xy 138.417397 96.091208) + (xy 138.509862 96.1096) (xy 138.604138 96.1096) (xy 138.696603 96.091208) (xy 138.783702 96.05513) (xy 138.86209 96.002753) + (xy 138.928753 95.93609) (xy 138.98113 95.857702) (xy 139.017208 95.770603) (xy 139.0356 95.678138) (xy 139.0356 95.583862) + (xy 139.017208 95.491397) (xy 138.98113 95.404298) (xy 138.928753 95.32591) (xy 138.86209 95.259247) (xy 138.783702 95.20687) + (xy 138.696603 95.170792) (xy 138.604138 95.1524) (xy 138.509862 95.1524) (xy 138.417397 95.170792) (xy 138.330298 95.20687) + (xy 138.25191 95.259247) (xy 138.185247 95.32591) (xy 138.13287 95.404298) (xy 138.096792 95.491397) (xy 138.0784 95.583862) + (xy 136.7536 95.583862) (xy 136.7536 94.046904) (xy 136.755068 94.032) (xy 136.749206 93.972484) (xy 136.74113 93.945862) + (xy 136.731846 93.915256) (xy 136.703655 93.862513) (xy 136.665716 93.816284) (xy 136.654135 93.80678) (xy 135.98725 93.139896) + (xy 135.9876 93.138138) (xy 135.9876 93.043862) (xy 135.969208 92.951397) (xy 135.93313 92.864298) (xy 135.880753 92.78591) + (xy 135.81409 92.719247) (xy 135.735702 92.66687) (xy 135.648603 92.630792) (xy 135.556138 92.6124) (xy 135.461862 92.6124) + (xy 135.369397 92.630792) (xy 135.282298 92.66687) (xy 135.20391 92.719247) (xy 135.137247 92.78591) (xy 135.08487 92.864298) + (xy 135.048792 92.951397) (xy 135.0304 93.043862) (xy 135.0304 93.138138) (xy 135.048792 93.230603) (xy 135.08487 93.317702) + (xy 135.137247 93.39609) (xy 135.20391 93.462753) (xy 135.282298 93.51513) (xy 135.369397 93.551208) (xy 135.461862 93.5696) + (xy 135.556138 93.5696) (xy 135.557896 93.56925) (xy 136.1464 94.157755) (xy 136.146401 98.874243) (xy 133.124246 101.8964) + (xy 125.514904 101.8964) (xy 125.5 101.894932) (xy 125.485096 101.8964) (xy 125.440484 101.900794) (xy 125.383256 101.918154) + (xy 125.330513 101.946345) (xy 125.284284 101.984284) (xy 125.274779 101.995866) (xy 124.298896 102.97175) (xy 124.297138 102.9714) + (xy 124.202862 102.9714) (xy 124.110397 102.989792) (xy 124.023298 103.02587) (xy 123.94491 103.078247) (xy 123.878247 103.14491) + (xy 123.82587 103.223298) (xy 123.789792 103.310397) (xy 123.7714 103.402862) (xy 123.7286 103.402862) (xy 123.710208 103.310397) + (xy 123.67413 103.223298) (xy 123.621753 103.14491) (xy 123.55509 103.078247) (xy 123.476702 103.02587) (xy 123.389603 102.989792) + (xy 123.297138 102.9714) (xy 123.202862 102.9714) (xy 123.110397 102.989792) (xy 123.023298 103.02587) (xy 122.982491 103.053136) + (xy 122.5536 102.624246) (xy 122.5536 102.502862) (xy 123.2714 102.502862) (xy 123.2714 102.597138) (xy 123.289792 102.689603) + (xy 123.32587 102.776702) (xy 123.378247 102.85509) (xy 123.44491 102.921753) (xy 123.523298 102.97413) (xy 123.610397 103.010208) + (xy 123.702862 103.0286) (xy 123.797138 103.0286) (xy 123.889603 103.010208) (xy 123.976702 102.97413) (xy 124.05509 102.921753) + (xy 124.121753 102.85509) (xy 124.17413 102.776702) (xy 124.210208 102.689603) (xy 124.2286 102.597138) (xy 124.2286 102.502862) + (xy 124.22825 102.501104) (xy 124.952755 101.7766) (xy 132.890596 101.7766) (xy 132.9055 101.778068) (xy 132.920404 101.7766) + (xy 132.965016 101.772206) (xy 133.022244 101.754846) (xy 133.074987 101.726655) (xy 133.121216 101.688716) (xy 133.130726 101.677128) + (xy 134.654134 100.153721) (xy 134.665716 100.144216) (xy 134.703655 100.097987) (xy 134.731846 100.045244) (xy 134.749206 99.988016) + (xy 134.7536 99.943404) (xy 134.755068 99.9285) (xy 134.7536 99.913596) (xy 134.7536 98.109088) (xy 134.8169 98.109088) + (xy 134.8169 98.232912) (xy 134.841056 98.354356) (xy 134.888441 98.468754) (xy 134.957234 98.571709) (xy 135.044791 98.659266) + (xy 135.147746 98.728059) (xy 135.262144 98.775444) (xy 135.383588 98.7996) (xy 135.507412 98.7996) (xy 135.628856 98.775444) + (xy 135.743254 98.728059) (xy 135.846209 98.659266) (xy 135.933766 98.571709) (xy 136.002559 98.468754) (xy 136.049944 98.354356) + (xy 136.0741 98.232912) (xy 136.0741 98.109088) (xy 136.049944 97.987644) (xy 136.002559 97.873246) (xy 135.933766 97.770291) + (xy 135.846209 97.682734) (xy 135.743254 97.613941) (xy 135.628856 97.566556) (xy 135.507412 97.5424) (xy 135.383588 97.5424) + (xy 135.262144 97.566556) (xy 135.147746 97.613941) (xy 135.044791 97.682734) (xy 134.957234 97.770291) (xy 134.888441 97.873246) + (xy 134.841056 97.987644) (xy 134.8169 98.109088) (xy 134.7536 98.109088) (xy 134.7536 96.075755) (xy 134.904138 95.925217) + (xy 134.915716 95.915716) (xy 134.953655 95.869487) (xy 134.981846 95.816744) (xy 134.992837 95.780513) (xy 134.999206 95.759517) + (xy 135.005068 95.700001) (xy 135.0036 95.685097) (xy 135.0036 92.825754) (xy 135.275755 92.5536) (xy 136.335096 92.5536) + (xy 136.35 92.555068) (xy 136.364904 92.5536) (xy 136.409516 92.549206) (xy 136.466744 92.531846) (xy 136.519487 92.503655) + (xy 136.565716 92.465716) (xy 136.575226 92.454128) (xy 138.381105 90.648251) (xy 138.382862 90.6486) (xy 138.415746 90.6486) + (xy 139.030282 91.263138) (xy 139.039784 91.274716) (xy 139.086013 91.312655) (xy 139.138756 91.340846) (xy 139.195984 91.358206) + (xy 139.255499 91.364068) (xy 139.270403 91.3626) (xy 164.483246 91.3626) (xy 174.07175 100.951105) (xy 174.0714 100.952862) + (xy 174.0714 101.047138) (xy 174.089792 101.139603) (xy 174.12587 101.226702) (xy 174.178247 101.30509) (xy 174.24491 101.371753) + (xy 174.323298 101.42413) (xy 174.410397 101.460208) (xy 174.502862 101.4786) (xy 174.597138 101.4786) (xy 174.689603 101.460208) + (xy 174.776702 101.42413) (xy 174.85509 101.371753) (xy 174.921753 101.30509) (xy 174.97413 101.226702) (xy 175.010208 101.139603) + (xy 175.0286 101.047138) (xy 175.0286 100.952862) (xy 175.010208 100.860397) (xy 174.97413 100.773298) (xy 174.921753 100.69491) + (xy 174.85509 100.628247) (xy 174.776702 100.57587) (xy 174.689603 100.539792) (xy 174.597138 100.5214) (xy 174.502862 100.5214) + (xy 174.501105 100.52175) (xy 169.732217 95.752862) (xy 180.9714 95.752862) (xy 180.9714 95.847138) (xy 180.989792 95.939603) + (xy 181.02587 96.026702) (xy 181.078247 96.10509) (xy 181.14491 96.171753) (xy 181.223298 96.22413) (xy 181.310397 96.260208) + (xy 181.402862 96.2786) (xy 181.497138 96.2786) (xy 181.589603 96.260208) (xy 181.676702 96.22413) (xy 181.75509 96.171753) + (xy 181.821753 96.10509) (xy 181.87413 96.026702) (xy 181.910208 95.939603) (xy 181.9286 95.847138) (xy 181.9286 95.752862) + (xy 183.1714 95.752862) (xy 183.1714 95.847138) (xy 183.189792 95.939603) (xy 183.22587 96.026702) (xy 183.278247 96.10509) + (xy 183.34491 96.171753) (xy 183.423298 96.22413) (xy 183.510397 96.260208) (xy 183.602862 96.2786) (xy 183.697138 96.2786) + (xy 183.789603 96.260208) (xy 183.876702 96.22413) (xy 183.95509 96.171753) (xy 184.021753 96.10509) (xy 184.07413 96.026702) + (xy 184.110208 95.939603) (xy 184.1286 95.847138) (xy 184.1286 95.752862) (xy 184.110208 95.660397) (xy 184.07413 95.573298) + (xy 184.021753 95.49491) (xy 183.95509 95.428247) (xy 183.876702 95.37587) (xy 183.789603 95.339792) (xy 183.697138 95.3214) + (xy 183.602862 95.3214) (xy 183.510397 95.339792) (xy 183.423298 95.37587) (xy 183.34491 95.428247) (xy 183.278247 95.49491) + (xy 183.22587 95.573298) (xy 183.189792 95.660397) (xy 183.1714 95.752862) (xy 181.9286 95.752862) (xy 181.910208 95.660397) + (xy 181.87413 95.573298) (xy 181.821753 95.49491) (xy 181.75509 95.428247) (xy 181.676702 95.37587) (xy 181.589603 95.339792) + (xy 181.497138 95.3214) (xy 181.402862 95.3214) (xy 181.310397 95.339792) (xy 181.223298 95.37587) (xy 181.14491 95.428247) + (xy 181.078247 95.49491) (xy 181.02587 95.573298) (xy 180.989792 95.660397) (xy 180.9714 95.752862) (xy 169.732217 95.752862) + (xy 169.132217 95.152862) (xy 182.0714 95.152862) (xy 182.0714 95.247138) (xy 182.089792 95.339603) (xy 182.12587 95.426702) + (xy 182.178247 95.50509) (xy 182.24491 95.571753) (xy 182.323298 95.62413) (xy 182.410397 95.660208) (xy 182.502862 95.6786) + (xy 182.597138 95.6786) (xy 182.689603 95.660208) (xy 182.776702 95.62413) (xy 182.85509 95.571753) (xy 182.921753 95.50509) + (xy 182.97413 95.426702) (xy 183.010208 95.339603) (xy 183.0286 95.247138) (xy 183.0286 95.152862) (xy 183.010208 95.060397) + (xy 182.97413 94.973298) (xy 182.921753 94.89491) (xy 182.85509 94.828247) (xy 182.776702 94.77587) (xy 182.689603 94.739792) + (xy 182.597138 94.7214) (xy 182.502862 94.7214) (xy 182.410397 94.739792) (xy 182.323298 94.77587) (xy 182.24491 94.828247) + (xy 182.178247 94.89491) (xy 182.12587 94.973298) (xy 182.089792 95.060397) (xy 182.0714 95.152862) (xy 169.132217 95.152862) + (xy 168.277292 94.297937) (xy 182.8714 94.297937) (xy 182.8714 94.402063) (xy 182.891713 94.504187) (xy 182.93156 94.600386) + (xy 182.989409 94.686963) (xy 183.063037 94.760591) (xy 183.149614 94.81844) (xy 183.245813 94.858287) (xy 183.347937 94.8786) + (xy 183.452063 94.8786) (xy 183.554187 94.858287) (xy 183.650386 94.81844) (xy 183.736963 94.760591) (xy 183.810591 94.686963) + (xy 183.86844 94.600386) (xy 183.908287 94.504187) (xy 183.9286 94.402063) (xy 183.9286 94.297937) (xy 183.908287 94.195813) + (xy 183.86844 94.099614) (xy 183.810591 94.013037) (xy 183.736963 93.939409) (xy 183.650386 93.88156) (xy 183.554187 93.841713) + (xy 183.452063 93.8214) (xy 183.347937 93.8214) (xy 183.245813 93.841713) (xy 183.149614 93.88156) (xy 183.063037 93.939409) + (xy 182.989409 94.013037) (xy 182.93156 94.099614) (xy 182.891713 94.195813) (xy 182.8714 94.297937) (xy 168.277292 94.297937) + (xy 167.432217 93.452862) (xy 181.9214 93.452862) (xy 181.9214 93.547138) (xy 181.939792 93.639603) (xy 181.97587 93.726702) + (xy 182.028247 93.80509) (xy 182.09491 93.871753) (xy 182.173298 93.92413) (xy 182.260397 93.960208) (xy 182.352862 93.9786) + (xy 182.447138 93.9786) (xy 182.539603 93.960208) (xy 182.626702 93.92413) (xy 182.70509 93.871753) (xy 182.771753 93.80509) + (xy 182.82413 93.726702) (xy 182.860208 93.639603) (xy 182.8786 93.547138) (xy 182.8786 93.452862) (xy 182.860208 93.360397) + (xy 182.82413 93.273298) (xy 182.771753 93.19491) (xy 182.70509 93.128247) (xy 182.626702 93.07587) (xy 182.539603 93.039792) + (xy 182.447138 93.0214) (xy 182.352862 93.0214) (xy 182.260397 93.039792) (xy 182.173298 93.07587) (xy 182.09491 93.128247) + (xy 182.028247 93.19491) (xy 181.97587 93.273298) (xy 181.939792 93.360397) (xy 181.9214 93.452862) (xy 167.432217 93.452862) + (xy 164.834226 90.854872) (xy 164.824716 90.843284) (xy 164.778487 90.805345) (xy 164.725744 90.777154) (xy 164.668516 90.759794) + (xy 164.623904 90.7554) (xy 164.609 90.753932) (xy 164.594096 90.7554) (xy 139.381256 90.7554) (xy 138.897715 90.271861) + (xy 138.9086 90.217138) (xy 138.9086 90.122862) (xy 138.890208 90.030397) (xy 138.85413 89.943298) (xy 138.801753 89.86491) + (xy 138.73509 89.798247) (xy 138.656702 89.74587) (xy 138.569603 89.709792) (xy 138.477138 89.6914) (xy 138.382862 89.6914) + (xy 138.290397 89.709792) (xy 138.203298 89.74587) (xy 138.12491 89.798247) (xy 138.058247 89.86491) (xy 138.00587 89.943298) + (xy 137.969792 90.030397) (xy 137.9514 90.122862) (xy 137.9514 90.217138) (xy 137.951749 90.218895) (xy 136.224246 91.9464) + (xy 135.164903 91.9464) (xy 135.149999 91.944932) (xy 135.090484 91.950794) (xy 135.033256 91.968154) (xy 134.980513 91.996345) + (xy 134.934284 92.034284) (xy 134.924778 92.045867) (xy 134.495872 92.474774) (xy 134.484284 92.484284) (xy 134.446345 92.530514) + (xy 134.418154 92.583257) (xy 134.409314 92.6124) (xy 134.400794 92.640485) (xy 134.394932 92.7) (xy 134.3964 92.714904) + (xy 134.396401 95.574244) (xy 134.245871 95.724775) (xy 134.234284 95.734284) (xy 134.196345 95.780514) (xy 134.168154 95.833257) + (xy 134.157164 95.869487) (xy 134.150794 95.890485) (xy 134.144932 95.95) (xy 134.1464 95.964904) (xy 134.146401 99.802744) + (xy 132.779746 101.1694) (xy 124.841903 101.1694) (xy 124.826999 101.167932) (xy 124.793739 101.171208) (xy 124.767484 101.173794) + (xy 124.710256 101.191154) (xy 124.657513 101.219345) (xy 124.611284 101.257284) (xy 124.601779 101.268866) (xy 123.798896 102.07175) + (xy 123.797138 102.0714) (xy 123.702862 102.0714) (xy 123.610397 102.089792) (xy 123.523298 102.12587) (xy 123.44491 102.178247) + (xy 123.378247 102.24491) (xy 123.32587 102.323298) (xy 123.289792 102.410397) (xy 123.2714 102.502862) (xy 122.5536 102.502862) + (xy 122.5536 102.475254) (xy 123.887255 101.1416) (xy 127.175596 101.1416) (xy 127.1905 101.143068) (xy 127.205404 101.1416) + (xy 127.250016 101.137206) (xy 127.307244 101.119846) (xy 127.359987 101.091655) (xy 127.406216 101.053716) (xy 127.415726 101.042128) + (xy 127.887755 100.5701) (xy 132.918596 100.5701) (xy 132.9335 100.571568) (xy 132.948404 100.5701) (xy 132.993016 100.565706) + (xy 133.050244 100.548346) (xy 133.102987 100.520155) (xy 133.149216 100.482216) (xy 133.158726 100.470628) (xy 134.004135 99.62522) + (xy 134.015716 99.615716) (xy 134.053655 99.569487) (xy 134.081846 99.516744) (xy 134.099206 99.459516) (xy 134.1036 99.414904) + (xy 134.1036 99.414903) (xy 134.105068 99.400001) (xy 134.1036 99.385097) (xy 134.1036 95.775755) (xy 134.25414 95.625216) + (xy 134.265716 95.615716) (xy 134.303655 95.569487) (xy 134.331846 95.516744) (xy 134.342837 95.480513) (xy 134.349206 95.459517) + (xy 134.355068 95.400001) (xy 134.3536 95.385096) (xy 134.3536 92.525754) (xy 134.975755 91.9036) (xy 135.785096 91.9036) + (xy 135.8 91.905068) (xy 135.814904 91.9036) (xy 135.859516 91.899206) (xy 135.916744 91.881846) (xy 135.969487 91.853655) + (xy 136.015716 91.815716) (xy 136.025226 91.804128) (xy 138.225756 89.6036) (xy 138.704246 89.6036) (xy 139.22175 90.121104) + (xy 139.2214 90.122862) (xy 139.2214 90.217138) (xy 139.239792 90.309603) (xy 139.27587 90.396702) (xy 139.328247 90.47509) + (xy 139.39491 90.541753) (xy 139.473298 90.59413) (xy 139.560397 90.630208) (xy 139.652862 90.6486) (xy 139.747138 90.6486) + (xy 139.839603 90.630208) (xy 139.926702 90.59413) (xy 140.00509 90.541753) (xy 140.071753 90.47509) (xy 140.12413 90.396702) + (xy 140.160208 90.309603) (xy 140.1786 90.217138) (xy 140.1786 90.122862) (xy 140.160208 90.030397) (xy 140.12413 89.943298) + (xy 140.071753 89.86491) (xy 140.00509 89.798247) (xy 139.926702 89.74587) (xy 139.839603 89.709792) (xy 139.747138 89.6914) + (xy 139.652862 89.6914) (xy 139.651104 89.69175) (xy 139.262954 89.3036) (xy 139.674246 89.3036) (xy 140.49175 90.121104) + (xy 140.4914 90.122862) (xy 140.4914 90.217138) (xy 140.509792 90.309603) (xy 140.54587 90.396702) (xy 140.598247 90.47509) + (xy 140.66491 90.541753) (xy 140.743298 90.59413) (xy 140.830397 90.630208) (xy 140.922862 90.6486) (xy 141.017138 90.6486) + (xy 141.109603 90.630208) (xy 141.196702 90.59413) (xy 141.27509 90.541753) (xy 141.341753 90.47509) (xy 141.39413 90.396702) + (xy 141.430208 90.309603) (xy 141.4486 90.217138) (xy 141.4486 90.122862) (xy 141.430208 90.030397) (xy 141.39413 89.943298) + (xy 141.341753 89.86491) (xy 141.27509 89.798247) (xy 141.196702 89.74587) (xy 141.109603 89.709792) (xy 141.017138 89.6914) + (xy 140.922862 89.6914) (xy 140.921104 89.69175) (xy 140.232954 89.0036) (xy 140.644246 89.0036) (xy 141.76175 90.121104) + (xy 141.7614 90.122862) (xy 141.7614 90.217138) (xy 141.779792 90.309603) (xy 141.81587 90.396702) (xy 141.868247 90.47509) + (xy 141.93491 90.541753) (xy 142.013298 90.59413) (xy 142.100397 90.630208) (xy 142.192862 90.6486) (xy 142.287138 90.6486) + (xy 142.379603 90.630208) (xy 142.466702 90.59413) (xy 142.54509 90.541753) (xy 142.611753 90.47509) (xy 142.66413 90.396702) + (xy 142.700208 90.309603) (xy 142.7186 90.217138) (xy 142.7186 90.122862) (xy 143.6664 90.122862) (xy 143.6664 90.217138) + (xy 143.684792 90.309603) (xy 143.72087 90.396702) (xy 143.773247 90.47509) (xy 143.83991 90.541753) (xy 143.918298 90.59413) + (xy 144.005397 90.630208) (xy 144.097862 90.6486) (xy 144.192138 90.6486) (xy 144.284603 90.630208) (xy 144.371702 90.59413) + (xy 144.45009 90.541753) (xy 144.516753 90.47509) (xy 144.56913 90.396702) (xy 144.605208 90.309603) (xy 144.6236 90.217138) + (xy 144.6236 90.122862) (xy 144.62325 90.121104) (xy 150.239755 84.5046) (xy 152.943557 84.5046) (xy 152.917247 84.53091) + (xy 152.916251 84.5324) (xy 150.763903 84.5324) (xy 150.748999 84.530932) (xy 150.689484 84.536794) (xy 150.632256 84.554154) + (xy 150.579513 84.582345) (xy 150.533284 84.620284) (xy 150.523779 84.631866) (xy 145.463896 89.69175) (xy 145.462138 89.6914) + (xy 145.367862 89.6914) (xy 145.275397 89.709792) (xy 145.188298 89.74587) (xy 145.10991 89.798247) (xy 145.043247 89.86491) + (xy 144.99087 89.943298) (xy 144.954792 90.030397) (xy 144.9364 90.122862) (xy 144.9364 90.217138) (xy 144.954792 90.309603) + (xy 144.99087 90.396702) (xy 145.043247 90.47509) (xy 145.10991 90.541753) (xy 145.188298 90.59413) (xy 145.275397 90.630208) + (xy 145.367862 90.6486) (xy 145.462138 90.6486) (xy 145.554603 90.630208) (xy 145.641702 90.59413) (xy 145.72009 90.541753) + (xy 145.786753 90.47509) (xy 145.83913 90.396702) (xy 145.875208 90.309603) (xy 145.8936 90.217138) (xy 145.8936 90.122862) + (xy 146.2064 90.122862) (xy 146.2064 90.217138) (xy 146.224792 90.309603) (xy 146.26087 90.396702) (xy 146.313247 90.47509) + (xy 146.37991 90.541753) (xy 146.458298 90.59413) (xy 146.545397 90.630208) (xy 146.637862 90.6486) (xy 146.732138 90.6486) + (xy 146.824603 90.630208) (xy 146.911702 90.59413) (xy 146.99009 90.541753) (xy 147.056753 90.47509) (xy 147.10913 90.396702) + (xy 147.145208 90.309603) (xy 147.1636 90.217138) (xy 147.1636 90.122862) (xy 147.4764 90.122862) (xy 147.4764 90.217138) + (xy 147.494792 90.309603) (xy 147.53087 90.396702) (xy 147.583247 90.47509) (xy 147.64991 90.541753) (xy 147.728298 90.59413) + (xy 147.815397 90.630208) (xy 147.907862 90.6486) (xy 148.002138 90.6486) (xy 148.094603 90.630208) (xy 148.181702 90.59413) + (xy 148.26009 90.541753) (xy 148.326753 90.47509) (xy 148.37913 90.396702) (xy 148.415208 90.309603) (xy 148.4336 90.217138) + (xy 148.4336 90.122862) (xy 148.7464 90.122862) (xy 148.7464 90.217138) (xy 148.764792 90.309603) (xy 148.80087 90.396702) + (xy 148.853247 90.47509) (xy 148.91991 90.541753) (xy 148.998298 90.59413) (xy 149.085397 90.630208) (xy 149.177862 90.6486) + (xy 149.272138 90.6486) (xy 149.364603 90.630208) (xy 149.451702 90.59413) (xy 149.53009 90.541753) (xy 149.596753 90.47509) + (xy 149.64913 90.396702) (xy 149.685208 90.309603) (xy 149.7036 90.217138) (xy 149.7036 90.122862) (xy 150.0164 90.122862) + (xy 150.0164 90.217138) (xy 150.034792 90.309603) (xy 150.07087 90.396702) (xy 150.123247 90.47509) (xy 150.18991 90.541753) + (xy 150.268298 90.59413) (xy 150.355397 90.630208) (xy 150.447862 90.6486) (xy 150.542138 90.6486) (xy 150.634603 90.630208) + (xy 150.721702 90.59413) (xy 150.80009 90.541753) (xy 150.866753 90.47509) (xy 150.91913 90.396702) (xy 150.955208 90.309603) + (xy 150.9736 90.217138) (xy 150.9736 90.122862) (xy 151.2864 90.122862) (xy 151.2864 90.217138) (xy 151.304792 90.309603) + (xy 151.34087 90.396702) (xy 151.393247 90.47509) (xy 151.45991 90.541753) (xy 151.538298 90.59413) (xy 151.625397 90.630208) + (xy 151.717862 90.6486) (xy 151.812138 90.6486) (xy 151.904603 90.630208) (xy 151.991702 90.59413) (xy 152.07009 90.541753) + (xy 152.136753 90.47509) (xy 152.137749 90.4736) (xy 152.258096 90.4736) (xy 152.273 90.475068) (xy 152.287904 90.4736) + (xy 152.332516 90.469206) (xy 152.389744 90.451846) (xy 152.442487 90.423655) (xy 152.488716 90.385716) (xy 152.498226 90.374128) + (xy 152.986104 89.88625) (xy 152.987862 89.8866) (xy 153.082138 89.8866) (xy 153.174603 89.868208) (xy 153.261702 89.83213) + (xy 153.34009 89.779753) (xy 153.406753 89.71309) (xy 153.45913 89.634702) (xy 153.495208 89.547603) (xy 153.5136 89.455138) + (xy 153.5136 89.360862) (xy 153.495208 89.268397) (xy 153.45913 89.181298) (xy 153.406753 89.10291) (xy 153.34009 89.036247) + (xy 153.261702 88.98387) (xy 153.174603 88.947792) (xy 153.082138 88.9294) (xy 152.987862 88.9294) (xy 152.895397 88.947792) + (xy 152.808298 88.98387) (xy 152.72991 89.036247) (xy 152.663247 89.10291) (xy 152.61087 89.181298) (xy 152.574792 89.268397) + (xy 152.5564 89.360862) (xy 152.5564 89.455138) (xy 152.55675 89.456896) (xy 152.147246 89.8664) (xy 152.137749 89.8664) + (xy 152.136753 89.86491) (xy 152.07009 89.798247) (xy 151.991702 89.74587) (xy 151.904603 89.709792) (xy 151.812138 89.6914) + (xy 151.717862 89.6914) (xy 151.625397 89.709792) (xy 151.538298 89.74587) (xy 151.45991 89.798247) (xy 151.393247 89.86491) + (xy 151.34087 89.943298) (xy 151.304792 90.030397) (xy 151.2864 90.122862) (xy 150.9736 90.122862) (xy 150.97325 90.121104) + (xy 151.228215 89.86614) (xy 151.228219 89.866135) (xy 152.271755 88.8226) (xy 153.077918 88.8226) (xy 153.149397 88.852208) + (xy 153.241862 88.8706) (xy 153.336138 88.8706) (xy 153.428603 88.852208) (xy 153.515702 88.81613) (xy 153.59409 88.763753) + (xy 153.660753 88.69709) (xy 153.71313 88.618702) (xy 153.749208 88.531603) (xy 153.7676 88.439138) (xy 153.7676 88.344862) + (xy 153.749208 88.252397) (xy 153.71313 88.165298) (xy 153.660753 88.08691) (xy 153.59409 88.020247) (xy 153.515702 87.96787) + (xy 153.428603 87.931792) (xy 153.336138 87.9134) (xy 153.241862 87.9134) (xy 153.149397 87.931792) (xy 153.062298 87.96787) + (xy 152.98391 88.020247) (xy 152.917247 88.08691) (xy 152.86487 88.165298) (xy 152.844117 88.2154) (xy 152.160903 88.2154) + (xy 152.145999 88.213932) (xy 152.086484 88.219794) (xy 152.029256 88.237154) (xy 151.976513 88.265345) (xy 151.930284 88.303284) + (xy 151.920779 88.314866) (xy 150.798865 89.436781) (xy 150.79886 89.436785) (xy 150.543896 89.69175) (xy 150.542138 89.6914) + (xy 150.447862 89.6914) (xy 150.355397 89.709792) (xy 150.268298 89.74587) (xy 150.18991 89.798247) (xy 150.123247 89.86491) + (xy 150.07087 89.943298) (xy 150.034792 90.030397) (xy 150.0164 90.122862) (xy 149.7036 90.122862) (xy 149.70325 90.121104) + (xy 152.017755 87.8066) (xy 153.805251 87.8066) (xy 153.806247 87.80809) (xy 153.87291 87.874753) (xy 153.951298 87.92713) + (xy 154.038397 87.963208) (xy 154.130862 87.9816) (xy 154.225138 87.9816) (xy 154.317603 87.963208) (xy 154.404702 87.92713) + (xy 154.48309 87.874753) (xy 154.549753 87.80809) (xy 154.60213 87.729702) (xy 154.638208 87.642603) (xy 154.6566 87.550138) + (xy 154.6566 87.455862) (xy 154.638208 87.363397) (xy 154.60213 87.276298) (xy 154.549753 87.19791) (xy 154.48309 87.131247) + (xy 154.404702 87.07887) (xy 154.317603 87.042792) (xy 154.225138 87.0244) (xy 154.130862 87.0244) (xy 154.038397 87.042792) + (xy 153.951298 87.07887) (xy 153.87291 87.131247) (xy 153.806247 87.19791) (xy 153.805251 87.1994) (xy 151.906904 87.1994) + (xy 151.892 87.197932) (xy 151.877096 87.1994) (xy 151.832484 87.203794) (xy 151.775256 87.221154) (xy 151.722513 87.249345) + (xy 151.676284 87.287284) (xy 151.666779 87.298866) (xy 149.273896 89.69175) (xy 149.272138 89.6914) (xy 149.177862 89.6914) + (xy 149.085397 89.709792) (xy 148.998298 89.74587) (xy 148.91991 89.798247) (xy 148.853247 89.86491) (xy 148.80087 89.943298) + (xy 148.764792 90.030397) (xy 148.7464 90.122862) (xy 148.4336 90.122862) (xy 148.43325 90.121104) (xy 151.636756 86.9176) + (xy 152.662251 86.9176) (xy 152.663247 86.91909) (xy 152.72991 86.985753) (xy 152.808298 87.03813) (xy 152.895397 87.074208) + (xy 152.987862 87.0926) (xy 153.082138 87.0926) (xy 153.174603 87.074208) (xy 153.261702 87.03813) (xy 153.34009 86.985753) + (xy 153.406753 86.91909) (xy 153.45913 86.840702) (xy 153.495208 86.753603) (xy 153.5136 86.661138) (xy 153.5136 86.566862) + (xy 153.495208 86.474397) (xy 153.45913 86.387298) (xy 153.406753 86.30891) (xy 153.34009 86.242247) (xy 153.261702 86.18987) + (xy 153.174603 86.153792) (xy 153.082138 86.1354) (xy 152.987862 86.1354) (xy 152.895397 86.153792) (xy 152.808298 86.18987) + (xy 152.72991 86.242247) (xy 152.663247 86.30891) (xy 152.662251 86.3104) (xy 151.525903 86.3104) (xy 151.510999 86.308932) + (xy 151.451483 86.314794) (xy 151.445052 86.316745) (xy 151.394256 86.332154) (xy 151.341513 86.360345) (xy 151.295284 86.398284) + (xy 151.285783 86.409861) (xy 148.003896 89.69175) (xy 148.002138 89.6914) (xy 147.907862 89.6914) (xy 147.815397 89.709792) + (xy 147.728298 89.74587) (xy 147.64991 89.798247) (xy 147.583247 89.86491) (xy 147.53087 89.943298) (xy 147.494792 90.030397) + (xy 147.4764 90.122862) (xy 147.1636 90.122862) (xy 147.16325 90.121104) (xy 151.192255 86.0921) (xy 153.487751 86.0921) + (xy 153.488747 86.09359) (xy 153.55541 86.160253) (xy 153.633798 86.21263) (xy 153.720897 86.248708) (xy 153.813362 86.2671) + (xy 153.907638 86.2671) (xy 154.000103 86.248708) (xy 154.087202 86.21263) (xy 154.16559 86.160253) (xy 154.232253 86.09359) + (xy 154.28463 86.015202) (xy 154.320708 85.928103) (xy 154.3391 85.835638) (xy 154.3391 85.741362) (xy 154.320708 85.648897) + (xy 154.28463 85.561798) (xy 154.232253 85.48341) (xy 154.16559 85.416747) (xy 154.087202 85.36437) (xy 154.000103 85.328292) + (xy 153.907638 85.3099) (xy 153.813362 85.3099) (xy 153.720897 85.328292) (xy 153.633798 85.36437) (xy 153.55541 85.416747) + (xy 153.488747 85.48341) (xy 153.487751 85.4849) (xy 151.081404 85.4849) (xy 151.0665 85.483432) (xy 151.051596 85.4849) + (xy 151.006984 85.489294) (xy 150.949756 85.506654) (xy 150.897013 85.534845) (xy 150.850784 85.572784) (xy 150.841279 85.584366) + (xy 146.733896 89.69175) (xy 146.732138 89.6914) (xy 146.637862 89.6914) (xy 146.545397 89.709792) (xy 146.458298 89.74587) + (xy 146.37991 89.798247) (xy 146.313247 89.86491) (xy 146.26087 89.943298) (xy 146.224792 90.030397) (xy 146.2064 90.122862) + (xy 145.8936 90.122862) (xy 145.89325 90.121104) (xy 150.874755 85.1396) (xy 152.916251 85.1396) (xy 152.917247 85.14109) + (xy 152.98391 85.207753) (xy 153.062298 85.26013) (xy 153.149397 85.296208) (xy 153.241862 85.3146) (xy 153.336138 85.3146) + (xy 153.428603 85.296208) (xy 153.515702 85.26013) (xy 153.59409 85.207753) (xy 153.660753 85.14109) (xy 153.71313 85.062702) + (xy 153.749208 84.975603) (xy 153.7676 84.883138) (xy 153.7676 84.788862) (xy 153.749208 84.696397) (xy 153.71313 84.609298) + (xy 153.660753 84.53091) (xy 153.634443 84.5046) (xy 154.114117 84.5046) (xy 154.13487 84.554702) (xy 154.187247 84.63309) + (xy 154.25391 84.699753) (xy 154.332298 84.75213) (xy 154.419397 84.788208) (xy 154.511862 84.8066) (xy 154.606138 84.8066) + (xy 154.698603 84.788208) (xy 154.785702 84.75213) (xy 154.86409 84.699753) (xy 154.930753 84.63309) (xy 154.98313 84.554702) + (xy 155.019208 84.467603) (xy 155.0376 84.375138) (xy 155.0376 84.280862) (xy 155.019208 84.188397) (xy 154.98313 84.101298) + (xy 154.930753 84.02291) (xy 154.86409 83.956247) (xy 154.785702 83.90387) (xy 154.698603 83.867792) (xy 154.606138 83.8494) + (xy 154.511862 83.8494) (xy 154.419397 83.867792) (xy 154.347918 83.8974) (xy 150.128904 83.8974) (xy 150.114 83.895932) + (xy 150.099096 83.8974) (xy 150.054484 83.901794) (xy 149.997256 83.919154) (xy 149.944513 83.947345) (xy 149.898284 83.985284) + (xy 149.888779 83.996866) (xy 144.193896 89.69175) (xy 144.192138 89.6914) (xy 144.097862 89.6914) (xy 144.005397 89.709792) + (xy 143.918298 89.74587) (xy 143.83991 89.798247) (xy 143.773247 89.86491) (xy 143.72087 89.943298) (xy 143.684792 90.030397) + (xy 143.6664 90.122862) (xy 142.7186 90.122862) (xy 142.700208 90.030397) (xy 142.66413 89.943298) (xy 142.611753 89.86491) + (xy 142.54509 89.798247) (xy 142.466702 89.74587) (xy 142.379603 89.709792) (xy 142.287138 89.6914) (xy 142.192862 89.6914) + (xy 142.191104 89.69175) (xy 140.995226 88.495872) (xy 140.985716 88.484284) (xy 140.939487 88.446345) (xy 140.886744 88.418154) + (xy 140.829516 88.400794) (xy 140.784904 88.3964) (xy 140.77 88.394932) (xy 140.755096 88.3964) (xy 137.838153 88.3964) + (xy 137.823249 88.394932) (xy 137.763734 88.400794) (xy 137.706506 88.418154) (xy 137.653763 88.446345) (xy 137.607534 88.484284) + (xy 137.598033 88.495861) (xy 135.397496 90.6964) (xy 134.564904 90.6964) (xy 134.55 90.694932) (xy 134.535096 90.6964) + (xy 134.490484 90.700794) (xy 134.433256 90.718154) (xy 134.380513 90.746345) (xy 134.334284 90.784284) (xy 134.324779 90.795866) + (xy 133.245872 91.874774) (xy 133.234284 91.884284) (xy 133.196345 91.930514) (xy 133.168154 91.983257) (xy 133.157996 92.016744) + (xy 133.150794 92.040485) (xy 133.144932 92.1) (xy 133.1464 92.114904) (xy 133.146401 94.974243) (xy 132.424246 95.6964) + (xy 129.364903 95.6964) (xy 129.349999 95.694932) (xy 129.290483 95.700794) (xy 129.265563 95.708354) (xy 129.233256 95.718154) + (xy 129.180513 95.746345) (xy 129.134284 95.784284) (xy 129.124783 95.795861) (xy 127.424246 97.4964) (xy 124.114904 97.4964) + (xy 124.1 97.494932) (xy 124.085096 97.4964) (xy 124.040484 97.500794) (xy 123.983256 97.518154) (xy 123.930513 97.546345) + (xy 123.884284 97.584284) (xy 123.874779 97.595866) (xy 122.487537 98.983109) (xy 122.476702 98.97587) (xy 122.389603 98.939792) + (xy 122.297138 98.9214) (xy 122.202862 98.9214) (xy 122.110397 98.939792) (xy 122.023298 98.97587) (xy 121.94491 99.028247) + (xy 121.878247 99.09491) (xy 121.82587 99.173298) (xy 121.789792 99.260397) (xy 121.7714 99.352862) (xy 121.7714 99.447138) + (xy 121.789792 99.539603) (xy 121.82587 99.626702) (xy 121.878247 99.70509) (xy 121.94491 99.771753) (xy 122.023298 99.82413) + (xy 122.077193 99.846454) (xy 122.019309 99.904339) (xy 121.976702 99.87587) (xy 121.889603 99.839792) (xy 121.797138 99.8214) + (xy 121.702862 99.8214) (xy 121.610397 99.839792) (xy 121.523298 99.87587) (xy 121.44491 99.928247) (xy 121.378247 99.99491) + (xy 121.32587 100.073298) (xy 121.289792 100.160397) (xy 121.2714 100.252862) (xy 120.476492 100.252862) (xy 124.125755 96.6036) + (xy 125.135096 96.6036) (xy 125.15 96.605068) (xy 125.164904 96.6036) (xy 125.209516 96.599206) (xy 125.266744 96.581846) + (xy 125.319487 96.553655) (xy 125.365716 96.515716) (xy 125.375226 96.504128) (xy 128.204135 93.67522) (xy 128.215716 93.665716) + (xy 128.253655 93.619487) (xy 128.281846 93.566744) (xy 128.299206 93.509516) (xy 128.3036 93.464904) (xy 128.3036 93.464903) + (xy 128.305068 93.45) (xy 128.3036 93.435096) (xy 128.3036 92.625754) (xy 128.951104 91.97825) (xy 128.952862 91.9786) + (xy 129.047138 91.9786) (xy 129.139603 91.960208) (xy 129.226702 91.92413) (xy 129.30509 91.871753) (xy 129.371753 91.80509) + (xy 129.42413 91.726702) (xy 129.460208 91.639603) (xy 129.4786 91.547138) (xy 129.4786 91.452862) (xy 129.460208 91.360397) + (xy 129.42413 91.273298) (xy 129.371753 91.19491) (xy 129.30509 91.128247) (xy 129.226702 91.07587) (xy 129.139603 91.039792) + (xy 129.047138 91.0214) (xy 128.952862 91.0214) (xy 128.860397 91.039792) (xy 128.773298 91.07587) (xy 128.69491 91.128247) + (xy 128.628247 91.19491) (xy 128.57587 91.273298) (xy 128.539792 91.360397) (xy 128.5214 91.452862) (xy 128.5214 91.547138) + (xy 128.52175 91.548896) (xy 127.795867 92.274779) (xy 127.784285 92.284284) (xy 127.746346 92.330513) (xy 127.730474 92.360208) + (xy 127.718155 92.383256) (xy 127.700794 92.440485) (xy 127.694932 92.5) (xy 127.696401 92.514914) (xy 127.6964 93.324245) + (xy 125.024246 95.9964) (xy 124.014904 95.9964) (xy 124 95.994932) (xy 123.985096 95.9964) (xy 123.940484 96.000794) + (xy 123.883256 96.018154) (xy 123.830513 96.046345) (xy 123.784284 96.084284) (xy 123.774779 96.095866) (xy 119.595867 100.274779) + (xy 119.584285 100.284284) (xy 119.546346 100.330513) (xy 119.533977 100.353654) (xy 119.518155 100.383256) (xy 119.500794 100.440485) + (xy 119.494932 100.5) (xy 119.496401 100.514914) (xy 119.4964 103.657745) (xy 109.014896 114.13925) (xy 109.013138 114.1389) + (xy 108.918862 114.1389) (xy 108.826397 114.157292) (xy 108.739298 114.19337) (xy 108.66091 114.245747) (xy 108.594247 114.31241) + (xy 108.54187 114.390798) (xy 108.505792 114.477897) (xy 108.4874 114.570362) (xy 108.4874 114.664638) (xy 108.48775 114.666396) + (xy 107.745867 115.408279) (xy 107.734285 115.417784) (xy 107.696346 115.464013) (xy 107.67982 115.494932) (xy 107.668155 115.516756) + (xy 107.650794 115.573985) (xy 107.644932 115.6335) (xy 107.646401 115.648414) (xy 107.6464 120.150251) (xy 107.64491 120.151247) + (xy 107.578247 120.21791) (xy 107.52587 120.296298) (xy 107.489792 120.383397) (xy 107.4714 120.475862) (xy 107.1586 120.475862) + (xy 107.140208 120.383397) (xy 107.10413 120.296298) (xy 107.051753 120.21791) (xy 106.98509 120.151247) (xy 106.9836 120.150251) + (xy 106.9836 115.648404) (xy 106.985068 115.6335) (xy 106.979206 115.573984) (xy 106.977893 115.569655) (xy 106.961846 115.516756) + (xy 106.933655 115.464013) (xy 106.895716 115.417784) (xy 106.884134 115.408279) (xy 106.14225 114.666396) (xy 106.1426 114.664638) + (xy 106.1426 114.570362) (xy 106.14225 114.568604) (xy 107.307255 113.4036) (xy 108.435096 113.4036) (xy 108.45 113.405068) + (xy 108.464904 113.4036) (xy 108.509516 113.399206) (xy 108.566744 113.381846) (xy 108.619487 113.353655) (xy 108.665716 113.315716) + (xy 108.675226 113.304128) (xy 110.275755 111.7036) (xy 110.685096 111.7036) (xy 110.7 111.705068) (xy 110.714904 111.7036) + (xy 110.759516 111.699206) (xy 110.816744 111.681846) (xy 110.869487 111.653655) (xy 110.915716 111.615716) (xy 110.925226 111.604128) + (xy 113.404134 109.125221) (xy 113.415716 109.115716) (xy 113.453655 109.069487) (xy 113.481846 109.016744) (xy 113.499206 108.959516) + (xy 113.5036 108.914904) (xy 113.505068 108.9) (xy 113.5036 108.885096) (xy 113.5036 108.125754) (xy 113.551104 108.07825) + (xy 113.552862 108.0786) (xy 113.647138 108.0786) (xy 113.739603 108.060208) (xy 113.826702 108.02413) (xy 113.90509 107.971753) + (xy 113.971753 107.90509) (xy 114.02413 107.826702) (xy 114.060208 107.739603) (xy 114.0786 107.647138) (xy 114.0786 107.552862) + (xy 114.060208 107.460397) (xy 114.02413 107.373298) (xy 113.971753 107.29491) (xy 113.90509 107.228247) (xy 113.826702 107.17587) + (xy 113.739603 107.139792) (xy 113.647138 107.1214) (xy 113.552862 107.1214) (xy 113.460397 107.139792) (xy 113.373298 107.17587) + (xy 113.29491 107.228247) (xy 113.228247 107.29491) (xy 113.17587 107.373298) (xy 113.139792 107.460397) (xy 113.1214 107.552862) + (xy 113.1214 107.647138) (xy 113.12175 107.648896) (xy 112.995871 107.774775) (xy 112.984284 107.784284) (xy 112.946345 107.830514) + (xy 112.918154 107.883257) (xy 112.913316 107.899206) (xy 112.900794 107.940485) (xy 112.894932 108) (xy 112.8964 108.014904) + (xy 112.896401 108.774244) (xy 110.574246 111.0964) (xy 110.164903 111.0964) (xy 110.149999 111.094932) (xy 110.098545 111.1) + (xy 110.090484 111.100794) (xy 110.033256 111.118154) (xy 109.980513 111.146345) (xy 109.934284 111.184284) (xy 109.924779 111.195866) + (xy 108.324246 112.7964) (xy 107.196403 112.7964) (xy 107.181499 112.794932) (xy 107.126126 112.800386) (xy 107.121984 112.800794) + (xy 107.064756 112.818154) (xy 107.012013 112.846345) (xy 106.965784 112.884284) (xy 106.956279 112.895866) (xy 105.712896 114.13925) + (xy 105.711138 114.1389) (xy 105.616862 114.1389) (xy 105.524397 114.157292) (xy 105.437298 114.19337) (xy 105.35891 114.245747) + (xy 105.292247 114.31241) (xy 105.23987 114.390798) (xy 105.203792 114.477897) (xy 105.1854 114.570362) (xy 104.9516 114.570362) + (xy 104.9516 112.268) (xy 106.072085 112.268) (xy 106.0744 112.291504) (xy 106.0744 112.315138) (xy 106.079011 112.338321) + (xy 106.081326 112.361822) (xy 106.08818 112.384419) (xy 106.092792 112.407603) (xy 106.101837 112.429439) (xy 106.108692 112.452038) + (xy 106.119826 112.472867) (xy 106.12887 112.494702) (xy 106.142001 112.514354) (xy 106.153134 112.535182) (xy 106.168114 112.553436) + (xy 106.181247 112.57309) (xy 106.197963 112.589806) (xy 106.212942 112.608058) (xy 106.231194 112.623037) (xy 106.24791 112.639753) + (xy 106.267564 112.652886) (xy 106.285818 112.667866) (xy 106.306646 112.678999) (xy 106.326298 112.69213) (xy 106.348133 112.701174) + (xy 106.368962 112.712308) (xy 106.391561 112.719163) (xy 106.413397 112.728208) (xy 106.436581 112.73282) (xy 106.459178 112.739674) + (xy 106.482679 112.741989) (xy 106.505862 112.7466) (xy 108.053504 112.7466) (xy 108.077 112.748914) (xy 108.100496 112.7466) + (xy 108.124138 112.7466) (xy 108.147321 112.741989) (xy 108.170822 112.739674) (xy 108.193419 112.73282) (xy 108.216603 112.728208) + (xy 108.238439 112.719163) (xy 108.261038 112.712308) (xy 108.281867 112.701174) (xy 108.303702 112.69213) (xy 108.323354 112.678999) + (xy 108.344182 112.667866) (xy 108.362436 112.652886) (xy 108.38209 112.639753) (xy 108.398806 112.623037) (xy 108.417058 112.608058) + (xy 108.432037 112.589806) (xy 108.448753 112.57309) (xy 108.461886 112.553436) (xy 108.476866 112.535182) (xy 108.487999 112.514354) + (xy 108.50113 112.494702) (xy 108.510174 112.472867) (xy 108.521308 112.452038) (xy 108.528163 112.429439) (xy 108.537208 112.407603) + (xy 108.54182 112.384419) (xy 108.548674 112.361822) (xy 108.550989 112.338321) (xy 108.5556 112.315138) (xy 108.5556 112.291504) + (xy 108.557915 112.268) (xy 108.5556 112.244496) (xy 108.5556 112.220862) (xy 108.550989 112.197679) (xy 108.548674 112.174178) + (xy 108.54182 112.151581) (xy 108.537208 112.128397) (xy 108.528163 112.106561) (xy 108.521308 112.083962) (xy 108.510174 112.063133) + (xy 108.50113 112.041298) (xy 108.487999 112.021646) (xy 108.476866 112.000818) (xy 108.461886 111.982564) (xy 108.448753 111.96291) + (xy 108.432037 111.946194) (xy 108.417058 111.927942) (xy 108.398806 111.912963) (xy 108.38209 111.896247) (xy 108.382087 111.896245) + (xy 108.2786 111.792758) (xy 108.2786 111.518581) (xy 108.28043 111.500001) (xy 108.2786 111.481421) (xy 108.2786 111.189583) + (xy 108.28043 111.171) (xy 108.2786 111.152418) (xy 108.2786 110.939583) (xy 108.28043 110.921) (xy 108.2786 110.902418) + (xy 108.2786 107.60682) (xy 108.809226 107.076196) (xy 108.889603 107.060208) (xy 108.907337 107.052862) (xy 112.2214 107.052862) + (xy 112.2214 107.147138) (xy 112.239792 107.239603) (xy 112.27587 107.326702) (xy 112.328247 107.40509) (xy 112.39491 107.471753) + (xy 112.473298 107.52413) (xy 112.560397 107.560208) (xy 112.652862 107.5786) (xy 112.747138 107.5786) (xy 112.839603 107.560208) + (xy 112.926702 107.52413) (xy 113.00509 107.471753) (xy 113.071753 107.40509) (xy 113.12413 107.326702) (xy 113.160208 107.239603) + (xy 113.1786 107.147138) (xy 113.1786 107.052862) (xy 113.17825 107.051104) (xy 113.425755 106.8036) (xy 116.085096 106.8036) + (xy 116.1 106.805068) (xy 116.114904 106.8036) (xy 116.159516 106.799206) (xy 116.216744 106.781846) (xy 116.269487 106.753655) + (xy 116.315716 106.715716) (xy 116.325226 106.704128) (xy 119.304134 103.725221) (xy 119.315716 103.715716) (xy 119.353655 103.669487) + (xy 119.381846 103.616744) (xy 119.399206 103.559516) (xy 119.4036 103.514904) (xy 119.405068 103.5) (xy 119.4036 103.485096) + (xy 119.4036 99.925754) (xy 123.354134 95.975221) (xy 123.365716 95.965716) (xy 123.403655 95.919487) (xy 123.431846 95.866744) + (xy 123.449206 95.809516) (xy 123.4536 95.764904) (xy 123.455068 95.75) (xy 123.4536 95.735096) (xy 123.4536 93.375754) + (xy 124.851104 91.97825) (xy 124.852862 91.9786) (xy 124.947138 91.9786) (xy 125.039603 91.960208) (xy 125.126702 91.92413) + (xy 125.20509 91.871753) (xy 125.271753 91.80509) (xy 125.32413 91.726702) (xy 125.360208 91.639603) (xy 125.3786 91.547138) + (xy 125.3786 91.452862) (xy 125.360208 91.360397) (xy 125.32413 91.273298) (xy 125.271753 91.19491) (xy 125.20509 91.128247) + (xy 125.126702 91.07587) (xy 125.039603 91.039792) (xy 124.947138 91.0214) (xy 124.852862 91.0214) (xy 124.760397 91.039792) + (xy 124.673298 91.07587) (xy 124.59491 91.128247) (xy 124.528247 91.19491) (xy 124.47587 91.273298) (xy 124.439792 91.360397) + (xy 124.4214 91.452862) (xy 124.4214 91.547138) (xy 124.42175 91.548896) (xy 122.945872 93.024774) (xy 122.934284 93.034284) + (xy 122.896345 93.080514) (xy 122.868154 93.133257) (xy 122.857568 93.168155) (xy 122.850794 93.190485) (xy 122.844932 93.25) + (xy 122.8464 93.264904) (xy 122.846401 95.624244) (xy 118.895872 99.574774) (xy 118.884284 99.584284) (xy 118.846345 99.630514) + (xy 118.818154 99.683257) (xy 118.809197 99.712785) (xy 118.800794 99.740485) (xy 118.794932 99.8) (xy 118.7964 99.814904) + (xy 118.796401 103.374244) (xy 115.974246 106.1964) (xy 113.314903 106.1964) (xy 113.299999 106.194932) (xy 113.240484 106.200794) + (xy 113.183256 106.218154) (xy 113.130513 106.246345) (xy 113.084284 106.284284) (xy 113.074779 106.295867) (xy 112.748896 106.62175) + (xy 112.747138 106.6214) (xy 112.652862 106.6214) (xy 112.560397 106.639792) (xy 112.473298 106.67587) (xy 112.39491 106.728247) + (xy 112.328247 106.79491) (xy 112.27587 106.873298) (xy 112.239792 106.960397) (xy 112.2214 107.052862) (xy 108.907337 107.052862) + (xy 108.976702 107.02413) (xy 109.05509 106.971753) (xy 109.121753 106.90509) (xy 109.17413 106.826702) (xy 109.210208 106.739603) + (xy 109.2286 106.647138) (xy 109.2286 106.552862) (xy 109.210208 106.460397) (xy 109.17413 106.373298) (xy 109.121753 106.29491) + (xy 109.05509 106.228247) (xy 108.976702 106.17587) (xy 108.889603 106.139792) (xy 108.797138 106.1214) (xy 108.702862 106.1214) + (xy 108.610397 106.139792) (xy 108.523298 106.17587) (xy 108.44491 106.228247) (xy 108.378247 106.29491) (xy 108.32587 106.373298) + (xy 108.289792 106.460397) (xy 108.273804 106.540774) (xy 107.645439 107.169141) (xy 107.630995 107.180995) (xy 107.583683 107.238645) + (xy 107.558256 107.286216) (xy 107.548528 107.304416) (xy 107.535809 107.346346) (xy 107.526879 107.375783) (xy 107.5214 107.431411) + (xy 107.5214 107.431418) (xy 107.51957 107.45) (xy 107.5214 107.468583) (xy 107.521401 110.764177) (xy 106.855939 111.429641) + (xy 106.841495 111.441495) (xy 106.829642 111.455938) (xy 106.730939 111.554641) (xy 106.716495 111.566495) (xy 106.704645 111.580934) + (xy 106.493775 111.791804) (xy 106.482679 111.794011) (xy 106.459178 111.796326) (xy 106.436581 111.80318) (xy 106.413397 111.807792) + (xy 106.391561 111.816837) (xy 106.368962 111.823692) (xy 106.348133 111.834826) (xy 106.326298 111.84387) (xy 106.306646 111.857001) + (xy 106.285818 111.868134) (xy 106.267564 111.883114) (xy 106.24791 111.896247) (xy 106.231194 111.912963) (xy 106.212942 111.927942) + (xy 106.197963 111.946194) (xy 106.181247 111.96291) (xy 106.168114 111.982564) (xy 106.153134 112.000818) (xy 106.142001 112.021646) + (xy 106.12887 112.041298) (xy 106.119826 112.063133) (xy 106.108692 112.083962) (xy 106.101837 112.106561) (xy 106.092792 112.128397) + (xy 106.08818 112.151581) (xy 106.081326 112.174178) (xy 106.079011 112.197679) (xy 106.0744 112.220862) (xy 106.0744 112.244496) + (xy 106.072085 112.268) (xy 104.9516 112.268) (xy 104.9516 53.3) (xy 105.294932 53.3) (xy 105.2964 53.314904) + (xy 105.296401 89.635086) (xy 105.294932 89.65) (xy 105.2969 89.669981) (xy 105.296901 94.790586) (xy 105.295432 94.8055) + (xy 105.301294 94.865015) (xy 105.30714 94.884285) (xy 105.318655 94.922244) (xy 105.346846 94.974987) (xy 105.384785 95.021216) + (xy 105.396367 95.030721) (xy 114.019779 103.654134) (xy 114.029284 103.665716) (xy 114.075513 103.703655) (xy 114.128256 103.731846) + (xy 114.185484 103.749206) (xy 114.244999 103.755068) (xy 114.259903 103.7536) (xy 115.377251 103.7536) (xy 115.378247 103.75509) + (xy 115.44491 103.821753) (xy 115.523298 103.87413) (xy 115.610397 103.910208) (xy 115.702862 103.9286) (xy 115.797138 103.9286) + (xy 115.889603 103.910208) (xy 115.976702 103.87413) (xy 116.05509 103.821753) (xy 116.121753 103.75509) (xy 116.17413 103.676702) + (xy 116.210208 103.589603) (xy 116.2286 103.497138) (xy 116.2286 103.402862) (xy 116.210208 103.310397) (xy 116.17413 103.223298) + (xy 116.121753 103.14491) (xy 116.05509 103.078247) (xy 115.976702 103.02587) (xy 115.889603 102.989792) (xy 115.797138 102.9714) + (xy 115.702862 102.9714) (xy 115.610397 102.989792) (xy 115.523298 103.02587) (xy 115.44491 103.078247) (xy 115.378247 103.14491) + (xy 115.377251 103.1464) (xy 114.370755 103.1464) (xy 105.9041 94.679746) (xy 105.9041 89.665403) (xy 105.905568 89.650499) + (xy 105.9036 89.630519) (xy 105.9036 60.833) (xy 108.406932 60.833) (xy 108.408401 60.847914) (xy 108.4084 96.497096) + (xy 108.406932 96.512) (xy 108.4084 96.526903) (xy 108.412794 96.571515) (xy 108.430154 96.628743) (xy 108.458345 96.681486) + (xy 108.496284 96.727716) (xy 108.507872 96.737226) (xy 114.27175 102.501105) (xy 114.2714 102.502862) (xy 114.2714 102.597138) + (xy 114.289792 102.689603) (xy 114.32587 102.776702) (xy 114.378247 102.85509) (xy 114.44491 102.921753) (xy 114.523298 102.97413) + (xy 114.610397 103.010208) (xy 114.702862 103.0286) (xy 114.797138 103.0286) (xy 114.889603 103.010208) (xy 114.976702 102.97413) + (xy 115.05509 102.921753) (xy 115.121753 102.85509) (xy 115.17413 102.776702) (xy 115.210208 102.689603) (xy 115.2286 102.597138) + (xy 115.2286 102.502862) (xy 115.210208 102.410397) (xy 115.17413 102.323298) (xy 115.121753 102.24491) (xy 115.05509 102.178247) + (xy 114.976702 102.12587) (xy 114.889603 102.089792) (xy 114.797138 102.0714) (xy 114.702862 102.0714) (xy 114.701105 102.07175) + (xy 109.0156 96.386246) (xy 109.0156 65.151) (xy 109.295932 65.151) (xy 109.2974 65.165904) (xy 109.297401 96.187586) + (xy 109.295932 96.2025) (xy 109.301794 96.262015) (xy 109.314409 96.3036) (xy 109.319155 96.319244) (xy 109.347346 96.371987) + (xy 109.385285 96.418216) (xy 109.396867 96.427721) (xy 113.473279 100.504134) (xy 113.482784 100.515716) (xy 113.529013 100.553655) + (xy 113.581756 100.581846) (xy 113.629734 100.5964) (xy 113.638984 100.599206) (xy 113.698499 100.605068) (xy 113.713403 100.6036) + (xy 113.877251 100.6036) (xy 113.878247 100.60509) (xy 113.94491 100.671753) (xy 114.023298 100.72413) (xy 114.110397 100.760208) + (xy 114.202862 100.7786) (xy 114.297138 100.7786) (xy 114.389603 100.760208) (xy 114.476702 100.72413) (xy 114.55509 100.671753) + (xy 114.621753 100.60509) (xy 114.67413 100.526702) (xy 114.710208 100.439603) (xy 114.7286 100.347138) (xy 114.7286 100.252862) + (xy 114.710208 100.160397) (xy 114.67413 100.073298) (xy 114.621753 99.99491) (xy 114.55509 99.928247) (xy 114.476702 99.87587) + (xy 114.389603 99.839792) (xy 114.297138 99.8214) (xy 114.202862 99.8214) (xy 114.110397 99.839792) (xy 114.023298 99.87587) + (xy 113.94491 99.928247) (xy 113.878247 99.99491) (xy 113.877251 99.9964) (xy 113.824255 99.9964) (xy 112.480717 98.652862) + (xy 112.9714 98.652862) (xy 112.9714 98.747138) (xy 112.989792 98.839603) (xy 113.02587 98.926702) (xy 113.078247 99.00509) + (xy 113.14491 99.071753) (xy 113.223298 99.12413) (xy 113.310397 99.160208) (xy 113.402862 99.1786) (xy 113.497138 99.1786) + (xy 113.589603 99.160208) (xy 113.676702 99.12413) (xy 113.717509 99.096864) (xy 114.024778 99.404133) (xy 114.034284 99.415716) + (xy 114.080513 99.453655) (xy 114.133256 99.481846) (xy 114.176395 99.494932) (xy 114.190484 99.499206) (xy 114.25 99.505068) + (xy 114.264904 99.5036) (xy 114.374246 99.5036) (xy 114.724778 99.854133) (xy 114.734284 99.865716) (xy 114.780513 99.903655) + (xy 114.833256 99.931846) (xy 114.890484 99.949206) (xy 114.949999 99.955068) (xy 114.964903 99.9536) (xy 116.174246 99.9536) + (xy 116.4464 100.225755) (xy 116.446401 102.435086) (xy 116.444932 102.45) (xy 116.450794 102.509515) (xy 116.462738 102.548886) + (xy 116.468155 102.566744) (xy 116.496346 102.619487) (xy 116.534285 102.665716) (xy 116.545867 102.675221) (xy 117.27175 103.401104) + (xy 117.2714 103.402862) (xy 117.2714 103.497138) (xy 117.289792 103.589603) (xy 117.32587 103.676702) (xy 117.378247 103.75509) + (xy 117.44491 103.821753) (xy 117.523298 103.87413) (xy 117.610397 103.910208) (xy 117.702862 103.9286) (xy 117.797138 103.9286) + (xy 117.889603 103.910208) (xy 117.976702 103.87413) (xy 118.05509 103.821753) (xy 118.121753 103.75509) (xy 118.17413 103.676702) + (xy 118.210208 103.589603) (xy 118.2286 103.497138) (xy 118.2286 103.402862) (xy 118.210208 103.310397) (xy 118.17413 103.223298) + (xy 118.121753 103.14491) (xy 118.05509 103.078247) (xy 117.976702 103.02587) (xy 117.889603 102.989792) (xy 117.797138 102.9714) + (xy 117.702862 102.9714) (xy 117.701104 102.97175) (xy 117.0536 102.324246) (xy 117.0536 101.782954) (xy 117.771749 102.501105) + (xy 117.7714 102.502862) (xy 117.7714 102.597138) (xy 117.789792 102.689603) (xy 117.82587 102.776702) (xy 117.878247 102.85509) + (xy 117.94491 102.921753) (xy 118.023298 102.97413) (xy 118.110397 103.010208) (xy 118.202862 103.0286) (xy 118.297138 103.0286) + (xy 118.389603 103.010208) (xy 118.476702 102.97413) (xy 118.55509 102.921753) (xy 118.621753 102.85509) (xy 118.67413 102.776702) + (xy 118.710208 102.689603) (xy 118.7286 102.597138) (xy 118.7286 102.502862) (xy 118.710208 102.410397) (xy 118.67413 102.323298) + (xy 118.621753 102.24491) (xy 118.55509 102.178247) (xy 118.476702 102.12587) (xy 118.389603 102.089792) (xy 118.297138 102.0714) + (xy 118.202862 102.0714) (xy 118.201105 102.071749) (xy 117.3536 101.224246) (xy 117.3536 99.964903) (xy 117.355068 99.949999) + (xy 117.349206 99.890484) (xy 117.342684 99.868985) (xy 117.331846 99.833256) (xy 117.303655 99.780513) (xy 117.265716 99.734284) + (xy 117.254135 99.72478) (xy 116.675226 99.145872) (xy 116.665716 99.134284) (xy 116.619487 99.096345) (xy 116.566744 99.068154) + (xy 116.509516 99.050794) (xy 116.464904 99.0464) (xy 116.45 99.044932) (xy 116.435096 99.0464) (xy 115.225755 99.0464) + (xy 114.92825 98.748896) (xy 114.9286 98.747138) (xy 114.9286 98.652862) (xy 114.910208 98.560397) (xy 114.87413 98.473298) + (xy 114.821753 98.39491) (xy 114.75509 98.328247) (xy 114.676702 98.27587) (xy 114.589603 98.239792) (xy 114.497138 98.2214) + (xy 114.402862 98.2214) (xy 114.310397 98.239792) (xy 114.223298 98.27587) (xy 114.14491 98.328247) (xy 114.078247 98.39491) + (xy 114.02587 98.473298) (xy 114.004425 98.525071) (xy 113.975226 98.495872) (xy 113.965716 98.484284) (xy 113.919487 98.446345) + (xy 113.866744 98.418154) (xy 113.829795 98.406946) (xy 113.821753 98.39491) (xy 113.75509 98.328247) (xy 113.676702 98.27587) + (xy 113.589603 98.239792) (xy 113.497138 98.2214) (xy 113.402862 98.2214) (xy 113.310397 98.239792) (xy 113.223298 98.27587) + (xy 113.14491 98.328247) (xy 113.078247 98.39491) (xy 113.02587 98.473298) (xy 112.989792 98.560397) (xy 112.9714 98.652862) + (xy 112.480717 98.652862) (xy 109.9046 96.076746) (xy 109.9046 86.252434) (xy 111.6594 86.252434) (xy 111.6594 86.447566) + (xy 111.697468 86.638947) (xy 111.772142 86.819225) (xy 111.880551 86.981471) (xy 112.018529 87.119449) (xy 112.180775 87.227858) + (xy 112.361053 87.302532) (xy 112.552434 87.3406) (xy 112.747566 87.3406) (xy 112.938947 87.302532) (xy 113.119225 87.227858) + (xy 113.281471 87.119449) (xy 113.419449 86.981471) (xy 113.527858 86.819225) (xy 113.602532 86.638947) (xy 113.6406 86.447566) + (xy 113.6406 86.252434) (xy 113.602532 86.061053) (xy 113.527858 85.880775) (xy 113.419449 85.718529) (xy 113.281471 85.580551) + (xy 113.119225 85.472142) (xy 112.938947 85.397468) (xy 112.747566 85.3594) (xy 112.552434 85.3594) (xy 112.361053 85.397468) + (xy 112.180775 85.472142) (xy 112.018529 85.580551) (xy 111.880551 85.718529) (xy 111.772142 85.880775) (xy 111.697468 86.061053) + (xy 111.6594 86.252434) (xy 109.9046 86.252434) (xy 109.9046 85.35) (xy 122.967875 85.35) (xy 122.9714 85.385789) + (xy 122.9714 85.421761) (xy 122.978418 85.457043) (xy 122.981943 85.492831) (xy 122.992382 85.527244) (xy 122.9994 85.562525) + (xy 123.013166 85.595759) (xy 123.023605 85.630172) (xy 123.040558 85.661889) (xy 123.054323 85.695121) (xy 123.074305 85.725026) + (xy 123.09126 85.756747) (xy 123.114081 85.784555) (xy 123.13406 85.814455) (xy 123.159486 85.839881) (xy 123.182309 85.867691) + (xy 123.210119 85.890514) (xy 123.235545 85.91594) (xy 123.265445 85.935919) (xy 123.293253 85.95874) (xy 123.324974 85.975695) + (xy 123.354879 85.995677) (xy 123.388111 86.009442) (xy 123.419828 86.026395) (xy 123.454241 86.036834) (xy 123.487475 86.0506) + (xy 123.522756 86.057618) (xy 123.557169 86.068057) (xy 123.592957 86.071582) (xy 123.628239 86.0786) (xy 124.331048 86.0786) + (xy 126.8714 88.618953) (xy 126.8714 90.124038) (xy 126.868843 90.15) (xy 126.874128 90.203655) (xy 126.879049 90.253623) + (xy 126.909275 90.353264) (xy 126.958359 90.445095) (xy 127.024415 90.525585) (xy 127.04459 90.542142) (xy 127.189405 90.686957) + (xy 127.189409 90.686963) (xy 127.207872 90.705426) (xy 127.224416 90.725585) (xy 127.244575 90.742129) (xy 127.263037 90.760591) + (xy 127.284748 90.775098) (xy 127.295943 90.784285) (xy 127.304906 90.791641) (xy 127.327903 90.803933) (xy 127.349614 90.81844) + (xy 127.373739 90.828433) (xy 127.396736 90.840725) (xy 127.421689 90.848295) (xy 127.445813 90.858287) (xy 127.471418 90.86338) + (xy 127.496376 90.870951) (xy 127.522336 90.873508) (xy 127.547937 90.8786) (xy 127.574038 90.8786) (xy 127.6 90.881157) + (xy 127.625962 90.8786) (xy 127.652063 90.8786) (xy 127.677664 90.873508) (xy 127.703624 90.870951) (xy 127.728582 90.86338) + (xy 127.754187 90.858287) (xy 127.778309 90.848296) (xy 127.803265 90.840725) (xy 127.826265 90.828431) (xy 127.850386 90.81844) + (xy 127.872093 90.803936) (xy 127.895095 90.791641) (xy 127.915257 90.775094) (xy 127.936963 90.760591) (xy 127.955421 90.742133) + (xy 127.975585 90.725585) (xy 127.992133 90.705421) (xy 128.010591 90.686963) (xy 128.010595 90.686957) (xy 128.668953 90.0286) + (xy 130.481048 90.0286) (xy 131.157862 90.705414) (xy 131.174415 90.725585) (xy 131.194585 90.742138) (xy 131.907865 91.455418) + (xy 131.924415 91.475585) (xy 131.944581 91.492135) (xy 132.307867 91.855421) (xy 132.324415 91.875585) (xy 132.344579 91.892133) + (xy 132.363037 91.910591) (xy 132.384743 91.925094) (xy 132.404905 91.941641) (xy 132.427907 91.953936) (xy 132.449614 91.96844) + (xy 132.473735 91.978431) (xy 132.496735 91.990725) (xy 132.521691 91.998296) (xy 132.545813 92.008287) (xy 132.571418 92.01338) + (xy 132.596376 92.020951) (xy 132.622336 92.023508) (xy 132.647937 92.0286) (xy 132.674038 92.0286) (xy 132.689793 92.030152) + (xy 132.699999 92.031157) (xy 132.7 92.031157) (xy 132.725962 92.0286) (xy 132.752063 92.0286) (xy 132.777665 92.023508) + (xy 132.803623 92.020951) (xy 132.82858 92.01338) (xy 132.854187 92.008287) (xy 132.878311 91.998294) (xy 132.903264 91.990725) + (xy 132.926262 91.978433) (xy 132.950386 91.96844) (xy 132.972094 91.953935) (xy 132.995095 91.941641) (xy 133.015257 91.925094) + (xy 133.036963 91.910591) (xy 133.055421 91.892133) (xy 133.075585 91.875585) (xy 133.092133 91.855421) (xy 133.110591 91.836963) + (xy 133.125094 91.815257) (xy 133.141641 91.795095) (xy 133.153936 91.772093) (xy 133.16844 91.750386) (xy 133.178431 91.726265) + (xy 133.190725 91.703265) (xy 133.198296 91.678309) (xy 133.208287 91.654187) (xy 133.21338 91.628582) (xy 133.220951 91.603624) + (xy 133.223508 91.577664) (xy 133.2286 91.552063) (xy 133.2286 91.525958) (xy 133.231157 91.499999) (xy 133.2286 91.47404) + (xy 133.2286 89.575958) (xy 133.231157 89.549999) (xy 133.225227 89.489792) (xy 133.220951 89.446376) (xy 133.190725 89.346735) + (xy 133.141641 89.254905) (xy 133.075585 89.174415) (xy 133.055415 89.157862) (xy 132.960593 89.06304) (xy 132.960591 89.063037) + (xy 132.942133 89.044579) (xy 132.925585 89.024415) (xy 132.90542 89.007866) (xy 132.886963 88.989409) (xy 132.886959 88.989407) + (xy 132.547553 88.65) (xy 132.042142 88.14459) (xy 132.025585 88.124415) (xy 131.945095 88.058359) (xy 131.853265 88.009275) + (xy 131.753624 87.979049) (xy 131.675962 87.9714) (xy 131.65 87.968843) (xy 131.624038 87.9714) (xy 131.525962 87.9714) + (xy 131.5 87.968843) (xy 131.499999 87.968843) (xy 131.490708 87.969758) (xy 131.474038 87.9714) (xy 131.447937 87.9714) + (xy 131.422336 87.976492) (xy 131.396376 87.979049) (xy 131.371418 87.98662) (xy 131.345813 87.991713) (xy 131.321691 88.001704) + (xy 131.296735 88.009275) (xy 131.273735 88.021569) (xy 131.249614 88.03156) (xy 131.227907 88.046064) (xy 131.204905 88.058359) + (xy 131.184743 88.074906) (xy 131.163037 88.089409) (xy 131.14458 88.107866) (xy 131.124415 88.124415) (xy 131.107867 88.144579) + (xy 131.089409 88.163037) (xy 131.089407 88.16304) (xy 130.481048 88.7714) (xy 129.318953 88.7714) (xy 125.542142 84.99459) + (xy 125.525585 84.974415) (xy 125.50541 84.957858) (xy 125.392142 84.84459) (xy 125.375585 84.824415) (xy 125.295095 84.758359) + (xy 125.203265 84.709275) (xy 125.188562 84.704815) (xy 125.130172 84.673605) (xy 124.992831 84.631943) (xy 124.885789 84.6214) + (xy 123.628239 84.6214) (xy 123.592957 84.628418) (xy 123.557169 84.631943) (xy 123.522756 84.642382) (xy 123.487475 84.6494) + (xy 123.454241 84.663166) (xy 123.419828 84.673605) (xy 123.388111 84.690558) (xy 123.354879 84.704323) (xy 123.324974 84.724305) + (xy 123.293253 84.74126) (xy 123.265445 84.764081) (xy 123.235545 84.78406) (xy 123.210119 84.809486) (xy 123.182309 84.832309) + (xy 123.159486 84.860119) (xy 123.13406 84.885545) (xy 123.114081 84.915445) (xy 123.09126 84.943253) (xy 123.074305 84.974974) + (xy 123.054323 85.004879) (xy 123.040558 85.038111) (xy 123.023605 85.069828) (xy 123.013166 85.104241) (xy 122.9994 85.137475) + (xy 122.992382 85.172756) (xy 122.981943 85.207169) (xy 122.978418 85.242957) (xy 122.9714 85.278239) (xy 122.9714 85.314211) + (xy 122.967875 85.35) (xy 109.9046 85.35) (xy 109.9046 82.452434) (xy 111.6594 82.452434) (xy 111.6594 82.647566) + (xy 111.697468 82.838947) (xy 111.772142 83.019225) (xy 111.880551 83.181471) (xy 112.018529 83.319449) (xy 112.180775 83.427858) + (xy 112.361053 83.502532) (xy 112.552434 83.5406) (xy 112.747566 83.5406) (xy 112.938947 83.502532) (xy 113.119225 83.427858) + (xy 113.281471 83.319449) (xy 113.419449 83.181471) (xy 113.527858 83.019225) (xy 113.602532 82.838947) (xy 113.6406 82.647566) + (xy 113.6406 82.452434) (xy 113.602532 82.261053) (xy 113.527858 82.080775) (xy 113.419449 81.918529) (xy 113.281471 81.780551) + (xy 113.119225 81.672142) (xy 112.964872 81.608207) (xy 112.995121 81.595677) (xy 113.114455 81.51594) (xy 113.21594 81.414455) + (xy 113.295677 81.295121) (xy 113.3506 81.162525) (xy 113.3786 81.021761) (xy 113.3786 80.878239) (xy 113.3506 80.737475) + (xy 113.345376 80.724862) (xy 128.5534 80.724862) (xy 128.5534 80.819138) (xy 128.571792 80.911603) (xy 128.60787 80.998702) + (xy 128.660247 81.07709) (xy 128.72691 81.143753) (xy 128.805298 81.19613) (xy 128.892397 81.232208) (xy 128.984862 81.2506) + (xy 129.079138 81.2506) (xy 129.171603 81.232208) (xy 129.258702 81.19613) (xy 129.33709 81.143753) (xy 129.403753 81.07709) + (xy 129.45613 80.998702) (xy 129.492208 80.911603) (xy 129.5106 80.819138) (xy 129.5106 80.724862) (xy 129.51025 80.723104) + (xy 132.015256 78.2181) (xy 132.807544 78.2181) (xy 130.858896 80.16675) (xy 130.857138 80.1664) (xy 130.762862 80.1664) + (xy 130.670397 80.184792) (xy 130.583298 80.22087) (xy 130.50491 80.273247) (xy 130.438247 80.33991) (xy 130.38587 80.418298) + (xy 130.349792 80.505397) (xy 130.3314 80.597862) (xy 130.3314 80.692138) (xy 130.349792 80.784603) (xy 130.38587 80.871702) + (xy 130.438247 80.95009) (xy 130.50491 81.016753) (xy 130.583298 81.06913) (xy 130.670397 81.105208) (xy 130.762862 81.1236) + (xy 130.857138 81.1236) (xy 130.949603 81.105208) (xy 131.036702 81.06913) (xy 131.11509 81.016753) (xy 131.181753 80.95009) + (xy 131.23413 80.871702) (xy 131.270208 80.784603) (xy 131.2886 80.692138) (xy 131.2886 80.597862) (xy 131.28825 80.596104) + (xy 133.348756 78.5356) (xy 133.760045 78.5356) (xy 132.128896 80.16675) (xy 132.127138 80.1664) (xy 132.032862 80.1664) + (xy 131.940397 80.184792) (xy 131.853298 80.22087) (xy 131.77491 80.273247) (xy 131.708247 80.33991) (xy 131.65587 80.418298) + (xy 131.619792 80.505397) (xy 131.6014 80.597862) (xy 131.6014 80.692138) (xy 131.619792 80.784603) (xy 131.65587 80.871702) + (xy 131.708247 80.95009) (xy 131.77491 81.016753) (xy 131.853298 81.06913) (xy 131.940397 81.105208) (xy 132.032862 81.1236) + (xy 132.127138 81.1236) (xy 132.219603 81.105208) (xy 132.306702 81.06913) (xy 132.38509 81.016753) (xy 132.451753 80.95009) + (xy 132.50413 80.871702) (xy 132.540208 80.784603) (xy 132.5586 80.692138) (xy 132.5586 80.597862) (xy 132.55825 80.596104) + (xy 134.301255 78.8531) (xy 134.712545 78.8531) (xy 133.398896 80.16675) (xy 133.397138 80.1664) (xy 133.302862 80.1664) + (xy 133.210397 80.184792) (xy 133.123298 80.22087) (xy 133.04491 80.273247) (xy 132.978247 80.33991) (xy 132.92587 80.418298) + (xy 132.889792 80.505397) (xy 132.8714 80.597862) (xy 132.8714 80.692138) (xy 132.889792 80.784603) (xy 132.92587 80.871702) + (xy 132.978247 80.95009) (xy 133.04491 81.016753) (xy 133.123298 81.06913) (xy 133.210397 81.105208) (xy 133.302862 81.1236) + (xy 133.397138 81.1236) (xy 133.489603 81.105208) (xy 133.576702 81.06913) (xy 133.65509 81.016753) (xy 133.721753 80.95009) + (xy 133.77413 80.871702) (xy 133.810208 80.784603) (xy 133.8286 80.692138) (xy 133.8286 80.597862) (xy 133.82825 80.596104) + (xy 135.253755 79.1706) (xy 135.665045 79.1706) (xy 134.668896 80.16675) (xy 134.667138 80.1664) (xy 134.572862 80.1664) + (xy 134.480397 80.184792) (xy 134.393298 80.22087) (xy 134.31491 80.273247) (xy 134.248247 80.33991) (xy 134.19587 80.418298) + (xy 134.159792 80.505397) (xy 134.1414 80.597862) (xy 134.1414 80.692138) (xy 134.159792 80.784603) (xy 134.19587 80.871702) + (xy 134.248247 80.95009) (xy 134.31491 81.016753) (xy 134.393298 81.06913) (xy 134.480397 81.105208) (xy 134.572862 81.1236) + (xy 134.667138 81.1236) (xy 134.759603 81.105208) (xy 134.846702 81.06913) (xy 134.92509 81.016753) (xy 134.991753 80.95009) + (xy 135.04413 80.871702) (xy 135.080208 80.784603) (xy 135.0986 80.692138) (xy 135.0986 80.597862) (xy 135.09825 80.596104) + (xy 136.206255 79.4881) (xy 136.617545 79.4881) (xy 135.938896 80.16675) (xy 135.937138 80.1664) (xy 135.842862 80.1664) + (xy 135.750397 80.184792) (xy 135.663298 80.22087) (xy 135.58491 80.273247) (xy 135.518247 80.33991) (xy 135.46587 80.418298) + (xy 135.429792 80.505397) (xy 135.4114 80.597862) (xy 135.4114 80.692138) (xy 135.429792 80.784603) (xy 135.46587 80.871702) + (xy 135.518247 80.95009) (xy 135.58491 81.016753) (xy 135.663298 81.06913) (xy 135.750397 81.105208) (xy 135.842862 81.1236) + (xy 135.937138 81.1236) (xy 136.029603 81.105208) (xy 136.116702 81.06913) (xy 136.19509 81.016753) (xy 136.261753 80.95009) + (xy 136.31413 80.871702) (xy 136.350208 80.784603) (xy 136.3686 80.692138) (xy 136.3686 80.597862) (xy 136.36825 80.596104) + (xy 137.158755 79.8056) (xy 137.570044 79.8056) (xy 137.208896 80.16675) (xy 137.207138 80.1664) (xy 137.112862 80.1664) + (xy 137.020397 80.184792) (xy 136.933298 80.22087) (xy 136.85491 80.273247) (xy 136.788247 80.33991) (xy 136.73587 80.418298) + (xy 136.699792 80.505397) (xy 136.6814 80.597862) (xy 136.6814 80.692138) (xy 136.699792 80.784603) (xy 136.73587 80.871702) + (xy 136.788247 80.95009) (xy 136.85491 81.016753) (xy 136.933298 81.06913) (xy 137.020397 81.105208) (xy 137.112862 81.1236) + (xy 137.207138 81.1236) (xy 137.299603 81.105208) (xy 137.386702 81.06913) (xy 137.46509 81.016753) (xy 137.531753 80.95009) + (xy 137.58413 80.871702) (xy 137.620208 80.784603) (xy 137.6386 80.692138) (xy 137.6386 80.597862) (xy 137.63825 80.596104) + (xy 138.111256 80.1231) (xy 138.522545 80.1231) (xy 138.478896 80.16675) (xy 138.477138 80.1664) (xy 138.382862 80.1664) + (xy 138.290397 80.184792) (xy 138.203298 80.22087) (xy 138.12491 80.273247) (xy 138.058247 80.33991) (xy 138.00587 80.418298) + (xy 137.969792 80.505397) (xy 137.9514 80.597862) (xy 137.9514 80.692138) (xy 137.969792 80.784603) (xy 138.00587 80.871702) + (xy 138.058247 80.95009) (xy 138.12491 81.016753) (xy 138.203298 81.06913) (xy 138.290397 81.105208) (xy 138.382862 81.1236) + (xy 138.477138 81.1236) (xy 138.569603 81.105208) (xy 138.656702 81.06913) (xy 138.73509 81.016753) (xy 138.801753 80.95009) + (xy 138.85413 80.871702) (xy 138.890208 80.784603) (xy 138.9086 80.692138) (xy 138.9086 80.597862) (xy 138.90825 80.596104) + (xy 139.063755 80.4406) (xy 139.266632 80.4406) (xy 139.239792 80.505397) (xy 139.2214 80.597862) (xy 139.2214 80.692138) + (xy 139.239792 80.784603) (xy 139.27587 80.871702) (xy 139.328247 80.95009) (xy 139.39491 81.016753) (xy 139.473298 81.06913) + (xy 139.560397 81.105208) (xy 139.652862 81.1236) (xy 139.747138 81.1236) (xy 139.748725 81.123284) (xy 139.784513 81.152655) + (xy 139.837256 81.180846) (xy 139.894484 81.198206) (xy 139.953999 81.204068) (xy 139.968903 81.2026) (xy 151.242096 81.2026) + (xy 151.257 81.204068) (xy 151.271904 81.2026) (xy 151.316516 81.198206) (xy 151.373744 81.180846) (xy 151.426487 81.152655) + (xy 151.472716 81.114716) (xy 151.482226 81.103128) (xy 152.144755 80.4406) (xy 153.671246 80.4406) (xy 153.95375 80.723104) + (xy 153.9534 80.724862) (xy 153.9534 80.819138) (xy 153.971792 80.911603) (xy 154.00787 80.998702) (xy 154.060247 81.07709) + (xy 154.12691 81.143753) (xy 154.205298 81.19613) (xy 154.292397 81.232208) (xy 154.384862 81.2506) (xy 154.479138 81.2506) + (xy 154.571603 81.232208) (xy 154.658702 81.19613) (xy 154.73709 81.143753) (xy 154.803753 81.07709) (xy 154.85613 80.998702) + (xy 154.892208 80.911603) (xy 154.9106 80.819138) (xy 154.9106 80.724862) (xy 154.892208 80.632397) (xy 154.85613 80.545298) + (xy 154.803753 80.46691) (xy 154.73709 80.400247) (xy 154.658702 80.34787) (xy 154.571603 80.311792) (xy 154.479138 80.2934) + (xy 154.384862 80.2934) (xy 154.383104 80.29375) (xy 154.212454 80.1231) (xy 155.258746 80.1231) (xy 155.73175 80.596105) + (xy 155.7314 80.597862) (xy 155.7314 80.692138) (xy 155.749792 80.784603) (xy 155.78587 80.871702) (xy 155.838247 80.95009) + (xy 155.90491 81.016753) (xy 155.983298 81.06913) (xy 156.070397 81.105208) (xy 156.162862 81.1236) (xy 156.257138 81.1236) + (xy 156.349603 81.105208) (xy 156.436702 81.06913) (xy 156.51509 81.016753) (xy 156.581753 80.95009) (xy 156.63413 80.871702) + (xy 156.670208 80.784603) (xy 156.6886 80.692138) (xy 156.6886 80.597862) (xy 156.670208 80.505397) (xy 156.63413 80.418298) + (xy 156.581753 80.33991) (xy 156.51509 80.273247) (xy 156.436702 80.22087) (xy 156.349603 80.184792) (xy 156.257138 80.1664) + (xy 156.162862 80.1664) (xy 156.161105 80.16675) (xy 155.799954 79.8056) (xy 156.211246 79.8056) (xy 157.00175 80.596104) + (xy 157.0014 80.597862) (xy 157.0014 80.692138) (xy 157.019792 80.784603) (xy 157.05587 80.871702) (xy 157.108247 80.95009) + (xy 157.17491 81.016753) (xy 157.253298 81.06913) (xy 157.340397 81.105208) (xy 157.432862 81.1236) (xy 157.527138 81.1236) + (xy 157.619603 81.105208) (xy 157.706702 81.06913) (xy 157.78509 81.016753) (xy 157.851753 80.95009) (xy 157.90413 80.871702) + (xy 157.940208 80.784603) (xy 157.9586 80.692138) (xy 157.9586 80.597862) (xy 157.940208 80.505397) (xy 157.90413 80.418298) + (xy 157.851753 80.33991) (xy 157.78509 80.273247) (xy 157.706702 80.22087) (xy 157.619603 80.184792) (xy 157.527138 80.1664) + (xy 157.432862 80.1664) (xy 157.431104 80.16675) (xy 156.752454 79.4881) (xy 157.163746 79.4881) (xy 158.27175 80.596104) + (xy 158.2714 80.597862) (xy 158.2714 80.692138) (xy 158.289792 80.784603) (xy 158.32587 80.871702) (xy 158.378247 80.95009) + (xy 158.44491 81.016753) (xy 158.523298 81.06913) (xy 158.610397 81.105208) (xy 158.702862 81.1236) (xy 158.797138 81.1236) + (xy 158.889603 81.105208) (xy 158.976702 81.06913) (xy 159.05509 81.016753) (xy 159.121753 80.95009) (xy 159.17413 80.871702) + (xy 159.210208 80.784603) (xy 159.2286 80.692138) (xy 159.2286 80.597862) (xy 159.210208 80.505397) (xy 159.17413 80.418298) + (xy 159.121753 80.33991) (xy 159.05509 80.273247) (xy 158.976702 80.22087) (xy 158.889603 80.184792) (xy 158.797138 80.1664) + (xy 158.702862 80.1664) (xy 158.701104 80.16675) (xy 157.514726 78.980372) (xy 157.505216 78.968784) (xy 157.458987 78.930845) + (xy 157.406244 78.902654) (xy 157.349016 78.885294) (xy 157.304404 78.8809) (xy 157.2895 78.879432) (xy 157.274596 78.8809) + (xy 156.071868 78.8809) (xy 156.098708 78.816103) (xy 156.1171 78.723638) (xy 156.1171 78.629362) (xy 156.098708 78.536897) + (xy 156.071868 78.4721) (xy 157.417746 78.4721) (xy 159.54175 80.596104) (xy 159.5414 80.597862) (xy 159.5414 80.692138) + (xy 159.559792 80.784603) (xy 159.59587 80.871702) (xy 159.648247 80.95009) (xy 159.71491 81.016753) (xy 159.793298 81.06913) + (xy 159.880397 81.105208) (xy 159.972862 81.1236) (xy 160.067138 81.1236) (xy 160.159603 81.105208) (xy 160.246702 81.06913) + (xy 160.32509 81.016753) (xy 160.391753 80.95009) (xy 160.44413 80.871702) (xy 160.480208 80.784603) (xy 160.4986 80.692138) + (xy 160.4986 80.597862) (xy 160.480208 80.505397) (xy 160.44413 80.418298) (xy 160.391753 80.33991) (xy 160.32509 80.273247) + (xy 160.246702 80.22087) (xy 160.159603 80.184792) (xy 160.067138 80.1664) (xy 159.972862 80.1664) (xy 159.971104 80.16675) + (xy 157.768726 77.964372) (xy 157.759216 77.952784) (xy 157.712987 77.914845) (xy 157.660244 77.886654) (xy 157.603016 77.869294) + (xy 157.558404 77.8649) (xy 157.5435 77.863432) (xy 157.528596 77.8649) (xy 156.071868 77.8649) (xy 156.098708 77.800103) + (xy 156.1171 77.707638) (xy 156.1171 77.613362) (xy 156.098708 77.520897) (xy 156.071868 77.4561) (xy 157.671746 77.4561) + (xy 160.81175 80.596105) (xy 160.8114 80.597862) (xy 160.8114 80.692138) (xy 160.829792 80.784603) (xy 160.86587 80.871702) + (xy 160.918247 80.95009) (xy 160.98491 81.016753) (xy 161.063298 81.06913) (xy 161.150397 81.105208) (xy 161.242862 81.1236) + (xy 161.337138 81.1236) (xy 161.429603 81.105208) (xy 161.516702 81.06913) (xy 161.59509 81.016753) (xy 161.661753 80.95009) + (xy 161.71413 80.871702) (xy 161.750208 80.784603) (xy 161.7686 80.692138) (xy 161.7686 80.597862) (xy 161.750208 80.505397) + (xy 161.71413 80.418298) (xy 161.661753 80.33991) (xy 161.59509 80.273247) (xy 161.516702 80.22087) (xy 161.429603 80.184792) + (xy 161.337138 80.1664) (xy 161.242862 80.1664) (xy 161.241105 80.16675) (xy 158.212954 77.1386) (xy 158.624246 77.1386) + (xy 162.08175 80.596105) (xy 162.0814 80.597862) (xy 162.0814 80.692138) (xy 162.099792 80.784603) (xy 162.13587 80.871702) + (xy 162.188247 80.95009) (xy 162.25491 81.016753) (xy 162.333298 81.06913) (xy 162.420397 81.105208) (xy 162.512862 81.1236) + (xy 162.607138 81.1236) (xy 162.699603 81.105208) (xy 162.786702 81.06913) (xy 162.86509 81.016753) (xy 162.931753 80.95009) + (xy 162.98413 80.871702) (xy 163.020208 80.784603) (xy 163.0386 80.692138) (xy 163.0386 80.597862) (xy 163.020208 80.505397) + (xy 162.98413 80.418298) (xy 162.931753 80.33991) (xy 162.86509 80.273247) (xy 162.786702 80.22087) (xy 162.699603 80.184792) + (xy 162.607138 80.1664) (xy 162.512862 80.1664) (xy 162.511105 80.16675) (xy 159.165454 76.8211) (xy 159.576746 76.8211) + (xy 163.35175 80.596105) (xy 163.3514 80.597862) (xy 163.3514 80.692138) (xy 163.369792 80.784603) (xy 163.40587 80.871702) + (xy 163.458247 80.95009) (xy 163.52491 81.016753) (xy 163.603298 81.06913) (xy 163.690397 81.105208) (xy 163.782862 81.1236) + (xy 163.877138 81.1236) (xy 163.969603 81.105208) (xy 164.056702 81.06913) (xy 164.13509 81.016753) (xy 164.201753 80.95009) + (xy 164.25413 80.871702) (xy 164.290208 80.784603) (xy 164.3086 80.692138) (xy 164.3086 80.597862) (xy 164.290208 80.505397) + (xy 164.25413 80.418298) (xy 164.201753 80.33991) (xy 164.13509 80.273247) (xy 164.056702 80.22087) (xy 163.969603 80.184792) + (xy 163.877138 80.1664) (xy 163.782862 80.1664) (xy 163.781105 80.16675) (xy 159.927726 76.313372) (xy 159.918216 76.301784) + (xy 159.871987 76.263845) (xy 159.819244 76.235654) (xy 159.762016 76.218294) (xy 159.717404 76.2139) (xy 159.7025 76.212432) + (xy 159.687596 76.2139) (xy 156.008368 76.2139) (xy 156.035208 76.149103) (xy 156.0536 76.056638) (xy 156.0536 75.962362) + (xy 156.035208 75.869897) (xy 155.99913 75.782798) (xy 155.946753 75.70441) (xy 155.88009 75.637747) (xy 155.801702 75.58537) + (xy 155.714603 75.549292) (xy 155.622138 75.5309) (xy 155.527862 75.5309) (xy 155.435397 75.549292) (xy 155.348298 75.58537) + (xy 155.26991 75.637747) (xy 155.203247 75.70441) (xy 155.15087 75.782798) (xy 155.114792 75.869897) (xy 155.10952 75.8964) + (xy 151.525903 75.8964) (xy 151.510999 75.894932) (xy 151.451483 75.900794) (xy 151.430165 75.907261) (xy 151.394256 75.918154) + (xy 151.341513 75.946345) (xy 151.295284 75.984284) (xy 151.285783 75.995861) (xy 149.861246 77.4204) (xy 145.032756 77.4204) + (xy 144.306726 76.694372) (xy 144.297216 76.682784) (xy 144.250987 76.644845) (xy 144.198244 76.616654) (xy 144.141016 76.599294) + (xy 144.096404 76.5949) (xy 144.0815 76.593432) (xy 144.066596 76.5949) (xy 130.761403 76.5949) (xy 130.746499 76.593432) + (xy 130.686984 76.599294) (xy 130.629756 76.616654) (xy 130.577013 76.644845) (xy 130.530784 76.682784) (xy 130.521278 76.694367) + (xy 130.223896 76.99175) (xy 130.222138 76.9914) (xy 130.127862 76.9914) (xy 130.035397 77.009792) (xy 129.948298 77.04587) + (xy 129.86991 77.098247) (xy 129.803247 77.16491) (xy 129.75087 77.243298) (xy 129.714792 77.330397) (xy 129.6964 77.422862) + (xy 129.6964 77.517138) (xy 129.714792 77.609603) (xy 129.75087 77.696702) (xy 129.803247 77.77509) (xy 129.86991 77.841753) + (xy 129.948298 77.89413) (xy 130.035397 77.930208) (xy 130.127862 77.9486) (xy 130.222138 77.9486) (xy 130.314603 77.930208) + (xy 130.401702 77.89413) (xy 130.48009 77.841753) (xy 130.546753 77.77509) (xy 130.59913 77.696702) (xy 130.635208 77.609603) + (xy 130.6536 77.517138) (xy 130.6536 77.422862) (xy 130.65325 77.421104) (xy 130.872255 77.2021) (xy 143.955746 77.2021) + (xy 144.491544 77.7379) (xy 141.476755 77.7379) (xy 141.131726 77.392872) (xy 141.122216 77.381284) (xy 141.075987 77.343345) + (xy 141.023244 77.315154) (xy 140.966016 77.297794) (xy 140.921404 77.2934) (xy 140.9065 77.291932) (xy 140.891596 77.2934) + (xy 131.777403 77.2934) (xy 131.762499 77.291932) (xy 131.702984 77.297794) (xy 131.645756 77.315154) (xy 131.593013 77.343345) + (xy 131.546784 77.381284) (xy 131.537279 77.392866) (xy 130.520994 78.409151) (xy 130.48009 78.368247) (xy 130.401702 78.31587) + (xy 130.314603 78.279792) (xy 130.222138 78.2614) (xy 130.127862 78.2614) (xy 130.035397 78.279792) (xy 129.948298 78.31587) + (xy 129.86991 78.368247) (xy 129.803247 78.43491) (xy 129.75087 78.513298) (xy 129.714792 78.600397) (xy 129.6964 78.692862) + (xy 129.6964 78.787138) (xy 129.714792 78.879603) (xy 129.75087 78.966702) (xy 129.803247 79.04509) (xy 129.86991 79.111753) + (xy 129.948298 79.16413) (xy 130.035397 79.200208) (xy 130.127862 79.2186) (xy 130.156045 79.2186) (xy 129.080896 80.29375) + (xy 129.079138 80.2934) (xy 128.984862 80.2934) (xy 128.892397 80.311792) (xy 128.805298 80.34787) (xy 128.72691 80.400247) + (xy 128.660247 80.46691) (xy 128.60787 80.545298) (xy 128.571792 80.632397) (xy 128.5534 80.724862) (xy 113.345376 80.724862) + (xy 113.295677 80.604879) (xy 113.21594 80.485545) (xy 113.114455 80.38406) (xy 112.995121 80.304323) (xy 112.862525 80.2494) + (xy 112.721761 80.2214) (xy 112.578239 80.2214) (xy 112.437475 80.2494) (xy 112.304879 80.304323) (xy 112.185545 80.38406) + (xy 112.08406 80.485545) (xy 112.004323 80.604879) (xy 111.9494 80.737475) (xy 111.9214 80.878239) (xy 111.9214 81.021761) + (xy 111.9494 81.162525) (xy 112.004323 81.295121) (xy 112.08406 81.414455) (xy 112.185545 81.51594) (xy 112.304879 81.595677) + (xy 112.335128 81.608207) (xy 112.180775 81.672142) (xy 112.018529 81.780551) (xy 111.880551 81.918529) (xy 111.772142 82.080775) + (xy 111.697468 82.261053) (xy 111.6594 82.452434) (xy 109.9046 82.452434) (xy 109.9046 79.578239) (xy 110.6714 79.578239) + (xy 110.6714 79.721761) (xy 110.6994 79.862525) (xy 110.754323 79.995121) (xy 110.83406 80.114455) (xy 110.935545 80.21594) + (xy 111.054879 80.295677) (xy 111.187475 80.3506) (xy 111.328239 80.3786) (xy 111.471761 80.3786) (xy 111.612525 80.3506) + (xy 111.745121 80.295677) (xy 111.864455 80.21594) (xy 111.96594 80.114455) (xy 112.045677 79.995121) (xy 112.1006 79.862525) + (xy 112.1286 79.721761) (xy 112.1286 79.578239) (xy 112.1006 79.437475) (xy 112.045677 79.304879) (xy 111.96594 79.185545) + (xy 111.864455 79.08406) (xy 111.745121 79.004323) (xy 111.612525 78.9494) (xy 111.471761 78.9214) (xy 111.328239 78.9214) + (xy 111.187475 78.9494) (xy 111.054879 79.004323) (xy 110.935545 79.08406) (xy 110.83406 79.185545) (xy 110.754323 79.304879) + (xy 110.6994 79.437475) (xy 110.6714 79.578239) (xy 109.9046 79.578239) (xy 109.9046 78.278239) (xy 111.9214 78.278239) + (xy 111.9214 78.421761) (xy 111.9494 78.562525) (xy 112.004323 78.695121) (xy 112.08406 78.814455) (xy 112.185545 78.91594) + (xy 112.304879 78.995677) (xy 112.437475 79.0506) (xy 112.578239 79.0786) (xy 112.721761 79.0786) (xy 112.862525 79.0506) + (xy 112.995121 78.995677) (xy 113.114455 78.91594) (xy 113.21594 78.814455) (xy 113.295677 78.695121) (xy 113.3506 78.562525) + (xy 113.3786 78.421761) (xy 113.3786 78.278239) (xy 113.3506 78.137475) (xy 113.295677 78.004879) (xy 113.21594 77.885545) + (xy 113.114455 77.78406) (xy 112.995121 77.704323) (xy 112.862525 77.6494) (xy 112.721761 77.6214) (xy 112.578239 77.6214) + (xy 112.437475 77.6494) (xy 112.304879 77.704323) (xy 112.185545 77.78406) (xy 112.08406 77.885545) (xy 112.004323 78.004879) + (xy 111.9494 78.137475) (xy 111.9214 78.278239) (xy 109.9046 78.278239) (xy 109.9046 75.068437) (xy 122.2804 75.068437) + (xy 122.2804 75.172563) (xy 122.300713 75.274687) (xy 122.34056 75.370886) (xy 122.398409 75.457463) (xy 122.472037 75.531091) + (xy 122.558614 75.58894) (xy 122.654813 75.628787) (xy 122.756937 75.6491) (xy 122.861063 75.6491) (xy 122.963187 75.628787) + (xy 123.059386 75.58894) (xy 123.145963 75.531091) (xy 123.219591 75.457463) (xy 123.27744 75.370886) (xy 123.317287 75.274687) + (xy 123.3376 75.172563) (xy 123.3376 75.068437) (xy 123.317287 74.966313) (xy 123.280681 74.877937) (xy 124.5664 74.877937) + (xy 124.5664 74.982063) (xy 124.586713 75.084187) (xy 124.62656 75.180386) (xy 124.684409 75.266963) (xy 124.758037 75.340591) + (xy 124.844614 75.39844) (xy 124.940813 75.438287) (xy 125.042937 75.4586) (xy 125.147063 75.4586) (xy 125.249187 75.438287) + (xy 125.345386 75.39844) (xy 125.431963 75.340591) (xy 125.505591 75.266963) (xy 125.56344 75.180386) (xy 125.603287 75.084187) + (xy 125.6236 74.982063) (xy 125.6236 74.877937) (xy 127.3604 74.877937) (xy 127.3604 74.982063) (xy 127.380713 75.084187) + (xy 127.42056 75.180386) (xy 127.478409 75.266963) (xy 127.552037 75.340591) (xy 127.638614 75.39844) (xy 127.734813 75.438287) + (xy 127.836937 75.4586) (xy 127.941063 75.4586) (xy 128.043187 75.438287) (xy 128.139386 75.39844) (xy 128.225963 75.340591) + (xy 128.299591 75.266963) (xy 128.35744 75.180386) (xy 128.397287 75.084187) (xy 128.4176 74.982063) (xy 128.4176 74.877937) + (xy 129.7099 74.877937) (xy 129.7099 74.982063) (xy 129.730213 75.084187) (xy 129.77006 75.180386) (xy 129.827909 75.266963) + (xy 129.901537 75.340591) (xy 129.988114 75.39844) (xy 130.084313 75.438287) (xy 130.186437 75.4586) (xy 130.290563 75.4586) + (xy 130.392687 75.438287) (xy 130.488886 75.39844) (xy 130.575463 75.340591) (xy 130.649091 75.266963) (xy 130.70694 75.180386) + (xy 130.746787 75.084187) (xy 130.7671 74.982063) (xy 130.7671 74.877937) (xy 130.746787 74.775813) (xy 130.70694 74.679614) + (xy 130.649091 74.593037) (xy 130.575463 74.519409) (xy 130.488886 74.46156) (xy 130.392687 74.421713) (xy 130.290563 74.4014) + (xy 130.186437 74.4014) (xy 130.084313 74.421713) (xy 129.988114 74.46156) (xy 129.901537 74.519409) (xy 129.827909 74.593037) + (xy 129.77006 74.679614) (xy 129.730213 74.775813) (xy 129.7099 74.877937) (xy 128.4176 74.877937) (xy 128.397287 74.775813) + (xy 128.35744 74.679614) (xy 128.299591 74.593037) (xy 128.225963 74.519409) (xy 128.139386 74.46156) (xy 128.043187 74.421713) + (xy 127.941063 74.4014) (xy 127.836937 74.4014) (xy 127.734813 74.421713) (xy 127.638614 74.46156) (xy 127.552037 74.519409) + (xy 127.478409 74.593037) (xy 127.42056 74.679614) (xy 127.380713 74.775813) (xy 127.3604 74.877937) (xy 125.6236 74.877937) + (xy 125.603287 74.775813) (xy 125.56344 74.679614) (xy 125.505591 74.593037) (xy 125.431963 74.519409) (xy 125.345386 74.46156) + (xy 125.249187 74.421713) (xy 125.147063 74.4014) (xy 125.042937 74.4014) (xy 124.940813 74.421713) (xy 124.844614 74.46156) + (xy 124.758037 74.519409) (xy 124.684409 74.593037) (xy 124.62656 74.679614) (xy 124.586713 74.775813) (xy 124.5664 74.877937) + (xy 123.280681 74.877937) (xy 123.27744 74.870114) (xy 123.219591 74.783537) (xy 123.145963 74.709909) (xy 123.059386 74.65206) + (xy 122.963187 74.612213) (xy 122.861063 74.5919) (xy 122.756937 74.5919) (xy 122.654813 74.612213) (xy 122.558614 74.65206) + (xy 122.472037 74.709909) (xy 122.398409 74.783537) (xy 122.34056 74.870114) (xy 122.300713 74.966313) (xy 122.2804 75.068437) + (xy 109.9046 75.068437) (xy 109.9046 68.602434) (xy 112.4094 68.602434) (xy 112.4094 68.797566) (xy 112.447468 68.988947) + (xy 112.522142 69.169225) (xy 112.630551 69.331471) (xy 112.768529 69.469449) (xy 112.930775 69.577858) (xy 113.111053 69.652532) + (xy 113.302434 69.6906) (xy 113.497566 69.6906) (xy 113.688947 69.652532) (xy 113.869225 69.577858) (xy 114.031471 69.469449) + (xy 114.169449 69.331471) (xy 114.277858 69.169225) (xy 114.352532 68.988947) (xy 114.3906 68.797566) (xy 114.3906 68.602434) + (xy 114.352532 68.411053) (xy 114.277858 68.230775) (xy 114.169449 68.068529) (xy 114.031471 67.930551) (xy 113.869225 67.822142) + (xy 113.688947 67.747468) (xy 113.497566 67.7094) (xy 113.302434 67.7094) (xy 113.111053 67.747468) (xy 112.930775 67.822142) + (xy 112.768529 67.930551) (xy 112.630551 68.068529) (xy 112.522142 68.230775) (xy 112.447468 68.411053) (xy 112.4094 68.602434) + (xy 109.9046 68.602434) (xy 109.9046 65.276754) (xy 115.710639 59.470717) (xy 115.722216 59.461216) (xy 115.760155 59.414987) + (xy 115.788346 59.362244) (xy 115.805706 59.305016) (xy 115.8101 59.260404) (xy 115.811568 59.245501) (xy 115.8101 59.230597) + (xy 115.8101 51.490249) (xy 115.81159 51.489253) (xy 115.878253 51.42259) (xy 115.93063 51.344202) (xy 115.966708 51.257103) + (xy 115.9851 51.164638) (xy 115.9851 51.070362) (xy 115.966708 50.977897) (xy 115.93063 50.890798) (xy 115.878253 50.81241) + (xy 115.81159 50.745747) (xy 115.733202 50.69337) (xy 115.646103 50.657292) (xy 115.553638 50.6389) (xy 115.459362 50.6389) + (xy 115.366897 50.657292) (xy 115.279798 50.69337) (xy 115.20141 50.745747) (xy 115.134747 50.81241) (xy 115.08237 50.890798) + (xy 115.046292 50.977897) (xy 115.0279 51.070362) (xy 115.0279 51.164638) (xy 115.046292 51.257103) (xy 115.08237 51.344202) + (xy 115.134747 51.42259) (xy 115.20141 51.489253) (xy 115.2029 51.490249) (xy 115.202901 59.119743) (xy 109.396872 64.925774) + (xy 109.385284 64.935284) (xy 109.347345 64.981514) (xy 109.319154 65.034257) (xy 109.302224 65.090068) (xy 109.301794 65.091485) + (xy 109.295932 65.151) (xy 109.0156 65.151) (xy 109.0156 60.958754) (xy 114.631135 55.34322) (xy 114.642716 55.333716) + (xy 114.680655 55.287487) (xy 114.680656 55.287486) (xy 114.708846 55.234745) (xy 114.726206 55.177516) (xy 114.732068 55.118) + (xy 114.7306 55.103096) (xy 114.7306 51.490249) (xy 114.73209 51.489253) (xy 114.798753 51.42259) (xy 114.85113 51.344202) + (xy 114.887208 51.257103) (xy 114.9056 51.164638) (xy 114.9056 51.070362) (xy 114.887208 50.977897) (xy 114.85113 50.890798) + (xy 114.798753 50.81241) (xy 114.73209 50.745747) (xy 114.653702 50.69337) (xy 114.566603 50.657292) (xy 114.474138 50.6389) + (xy 114.379862 50.6389) (xy 114.287397 50.657292) (xy 114.200298 50.69337) (xy 114.12191 50.745747) (xy 114.055247 50.81241) + (xy 114.00287 50.890798) (xy 113.966792 50.977897) (xy 113.9484 51.070362) (xy 113.9484 51.164638) (xy 113.966792 51.257103) + (xy 114.00287 51.344202) (xy 114.055247 51.42259) (xy 114.12191 51.489253) (xy 114.123401 51.490249) (xy 114.1234 54.992245) + (xy 108.507867 60.607779) (xy 108.496285 60.617284) (xy 108.458346 60.663513) (xy 108.4561 60.667716) (xy 108.430155 60.716256) + (xy 108.412794 60.773485) (xy 108.406932 60.833) (xy 105.9036 60.833) (xy 105.9036 54.905685) (xy 109.817899 54.905685) + (xy 109.817899 55.184623) (xy 109.872317 55.458201) (xy 109.979061 55.715905) (xy 110.134031 55.947833) (xy 110.33127 56.145072) + (xy 110.563198 56.300042) (xy 110.820902 56.406786) (xy 111.09448 56.461204) (xy 111.373418 56.461204) (xy 111.646996 56.406786) + (xy 111.9047 56.300042) (xy 112.136628 56.145072) (xy 112.333867 55.947833) (xy 112.488837 55.715905) (xy 112.595581 55.458201) + (xy 112.649999 55.184623) (xy 112.649999 54.905685) (xy 112.595581 54.632107) (xy 112.488837 54.374403) (xy 112.333867 54.142475) + (xy 112.136628 53.945236) (xy 111.9047 53.790266) (xy 111.646996 53.683522) (xy 111.373418 53.629104) (xy 111.09448 53.629104) + (xy 110.820902 53.683522) (xy 110.563198 53.790266) (xy 110.33127 53.945236) (xy 110.134031 54.142475) (xy 109.979061 54.374403) + (xy 109.872317 54.632107) (xy 109.817899 54.905685) (xy 105.9036 54.905685) (xy 105.9036 53.31948) (xy 105.9041 53.314404) + (xy 105.905568 53.299501) (xy 105.9041 53.284597) (xy 105.9041 53.177804) (xy 108.713998 53.177804) (xy 108.713998 53.3204) + (xy 108.741817 53.460256) (xy 108.796386 53.591997) (xy 108.875608 53.710562) (xy 108.976438 53.811392) (xy 109.095003 53.890614) + (xy 109.226744 53.945183) (xy 109.3666 53.973002) (xy 109.509196 53.973002) (xy 109.649052 53.945183) (xy 109.780793 53.890614) + (xy 109.899358 53.811392) (xy 110.000188 53.710562) (xy 110.07941 53.591997) (xy 110.133979 53.460256) (xy 110.161798 53.3204) + (xy 110.161798 53.177804) (xy 110.133979 53.037948) (xy 110.07941 52.906207) (xy 110.000188 52.787642) (xy 109.899358 52.686812) + (xy 109.780793 52.60759) (xy 109.649052 52.553021) (xy 109.509196 52.525202) (xy 109.3666 52.525202) (xy 109.226744 52.553021) + (xy 109.095003 52.60759) (xy 108.976438 52.686812) (xy 108.875608 52.787642) (xy 108.796386 52.906207) (xy 108.741817 53.037948) + (xy 108.713998 53.177804) (xy 105.9041 53.177804) (xy 105.9041 51.313582) (xy 106.225796 51.313582) (xy 106.225796 51.59252) + (xy 106.280214 51.866098) (xy 106.386958 52.123802) (xy 106.541928 52.35573) (xy 106.739167 52.552969) (xy 106.971095 52.707939) + (xy 107.228799 52.814683) (xy 107.502377 52.869101) (xy 107.781315 52.869101) (xy 108.054893 52.814683) (xy 108.312597 52.707939) + (xy 108.544525 52.552969) (xy 108.698557 52.398937) (xy 111.7394 52.398937) (xy 111.7394 52.503063) (xy 111.759713 52.605187) + (xy 111.79956 52.701386) (xy 111.857409 52.787963) (xy 111.931037 52.861591) (xy 112.017614 52.91944) (xy 112.113813 52.959287) + (xy 112.215937 52.9796) (xy 112.320063 52.9796) (xy 112.422187 52.959287) (xy 112.518386 52.91944) (xy 112.604963 52.861591) + (xy 112.678591 52.787963) (xy 112.73644 52.701386) (xy 112.776287 52.605187) (xy 112.7966 52.503063) (xy 112.7966 52.398937) + (xy 112.776287 52.296813) (xy 112.73644 52.200614) (xy 112.678591 52.114037) (xy 112.604963 52.040409) (xy 112.5966 52.034821) + (xy 112.5966 50.661175) (xy 115.229176 48.0286) (xy 116.287369 48.0286) (xy 116.168436 48.108069) (xy 115.971197 48.305308) + (xy 115.816227 48.537236) (xy 115.709483 48.79494) (xy 115.655065 49.068518) (xy 115.655065 49.347456) (xy 115.709483 49.621034) + (xy 115.816227 49.878738) (xy 115.971197 50.110666) (xy 116.168436 50.307905) (xy 116.400364 50.462875) (xy 116.658068 50.569619) + (xy 116.931646 50.624037) (xy 117.210584 50.624037) (xy 117.484162 50.569619) (xy 117.741866 50.462875) (xy 117.973794 50.307905) + (xy 118.171033 50.110666) (xy 118.2144 50.045763) (xy 118.214401 60.030822) (xy 113.774638 64.470587) (xy 113.759231 64.483231) + (xy 113.708796 64.544688) (xy 113.671962 64.6136) (xy 113.671319 64.614803) (xy 113.648241 64.690882) (xy 113.640448 64.77) + (xy 113.6424 64.789818) (xy 113.642401 66.591672) (xy 113.640448 66.6115) (xy 113.648241 66.690618) (xy 113.671319 66.766697) + (xy 113.67132 66.766698) (xy 113.708797 66.836813) (xy 113.759232 66.898269) (xy 113.774634 66.910909) (xy 117.2619 70.398176) + (xy 117.261901 73.428624) (xy 117.177234 73.513291) (xy 117.108441 73.616246) (xy 117.061056 73.730644) (xy 117.0369 73.852088) + (xy 117.0369 73.975912) (xy 117.061056 74.097356) (xy 117.108441 74.211754) (xy 117.177234 74.314709) (xy 117.264791 74.402266) + (xy 117.367746 74.471059) (xy 117.482144 74.518444) (xy 117.603588 74.5426) (xy 117.727412 74.5426) (xy 117.848856 74.518444) + (xy 117.963254 74.471059) (xy 118.066209 74.402266) (xy 118.153766 74.314709) (xy 118.222559 74.211754) (xy 118.269944 74.097356) + (xy 118.2941 73.975912) (xy 118.2941 73.925437) (xy 123.4234 73.925437) (xy 123.4234 74.029563) (xy 123.443713 74.131687) + (xy 123.48356 74.227886) (xy 123.541409 74.314463) (xy 123.615037 74.388091) (xy 123.701614 74.44594) (xy 123.797813 74.485787) + (xy 123.899937 74.5061) (xy 124.004063 74.5061) (xy 124.106187 74.485787) (xy 124.202386 74.44594) (xy 124.288963 74.388091) + (xy 124.362591 74.314463) (xy 124.42044 74.227886) (xy 124.460287 74.131687) (xy 124.4806 74.029563) (xy 124.4806 73.925437) + (xy 124.460287 73.823313) (xy 124.42044 73.727114) (xy 124.362591 73.640537) (xy 124.288963 73.566909) (xy 124.202386 73.50906) + (xy 124.106187 73.469213) (xy 124.004063 73.4489) (xy 123.899937 73.4489) (xy 123.797813 73.469213) (xy 123.701614 73.50906) + (xy 123.615037 73.566909) (xy 123.541409 73.640537) (xy 123.48356 73.727114) (xy 123.443713 73.823313) (xy 123.4234 73.925437) + (xy 118.2941 73.925437) (xy 118.2941 73.852088) (xy 118.269944 73.730644) (xy 118.222559 73.616246) (xy 118.153766 73.513291) + (xy 118.0691 73.428625) (xy 118.0691 71.002862) (xy 127.1214 71.002862) (xy 127.1214 71.097138) (xy 127.139792 71.189603) + (xy 127.17587 71.276702) (xy 127.228247 71.35509) (xy 127.29491 71.421753) (xy 127.373298 71.47413) (xy 127.460397 71.510208) + (xy 127.552862 71.5286) (xy 127.647138 71.5286) (xy 127.739603 71.510208) (xy 127.826702 71.47413) (xy 127.90509 71.421753) + (xy 127.971753 71.35509) (xy 128.02413 71.276702) (xy 128.060208 71.189603) (xy 128.0786 71.097138) (xy 128.0786 71.002862) + (xy 128.06166 70.917695) (xy 128.444756 70.5346) (xy 135.446746 70.5346) (xy 136.2214 71.309256) (xy 136.2214 71.409557) + (xy 136.19509 71.383247) (xy 136.116702 71.33087) (xy 136.029603 71.294792) (xy 135.937138 71.2764) (xy 135.842862 71.2764) + (xy 135.750397 71.294792) (xy 135.663298 71.33087) (xy 135.58491 71.383247) (xy 135.518247 71.44991) (xy 135.46587 71.528298) + (xy 135.429792 71.615397) (xy 135.4114 71.707862) (xy 135.4114 71.802138) (xy 135.429792 71.894603) (xy 135.46587 71.981702) + (xy 135.518247 72.06009) (xy 135.58491 72.126753) (xy 135.663298 72.17913) (xy 135.750397 72.215208) (xy 135.842862 72.2336) + (xy 135.937138 72.2336) (xy 135.938896 72.23325) (xy 136.300044 72.5944) (xy 135.888755 72.5944) (xy 135.09825 71.803896) + (xy 135.0986 71.802138) (xy 135.0986 71.707862) (xy 135.080208 71.615397) (xy 135.04413 71.528298) (xy 134.991753 71.44991) + (xy 134.92509 71.383247) (xy 134.846702 71.33087) (xy 134.759603 71.294792) (xy 134.667138 71.2764) (xy 134.572862 71.2764) + (xy 134.480397 71.294792) (xy 134.393298 71.33087) (xy 134.31491 71.383247) (xy 134.248247 71.44991) (xy 134.19587 71.528298) + (xy 134.159792 71.615397) (xy 134.1414 71.707862) (xy 134.1414 71.802138) (xy 134.159792 71.894603) (xy 134.19587 71.981702) + (xy 134.248247 72.06009) (xy 134.31491 72.126753) (xy 134.393298 72.17913) (xy 134.480397 72.215208) (xy 134.572862 72.2336) + (xy 134.667138 72.2336) (xy 134.668896 72.23325) (xy 135.347545 72.9119) (xy 134.936255 72.9119) (xy 133.82825 71.803896) + (xy 133.8286 71.802138) (xy 133.8286 71.707862) (xy 133.810208 71.615397) (xy 133.77413 71.528298) (xy 133.721753 71.44991) + (xy 133.65509 71.383247) (xy 133.576702 71.33087) (xy 133.489603 71.294792) (xy 133.397138 71.2764) (xy 133.302862 71.2764) + (xy 133.210397 71.294792) (xy 133.123298 71.33087) (xy 133.04491 71.383247) (xy 132.978247 71.44991) (xy 132.92587 71.528298) + (xy 132.889792 71.615397) (xy 132.8714 71.707862) (xy 132.8714 71.802138) (xy 132.889792 71.894603) (xy 132.92587 71.981702) + (xy 132.978247 72.06009) (xy 133.04491 72.126753) (xy 133.123298 72.17913) (xy 133.210397 72.215208) (xy 133.302862 72.2336) + (xy 133.397138 72.2336) (xy 133.398896 72.23325) (xy 134.395045 73.2294) (xy 133.983755 73.2294) (xy 132.55825 71.803896) + (xy 132.5586 71.802138) (xy 132.5586 71.707862) (xy 132.540208 71.615397) (xy 132.50413 71.528298) (xy 132.451753 71.44991) + (xy 132.38509 71.383247) (xy 132.306702 71.33087) (xy 132.219603 71.294792) (xy 132.127138 71.2764) (xy 132.032862 71.2764) + (xy 131.940397 71.294792) (xy 131.853298 71.33087) (xy 131.77491 71.383247) (xy 131.708247 71.44991) (xy 131.65587 71.528298) + (xy 131.619792 71.615397) (xy 131.6014 71.707862) (xy 131.6014 71.802138) (xy 131.619792 71.894603) (xy 131.65587 71.981702) + (xy 131.708247 72.06009) (xy 131.77491 72.126753) (xy 131.853298 72.17913) (xy 131.940397 72.215208) (xy 132.032862 72.2336) + (xy 132.127138 72.2336) (xy 132.128896 72.23325) (xy 133.442545 73.5469) (xy 133.031255 73.5469) (xy 131.28825 71.803896) + (xy 131.2886 71.802138) (xy 131.2886 71.707862) (xy 131.270208 71.615397) (xy 131.23413 71.528298) (xy 131.181753 71.44991) + (xy 131.11509 71.383247) (xy 131.036702 71.33087) (xy 130.949603 71.294792) (xy 130.857138 71.2764) (xy 130.762862 71.2764) + (xy 130.670397 71.294792) (xy 130.583298 71.33087) (xy 130.50491 71.383247) (xy 130.438247 71.44991) (xy 130.38587 71.528298) + (xy 130.349792 71.615397) (xy 130.3314 71.707862) (xy 130.3314 71.802138) (xy 130.349792 71.894603) (xy 130.38587 71.981702) + (xy 130.438247 72.06009) (xy 130.50491 72.126753) (xy 130.583298 72.17913) (xy 130.670397 72.215208) (xy 130.762862 72.2336) + (xy 130.857138 72.2336) (xy 130.858896 72.23325) (xy 132.490045 73.8644) (xy 131.697756 73.8644) (xy 129.51025 71.676896) + (xy 129.5106 71.675138) (xy 129.5106 71.580862) (xy 129.492208 71.488397) (xy 129.45613 71.401298) (xy 129.403753 71.32291) + (xy 129.33709 71.256247) (xy 129.258702 71.20387) (xy 129.171603 71.167792) (xy 129.079138 71.1494) (xy 128.984862 71.1494) + (xy 128.892397 71.167792) (xy 128.805298 71.20387) (xy 128.72691 71.256247) (xy 128.660247 71.32291) (xy 128.60787 71.401298) + (xy 128.571792 71.488397) (xy 128.5534 71.580862) (xy 128.5534 71.675138) (xy 128.571792 71.767603) (xy 128.60787 71.854702) + (xy 128.660247 71.93309) (xy 128.72691 71.999753) (xy 128.805298 72.05213) (xy 128.892397 72.088208) (xy 128.984862 72.1066) + (xy 129.079138 72.1066) (xy 129.080896 72.10625) (xy 130.156045 73.1814) (xy 130.127862 73.1814) (xy 130.035397 73.199792) + (xy 129.948298 73.23587) (xy 129.86991 73.288247) (xy 129.803247 73.35491) (xy 129.75087 73.433298) (xy 129.714792 73.520397) + (xy 129.6964 73.612862) (xy 129.6964 73.707138) (xy 129.714792 73.799603) (xy 129.75087 73.886702) (xy 129.803247 73.96509) + (xy 129.86991 74.031753) (xy 129.948298 74.08413) (xy 130.035397 74.120208) (xy 130.127862 74.1386) (xy 130.222138 74.1386) + (xy 130.314603 74.120208) (xy 130.401702 74.08413) (xy 130.48009 74.031753) (xy 130.520994 73.990849) (xy 131.219783 74.689639) + (xy 131.229284 74.701216) (xy 131.237602 74.708042) (xy 130.223896 75.72175) (xy 130.222138 75.7214) (xy 130.127862 75.7214) + (xy 130.035397 75.739792) (xy 129.948298 75.77587) (xy 129.86991 75.828247) (xy 129.803247 75.89491) (xy 129.75087 75.973298) + (xy 129.714792 76.060397) (xy 129.6964 76.152862) (xy 129.6964 76.247138) (xy 129.714792 76.339603) (xy 129.75087 76.426702) + (xy 129.803247 76.50509) (xy 129.86991 76.571753) (xy 129.948298 76.62413) (xy 130.035397 76.660208) (xy 130.127862 76.6786) + (xy 130.222138 76.6786) (xy 130.314603 76.660208) (xy 130.401702 76.62413) (xy 130.48009 76.571753) (xy 130.546753 76.50509) + (xy 130.59913 76.426702) (xy 130.635208 76.339603) (xy 130.6536 76.247138) (xy 130.6536 76.152862) (xy 130.65325 76.151104) + (xy 131.697756 75.1066) (xy 141.240846 75.1066) (xy 141.25575 75.108068) (xy 141.270654 75.1066) (xy 141.315266 75.102206) + (xy 141.372494 75.084846) (xy 141.403193 75.068437) (xy 147.6804 75.068437) (xy 147.6804 75.172563) (xy 147.700713 75.274687) + (xy 147.74056 75.370886) (xy 147.798409 75.457463) (xy 147.872037 75.531091) (xy 147.958614 75.58894) (xy 148.054813 75.628787) + (xy 148.156937 75.6491) (xy 148.261063 75.6491) (xy 148.363187 75.628787) (xy 148.459386 75.58894) (xy 148.545963 75.531091) + (xy 148.619591 75.457463) (xy 148.67744 75.370886) (xy 148.717287 75.274687) (xy 148.7376 75.172563) (xy 148.7376 75.068437) + (xy 148.717287 74.966313) (xy 148.680681 74.877937) (xy 149.9664 74.877937) (xy 149.9664 74.982063) (xy 149.986713 75.084187) + (xy 150.02656 75.180386) (xy 150.084409 75.266963) (xy 150.158037 75.340591) (xy 150.244614 75.39844) (xy 150.340813 75.438287) + (xy 150.442937 75.4586) (xy 150.547063 75.4586) (xy 150.649187 75.438287) (xy 150.745386 75.39844) (xy 150.831963 75.340591) + (xy 150.905591 75.266963) (xy 150.96344 75.180386) (xy 151.003287 75.084187) (xy 151.0236 74.982063) (xy 151.0236 74.877937) + (xy 152.7604 74.877937) (xy 152.7604 74.982063) (xy 152.780713 75.084187) (xy 152.82056 75.180386) (xy 152.878409 75.266963) + (xy 152.952037 75.340591) (xy 153.038614 75.39844) (xy 153.134813 75.438287) (xy 153.236937 75.4586) (xy 153.341063 75.4586) + (xy 153.443187 75.438287) (xy 153.539386 75.39844) (xy 153.625963 75.340591) (xy 153.699591 75.266963) (xy 153.75744 75.180386) + (xy 153.797287 75.084187) (xy 153.8176 74.982063) (xy 153.8176 74.877937) (xy 155.1099 74.877937) (xy 155.1099 74.982063) + (xy 155.130213 75.084187) (xy 155.17006 75.180386) (xy 155.227909 75.266963) (xy 155.301537 75.340591) (xy 155.388114 75.39844) + (xy 155.484313 75.438287) (xy 155.586437 75.4586) (xy 155.690563 75.4586) (xy 155.792687 75.438287) (xy 155.888886 75.39844) + (xy 155.975463 75.340591) (xy 156.049091 75.266963) (xy 156.10694 75.180386) (xy 156.146787 75.084187) (xy 156.1671 74.982063) + (xy 156.1671 74.877937) (xy 156.146787 74.775813) (xy 156.10694 74.679614) (xy 156.049091 74.593037) (xy 155.975463 74.519409) + (xy 155.888886 74.46156) (xy 155.792687 74.421713) (xy 155.690563 74.4014) (xy 155.586437 74.4014) (xy 155.484313 74.421713) + (xy 155.388114 74.46156) (xy 155.301537 74.519409) (xy 155.227909 74.593037) (xy 155.17006 74.679614) (xy 155.130213 74.775813) + (xy 155.1099 74.877937) (xy 153.8176 74.877937) (xy 153.797287 74.775813) (xy 153.75744 74.679614) (xy 153.699591 74.593037) + (xy 153.625963 74.519409) (xy 153.539386 74.46156) (xy 153.443187 74.421713) (xy 153.341063 74.4014) (xy 153.236937 74.4014) + (xy 153.134813 74.421713) (xy 153.038614 74.46156) (xy 152.952037 74.519409) (xy 152.878409 74.593037) (xy 152.82056 74.679614) + (xy 152.780713 74.775813) (xy 152.7604 74.877937) (xy 151.0236 74.877937) (xy 151.003287 74.775813) (xy 150.96344 74.679614) + (xy 150.905591 74.593037) (xy 150.831963 74.519409) (xy 150.745386 74.46156) (xy 150.649187 74.421713) (xy 150.547063 74.4014) + (xy 150.442937 74.4014) (xy 150.340813 74.421713) (xy 150.244614 74.46156) (xy 150.158037 74.519409) (xy 150.084409 74.593037) + (xy 150.02656 74.679614) (xy 149.986713 74.775813) (xy 149.9664 74.877937) (xy 148.680681 74.877937) (xy 148.67744 74.870114) + (xy 148.619591 74.783537) (xy 148.545963 74.709909) (xy 148.459386 74.65206) (xy 148.363187 74.612213) (xy 148.261063 74.5919) + (xy 148.156937 74.5919) (xy 148.054813 74.612213) (xy 147.958614 74.65206) (xy 147.872037 74.709909) (xy 147.798409 74.783537) + (xy 147.74056 74.870114) (xy 147.700713 74.966313) (xy 147.6804 75.068437) (xy 141.403193 75.068437) (xy 141.425237 75.056655) + (xy 141.471466 75.018716) (xy 141.480976 75.007128) (xy 142.461005 74.0271) (xy 152.083746 74.0271) (xy 152.301778 74.245133) + (xy 152.311284 74.256716) (xy 152.357513 74.294655) (xy 152.410256 74.322846) (xy 152.458521 74.337487) (xy 152.467484 74.340206) + (xy 152.527 74.346068) (xy 152.541904 74.3446) (xy 158.370246 74.3446) (xy 164.621749 80.596105) (xy 164.6214 80.597862) + (xy 164.6214 80.692138) (xy 164.639792 80.784603) (xy 164.67587 80.871702) (xy 164.728247 80.95009) (xy 164.79491 81.016753) + (xy 164.873298 81.06913) (xy 164.960397 81.105208) (xy 165.052862 81.1236) (xy 165.147138 81.1236) (xy 165.239603 81.105208) + (xy 165.326702 81.06913) (xy 165.40509 81.016753) (xy 165.471753 80.95009) (xy 165.52413 80.871702) (xy 165.560208 80.784603) + (xy 165.5786 80.692138) (xy 165.5786 80.597862) (xy 165.560208 80.505397) (xy 165.52413 80.418298) (xy 165.471753 80.33991) + (xy 165.40509 80.273247) (xy 165.326702 80.22087) (xy 165.239603 80.184792) (xy 165.147138 80.1664) (xy 165.052862 80.1664) + (xy 165.051105 80.166749) (xy 158.911454 74.0271) (xy 161.846596 74.0271) (xy 161.8615 74.028568) (xy 161.876404 74.0271) + (xy 161.921016 74.022706) (xy 161.978244 74.005346) (xy 162.030987 73.977155) (xy 162.077216 73.939216) (xy 162.086726 73.927628) + (xy 163.781105 72.233251) (xy 163.782862 72.2336) (xy 163.877138 72.2336) (xy 163.969603 72.215208) (xy 164.056702 72.17913) + (xy 164.13509 72.126753) (xy 164.201753 72.06009) (xy 164.25413 71.981702) (xy 164.290208 71.894603) (xy 164.3086 71.802138) + (xy 164.3086 71.707862) (xy 164.290208 71.615397) (xy 164.263368 71.5506) (xy 164.466246 71.5506) (xy 164.62175 71.706104) + (xy 164.6214 71.707862) (xy 164.6214 71.802138) (xy 164.639792 71.894603) (xy 164.67587 71.981702) (xy 164.728247 72.06009) + (xy 164.79491 72.126753) (xy 164.873298 72.17913) (xy 164.960397 72.215208) (xy 165.052862 72.2336) (xy 165.147138 72.2336) + (xy 165.239603 72.215208) (xy 165.326702 72.17913) (xy 165.40509 72.126753) (xy 165.471753 72.06009) (xy 165.52413 71.981702) + (xy 165.560208 71.894603) (xy 165.5786 71.802138) (xy 165.5786 71.707862) (xy 165.560208 71.615397) (xy 165.52413 71.528298) + (xy 165.471753 71.44991) (xy 165.40509 71.383247) (xy 165.326702 71.33087) (xy 165.239603 71.294792) (xy 165.147138 71.2764) + (xy 165.052862 71.2764) (xy 165.051104 71.27675) (xy 164.817226 71.042872) (xy 164.807716 71.031284) (xy 164.761487 70.993345) + (xy 164.708744 70.965154) (xy 164.651516 70.947794) (xy 164.606904 70.9434) (xy 164.592 70.941932) (xy 164.577096 70.9434) + (xy 163.654404 70.9434) (xy 163.6395 70.941932) (xy 163.624596 70.9434) (xy 163.579984 70.947794) (xy 163.522756 70.965154) + (xy 163.4986 70.978065) (xy 163.4986 69.483903) (xy 163.500068 69.468999) (xy 163.494206 69.409484) (xy 163.492437 69.403654) + (xy 163.476846 69.352256) (xy 163.448655 69.299513) (xy 163.410716 69.253284) (xy 163.39914 69.243784) (xy 162.2286 68.073246) + (xy 162.2286 63.198862) (xy 163.9864 63.198862) (xy 163.9864 63.293138) (xy 164.004792 63.385603) (xy 164.04087 63.472702) + (xy 164.093247 63.55109) (xy 164.15991 63.617753) (xy 164.1614 63.618749) (xy 164.161401 64.800086) (xy 164.159932 64.815) + (xy 164.165794 64.874515) (xy 164.176403 64.909486) (xy 164.183155 64.931744) (xy 164.211346 64.984487) (xy 164.249285 65.030716) + (xy 164.260867 65.040221) (xy 173.124779 73.904134) (xy 173.134284 73.915716) (xy 173.166124 73.941846) (xy 173.180513 73.953655) + (xy 173.233255 73.981846) (xy 173.290484 73.999206) (xy 173.35 74.005068) (xy 173.364904 74.0036) (xy 173.827251 74.0036) + (xy 173.828247 74.00509) (xy 173.89491 74.071753) (xy 173.973298 74.12413) (xy 174.060397 74.160208) (xy 174.152862 74.1786) + (xy 174.247138 74.1786) (xy 174.339603 74.160208) (xy 174.426702 74.12413) (xy 174.50509 74.071753) (xy 174.571753 74.00509) + (xy 174.62413 73.926702) (xy 174.660208 73.839603) (xy 174.6786 73.747138) (xy 174.6786 73.652862) (xy 174.660208 73.560397) + (xy 174.62413 73.473298) (xy 174.571753 73.39491) (xy 174.50509 73.328247) (xy 174.426702 73.27587) (xy 174.339603 73.239792) + (xy 174.247138 73.2214) (xy 174.152862 73.2214) (xy 174.060397 73.239792) (xy 173.973298 73.27587) (xy 173.89491 73.328247) + (xy 173.828247 73.39491) (xy 173.827251 73.3964) (xy 173.730443 73.3964) (xy 173.771753 73.35509) (xy 173.82413 73.276702) + (xy 173.860208 73.189603) (xy 173.8786 73.097138) (xy 173.8786 73.002862) (xy 173.860208 72.910397) (xy 173.82413 72.823298) + (xy 173.771753 72.74491) (xy 173.730443 72.7036) (xy 173.827251 72.7036) (xy 173.828247 72.70509) (xy 173.89491 72.771753) + (xy 173.973298 72.82413) (xy 174.060397 72.860208) (xy 174.152862 72.8786) (xy 174.247138 72.8786) (xy 174.339603 72.860208) + (xy 174.426702 72.82413) (xy 174.50509 72.771753) (xy 174.571753 72.70509) (xy 174.62413 72.626702) (xy 174.660208 72.539603) + (xy 174.6786 72.447138) (xy 174.6786 72.352862) (xy 174.660208 72.260397) (xy 174.62413 72.173298) (xy 174.571753 72.09491) + (xy 174.50509 72.028247) (xy 174.426702 71.97587) (xy 174.339603 71.939792) (xy 174.247138 71.9214) (xy 174.152862 71.9214) + (xy 174.060397 71.939792) (xy 173.973298 71.97587) (xy 173.89491 72.028247) (xy 173.828247 72.09491) (xy 173.827251 72.0964) + (xy 173.730443 72.0964) (xy 173.771753 72.05509) (xy 173.82413 71.976702) (xy 173.860208 71.889603) (xy 173.8786 71.797138) + (xy 173.8786 71.702862) (xy 173.860208 71.610397) (xy 173.82413 71.523298) (xy 173.771753 71.44491) (xy 173.730443 71.4036) + (xy 173.827251 71.4036) (xy 173.828247 71.40509) (xy 173.89491 71.471753) (xy 173.973298 71.52413) (xy 174.060397 71.560208) + (xy 174.152862 71.5786) (xy 174.247138 71.5786) (xy 174.339603 71.560208) (xy 174.426702 71.52413) (xy 174.50509 71.471753) + (xy 174.571753 71.40509) (xy 174.62413 71.326702) (xy 174.660208 71.239603) (xy 174.6786 71.147138) (xy 174.6786 71.052862) + (xy 174.660208 70.960397) (xy 174.62413 70.873298) (xy 174.571753 70.79491) (xy 174.50509 70.728247) (xy 174.426702 70.67587) + (xy 174.339603 70.639792) (xy 174.247138 70.6214) (xy 174.152862 70.6214) (xy 174.060397 70.639792) (xy 173.973298 70.67587) + (xy 173.89491 70.728247) (xy 173.828247 70.79491) (xy 173.827251 70.7964) (xy 173.730443 70.7964) (xy 173.771753 70.75509) + (xy 173.82413 70.676702) (xy 173.860208 70.589603) (xy 173.8786 70.497138) (xy 173.8786 70.402862) (xy 173.860208 70.310397) + (xy 173.82413 70.223298) (xy 173.771753 70.14491) (xy 173.730443 70.1036) (xy 173.827251 70.1036) (xy 173.828247 70.10509) + (xy 173.89491 70.171753) (xy 173.973298 70.22413) (xy 174.060397 70.260208) (xy 174.152862 70.2786) (xy 174.247138 70.2786) + (xy 174.339603 70.260208) (xy 174.426702 70.22413) (xy 174.50509 70.171753) (xy 174.571753 70.10509) (xy 174.62413 70.026702) + (xy 174.660208 69.939603) (xy 174.6786 69.847138) (xy 174.6786 69.752862) (xy 174.660208 69.660397) (xy 174.62413 69.573298) + (xy 174.571753 69.49491) (xy 174.50509 69.428247) (xy 174.426702 69.37587) (xy 174.339603 69.339792) (xy 174.247138 69.3214) + (xy 174.152862 69.3214) (xy 174.060397 69.339792) (xy 173.973298 69.37587) (xy 173.89491 69.428247) (xy 173.828247 69.49491) + (xy 173.827251 69.4964) (xy 173.730443 69.4964) (xy 173.771753 69.45509) (xy 173.82413 69.376702) (xy 173.860208 69.289603) + (xy 173.8786 69.197138) (xy 173.8786 69.102862) (xy 173.860208 69.010397) (xy 173.82413 68.923298) (xy 173.771753 68.84491) + (xy 173.70509 68.778247) (xy 173.626702 68.72587) (xy 173.539603 68.689792) (xy 173.447138 68.6714) (xy 173.352862 68.6714) + (xy 173.309401 68.680045) (xy 169.2136 64.584246) (xy 169.2136 58.752862) (xy 190.5714 58.752862) (xy 190.5714 58.847138) + (xy 190.589792 58.939603) (xy 190.62587 59.026702) (xy 190.678247 59.10509) (xy 190.74491 59.171753) (xy 190.823298 59.22413) + (xy 190.910397 59.260208) (xy 191.002862 59.2786) (xy 191.097138 59.2786) (xy 191.189603 59.260208) (xy 191.276702 59.22413) + (xy 191.35509 59.171753) (xy 191.421753 59.10509) (xy 191.47413 59.026702) (xy 191.510208 58.939603) (xy 191.5286 58.847138) + (xy 191.5286 58.752862) (xy 191.510208 58.660397) (xy 191.47413 58.573298) (xy 191.421753 58.49491) (xy 191.35509 58.428247) + (xy 191.276702 58.37587) (xy 191.189603 58.339792) (xy 191.097138 58.3214) (xy 191.002862 58.3214) (xy 190.910397 58.339792) + (xy 190.823298 58.37587) (xy 190.74491 58.428247) (xy 190.678247 58.49491) (xy 190.62587 58.573298) (xy 190.589792 58.660397) + (xy 190.5714 58.752862) (xy 169.2136 58.752862) (xy 169.2136 57.897937) (xy 191.3714 57.897937) (xy 191.3714 58.002063) + (xy 191.391713 58.104187) (xy 191.43156 58.200386) (xy 191.489409 58.286963) (xy 191.563037 58.360591) (xy 191.649614 58.41844) + (xy 191.745813 58.458287) (xy 191.847937 58.4786) (xy 191.952063 58.4786) (xy 192.054187 58.458287) (xy 192.150386 58.41844) + (xy 192.236963 58.360591) (xy 192.310591 58.286963) (xy 192.36844 58.200386) (xy 192.408287 58.104187) (xy 192.4286 58.002063) + (xy 192.4286 57.897937) (xy 192.408287 57.795813) (xy 192.36844 57.699614) (xy 192.310591 57.613037) (xy 192.236963 57.539409) + (xy 192.150386 57.48156) (xy 192.054187 57.441713) (xy 191.952063 57.4214) (xy 191.847937 57.4214) (xy 191.745813 57.441713) + (xy 191.649614 57.48156) (xy 191.563037 57.539409) (xy 191.489409 57.613037) (xy 191.43156 57.699614) (xy 191.391713 57.795813) + (xy 191.3714 57.897937) (xy 169.2136 57.897937) (xy 169.2136 57.545904) (xy 169.215068 57.531) (xy 169.209206 57.471484) + (xy 169.191846 57.414256) (xy 169.163655 57.361513) (xy 169.125716 57.315284) (xy 169.114133 57.305779) (xy 168.75325 56.944896) + (xy 168.7536 56.943138) (xy 168.7536 56.907437) (xy 169.0164 56.907437) (xy 169.0164 57.011563) (xy 169.036713 57.113687) + (xy 169.07656 57.209886) (xy 169.134409 57.296463) (xy 169.208037 57.370091) (xy 169.294614 57.42794) (xy 169.390813 57.467787) + (xy 169.492937 57.4881) (xy 169.597063 57.4881) (xy 169.699187 57.467787) (xy 169.795386 57.42794) (xy 169.881963 57.370091) + (xy 169.955591 57.296463) (xy 170.01344 57.209886) (xy 170.053287 57.113687) (xy 170.065385 57.052862) (xy 190.4214 57.052862) + (xy 190.4214 57.147138) (xy 190.439792 57.239603) (xy 190.47587 57.326702) (xy 190.528247 57.40509) (xy 190.59491 57.471753) + (xy 190.673298 57.52413) (xy 190.760397 57.560208) (xy 190.852862 57.5786) (xy 190.947138 57.5786) (xy 191.039603 57.560208) + (xy 191.126702 57.52413) (xy 191.20509 57.471753) (xy 191.271753 57.40509) (xy 191.32413 57.326702) (xy 191.360208 57.239603) + (xy 191.3786 57.147138) (xy 191.3786 57.052862) (xy 191.360208 56.960397) (xy 191.32413 56.873298) (xy 191.271753 56.79491) + (xy 191.20509 56.728247) (xy 191.126702 56.67587) (xy 191.039603 56.639792) (xy 190.947138 56.6214) (xy 190.852862 56.6214) + (xy 190.760397 56.639792) (xy 190.673298 56.67587) (xy 190.59491 56.728247) (xy 190.528247 56.79491) (xy 190.47587 56.873298) + (xy 190.439792 56.960397) (xy 190.4214 57.052862) (xy 170.065385 57.052862) (xy 170.0736 57.011563) (xy 170.0736 56.907437) + (xy 170.053287 56.805313) (xy 170.01344 56.709114) (xy 169.955591 56.622537) (xy 169.935886 56.602832) (xy 200.041537 56.602832) + (xy 200.128539 56.737049) (xy 200.305953 56.824265) (xy 200.496972 56.875192) (xy 200.694257 56.887875) (xy 200.890225 56.861826) + (xy 201.077346 56.798046) (xy 201.191461 56.737049) (xy 201.278463 56.602832) (xy 200.66 55.984369) (xy 200.041537 56.602832) + (xy 169.935886 56.602832) (xy 169.881963 56.548909) (xy 169.795386 56.49106) (xy 169.699187 56.451213) (xy 169.597063 56.4309) + (xy 169.492937 56.4309) (xy 169.390813 56.451213) (xy 169.294614 56.49106) (xy 169.208037 56.548909) (xy 169.134409 56.622537) + (xy 169.07656 56.709114) (xy 169.036713 56.805313) (xy 169.0164 56.907437) (xy 168.7536 56.907437) (xy 168.7536 56.848862) + (xy 168.735208 56.756397) (xy 168.69913 56.669298) (xy 168.646753 56.59091) (xy 168.58009 56.524247) (xy 168.501702 56.47187) + (xy 168.414603 56.435792) (xy 168.322138 56.4174) (xy 168.227862 56.4174) (xy 168.135397 56.435792) (xy 168.048298 56.47187) + (xy 167.96991 56.524247) (xy 167.903247 56.59091) (xy 167.85087 56.669298) (xy 167.814792 56.756397) (xy 167.7964 56.848862) + (xy 167.7964 56.943138) (xy 167.814792 57.035603) (xy 167.85087 57.122702) (xy 167.903247 57.20109) (xy 167.96991 57.267753) + (xy 168.048298 57.32013) (xy 168.135397 57.356208) (xy 168.227862 57.3746) (xy 168.322138 57.3746) (xy 168.323896 57.37425) + (xy 168.606401 57.656756) (xy 168.606401 59.090558) (xy 168.58009 59.064247) (xy 168.501702 59.01187) (xy 168.414603 58.975792) + (xy 168.322138 58.9574) (xy 168.227862 58.9574) (xy 168.135397 58.975792) (xy 168.048298 59.01187) (xy 167.96991 59.064247) + (xy 167.9436 59.090557) (xy 167.9436 57.545904) (xy 167.945068 57.531) (xy 167.939206 57.471484) (xy 167.921846 57.414256) + (xy 167.893655 57.361513) (xy 167.855716 57.315284) (xy 167.844133 57.305779) (xy 167.48325 56.944896) (xy 167.4836 56.943138) + (xy 167.4836 56.848862) (xy 167.465208 56.756397) (xy 167.42913 56.669298) (xy 167.376753 56.59091) (xy 167.31009 56.524247) + (xy 167.231702 56.47187) (xy 167.144603 56.435792) (xy 167.052138 56.4174) (xy 166.957862 56.4174) (xy 166.865397 56.435792) + (xy 166.778298 56.47187) (xy 166.69991 56.524247) (xy 166.633247 56.59091) (xy 166.58087 56.669298) (xy 166.544792 56.756397) + (xy 166.5264 56.848862) (xy 166.5264 56.943138) (xy 166.544792 57.035603) (xy 166.58087 57.122702) (xy 166.633247 57.20109) + (xy 166.69991 57.267753) (xy 166.778298 57.32013) (xy 166.865397 57.356208) (xy 166.957862 57.3746) (xy 167.052138 57.3746) + (xy 167.053896 57.37425) (xy 167.336401 57.656756) (xy 167.336401 59.090558) (xy 167.31009 59.064247) (xy 167.231702 59.01187) + (xy 167.144603 58.975792) (xy 167.052138 58.9574) (xy 166.957862 58.9574) (xy 166.865397 58.975792) (xy 166.778298 59.01187) + (xy 166.69991 59.064247) (xy 166.6736 59.090557) (xy 166.6736 57.545904) (xy 166.675068 57.531) (xy 166.669206 57.471484) + (xy 166.651846 57.414256) (xy 166.623655 57.361513) (xy 166.585716 57.315284) (xy 166.574133 57.305779) (xy 166.21325 56.944896) + (xy 166.2136 56.943138) (xy 166.2136 56.848862) (xy 166.195208 56.756397) (xy 166.15913 56.669298) (xy 166.106753 56.59091) + (xy 166.04009 56.524247) (xy 165.961702 56.47187) (xy 165.874603 56.435792) (xy 165.782138 56.4174) (xy 165.687862 56.4174) + (xy 165.595397 56.435792) (xy 165.508298 56.47187) (xy 165.42991 56.524247) (xy 165.363247 56.59091) (xy 165.31087 56.669298) + (xy 165.274792 56.756397) (xy 165.2564 56.848862) (xy 165.2564 56.943138) (xy 165.274792 57.035603) (xy 165.31087 57.122702) + (xy 165.363247 57.20109) (xy 165.42991 57.267753) (xy 165.508298 57.32013) (xy 165.595397 57.356208) (xy 165.687862 57.3746) + (xy 165.782138 57.3746) (xy 165.783896 57.37425) (xy 166.066401 57.656756) (xy 166.066401 59.090558) (xy 166.04009 59.064247) + (xy 165.961702 59.01187) (xy 165.874603 58.975792) (xy 165.782138 58.9574) (xy 165.687862 58.9574) (xy 165.595397 58.975792) + (xy 165.508298 59.01187) (xy 165.42991 59.064247) (xy 165.363247 59.13091) (xy 165.31087 59.209298) (xy 165.274792 59.296397) + (xy 165.2564 59.388862) (xy 165.2564 59.483138) (xy 165.274792 59.575603) (xy 165.31087 59.662702) (xy 165.363247 59.74109) + (xy 165.42991 59.807753) (xy 165.4314 59.808749) (xy 165.431401 63.789558) (xy 165.40509 63.763247) (xy 165.326702 63.71087) + (xy 165.239603 63.674792) (xy 165.147138 63.6564) (xy 165.052862 63.6564) (xy 164.960397 63.674792) (xy 164.873298 63.71087) + (xy 164.79491 63.763247) (xy 164.7686 63.789557) (xy 164.7686 63.618749) (xy 164.77009 63.617753) (xy 164.836753 63.55109) + (xy 164.88913 63.472702) (xy 164.925208 63.385603) (xy 164.9436 63.293138) (xy 164.9436 63.198862) (xy 164.925208 63.106397) + (xy 164.88913 63.019298) (xy 164.836753 62.94091) (xy 164.77009 62.874247) (xy 164.691702 62.82187) (xy 164.604603 62.785792) + (xy 164.512138 62.7674) (xy 164.417862 62.7674) (xy 164.325397 62.785792) (xy 164.238298 62.82187) (xy 164.15991 62.874247) + (xy 164.093247 62.94091) (xy 164.04087 63.019298) (xy 164.004792 63.106397) (xy 163.9864 63.198862) (xy 162.2286 63.198862) + (xy 162.2286 59.808749) (xy 162.23009 59.807753) (xy 162.296753 59.74109) (xy 162.297749 59.7396) (xy 163.053096 59.7396) + (xy 163.068 59.741068) (xy 163.082904 59.7396) (xy 163.127516 59.735206) (xy 163.184744 59.717846) (xy 163.237487 59.689655) + (xy 163.283716 59.651716) (xy 163.293226 59.640128) (xy 164.415134 58.518221) (xy 164.426716 58.508716) (xy 164.464655 58.462487) + (xy 164.492846 58.409744) (xy 164.510206 58.352516) (xy 164.5146 58.307904) (xy 164.516068 58.293001) (xy 164.5146 58.278097) + (xy 164.5146 57.291904) (xy 164.516068 57.277) (xy 164.510206 57.217484) (xy 164.501348 57.188284) (xy 164.492846 57.160256) + (xy 164.464655 57.107513) (xy 164.426716 57.061284) (xy 164.415135 57.05178) (xy 163.277612 55.914257) (xy 199.652125 55.914257) + (xy 199.678174 56.110225) (xy 199.741954 56.297346) (xy 199.802951 56.411461) (xy 199.937168 56.498463) (xy 200.555631 55.88) + (xy 200.764369 55.88) (xy 201.382832 56.498463) (xy 201.517049 56.411461) (xy 201.604265 56.234047) (xy 201.655192 56.043028) + (xy 201.667875 55.845743) (xy 201.65929 55.781154) (xy 202.1964 55.781154) (xy 202.1964 55.978846) (xy 202.234968 56.172739) + (xy 202.310621 56.355383) (xy 202.420453 56.519758) (xy 202.560242 56.659547) (xy 202.724617 56.769379) (xy 202.907261 56.845032) + (xy 203.101154 56.8836) (xy 203.298846 56.8836) (xy 203.492739 56.845032) (xy 203.675383 56.769379) (xy 203.839758 56.659547) + (xy 203.896473 56.602832) (xy 205.121537 56.602832) (xy 205.208539 56.737049) (xy 205.385953 56.824265) (xy 205.576972 56.875192) + (xy 205.774257 56.887875) (xy 205.970225 56.861826) (xy 206.157346 56.798046) (xy 206.271461 56.737049) (xy 206.358463 56.602832) + (xy 205.74 55.984369) (xy 205.121537 56.602832) (xy 203.896473 56.602832) (xy 203.979547 56.519758) (xy 204.089379 56.355383) + (xy 204.165032 56.172739) (xy 204.2036 55.978846) (xy 204.2036 55.914257) (xy 204.732125 55.914257) (xy 204.758174 56.110225) + (xy 204.821954 56.297346) (xy 204.882951 56.411461) (xy 205.017168 56.498463) (xy 205.635631 55.88) (xy 205.844369 55.88) + (xy 206.462832 56.498463) (xy 206.597049 56.411461) (xy 206.684265 56.234047) (xy 206.735192 56.043028) (xy 206.747875 55.845743) + (xy 206.721826 55.649775) (xy 206.658046 55.462654) (xy 206.597049 55.348539) (xy 206.462832 55.261537) (xy 205.844369 55.88) + (xy 205.635631 55.88) (xy 205.017168 55.261537) (xy 204.882951 55.348539) (xy 204.795735 55.525953) (xy 204.744808 55.716972) + (xy 204.732125 55.914257) (xy 204.2036 55.914257) (xy 204.2036 55.781154) (xy 204.165032 55.587261) (xy 204.089379 55.404617) + (xy 203.979547 55.240242) (xy 203.896473 55.157168) (xy 205.121537 55.157168) (xy 205.74 55.775631) (xy 206.358463 55.157168) + (xy 206.271461 55.022951) (xy 206.094047 54.935735) (xy 205.903028 54.884808) (xy 205.705743 54.872125) (xy 205.509775 54.898174) + (xy 205.322654 54.961954) (xy 205.208539 55.022951) (xy 205.121537 55.157168) (xy 203.896473 55.157168) (xy 203.839758 55.100453) + (xy 203.675383 54.990621) (xy 203.492739 54.914968) (xy 203.298846 54.8764) (xy 203.101154 54.8764) (xy 202.907261 54.914968) + (xy 202.724617 54.990621) (xy 202.560242 55.100453) (xy 202.420453 55.240242) (xy 202.310621 55.404617) (xy 202.234968 55.587261) + (xy 202.1964 55.781154) (xy 201.65929 55.781154) (xy 201.641826 55.649775) (xy 201.578046 55.462654) (xy 201.517049 55.348539) + (xy 201.382832 55.261537) (xy 200.764369 55.88) (xy 200.555631 55.88) (xy 199.937168 55.261537) (xy 199.802951 55.348539) + (xy 199.715735 55.525953) (xy 199.664808 55.716972) (xy 199.652125 55.914257) (xy 163.277612 55.914257) (xy 162.302292 54.938937) + (xy 169.7784 54.938937) (xy 169.7784 55.043063) (xy 169.798713 55.145187) (xy 169.83856 55.241386) (xy 169.896409 55.327963) + (xy 169.970037 55.401591) (xy 170.056614 55.45944) (xy 170.152813 55.499287) (xy 170.254937 55.5196) (xy 170.359063 55.5196) + (xy 170.461187 55.499287) (xy 170.557386 55.45944) (xy 170.643963 55.401591) (xy 170.717591 55.327963) (xy 170.77544 55.241386) + (xy 170.815287 55.145187) (xy 170.818419 55.129437) (xy 172.6994 55.129437) (xy 172.6994 55.233563) (xy 172.719713 55.335687) + (xy 172.75956 55.431886) (xy 172.817409 55.518463) (xy 172.891037 55.592091) (xy 172.977614 55.64994) (xy 173.073813 55.689787) + (xy 173.175937 55.7101) (xy 173.280063 55.7101) (xy 173.382187 55.689787) (xy 173.478386 55.64994) (xy 173.564963 55.592091) + (xy 173.638591 55.518463) (xy 173.69644 55.431886) (xy 173.736287 55.335687) (xy 173.7566 55.233563) (xy 173.7566 55.157168) + (xy 200.041537 55.157168) (xy 200.66 55.775631) (xy 201.278463 55.157168) (xy 201.191461 55.022951) (xy 201.014047 54.935735) + (xy 200.823028 54.884808) (xy 200.625743 54.872125) (xy 200.429775 54.898174) (xy 200.242654 54.961954) (xy 200.128539 55.022951) + (xy 200.041537 55.157168) (xy 173.7566 55.157168) (xy 173.7566 55.129437) (xy 173.736287 55.027313) (xy 173.69644 54.931114) + (xy 173.638591 54.844537) (xy 173.564963 54.770909) (xy 173.478386 54.71306) (xy 173.382187 54.673213) (xy 173.280063 54.6529) + (xy 173.175937 54.6529) (xy 173.073813 54.673213) (xy 172.977614 54.71306) (xy 172.891037 54.770909) (xy 172.817409 54.844537) + (xy 172.75956 54.931114) (xy 172.719713 55.027313) (xy 172.6994 55.129437) (xy 170.818419 55.129437) (xy 170.8356 55.043063) + (xy 170.8356 54.938937) (xy 170.815287 54.836813) (xy 170.77544 54.740614) (xy 170.717591 54.654037) (xy 170.643963 54.580409) + (xy 170.557386 54.52256) (xy 170.461187 54.482713) (xy 170.359063 54.4624) (xy 170.254937 54.4624) (xy 170.152813 54.482713) + (xy 170.056614 54.52256) (xy 169.970037 54.580409) (xy 169.896409 54.654037) (xy 169.83856 54.740614) (xy 169.798713 54.836813) + (xy 169.7784 54.938937) (xy 162.302292 54.938937) (xy 161.349792 53.986437) (xy 171.5564 53.986437) (xy 171.5564 54.090563) + (xy 171.576713 54.192687) (xy 171.61656 54.288886) (xy 171.674409 54.375463) (xy 171.748037 54.449091) (xy 171.834614 54.50694) + (xy 171.930813 54.546787) (xy 172.032937 54.5671) (xy 172.137063 54.5671) (xy 172.239187 54.546787) (xy 172.335386 54.50694) + (xy 172.421963 54.449091) (xy 172.495591 54.375463) (xy 172.55344 54.288886) (xy 172.593287 54.192687) (xy 172.6136 54.090563) + (xy 172.6136 53.986437) (xy 172.593287 53.884313) (xy 172.55344 53.788114) (xy 172.495591 53.701537) (xy 172.421963 53.627909) + (xy 172.335386 53.57006) (xy 172.239187 53.530213) (xy 172.137063 53.5099) (xy 172.032937 53.5099) (xy 171.930813 53.530213) + (xy 171.834614 53.57006) (xy 171.748037 53.627909) (xy 171.674409 53.701537) (xy 171.61656 53.788114) (xy 171.576713 53.884313) + (xy 171.5564 53.986437) (xy 161.349792 53.986437) (xy 158.213226 50.849872) (xy 158.203716 50.838284) (xy 158.157487 50.800345) + (xy 158.104744 50.772154) (xy 158.047516 50.754794) (xy 158.002904 50.7504) (xy 157.988 50.748932) (xy 157.973096 50.7504) + (xy 140.476904 50.7504) (xy 140.462 50.748932) (xy 140.447096 50.7504) (xy 140.402484 50.754794) (xy 140.345256 50.772154) + (xy 140.292513 50.800345) (xy 140.246284 50.838284) (xy 140.236779 50.849866) (xy 137.590867 53.495779) (xy 137.579285 53.505284) + (xy 137.541346 53.551513) (xy 137.515854 53.599206) (xy 137.513155 53.604256) (xy 137.495794 53.661485) (xy 137.489932 53.721) + (xy 137.491401 53.735914) (xy 137.4914 57.722745) (xy 136.447867 58.766279) (xy 136.436285 58.775784) (xy 136.398346 58.822013) + (xy 136.390151 58.837346) (xy 136.370155 58.874756) (xy 136.352794 58.931985) (xy 136.346932 58.9915) (xy 136.3484 59.006404) + (xy 136.3484 59.063251) (xy 136.34691 59.064247) (xy 136.280247 59.13091) (xy 136.22787 59.209298) (xy 136.191792 59.296397) + (xy 136.1734 59.388862) (xy 136.1734 59.483138) (xy 136.191792 59.575603) (xy 136.22787 59.662702) (xy 136.280247 59.74109) + (xy 136.34691 59.807753) (xy 136.425298 59.86013) (xy 136.512397 59.896208) (xy 136.604862 59.9146) (xy 136.699138 59.9146) + (xy 136.791603 59.896208) (xy 136.878702 59.86013) (xy 136.95709 59.807753) (xy 137.023753 59.74109) (xy 137.07613 59.662702) + (xy 137.112208 59.575603) (xy 137.1306 59.483138) (xy 137.1306 59.388862) (xy 137.3164 59.388862) (xy 137.3164 59.483138) + (xy 137.334792 59.575603) (xy 137.37087 59.662702) (xy 137.423247 59.74109) (xy 137.48991 59.807753) (xy 137.568298 59.86013) + (xy 137.655397 59.896208) (xy 137.747862 59.9146) (xy 137.842138 59.9146) (xy 137.934603 59.896208) (xy 138.021702 59.86013) + (xy 138.10009 59.807753) (xy 138.166753 59.74109) (xy 138.21913 59.662702) (xy 138.255208 59.575603) (xy 138.2736 59.483138) + (xy 138.2736 59.388862) (xy 138.27325 59.387104) (xy 139.52314 58.137216) (xy 139.534716 58.127716) (xy 139.572655 58.081487) + (xy 139.600846 58.028744) (xy 139.618206 57.971516) (xy 139.619425 57.959138) (xy 139.624068 57.912001) (xy 139.6226 57.897097) + (xy 139.6226 56.907437) (xy 143.6164 56.907437) (xy 143.6164 57.011563) (xy 143.636713 57.113687) (xy 143.67656 57.209886) + (xy 143.734409 57.296463) (xy 143.808037 57.370091) (xy 143.894614 57.42794) (xy 143.990813 57.467787) (xy 144.092937 57.4881) + (xy 144.197063 57.4881) (xy 144.299187 57.467787) (xy 144.395386 57.42794) (xy 144.481963 57.370091) (xy 144.555591 57.296463) + (xy 144.61344 57.209886) (xy 144.653287 57.113687) (xy 144.6736 57.011563) (xy 144.6736 56.907437) (xy 152.5064 56.907437) + (xy 152.5064 57.011563) (xy 152.526713 57.113687) (xy 152.56656 57.209886) (xy 152.624409 57.296463) (xy 152.698037 57.370091) + (xy 152.784614 57.42794) (xy 152.880813 57.467787) (xy 152.982937 57.4881) (xy 153.087063 57.4881) (xy 153.189187 57.467787) + (xy 153.285386 57.42794) (xy 153.371963 57.370091) (xy 153.445591 57.296463) (xy 153.50344 57.209886) (xy 153.543287 57.113687) + (xy 153.5636 57.011563) (xy 153.5636 56.907437) (xy 153.543287 56.805313) (xy 153.50344 56.709114) (xy 153.445591 56.622537) + (xy 153.371963 56.548909) (xy 153.285386 56.49106) (xy 153.189187 56.451213) (xy 153.087063 56.4309) (xy 152.982937 56.4309) + (xy 152.880813 56.451213) (xy 152.784614 56.49106) (xy 152.698037 56.548909) (xy 152.624409 56.622537) (xy 152.56656 56.709114) + (xy 152.526713 56.805313) (xy 152.5064 56.907437) (xy 144.6736 56.907437) (xy 144.653287 56.805313) (xy 144.61344 56.709114) + (xy 144.555591 56.622537) (xy 144.481963 56.548909) (xy 144.395386 56.49106) (xy 144.299187 56.451213) (xy 144.197063 56.4309) + (xy 144.092937 56.4309) (xy 143.990813 56.451213) (xy 143.894614 56.49106) (xy 143.808037 56.548909) (xy 143.734409 56.622537) + (xy 143.67656 56.709114) (xy 143.636713 56.805313) (xy 143.6164 56.907437) (xy 139.6226 56.907437) (xy 139.6226 55.624754) + (xy 140.308417 54.938937) (xy 144.3784 54.938937) (xy 144.3784 55.043063) (xy 144.398713 55.145187) (xy 144.43856 55.241386) + (xy 144.496409 55.327963) (xy 144.570037 55.401591) (xy 144.656614 55.45944) (xy 144.752813 55.499287) (xy 144.854937 55.5196) + (xy 144.959063 55.5196) (xy 145.061187 55.499287) (xy 145.157386 55.45944) (xy 145.243963 55.401591) (xy 145.317591 55.327963) + (xy 145.37544 55.241386) (xy 145.415287 55.145187) (xy 145.418419 55.129437) (xy 147.2994 55.129437) (xy 147.2994 55.233563) + (xy 147.319713 55.335687) (xy 147.35956 55.431886) (xy 147.417409 55.518463) (xy 147.491037 55.592091) (xy 147.577614 55.64994) + (xy 147.673813 55.689787) (xy 147.775937 55.7101) (xy 147.880063 55.7101) (xy 147.982187 55.689787) (xy 148.078386 55.64994) + (xy 148.164963 55.592091) (xy 148.238591 55.518463) (xy 148.29644 55.431886) (xy 148.336287 55.335687) (xy 148.3566 55.233563) + (xy 148.3566 55.129437) (xy 148.8234 55.129437) (xy 148.8234 55.233563) (xy 148.843713 55.335687) (xy 148.88356 55.431886) + (xy 148.941409 55.518463) (xy 149.015037 55.592091) (xy 149.101614 55.64994) (xy 149.197813 55.689787) (xy 149.299937 55.7101) + (xy 149.404063 55.7101) (xy 149.506187 55.689787) (xy 149.602386 55.64994) (xy 149.688963 55.592091) (xy 149.762591 55.518463) + (xy 149.82044 55.431886) (xy 149.860287 55.335687) (xy 149.8806 55.233563) (xy 149.8806 55.129437) (xy 149.860287 55.027313) + (xy 149.823681 54.938937) (xy 151.7444 54.938937) (xy 151.7444 55.043063) (xy 151.764713 55.145187) (xy 151.80456 55.241386) + (xy 151.862409 55.327963) (xy 151.936037 55.401591) (xy 152.022614 55.45944) (xy 152.118813 55.499287) (xy 152.220937 55.5196) + (xy 152.325063 55.5196) (xy 152.427187 55.499287) (xy 152.523386 55.45944) (xy 152.609963 55.401591) (xy 152.683591 55.327963) + (xy 152.74144 55.241386) (xy 152.781287 55.145187) (xy 152.8016 55.043063) (xy 152.8016 54.938937) (xy 152.781287 54.836813) + (xy 152.74144 54.740614) (xy 152.683591 54.654037) (xy 152.609963 54.580409) (xy 152.523386 54.52256) (xy 152.427187 54.482713) + (xy 152.325063 54.4624) (xy 152.220937 54.4624) (xy 152.118813 54.482713) (xy 152.022614 54.52256) (xy 151.936037 54.580409) + (xy 151.862409 54.654037) (xy 151.80456 54.740614) (xy 151.764713 54.836813) (xy 151.7444 54.938937) (xy 149.823681 54.938937) + (xy 149.82044 54.931114) (xy 149.762591 54.844537) (xy 149.688963 54.770909) (xy 149.602386 54.71306) (xy 149.506187 54.673213) + (xy 149.404063 54.6529) (xy 149.299937 54.6529) (xy 149.197813 54.673213) (xy 149.101614 54.71306) (xy 149.015037 54.770909) + (xy 148.941409 54.844537) (xy 148.88356 54.931114) (xy 148.843713 55.027313) (xy 148.8234 55.129437) (xy 148.3566 55.129437) + (xy 148.336287 55.027313) (xy 148.29644 54.931114) (xy 148.238591 54.844537) (xy 148.164963 54.770909) (xy 148.078386 54.71306) + (xy 147.982187 54.673213) (xy 147.880063 54.6529) (xy 147.775937 54.6529) (xy 147.673813 54.673213) (xy 147.577614 54.71306) + (xy 147.491037 54.770909) (xy 147.417409 54.844537) (xy 147.35956 54.931114) (xy 147.319713 55.027313) (xy 147.2994 55.129437) + (xy 145.418419 55.129437) (xy 145.4356 55.043063) (xy 145.4356 54.938937) (xy 145.415287 54.836813) (xy 145.37544 54.740614) + (xy 145.317591 54.654037) (xy 145.243963 54.580409) (xy 145.157386 54.52256) (xy 145.061187 54.482713) (xy 144.959063 54.4624) + (xy 144.854937 54.4624) (xy 144.752813 54.482713) (xy 144.656614 54.52256) (xy 144.570037 54.580409) (xy 144.496409 54.654037) + (xy 144.43856 54.740614) (xy 144.398713 54.836813) (xy 144.3784 54.938937) (xy 140.308417 54.938937) (xy 141.260917 53.986437) + (xy 146.1564 53.986437) (xy 146.1564 54.090563) (xy 146.176713 54.192687) (xy 146.21656 54.288886) (xy 146.274409 54.375463) + (xy 146.348037 54.449091) (xy 146.434614 54.50694) (xy 146.530813 54.546787) (xy 146.632937 54.5671) (xy 146.737063 54.5671) + (xy 146.839187 54.546787) (xy 146.935386 54.50694) (xy 147.021963 54.449091) (xy 147.095591 54.375463) (xy 147.15344 54.288886) + (xy 147.193287 54.192687) (xy 147.2136 54.090563) (xy 147.2136 53.986437) (xy 149.9664 53.986437) (xy 149.9664 54.090563) + (xy 149.986713 54.192687) (xy 150.02656 54.288886) (xy 150.084409 54.375463) (xy 150.158037 54.449091) (xy 150.244614 54.50694) + (xy 150.340813 54.546787) (xy 150.442937 54.5671) (xy 150.547063 54.5671) (xy 150.649187 54.546787) (xy 150.745386 54.50694) + (xy 150.831963 54.449091) (xy 150.905591 54.375463) (xy 150.96344 54.288886) (xy 151.003287 54.192687) (xy 151.0236 54.090563) + (xy 151.0236 53.986437) (xy 151.003287 53.884313) (xy 150.96344 53.788114) (xy 150.905591 53.701537) (xy 150.831963 53.627909) + (xy 150.745386 53.57006) (xy 150.649187 53.530213) (xy 150.547063 53.5099) (xy 150.442937 53.5099) (xy 150.340813 53.530213) + (xy 150.244614 53.57006) (xy 150.158037 53.627909) (xy 150.084409 53.701537) (xy 150.02656 53.788114) (xy 149.986713 53.884313) + (xy 149.9664 53.986437) (xy 147.2136 53.986437) (xy 147.193287 53.884313) (xy 147.15344 53.788114) (xy 147.095591 53.701537) + (xy 147.021963 53.627909) (xy 146.935386 53.57006) (xy 146.839187 53.530213) (xy 146.737063 53.5099) (xy 146.632937 53.5099) + (xy 146.530813 53.530213) (xy 146.434614 53.57006) (xy 146.348037 53.627909) (xy 146.274409 53.701537) (xy 146.21656 53.788114) + (xy 146.176713 53.884313) (xy 146.1564 53.986437) (xy 141.260917 53.986437) (xy 142.276918 52.970437) (xy 143.6164 52.970437) + (xy 143.6164 53.074563) (xy 143.636713 53.176687) (xy 143.67656 53.272886) (xy 143.734409 53.359463) (xy 143.808037 53.433091) + (xy 143.894614 53.49094) (xy 143.990813 53.530787) (xy 144.092937 53.5511) (xy 144.197063 53.5511) (xy 144.299187 53.530787) + (xy 144.395386 53.49094) (xy 144.481963 53.433091) (xy 144.555591 53.359463) (xy 144.61344 53.272886) (xy 144.653287 53.176687) + (xy 144.6736 53.074563) (xy 144.6736 52.970437) (xy 152.5064 52.970437) (xy 152.5064 53.074563) (xy 152.526713 53.176687) + (xy 152.56656 53.272886) (xy 152.624409 53.359463) (xy 152.698037 53.433091) (xy 152.784614 53.49094) (xy 152.880813 53.530787) + (xy 152.982937 53.5511) (xy 153.087063 53.5511) (xy 153.189187 53.530787) (xy 153.285386 53.49094) (xy 153.371963 53.433091) + (xy 153.445591 53.359463) (xy 153.50344 53.272886) (xy 153.543287 53.176687) (xy 153.5636 53.074563) (xy 153.5636 52.970437) + (xy 153.543287 52.868313) (xy 153.50344 52.772114) (xy 153.445591 52.685537) (xy 153.371963 52.611909) (xy 153.285386 52.55406) + (xy 153.189187 52.514213) (xy 153.087063 52.4939) (xy 152.982937 52.4939) (xy 152.880813 52.514213) (xy 152.784614 52.55406) + (xy 152.698037 52.611909) (xy 152.624409 52.685537) (xy 152.56656 52.772114) (xy 152.526713 52.868313) (xy 152.5064 52.970437) + (xy 144.6736 52.970437) (xy 144.653287 52.868313) (xy 144.61344 52.772114) (xy 144.555591 52.685537) (xy 144.481963 52.611909) + (xy 144.395386 52.55406) (xy 144.299187 52.514213) (xy 144.197063 52.4939) (xy 144.092937 52.4939) (xy 143.990813 52.514213) + (xy 143.894614 52.55406) (xy 143.808037 52.611909) (xy 143.734409 52.685537) (xy 143.67656 52.772114) (xy 143.636713 52.868313) + (xy 143.6164 52.970437) (xy 142.276918 52.970437) (xy 143.000756 52.2466) (xy 157.100246 52.2466) (xy 162.71675 57.863105) + (xy 162.7164 57.864862) (xy 162.7164 57.959138) (xy 162.734792 58.051603) (xy 162.77087 58.138702) (xy 162.823247 58.21709) + (xy 162.88991 58.283753) (xy 162.968298 58.33613) (xy 163.055397 58.372208) (xy 163.147862 58.3906) (xy 163.242138 58.3906) + (xy 163.334603 58.372208) (xy 163.421702 58.33613) (xy 163.50009 58.283753) (xy 163.566753 58.21709) (xy 163.61913 58.138702) + (xy 163.655208 58.051603) (xy 163.6736 57.959138) (xy 163.6736 57.864862) (xy 163.655208 57.772397) (xy 163.61913 57.685298) + (xy 163.566753 57.60691) (xy 163.50009 57.540247) (xy 163.421702 57.48787) (xy 163.334603 57.451792) (xy 163.242138 57.4334) + (xy 163.147862 57.4334) (xy 163.146105 57.43375) (xy 157.451226 51.738872) (xy 157.441716 51.727284) (xy 157.395487 51.689345) + (xy 157.342744 51.661154) (xy 157.285516 51.643794) (xy 157.240904 51.6394) (xy 157.226 51.637932) (xy 157.211096 51.6394) + (xy 142.889903 51.6394) (xy 142.874999 51.637932) (xy 142.815483 51.643794) (xy 142.790563 51.651354) (xy 142.758256 51.661154) + (xy 142.705513 51.689345) (xy 142.659284 51.727284) (xy 142.649783 51.738861) (xy 139.114872 55.273774) (xy 139.103284 55.283284) + (xy 139.065345 55.329514) (xy 139.037154 55.382257) (xy 139.024774 55.423068) (xy 139.019794 55.439485) (xy 139.013932 55.499) + (xy 139.0154 55.513904) (xy 139.015401 57.786243) (xy 137.843896 58.95775) (xy 137.842138 58.9574) (xy 137.747862 58.9574) + (xy 137.655397 58.975792) (xy 137.568298 59.01187) (xy 137.48991 59.064247) (xy 137.423247 59.13091) (xy 137.37087 59.209298) + (xy 137.334792 59.296397) (xy 137.3164 59.388862) (xy 137.1306 59.388862) (xy 137.112208 59.296397) (xy 137.07613 59.209298) + (xy 137.023753 59.13091) (xy 136.982849 59.090006) (xy 137.999135 58.07372) (xy 138.010716 58.064216) (xy 138.048655 58.017987) + (xy 138.076846 57.965244) (xy 138.094206 57.908016) (xy 138.096124 57.888539) (xy 138.100068 57.848501) (xy 138.0986 57.833597) + (xy 138.0986 53.846754) (xy 140.587755 51.3576) (xy 157.862246 51.3576) (xy 163.9074 57.402755) (xy 163.907401 58.167244) + (xy 162.942246 59.1324) (xy 162.297749 59.1324) (xy 162.296753 59.13091) (xy 162.23009 59.064247) (xy 162.151702 59.01187) + (xy 162.064603 58.975792) (xy 161.972138 58.9574) (xy 161.877862 58.9574) (xy 161.785397 58.975792) (xy 161.698298 59.01187) + (xy 161.61991 59.064247) (xy 161.553247 59.13091) (xy 161.50087 59.209298) (xy 161.464792 59.296397) (xy 161.4464 59.388862) + (xy 161.4464 59.483138) (xy 161.464792 59.575603) (xy 161.50087 59.662702) (xy 161.553247 59.74109) (xy 161.61991 59.807753) + (xy 161.6214 59.808749) (xy 161.621401 68.184086) (xy 161.619932 68.199) (xy 161.625794 68.258515) (xy 161.637819 68.298154) + (xy 161.643155 68.315744) (xy 161.671346 68.368487) (xy 161.709285 68.414716) (xy 161.720867 68.424221) (xy 162.8914 69.594756) + (xy 162.891401 71.121245) (xy 162.800372 71.212274) (xy 162.788784 71.221784) (xy 162.750845 71.268014) (xy 162.739086 71.290015) + (xy 162.7366 71.28952) (xy 162.7366 71.147653) (xy 162.738068 71.132749) (xy 162.732206 71.073233) (xy 162.719481 71.031285) + (xy 162.714846 71.016006) (xy 162.686655 70.963263) (xy 162.648716 70.917034) (xy 162.63714 70.907534) (xy 161.375476 69.645872) + (xy 161.365966 69.634284) (xy 161.319737 69.596345) (xy 161.266994 69.568154) (xy 161.209766 69.550794) (xy 161.165154 69.5464) + (xy 161.15025 69.544932) (xy 161.135346 69.5464) (xy 141.175404 69.5464) (xy 141.1605 69.544932) (xy 141.100984 69.550794) + (xy 141.043755 69.568154) (xy 140.991919 69.595861) (xy 140.991013 69.596345) (xy 140.944784 69.634284) (xy 140.935279 69.645866) + (xy 139.955246 70.6259) (xy 138.000403 70.6259) (xy 137.985499 70.624432) (xy 137.925984 70.630294) (xy 137.868756 70.647654) + (xy 137.816013 70.675845) (xy 137.769784 70.713784) (xy 137.760283 70.725361) (xy 137.208896 71.27675) (xy 137.207138 71.2764) + (xy 137.112862 71.2764) (xy 137.020397 71.294792) (xy 136.933298 71.33087) (xy 136.85491 71.383247) (xy 136.8286 71.409557) + (xy 136.8286 71.198403) (xy 136.830068 71.183499) (xy 136.824206 71.123983) (xy 136.808811 71.073234) (xy 136.806846 71.066756) + (xy 136.778655 71.014013) (xy 136.740716 70.967784) (xy 136.72914 70.958284) (xy 135.797726 70.026872) (xy 135.788216 70.015284) + (xy 135.741987 69.977345) (xy 135.689244 69.949154) (xy 135.632016 69.931794) (xy 135.587404 69.9274) (xy 135.5725 69.925932) + (xy 135.557596 69.9274) (xy 128.333903 69.9274) (xy 128.318999 69.925932) (xy 128.259484 69.931794) (xy 128.202256 69.949154) + (xy 128.149513 69.977345) (xy 128.103284 70.015284) (xy 128.093783 70.026861) (xy 127.548348 70.572298) (xy 127.460397 70.589792) + (xy 127.373298 70.62587) (xy 127.29491 70.678247) (xy 127.228247 70.74491) (xy 127.17587 70.823298) (xy 127.139792 70.910397) + (xy 127.1214 71.002862) (xy 118.0691 71.002862) (xy 118.0691 70.250818) (xy 118.071052 70.231) (xy 118.063259 70.151881) + (xy 118.040181 70.075802) (xy 118.028343 70.053655) (xy 118.002704 70.005687) (xy 117.952269 69.944231) (xy 117.936868 69.931592) + (xy 114.4496 66.444325) (xy 114.4496 65.952434) (xy 115.0594 65.952434) (xy 115.0594 66.147566) (xy 115.097468 66.338947) + (xy 115.172142 66.519225) (xy 115.280551 66.681471) (xy 115.418529 66.819449) (xy 115.580775 66.927858) (xy 115.761053 67.002532) + (xy 115.952434 67.0406) (xy 116.147566 67.0406) (xy 116.338947 67.002532) (xy 116.519225 66.927858) (xy 116.681471 66.819449) + (xy 116.819449 66.681471) (xy 116.927858 66.519225) (xy 117.002532 66.338947) (xy 117.0406 66.147566) (xy 117.0406 65.952434) + (xy 117.002532 65.761053) (xy 116.927858 65.580775) (xy 116.819449 65.418529) (xy 116.681471 65.280551) (xy 116.519225 65.172142) + (xy 116.338947 65.097468) (xy 116.147566 65.0594) (xy 115.952434 65.0594) (xy 115.761053 65.097468) (xy 115.580775 65.172142) + (xy 115.418529 65.280551) (xy 115.280551 65.418529) (xy 115.172142 65.580775) (xy 115.097468 65.761053) (xy 115.0594 65.952434) + (xy 114.4496 65.952434) (xy 114.4496 64.937175) (xy 118.889373 60.497404) (xy 118.904769 60.484769) (xy 118.955204 60.423313) + (xy 118.992681 60.353198) (xy 119.012848 60.286716) (xy 119.015759 60.27712) (xy 119.023552 60.198001) (xy 119.0216 60.178183) + (xy 119.0216 56.907437) (xy 127.1064 56.907437) (xy 127.1064 57.011563) (xy 127.126713 57.113687) (xy 127.16656 57.209886) + (xy 127.224409 57.296463) (xy 127.298037 57.370091) (xy 127.384614 57.42794) (xy 127.480813 57.467787) (xy 127.582937 57.4881) + (xy 127.687063 57.4881) (xy 127.789187 57.467787) (xy 127.885386 57.42794) (xy 127.971963 57.370091) (xy 128.045591 57.296463) + (xy 128.10344 57.209886) (xy 128.143287 57.113687) (xy 128.1636 57.011563) (xy 128.1636 56.907437) (xy 128.15195 56.848862) + (xy 128.4264 56.848862) (xy 128.4264 56.943138) (xy 128.444792 57.035603) (xy 128.48087 57.122702) (xy 128.533247 57.20109) + (xy 128.59991 57.267753) (xy 128.678298 57.32013) (xy 128.765397 57.356208) (xy 128.857862 57.3746) (xy 128.952138 57.3746) + (xy 128.953896 57.37425) (xy 129.2364 57.656755) (xy 129.236401 59.090558) (xy 129.21009 59.064247) (xy 129.131702 59.01187) + (xy 129.044603 58.975792) (xy 128.952138 58.9574) (xy 128.857862 58.9574) (xy 128.765397 58.975792) (xy 128.678298 59.01187) + (xy 128.59991 59.064247) (xy 128.533247 59.13091) (xy 128.48087 59.209298) (xy 128.444792 59.296397) (xy 128.4264 59.388862) + (xy 128.4264 59.483138) (xy 128.444792 59.575603) (xy 128.48087 59.662702) (xy 128.533247 59.74109) (xy 128.59991 59.807753) + (xy 128.678298 59.86013) (xy 128.765397 59.896208) (xy 128.857862 59.9146) (xy 128.952138 59.9146) (xy 128.953896 59.91425) + (xy 135.029779 65.990134) (xy 135.039284 66.001716) (xy 135.085513 66.039655) (xy 135.138256 66.067846) (xy 135.195484 66.085206) + (xy 135.254999 66.091068) (xy 135.269903 66.0896) (xy 154.417096 66.0896) (xy 154.432 66.091068) (xy 154.446904 66.0896) + (xy 154.491516 66.085206) (xy 154.548744 66.067846) (xy 154.601487 66.039655) (xy 154.647716 66.001716) (xy 154.657226 65.990128) + (xy 160.740357 59.906998) (xy 160.794603 59.896208) (xy 160.881702 59.86013) (xy 160.96009 59.807753) (xy 161.026753 59.74109) + (xy 161.07913 59.662702) (xy 161.115208 59.575603) (xy 161.1336 59.483138) (xy 161.1336 59.388862) (xy 161.115208 59.296397) + (xy 161.07913 59.209298) (xy 161.026753 59.13091) (xy 160.96009 59.064247) (xy 160.881702 59.01187) (xy 160.794603 58.975792) + (xy 160.702138 58.9574) (xy 160.607862 58.9574) (xy 160.515397 58.975792) (xy 160.428298 59.01187) (xy 160.34991 59.064247) + (xy 160.3236 59.090557) (xy 160.3236 57.656754) (xy 160.606104 57.37425) (xy 160.607862 57.3746) (xy 160.702138 57.3746) + (xy 160.794603 57.356208) (xy 160.881702 57.32013) (xy 160.96009 57.267753) (xy 161.026753 57.20109) (xy 161.07913 57.122702) + (xy 161.115208 57.035603) (xy 161.1336 56.943138) (xy 161.1336 56.848862) (xy 161.115208 56.756397) (xy 161.07913 56.669298) + (xy 161.026753 56.59091) (xy 160.96009 56.524247) (xy 160.881702 56.47187) (xy 160.794603 56.435792) (xy 160.702138 56.4174) + (xy 160.607862 56.4174) (xy 160.515397 56.435792) (xy 160.428298 56.47187) (xy 160.34991 56.524247) (xy 160.283247 56.59091) + (xy 160.23087 56.669298) (xy 160.194792 56.756397) (xy 160.1764 56.848862) (xy 160.1764 56.943138) (xy 160.17675 56.944896) + (xy 159.815872 57.305774) (xy 159.804284 57.315284) (xy 159.766345 57.361514) (xy 159.738154 57.414257) (xy 159.723212 57.463515) + (xy 159.720794 57.471485) (xy 159.714932 57.531) (xy 159.7164 57.545904) (xy 159.716401 59.090558) (xy 159.69009 59.064247) + (xy 159.611702 59.01187) (xy 159.524603 58.975792) (xy 159.432138 58.9574) (xy 159.337862 58.9574) (xy 159.245397 58.975792) + (xy 159.158298 59.01187) (xy 159.07991 59.064247) (xy 159.0536 59.090557) (xy 159.0536 57.656754) (xy 159.336104 57.37425) + (xy 159.337862 57.3746) (xy 159.432138 57.3746) (xy 159.524603 57.356208) (xy 159.611702 57.32013) (xy 159.69009 57.267753) + (xy 159.756753 57.20109) (xy 159.80913 57.122702) (xy 159.845208 57.035603) (xy 159.8636 56.943138) (xy 159.8636 56.848862) + (xy 159.845208 56.756397) (xy 159.80913 56.669298) (xy 159.756753 56.59091) (xy 159.69009 56.524247) (xy 159.611702 56.47187) + (xy 159.524603 56.435792) (xy 159.432138 56.4174) (xy 159.337862 56.4174) (xy 159.245397 56.435792) (xy 159.158298 56.47187) + (xy 159.07991 56.524247) (xy 159.013247 56.59091) (xy 158.96087 56.669298) (xy 158.924792 56.756397) (xy 158.9064 56.848862) + (xy 158.9064 56.943138) (xy 158.90675 56.944896) (xy 158.545872 57.305774) (xy 158.534284 57.315284) (xy 158.496345 57.361514) + (xy 158.468154 57.414257) (xy 158.453212 57.463515) (xy 158.450794 57.471485) (xy 158.444932 57.531) (xy 158.4464 57.545904) + (xy 158.446401 59.090558) (xy 158.42009 59.064247) (xy 158.341702 59.01187) (xy 158.254603 58.975792) (xy 158.162138 58.9574) + (xy 158.067862 58.9574) (xy 157.975397 58.975792) (xy 157.888298 59.01187) (xy 157.80991 59.064247) (xy 157.7836 59.090557) + (xy 157.7836 57.656754) (xy 158.066104 57.37425) (xy 158.067862 57.3746) (xy 158.162138 57.3746) (xy 158.254603 57.356208) + (xy 158.341702 57.32013) (xy 158.42009 57.267753) (xy 158.486753 57.20109) (xy 158.53913 57.122702) (xy 158.575208 57.035603) + (xy 158.5936 56.943138) (xy 158.5936 56.848862) (xy 158.575208 56.756397) (xy 158.53913 56.669298) (xy 158.486753 56.59091) + (xy 158.42009 56.524247) (xy 158.341702 56.47187) (xy 158.254603 56.435792) (xy 158.162138 56.4174) (xy 158.067862 56.4174) + (xy 157.975397 56.435792) (xy 157.888298 56.47187) (xy 157.80991 56.524247) (xy 157.743247 56.59091) (xy 157.69087 56.669298) + (xy 157.654792 56.756397) (xy 157.6364 56.848862) (xy 157.6364 56.943138) (xy 157.63675 56.944896) (xy 157.275872 57.305774) + (xy 157.264284 57.315284) (xy 157.226345 57.361514) (xy 157.198154 57.414257) (xy 157.183212 57.463515) (xy 157.180794 57.471485) + (xy 157.174932 57.531) (xy 157.1764 57.545904) (xy 157.176401 59.090558) (xy 157.15009 59.064247) (xy 157.071702 59.01187) + (xy 156.984603 58.975792) (xy 156.892138 58.9574) (xy 156.797862 58.9574) (xy 156.705397 58.975792) (xy 156.618298 59.01187) + (xy 156.53991 59.064247) (xy 156.5136 59.090557) (xy 156.5136 57.656754) (xy 156.796104 57.37425) (xy 156.797862 57.3746) + (xy 156.892138 57.3746) (xy 156.984603 57.356208) (xy 157.071702 57.32013) (xy 157.15009 57.267753) (xy 157.216753 57.20109) + (xy 157.26913 57.122702) (xy 157.305208 57.035603) (xy 157.3236 56.943138) (xy 157.3236 56.848862) (xy 157.305208 56.756397) + (xy 157.26913 56.669298) (xy 157.216753 56.59091) (xy 157.15009 56.524247) (xy 157.071702 56.47187) (xy 156.984603 56.435792) + (xy 156.892138 56.4174) (xy 156.797862 56.4174) (xy 156.705397 56.435792) (xy 156.618298 56.47187) (xy 156.53991 56.524247) + (xy 156.473247 56.59091) (xy 156.42087 56.669298) (xy 156.384792 56.756397) (xy 156.3664 56.848862) (xy 156.3664 56.943138) + (xy 156.36675 56.944896) (xy 156.005867 57.305779) (xy 155.994285 57.315284) (xy 155.956346 57.361513) (xy 155.939119 57.393744) + (xy 155.928155 57.414256) (xy 155.910794 57.471485) (xy 155.904932 57.531) (xy 155.906401 57.545914) (xy 155.9064 59.090557) + (xy 155.88009 59.064247) (xy 155.801702 59.01187) (xy 155.714603 58.975792) (xy 155.622138 58.9574) (xy 155.527862 58.9574) + (xy 155.435397 58.975792) (xy 155.348298 59.01187) (xy 155.26991 59.064247) (xy 155.2436 59.090557) (xy 155.2436 57.656754) + (xy 155.526104 57.37425) (xy 155.527862 57.3746) (xy 155.622138 57.3746) (xy 155.714603 57.356208) (xy 155.801702 57.32013) + (xy 155.88009 57.267753) (xy 155.946753 57.20109) (xy 155.99913 57.122702) (xy 156.035208 57.035603) (xy 156.0536 56.943138) + (xy 156.0536 56.848862) (xy 156.035208 56.756397) (xy 155.99913 56.669298) (xy 155.946753 56.59091) (xy 155.88009 56.524247) + (xy 155.801702 56.47187) (xy 155.714603 56.435792) (xy 155.622138 56.4174) (xy 155.527862 56.4174) (xy 155.435397 56.435792) + (xy 155.348298 56.47187) (xy 155.26991 56.524247) (xy 155.203247 56.59091) (xy 155.15087 56.669298) (xy 155.114792 56.756397) + (xy 155.0964 56.848862) (xy 155.0964 56.943138) (xy 155.09675 56.944896) (xy 154.735867 57.305779) (xy 154.724285 57.315284) + (xy 154.686346 57.361513) (xy 154.669119 57.393744) (xy 154.658155 57.414256) (xy 154.640794 57.471485) (xy 154.634932 57.531) + (xy 154.636401 57.545914) (xy 154.6364 59.090557) (xy 154.61009 59.064247) (xy 154.531702 59.01187) (xy 154.444603 58.975792) + (xy 154.352138 58.9574) (xy 154.257862 58.9574) (xy 154.165397 58.975792) (xy 154.078298 59.01187) (xy 153.99991 59.064247) + (xy 153.9736 59.090557) (xy 153.9736 57.656754) (xy 154.256104 57.37425) (xy 154.257862 57.3746) (xy 154.352138 57.3746) + (xy 154.444603 57.356208) (xy 154.531702 57.32013) (xy 154.61009 57.267753) (xy 154.676753 57.20109) (xy 154.72913 57.122702) + (xy 154.765208 57.035603) (xy 154.7836 56.943138) (xy 154.7836 56.848862) (xy 154.765208 56.756397) (xy 154.72913 56.669298) + (xy 154.676753 56.59091) (xy 154.61009 56.524247) (xy 154.531702 56.47187) (xy 154.444603 56.435792) (xy 154.352138 56.4174) + (xy 154.257862 56.4174) (xy 154.165397 56.435792) (xy 154.078298 56.47187) (xy 153.99991 56.524247) (xy 153.933247 56.59091) + (xy 153.88087 56.669298) (xy 153.844792 56.756397) (xy 153.8264 56.848862) (xy 153.8264 56.943138) (xy 153.82675 56.944896) + (xy 153.465867 57.305779) (xy 153.454285 57.315284) (xy 153.416346 57.361513) (xy 153.399119 57.393744) (xy 153.388155 57.414256) + (xy 153.370794 57.471485) (xy 153.364932 57.531) (xy 153.366401 57.545914) (xy 153.3664 59.437245) (xy 152.655246 60.1484) + (xy 136.523755 60.1484) (xy 136.0666 59.691246) (xy 136.0666 57.418903) (xy 136.068068 57.403999) (xy 136.062206 57.344483) + (xy 136.044845 57.287254) (xy 136.043026 57.28385) (xy 136.016655 57.234513) (xy 135.978716 57.188284) (xy 135.967135 57.17878) + (xy 135.73325 56.944896) (xy 135.7336 56.943138) (xy 135.7336 56.848862) (xy 136.0464 56.848862) (xy 136.0464 56.943138) + (xy 136.064792 57.035603) (xy 136.10087 57.122702) (xy 136.153247 57.20109) (xy 136.21991 57.267753) (xy 136.298298 57.32013) + (xy 136.385397 57.356208) (xy 136.477862 57.3746) (xy 136.572138 57.3746) (xy 136.664603 57.356208) (xy 136.751702 57.32013) + (xy 136.83009 57.267753) (xy 136.896753 57.20109) (xy 136.94913 57.122702) (xy 136.985208 57.035603) (xy 137.0036 56.943138) + (xy 137.0036 56.848862) (xy 136.985208 56.756397) (xy 136.94913 56.669298) (xy 136.896753 56.59091) (xy 136.83009 56.524247) + (xy 136.8286 56.523251) (xy 136.8286 53.973754) (xy 140.587755 50.2146) (xy 158.624246 50.2146) (xy 161.44675 53.037104) + (xy 161.4464 53.038862) (xy 161.4464 53.133138) (xy 161.464792 53.225603) (xy 161.50087 53.312702) (xy 161.553247 53.39109) + (xy 161.61991 53.457753) (xy 161.698298 53.51013) (xy 161.785397 53.546208) (xy 161.877862 53.5646) (xy 161.972138 53.5646) + (xy 162.064603 53.546208) (xy 162.151702 53.51013) (xy 162.23009 53.457753) (xy 162.296753 53.39109) (xy 162.34913 53.312702) + (xy 162.385208 53.225603) (xy 162.4036 53.133138) (xy 162.4036 53.038862) (xy 162.385208 52.946397) (xy 162.34913 52.859298) + (xy 162.296753 52.78091) (xy 162.23009 52.714247) (xy 162.151702 52.66187) (xy 162.064603 52.625792) (xy 161.972138 52.6074) + (xy 161.877862 52.6074) (xy 161.876104 52.60775) (xy 158.975226 49.706872) (xy 158.965716 49.695284) (xy 158.919487 49.657345) + (xy 158.866744 49.629154) (xy 158.809516 49.611794) (xy 158.764904 49.6074) (xy 158.75 49.605932) (xy 158.735096 49.6074) + (xy 140.476904 49.6074) (xy 140.462 49.605932) (xy 140.447096 49.6074) (xy 140.402484 49.611794) (xy 140.345256 49.629154) + (xy 140.292513 49.657345) (xy 140.246284 49.695284) (xy 140.236779 49.706866) (xy 136.320872 53.622774) (xy 136.309284 53.632284) + (xy 136.271345 53.678514) (xy 136.243154 53.731257) (xy 136.228212 53.780515) (xy 136.225794 53.788485) (xy 136.219932 53.848) + (xy 136.2214 53.862904) (xy 136.221401 56.523251) (xy 136.21991 56.524247) (xy 136.153247 56.59091) (xy 136.10087 56.669298) + (xy 136.064792 56.756397) (xy 136.0464 56.848862) (xy 135.7336 56.848862) (xy 135.715208 56.756397) (xy 135.67913 56.669298) + (xy 135.626753 56.59091) (xy 135.56009 56.524247) (xy 135.481702 56.47187) (xy 135.394603 56.435792) (xy 135.302138 56.4174) + (xy 135.207862 56.4174) (xy 135.115397 56.435792) (xy 135.028298 56.47187) (xy 134.94991 56.524247) (xy 134.883247 56.59091) + (xy 134.83087 56.669298) (xy 134.794792 56.756397) (xy 134.7764 56.848862) (xy 134.7764 56.943138) (xy 134.794792 57.035603) + (xy 134.83087 57.122702) (xy 134.883247 57.20109) (xy 134.94991 57.267753) (xy 135.028298 57.32013) (xy 135.115397 57.356208) + (xy 135.207862 57.3746) (xy 135.302138 57.3746) (xy 135.303896 57.37425) (xy 135.4594 57.529755) (xy 135.459401 59.002632) + (xy 135.394603 58.975792) (xy 135.302138 58.9574) (xy 135.207862 58.9574) (xy 135.115397 58.975792) (xy 135.028298 59.01187) + (xy 134.94991 59.064247) (xy 134.9236 59.090557) (xy 134.9236 57.545904) (xy 134.925068 57.531) (xy 134.919206 57.471484) + (xy 134.901846 57.414256) (xy 134.873655 57.361513) (xy 134.835716 57.315284) (xy 134.824135 57.30578) (xy 134.46325 56.944896) + (xy 134.4636 56.943138) (xy 134.4636 56.848862) (xy 134.445208 56.756397) (xy 134.40913 56.669298) (xy 134.356753 56.59091) + (xy 134.29009 56.524247) (xy 134.211702 56.47187) (xy 134.124603 56.435792) (xy 134.032138 56.4174) (xy 133.937862 56.4174) + (xy 133.845397 56.435792) (xy 133.758298 56.47187) (xy 133.67991 56.524247) (xy 133.613247 56.59091) (xy 133.56087 56.669298) + (xy 133.524792 56.756397) (xy 133.5064 56.848862) (xy 133.5064 56.943138) (xy 133.524792 57.035603) (xy 133.56087 57.122702) + (xy 133.613247 57.20109) (xy 133.67991 57.267753) (xy 133.758298 57.32013) (xy 133.845397 57.356208) (xy 133.937862 57.3746) + (xy 134.032138 57.3746) (xy 134.033896 57.37425) (xy 134.3164 57.656755) (xy 134.316401 59.090558) (xy 134.29009 59.064247) + (xy 134.211702 59.01187) (xy 134.124603 58.975792) (xy 134.032138 58.9574) (xy 133.937862 58.9574) (xy 133.845397 58.975792) + (xy 133.758298 59.01187) (xy 133.67991 59.064247) (xy 133.6536 59.090557) (xy 133.6536 57.545904) (xy 133.655068 57.531) + (xy 133.649206 57.471484) (xy 133.631846 57.414256) (xy 133.603655 57.361513) (xy 133.565716 57.315284) (xy 133.554135 57.30578) + (xy 133.19325 56.944896) (xy 133.1936 56.943138) (xy 133.1936 56.848862) (xy 133.175208 56.756397) (xy 133.13913 56.669298) + (xy 133.086753 56.59091) (xy 133.02009 56.524247) (xy 132.941702 56.47187) (xy 132.854603 56.435792) (xy 132.762138 56.4174) + (xy 132.667862 56.4174) (xy 132.575397 56.435792) (xy 132.488298 56.47187) (xy 132.40991 56.524247) (xy 132.343247 56.59091) + (xy 132.29087 56.669298) (xy 132.254792 56.756397) (xy 132.2364 56.848862) (xy 132.2364 56.943138) (xy 132.254792 57.035603) + (xy 132.29087 57.122702) (xy 132.343247 57.20109) (xy 132.40991 57.267753) (xy 132.488298 57.32013) (xy 132.575397 57.356208) + (xy 132.667862 57.3746) (xy 132.762138 57.3746) (xy 132.763896 57.37425) (xy 133.0464 57.656755) (xy 133.046401 59.090558) + (xy 133.02009 59.064247) (xy 132.941702 59.01187) (xy 132.854603 58.975792) (xy 132.762138 58.9574) (xy 132.667862 58.9574) + (xy 132.575397 58.975792) (xy 132.488298 59.01187) (xy 132.40991 59.064247) (xy 132.3836 59.090557) (xy 132.3836 57.545904) + (xy 132.385068 57.531) (xy 132.379206 57.471484) (xy 132.361846 57.414256) (xy 132.333655 57.361513) (xy 132.295716 57.315284) + (xy 132.284135 57.30578) (xy 131.92325 56.944896) (xy 131.9236 56.943138) (xy 131.9236 56.848862) (xy 131.905208 56.756397) + (xy 131.86913 56.669298) (xy 131.816753 56.59091) (xy 131.75009 56.524247) (xy 131.671702 56.47187) (xy 131.584603 56.435792) + (xy 131.492138 56.4174) (xy 131.397862 56.4174) (xy 131.305397 56.435792) (xy 131.218298 56.47187) (xy 131.13991 56.524247) + (xy 131.073247 56.59091) (xy 131.02087 56.669298) (xy 130.984792 56.756397) (xy 130.9664 56.848862) (xy 130.9664 56.943138) + (xy 130.984792 57.035603) (xy 131.02087 57.122702) (xy 131.073247 57.20109) (xy 131.13991 57.267753) (xy 131.218298 57.32013) + (xy 131.305397 57.356208) (xy 131.397862 57.3746) (xy 131.492138 57.3746) (xy 131.493896 57.37425) (xy 131.7764 57.656755) + (xy 131.776401 59.090558) (xy 131.75009 59.064247) (xy 131.671702 59.01187) (xy 131.584603 58.975792) (xy 131.492138 58.9574) + (xy 131.397862 58.9574) (xy 131.305397 58.975792) (xy 131.218298 59.01187) (xy 131.13991 59.064247) (xy 131.1136 59.090557) + (xy 131.1136 57.545904) (xy 131.115068 57.531) (xy 131.109206 57.471484) (xy 131.091846 57.414256) (xy 131.063655 57.361513) + (xy 131.025716 57.315284) (xy 131.014135 57.30578) (xy 130.65325 56.944896) (xy 130.6536 56.943138) (xy 130.6536 56.848862) + (xy 130.635208 56.756397) (xy 130.59913 56.669298) (xy 130.546753 56.59091) (xy 130.48009 56.524247) (xy 130.401702 56.47187) + (xy 130.314603 56.435792) (xy 130.222138 56.4174) (xy 130.127862 56.4174) (xy 130.035397 56.435792) (xy 129.948298 56.47187) + (xy 129.86991 56.524247) (xy 129.803247 56.59091) (xy 129.75087 56.669298) (xy 129.714792 56.756397) (xy 129.6964 56.848862) + (xy 129.6964 56.943138) (xy 129.714792 57.035603) (xy 129.75087 57.122702) (xy 129.803247 57.20109) (xy 129.86991 57.267753) + (xy 129.948298 57.32013) (xy 130.035397 57.356208) (xy 130.127862 57.3746) (xy 130.222138 57.3746) (xy 130.223896 57.37425) + (xy 130.5064 57.656755) (xy 130.506401 59.090558) (xy 130.48009 59.064247) (xy 130.401702 59.01187) (xy 130.314603 58.975792) + (xy 130.222138 58.9574) (xy 130.127862 58.9574) (xy 130.035397 58.975792) (xy 129.948298 59.01187) (xy 129.86991 59.064247) + (xy 129.8436 59.090557) (xy 129.8436 57.545904) (xy 129.845068 57.531) (xy 129.839206 57.471484) (xy 129.821846 57.414256) + (xy 129.793655 57.361513) (xy 129.755716 57.315284) (xy 129.744135 57.30578) (xy 129.38325 56.944896) (xy 129.3836 56.943138) + (xy 129.3836 56.848862) (xy 129.365208 56.756397) (xy 129.32913 56.669298) (xy 129.276753 56.59091) (xy 129.21009 56.524247) + (xy 129.131702 56.47187) (xy 129.044603 56.435792) (xy 128.952138 56.4174) (xy 128.857862 56.4174) (xy 128.765397 56.435792) + (xy 128.678298 56.47187) (xy 128.59991 56.524247) (xy 128.533247 56.59091) (xy 128.48087 56.669298) (xy 128.444792 56.756397) + (xy 128.4264 56.848862) (xy 128.15195 56.848862) (xy 128.143287 56.805313) (xy 128.10344 56.709114) (xy 128.045591 56.622537) + (xy 127.971963 56.548909) (xy 127.885386 56.49106) (xy 127.789187 56.451213) (xy 127.687063 56.4309) (xy 127.582937 56.4309) + (xy 127.480813 56.451213) (xy 127.384614 56.49106) (xy 127.298037 56.548909) (xy 127.224409 56.622537) (xy 127.16656 56.709114) + (xy 127.126713 56.805313) (xy 127.1064 56.907437) (xy 119.0216 56.907437) (xy 119.0216 55.183088) (xy 123.2599 55.183088) + (xy 123.2599 55.306912) (xy 123.284056 55.428356) (xy 123.331441 55.542754) (xy 123.400234 55.645709) (xy 123.487791 55.733266) + (xy 123.590746 55.802059) (xy 123.705144 55.849444) (xy 123.826588 55.8736) (xy 123.950412 55.8736) (xy 124.071856 55.849444) + (xy 124.186254 55.802059) (xy 124.289209 55.733266) (xy 124.376766 55.645709) (xy 124.445559 55.542754) (xy 124.492944 55.428356) + (xy 124.5171 55.306912) (xy 124.5171 55.183088) (xy 124.492944 55.061644) (xy 124.445559 54.947246) (xy 124.440008 54.938937) + (xy 126.3444 54.938937) (xy 126.3444 55.043063) (xy 126.364713 55.145187) (xy 126.40456 55.241386) (xy 126.462409 55.327963) + (xy 126.536037 55.401591) (xy 126.622614 55.45944) (xy 126.718813 55.499287) (xy 126.820937 55.5196) (xy 126.925063 55.5196) + (xy 127.027187 55.499287) (xy 127.123386 55.45944) (xy 127.209963 55.401591) (xy 127.283591 55.327963) (xy 127.34144 55.241386) + (xy 127.381287 55.145187) (xy 127.4016 55.043063) (xy 127.4016 54.938937) (xy 127.381287 54.836813) (xy 127.34144 54.740614) + (xy 127.283591 54.654037) (xy 127.209963 54.580409) (xy 127.123386 54.52256) (xy 127.027187 54.482713) (xy 126.925063 54.4624) + (xy 126.820937 54.4624) (xy 126.718813 54.482713) (xy 126.622614 54.52256) (xy 126.536037 54.580409) (xy 126.462409 54.654037) + (xy 126.40456 54.740614) (xy 126.364713 54.836813) (xy 126.3444 54.938937) (xy 124.440008 54.938937) (xy 124.376766 54.844291) + (xy 124.289209 54.756734) (xy 124.186254 54.687941) (xy 124.071856 54.640556) (xy 123.950412 54.6164) (xy 123.826588 54.6164) + (xy 123.705144 54.640556) (xy 123.590746 54.687941) (xy 123.487791 54.756734) (xy 123.400234 54.844291) (xy 123.331441 54.947246) + (xy 123.284056 55.061644) (xy 123.2599 55.183088) (xy 119.0216 55.183088) (xy 119.0216 52.970437) (xy 127.1064 52.970437) + (xy 127.1064 53.074563) (xy 127.126713 53.176687) (xy 127.16656 53.272886) (xy 127.224409 53.359463) (xy 127.298037 53.433091) + (xy 127.384614 53.49094) (xy 127.480813 53.530787) (xy 127.582937 53.5511) (xy 127.687063 53.5511) (xy 127.789187 53.530787) + (xy 127.885386 53.49094) (xy 127.971963 53.433091) (xy 128.045591 53.359463) (xy 128.10344 53.272886) (xy 128.143287 53.176687) + (xy 128.1636 53.074563) (xy 128.1636 52.970437) (xy 128.143287 52.868313) (xy 128.10344 52.772114) (xy 128.045591 52.685537) + (xy 127.971963 52.611909) (xy 127.885386 52.55406) (xy 127.789187 52.514213) (xy 127.687063 52.4939) (xy 127.582937 52.4939) + (xy 127.480813 52.514213) (xy 127.384614 52.55406) (xy 127.298037 52.611909) (xy 127.224409 52.685537) (xy 127.16656 52.772114) + (xy 127.126713 52.868313) (xy 127.1064 52.970437) (xy 119.0216 52.970437) (xy 119.0216 50.562362) (xy 137.3799 50.562362) + (xy 137.3799 50.656638) (xy 137.398292 50.749103) (xy 137.43437 50.836202) (xy 137.486747 50.91459) (xy 137.55341 50.981253) + (xy 137.631798 51.03363) (xy 137.718897 51.069708) (xy 137.811362 51.0881) (xy 137.905638 51.0881) (xy 137.998103 51.069708) + (xy 138.085202 51.03363) (xy 138.16359 50.981253) (xy 138.230253 50.91459) (xy 138.28263 50.836202) (xy 138.318708 50.749103) + (xy 138.3371 50.656638) (xy 138.3371 50.562362) (xy 138.33675 50.560604) (xy 140.079755 48.8176) (xy 158.497246 48.8176) + (xy 162.716749 53.037105) (xy 162.7164 53.038862) (xy 162.7164 53.133138) (xy 162.734792 53.225603) (xy 162.77087 53.312702) + (xy 162.823247 53.39109) (xy 162.88991 53.457753) (xy 162.968298 53.51013) (xy 163.055397 53.546208) (xy 163.147862 53.5646) + (xy 163.242138 53.5646) (xy 163.334603 53.546208) (xy 163.421702 53.51013) (xy 163.50009 53.457753) (xy 163.566753 53.39109) + (xy 163.61913 53.312702) (xy 163.655208 53.225603) (xy 163.6736 53.133138) (xy 163.6736 53.038862) (xy 163.65999 52.970437) + (xy 169.0164 52.970437) (xy 169.0164 53.074563) (xy 169.036713 53.176687) (xy 169.07656 53.272886) (xy 169.134409 53.359463) + (xy 169.208037 53.433091) (xy 169.294614 53.49094) (xy 169.390813 53.530787) (xy 169.492937 53.5511) (xy 169.597063 53.5511) + (xy 169.699187 53.530787) (xy 169.795386 53.49094) (xy 169.881963 53.433091) (xy 169.955591 53.359463) (xy 170.01344 53.272886) + (xy 170.026583 53.241154) (xy 199.6564 53.241154) (xy 199.6564 53.438846) (xy 199.694968 53.632739) (xy 199.770621 53.815383) + (xy 199.880453 53.979758) (xy 200.020242 54.119547) (xy 200.184617 54.229379) (xy 200.367261 54.305032) (xy 200.561154 54.3436) + (xy 200.758846 54.3436) (xy 200.952739 54.305032) (xy 201.135383 54.229379) (xy 201.299758 54.119547) (xy 201.439547 53.979758) + (xy 201.549379 53.815383) (xy 201.625032 53.632739) (xy 201.6636 53.438846) (xy 201.6636 53.241154) (xy 202.1964 53.241154) + (xy 202.1964 53.438846) (xy 202.234968 53.632739) (xy 202.310621 53.815383) (xy 202.420453 53.979758) (xy 202.560242 54.119547) + (xy 202.724617 54.229379) (xy 202.907261 54.305032) (xy 203.101154 54.3436) (xy 203.298846 54.3436) (xy 203.492739 54.305032) + (xy 203.675383 54.229379) (xy 203.839758 54.119547) (xy 203.979547 53.979758) (xy 204.089379 53.815383) (xy 204.165032 53.632739) + (xy 204.2036 53.438846) (xy 204.2036 53.241154) (xy 204.7364 53.241154) (xy 204.7364 53.438846) (xy 204.774968 53.632739) + (xy 204.850621 53.815383) (xy 204.960453 53.979758) (xy 205.100242 54.119547) (xy 205.264617 54.229379) (xy 205.447261 54.305032) + (xy 205.641154 54.3436) (xy 205.838846 54.3436) (xy 206.032739 54.305032) (xy 206.215383 54.229379) (xy 206.379758 54.119547) + (xy 206.519547 53.979758) (xy 206.629379 53.815383) (xy 206.705032 53.632739) (xy 206.7436 53.438846) (xy 206.7436 53.241154) + (xy 206.705032 53.047261) (xy 206.629379 52.864617) (xy 206.519547 52.700242) (xy 206.379758 52.560453) (xy 206.215383 52.450621) + (xy 206.032739 52.374968) (xy 205.838846 52.3364) (xy 205.641154 52.3364) (xy 205.447261 52.374968) (xy 205.264617 52.450621) + (xy 205.100242 52.560453) (xy 204.960453 52.700242) (xy 204.850621 52.864617) (xy 204.774968 53.047261) (xy 204.7364 53.241154) + (xy 204.2036 53.241154) (xy 204.165032 53.047261) (xy 204.089379 52.864617) (xy 203.979547 52.700242) (xy 203.839758 52.560453) + (xy 203.675383 52.450621) (xy 203.492739 52.374968) (xy 203.298846 52.3364) (xy 203.101154 52.3364) (xy 202.907261 52.374968) + (xy 202.724617 52.450621) (xy 202.560242 52.560453) (xy 202.420453 52.700242) (xy 202.310621 52.864617) (xy 202.234968 53.047261) + (xy 202.1964 53.241154) (xy 201.6636 53.241154) (xy 201.625032 53.047261) (xy 201.549379 52.864617) (xy 201.439547 52.700242) + (xy 201.299758 52.560453) (xy 201.135383 52.450621) (xy 200.952739 52.374968) (xy 200.758846 52.3364) (xy 200.561154 52.3364) + (xy 200.367261 52.374968) (xy 200.184617 52.450621) (xy 200.020242 52.560453) (xy 199.880453 52.700242) (xy 199.770621 52.864617) + (xy 199.694968 53.047261) (xy 199.6564 53.241154) (xy 170.026583 53.241154) (xy 170.053287 53.176687) (xy 170.0736 53.074563) + (xy 170.0736 52.970437) (xy 170.053287 52.868313) (xy 170.01344 52.772114) (xy 169.955591 52.685537) (xy 169.881963 52.611909) + (xy 169.795386 52.55406) (xy 169.699187 52.514213) (xy 169.597063 52.4939) (xy 169.492937 52.4939) (xy 169.390813 52.514213) + (xy 169.294614 52.55406) (xy 169.208037 52.611909) (xy 169.134409 52.685537) (xy 169.07656 52.772114) (xy 169.036713 52.868313) + (xy 169.0164 52.970437) (xy 163.65999 52.970437) (xy 163.655208 52.946397) (xy 163.61913 52.859298) (xy 163.566753 52.78091) + (xy 163.50009 52.714247) (xy 163.421702 52.66187) (xy 163.334603 52.625792) (xy 163.242138 52.6074) (xy 163.147862 52.6074) + (xy 163.146105 52.607749) (xy 161.23951 50.701154) (xy 199.6564 50.701154) (xy 199.6564 50.898846) (xy 199.694968 51.092739) + (xy 199.770621 51.275383) (xy 199.880453 51.439758) (xy 200.020242 51.579547) (xy 200.184617 51.689379) (xy 200.367261 51.765032) + (xy 200.561154 51.8036) (xy 200.758846 51.8036) (xy 200.952739 51.765032) (xy 201.135383 51.689379) (xy 201.299758 51.579547) + (xy 201.439547 51.439758) (xy 201.549379 51.275383) (xy 201.625032 51.092739) (xy 201.6636 50.898846) (xy 201.6636 50.701154) + (xy 202.1964 50.701154) (xy 202.1964 50.898846) (xy 202.234968 51.092739) (xy 202.310621 51.275383) (xy 202.420453 51.439758) + (xy 202.560242 51.579547) (xy 202.724617 51.689379) (xy 202.907261 51.765032) (xy 203.101154 51.8036) (xy 203.298846 51.8036) + (xy 203.492739 51.765032) (xy 203.675383 51.689379) (xy 203.839758 51.579547) (xy 203.979547 51.439758) (xy 204.089379 51.275383) + (xy 204.165032 51.092739) (xy 204.2036 50.898846) (xy 204.2036 50.701154) (xy 204.7364 50.701154) (xy 204.7364 50.898846) + (xy 204.774968 51.092739) (xy 204.850621 51.275383) (xy 204.960453 51.439758) (xy 205.100242 51.579547) (xy 205.264617 51.689379) + (xy 205.447261 51.765032) (xy 205.641154 51.8036) (xy 205.838846 51.8036) (xy 206.032739 51.765032) (xy 206.215383 51.689379) + (xy 206.379758 51.579547) (xy 206.519547 51.439758) (xy 206.629379 51.275383) (xy 206.705032 51.092739) (xy 206.7436 50.898846) + (xy 206.7436 50.701154) (xy 206.705032 50.507261) (xy 206.629379 50.324617) (xy 206.519547 50.160242) (xy 206.379758 50.020453) + (xy 206.215383 49.910621) (xy 206.032739 49.834968) (xy 205.838846 49.7964) (xy 205.641154 49.7964) (xy 205.447261 49.834968) + (xy 205.264617 49.910621) (xy 205.100242 50.020453) (xy 204.960453 50.160242) (xy 204.850621 50.324617) (xy 204.774968 50.507261) + (xy 204.7364 50.701154) (xy 204.2036 50.701154) (xy 204.165032 50.507261) (xy 204.089379 50.324617) (xy 203.979547 50.160242) + (xy 203.839758 50.020453) (xy 203.675383 49.910621) (xy 203.492739 49.834968) (xy 203.298846 49.7964) (xy 203.101154 49.7964) + (xy 202.907261 49.834968) (xy 202.724617 49.910621) (xy 202.560242 50.020453) (xy 202.420453 50.160242) (xy 202.310621 50.324617) + (xy 202.234968 50.507261) (xy 202.1964 50.701154) (xy 201.6636 50.701154) (xy 201.625032 50.507261) (xy 201.549379 50.324617) + (xy 201.439547 50.160242) (xy 201.299758 50.020453) (xy 201.135383 49.910621) (xy 200.952739 49.834968) (xy 200.758846 49.7964) + (xy 200.561154 49.7964) (xy 200.367261 49.834968) (xy 200.184617 49.910621) (xy 200.020242 50.020453) (xy 199.880453 50.160242) + (xy 199.770621 50.324617) (xy 199.694968 50.507261) (xy 199.6564 50.701154) (xy 161.23951 50.701154) (xy 158.848226 48.309872) + (xy 158.838716 48.298284) (xy 158.792487 48.260345) (xy 158.739744 48.232154) (xy 158.682516 48.214794) (xy 158.637904 48.2104) + (xy 158.623 48.208932) (xy 158.608096 48.2104) (xy 139.968904 48.2104) (xy 139.954 48.208932) (xy 139.894484 48.214794) + (xy 139.837255 48.232154) (xy 139.784513 48.260345) (xy 139.738284 48.298284) (xy 139.728779 48.309866) (xy 137.907396 50.13125) + (xy 137.905638 50.1309) (xy 137.811362 50.1309) (xy 137.718897 50.149292) (xy 137.631798 50.18537) (xy 137.55341 50.237747) + (xy 137.486747 50.30441) (xy 137.43437 50.382798) (xy 137.398292 50.469897) (xy 137.3799 50.562362) (xy 119.0216 50.562362) + (xy 119.0216 48.470317) (xy 119.023552 48.450499) (xy 119.015759 48.37138) (xy 118.995716 48.305308) (xy 118.992681 48.295302) + (xy 118.955204 48.225187) (xy 118.904769 48.163731) (xy 118.889374 48.151097) (xy 118.091913 47.353638) (xy 118.079269 47.338231) + (xy 118.017813 47.287796) (xy 117.947698 47.250319) (xy 117.907299 47.238064) (xy 117.982035 47.126213) (xy 118.036604 46.994472) + (xy 118.064423 46.854616) (xy 118.064423 46.71202) (xy 118.036604 46.572164) (xy 117.982035 46.440423) (xy 117.902813 46.321858) + (xy 117.801983 46.221028) (xy 117.683418 46.141806) (xy 117.551677 46.087237) (xy 117.411821 46.059418) (xy 117.269225 46.059418) + (xy 117.129369 46.087237) (xy 116.997628 46.141806) (xy 116.879063 46.221028) (xy 116.778233 46.321858) (xy 116.699011 46.440423) + (xy 116.644442 46.572164) (xy 116.616623 46.71202) (xy 116.616623 46.854616) (xy 116.644442 46.994472) (xy 116.699011 47.126213) + (xy 116.762612 47.2214) (xy 115.081818 47.2214) (xy 115.062 47.219448) (xy 115.042182 47.2214) (xy 114.982881 47.227241) + (xy 114.906802 47.250319) (xy 114.836687 47.287796) (xy 114.775231 47.338231) (xy 114.762591 47.353633) (xy 111.968599 50.147626) + (xy 111.930797 50.193688) (xy 111.893319 50.263803) (xy 111.870241 50.339882) (xy 111.862448 50.419) (xy 111.870241 50.498118) + (xy 111.893319 50.574197) (xy 111.930797 50.644312) (xy 111.939401 50.654796) (xy 111.9394 52.034821) (xy 111.931037 52.040409) + (xy 111.857409 52.114037) (xy 111.79956 52.200614) (xy 111.759713 52.296813) (xy 111.7394 52.398937) (xy 108.698557 52.398937) + (xy 108.741764 52.35573) (xy 108.896734 52.123802) (xy 109.003478 51.866098) (xy 109.057896 51.59252) (xy 109.057896 51.313582) + (xy 109.003478 51.040004) (xy 108.896734 50.7823) (xy 108.741764 50.550372) (xy 108.544525 50.353133) (xy 108.312597 50.198163) + (xy 108.054893 50.091419) (xy 107.781315 50.037001) (xy 107.502377 50.037001) (xy 107.228799 50.091419) (xy 106.971095 50.198163) + (xy 106.739167 50.353133) (xy 106.541928 50.550372) (xy 106.386958 50.7823) (xy 106.280214 51.040004) (xy 106.225796 51.313582) + (xy 105.9041 51.313582) (xy 105.9041 50.125254) (xy 108.545255 47.4841) (xy 111.260251 47.4841) (xy 111.261247 47.48559) + (xy 111.32791 47.552253) (xy 111.406298 47.60463) (xy 111.493397 47.640708) (xy 111.585862 47.6591) (xy 111.680138 47.6591) + (xy 111.772603 47.640708) (xy 111.859702 47.60463) (xy 111.93809 47.552253) (xy 112.004753 47.48559) (xy 112.05713 47.407202) + (xy 112.093208 47.320103) (xy 112.1116 47.227638) (xy 112.1116 47.133362) (xy 112.093208 47.040897) (xy 112.05713 46.953798) + (xy 112.004753 46.87541) (xy 111.93809 46.808747) (xy 111.859702 46.75637) (xy 111.772603 46.720292) (xy 111.680138 46.7019) + (xy 111.585862 46.7019) (xy 111.493397 46.720292) (xy 111.406298 46.75637) (xy 111.32791 46.808747) (xy 111.261247 46.87541) + (xy 111.260251 46.8769) (xy 108.434403 46.8769) (xy 108.419499 46.875432) (xy 108.359984 46.881294) (xy 108.302756 46.898654) + (xy 108.250013 46.926845) (xy 108.203784 46.964784) (xy 108.194279 46.976366) (xy 105.396372 49.774274) (xy 105.384784 49.783784) + (xy 105.346845 49.830014) (xy 105.318654 49.882757) (xy 105.314608 49.896096) (xy 105.301294 49.939985) (xy 105.295432 49.9995) + (xy 105.2969 50.014404) (xy 105.296901 53.280009) (xy 105.294932 53.3) (xy 104.9516 53.3) (xy 104.9516 50.036754) + (xy 109.511938 45.476416) (xy 112.062963 45.476416) (xy 112.062963 45.755354) (xy 112.117381 46.028932) (xy 112.224125 46.286636) + (xy 112.379095 46.518564) (xy 112.576334 46.715803) (xy 112.808262 46.870773) (xy 113.065966 46.977517) (xy 113.339544 47.031935) + (xy 113.618482 47.031935) (xy 113.89206 46.977517) (xy 114.149764 46.870773) (xy 114.381692 46.715803) (xy 114.578931 46.518564) + (xy 114.733901 46.286636) (xy 114.840645 46.028932) (xy 114.895063 45.755354) (xy 114.895063 45.476416) (xy 114.855035 45.275179) + (xy 115.179782 45.275179) (xy 115.179782 45.417775) (xy 115.207601 45.557631) (xy 115.26217 45.689372) (xy 115.341392 45.807937) + (xy 115.442222 45.908767) (xy 115.560787 45.987989) (xy 115.692528 46.042558) (xy 115.832384 46.070377) (xy 115.97498 46.070377) + (xy 116.114836 46.042558) (xy 116.246577 45.987989) (xy 116.365142 45.908767) (xy 116.465972 45.807937) (xy 116.545194 45.689372) + (xy 116.599763 45.557631) (xy 116.627582 45.417775) (xy 116.627582 45.275179) (xy 116.599763 45.135323) (xy 116.546666 45.007135) + (xy 201.8464 45.007135) (xy 201.8464 45.332865) (xy 201.909947 45.652337) (xy 202.034599 45.953273) (xy 202.215565 46.224109) + (xy 202.445891 46.454435) (xy 202.716727 46.635401) (xy 203.017663 46.760053) (xy 203.337135 46.8236) (xy 203.662865 46.8236) + (xy 203.982337 46.760053) (xy 204.283273 46.635401) (xy 204.554109 46.454435) (xy 204.784435 46.224109) (xy 204.965401 45.953273) + (xy 205.090053 45.652337) (xy 205.1536 45.332865) (xy 205.1536 45.007135) (xy 205.090053 44.687663) (xy 204.965401 44.386727) + (xy 204.784435 44.115891) (xy 204.554109 43.885565) (xy 204.283273 43.704599) (xy 203.982337 43.579947) (xy 203.662865 43.5164) + (xy 203.337135 43.5164) (xy 203.017663 43.579947) (xy 202.716727 43.704599) (xy 202.445891 43.885565) (xy 202.215565 44.115891) + (xy 202.034599 44.386727) (xy 201.909947 44.687663) (xy 201.8464 45.007135) (xy 116.546666 45.007135) (xy 116.545194 45.003582) + (xy 116.465972 44.885017) (xy 116.365142 44.784187) (xy 116.246577 44.704965) (xy 116.114836 44.650396) (xy 115.97498 44.622577) + (xy 115.832384 44.622577) (xy 115.692528 44.650396) (xy 115.560787 44.704965) (xy 115.442222 44.784187) (xy 115.341392 44.885017) + (xy 115.26217 45.003582) (xy 115.207601 45.135323) (xy 115.179782 45.275179) (xy 114.855035 45.275179) (xy 114.840645 45.202838) + (xy 114.733901 44.945134) (xy 114.578931 44.713206) (xy 114.381692 44.515967) (xy 114.149764 44.360997) (xy 113.89206 44.254253) + (xy 113.618482 44.199835) (xy 113.339544 44.199835) (xy 113.065966 44.254253) (xy 112.808262 44.360997) (xy 112.576334 44.515967) + (xy 112.379095 44.713206) (xy 112.224125 44.945134) (xy 112.117381 45.202838) (xy 112.062963 45.476416) (xy 109.511938 45.476416) + (xy 111.384755 43.6036) (xy 113.786246 43.6036) (xy 114.222861 44.040216) (xy 114.257513 44.068654) (xy 114.310255 44.096845) + (xy 114.367484 44.114206) (xy 114.427 44.120068) (xy 114.486515 44.114206) (xy 114.543744 44.096845) (xy 114.585562 44.074493) + (xy 114.5834 44.085362) (xy 114.5834 44.179638) (xy 114.601792 44.272103) (xy 114.63787 44.359202) (xy 114.690247 44.43759) + (xy 114.75691 44.504253) (xy 114.835298 44.55663) (xy 114.922397 44.592708) (xy 115.014862 44.6111) (xy 115.109138 44.6111) + (xy 115.201603 44.592708) (xy 115.288702 44.55663) (xy 115.36709 44.504253) (xy 115.433753 44.43759) (xy 115.48613 44.359202) + (xy 115.522208 44.272103) (xy 115.5406 44.179638) (xy 115.5406 44.085362) (xy 115.522208 43.992897) (xy 115.48613 43.905798) + (xy 115.433753 43.82741) (xy 115.36709 43.760747) (xy 115.288702 43.70837) (xy 115.201603 43.672292) (xy 115.109138 43.6539) + (xy 115.014862 43.6539) (xy 114.922397 43.672292) (xy 114.835298 43.70837) (xy 114.75691 43.760747) (xy 114.729431 43.788226) + (xy 114.726206 43.755484) (xy 114.708845 43.698255) (xy 114.680654 43.645513) (xy 114.652216 43.610861) (xy 114.137226 43.095872) + (xy 114.127716 43.084284) (xy 114.081487 43.046345) (xy 114.028744 43.018154) (xy 113.971516 43.000794) (xy 113.926904 42.9964) + (xy 113.912 42.994932) (xy 113.897096 42.9964) (xy 111.273904 42.9964) (xy 111.259 42.994932) (xy 111.244096 42.9964) + (xy 111.199484 43.000794) (xy 111.142256 43.018154) (xy 111.089513 43.046345) (xy 111.043284 43.084284) (xy 111.033779 43.095866) + (xy 104.443867 49.685779) (xy 104.432285 49.695284) (xy 104.394346 49.741513) (xy 104.392031 49.745845) (xy 104.366155 49.794256) + (xy 104.348794 49.851485) (xy 104.342932 49.911) (xy 103.5546 49.911) (xy 103.5546 42.432848) (xy 103.588945 42.082567) + (xy 103.686359 41.759915) (xy 103.844593 41.462321) (xy 104.057609 41.201137) (xy 104.317306 40.986298) (xy 104.61378 40.825995) + (xy 104.935747 40.726329) (xy 105.285201 40.6896) (xy 210.297152 40.6896) + ) + ) + (filled_polygon + (pts + (xy 126.346401 116.724244) (xy 126.224246 116.8464) (xy 126.075756 116.8464) (xy 125.854354 116.625) (xy 126.3464 116.132954) + ) + ) + (filled_polygon + (pts + (xy 128.2464 114.424245) (xy 128.124246 114.5464) (xy 127.482954 114.5464) (xy 128.246401 113.782954) + ) + ) + (filled_polygon + (pts + (xy 129.636545 104.9159) (xy 128.745404 104.9159) (xy 128.7305 104.914432) (xy 128.715596 104.9159) (xy 128.670984 104.920294) + (xy 128.613756 104.937654) (xy 128.561013 104.965845) (xy 128.514784 105.003784) (xy 128.505279 105.015366) (xy 127.224246 106.2964) + (xy 126.236682 106.2964) (xy 126.260208 106.239603) (xy 126.265287 106.214067) (xy 127.675755 104.8036) (xy 128.435096 104.8036) + (xy 128.45 104.805068) (xy 128.464904 104.8036) (xy 128.509516 104.799206) (xy 128.566744 104.781846) (xy 128.619487 104.753655) + (xy 128.665716 104.715716) (xy 128.675225 104.704129) (xy 128.975756 104.4036) (xy 129.124246 104.4036) + ) + ) + (filled_polygon + (pts + (xy 161.8119 71.468006) (xy 161.811901 71.930586) (xy 161.810432 71.9455) (xy 161.816294 72.005015) (xy 161.830392 72.051487) + (xy 161.833655 72.062244) (xy 161.861846 72.114987) (xy 161.899785 72.161216) (xy 161.911367 72.170721) (xy 162.207778 72.467133) + (xy 162.217284 72.478716) (xy 162.263513 72.516655) (xy 162.316256 72.544846) (xy 162.373484 72.562206) (xy 162.433 72.568068) + (xy 162.447904 72.5666) (xy 162.589045 72.5666) (xy 161.735746 73.4199) (xy 160.054454 73.4199) (xy 161.241104 72.23325) + (xy 161.242862 72.2336) (xy 161.337138 72.2336) (xy 161.429603 72.215208) (xy 161.516702 72.17913) (xy 161.59509 72.126753) + (xy 161.661753 72.06009) (xy 161.71413 71.981702) (xy 161.750208 71.894603) (xy 161.7686 71.802138) (xy 161.7686 71.707862) + (xy 161.750208 71.615397) (xy 161.71413 71.528298) (xy 161.661753 71.44991) (xy 161.59509 71.383247) (xy 161.516702 71.33087) + (xy 161.429603 71.294792) (xy 161.337138 71.2764) (xy 161.242862 71.2764) (xy 161.150397 71.294792) (xy 161.063298 71.33087) + (xy 160.98491 71.383247) (xy 160.918247 71.44991) (xy 160.86587 71.528298) (xy 160.829792 71.615397) (xy 160.8114 71.707862) + (xy 160.8114 71.802138) (xy 160.81175 71.803896) (xy 159.513246 73.1024) (xy 159.101954 73.1024) (xy 159.971104 72.23325) + (xy 159.972862 72.2336) (xy 160.067138 72.2336) (xy 160.159603 72.215208) (xy 160.246702 72.17913) (xy 160.32509 72.126753) + (xy 160.391753 72.06009) (xy 160.44413 71.981702) (xy 160.480208 71.894603) (xy 160.4986 71.802138) (xy 160.4986 71.707862) + (xy 160.480208 71.615397) (xy 160.44413 71.528298) (xy 160.391753 71.44991) (xy 160.32509 71.383247) (xy 160.246702 71.33087) + (xy 160.159603 71.294792) (xy 160.067138 71.2764) (xy 159.972862 71.2764) (xy 159.880397 71.294792) (xy 159.793298 71.33087) + (xy 159.71491 71.383247) (xy 159.648247 71.44991) (xy 159.59587 71.528298) (xy 159.559792 71.615397) (xy 159.5414 71.707862) + (xy 159.5414 71.802138) (xy 159.54175 71.803896) (xy 158.560746 72.7849) (xy 158.149454 72.7849) (xy 158.701104 72.23325) + (xy 158.702862 72.2336) (xy 158.797138 72.2336) (xy 158.889603 72.215208) (xy 158.976702 72.17913) (xy 159.05509 72.126753) + (xy 159.121753 72.06009) (xy 159.17413 71.981702) (xy 159.210208 71.894603) (xy 159.2286 71.802138) (xy 159.2286 71.707862) + (xy 159.210208 71.615397) (xy 159.17413 71.528298) (xy 159.121753 71.44991) (xy 159.05509 71.383247) (xy 158.976702 71.33087) + (xy 158.889603 71.294792) (xy 158.797138 71.2764) (xy 158.702862 71.2764) (xy 158.610397 71.294792) (xy 158.523298 71.33087) + (xy 158.44491 71.383247) (xy 158.378247 71.44991) (xy 158.32587 71.528298) (xy 158.289792 71.615397) (xy 158.2714 71.707862) + (xy 158.2714 71.802138) (xy 158.27175 71.803896) (xy 157.608246 72.4674) (xy 157.196955 72.4674) (xy 157.431105 72.23325) + (xy 157.432862 72.2336) (xy 157.527138 72.2336) (xy 157.619603 72.215208) (xy 157.706702 72.17913) (xy 157.78509 72.126753) + (xy 157.851753 72.06009) (xy 157.90413 71.981702) (xy 157.940208 71.894603) (xy 157.9586 71.802138) (xy 157.9586 71.707862) + (xy 157.940208 71.615397) (xy 157.90413 71.528298) (xy 157.851753 71.44991) (xy 157.78509 71.383247) (xy 157.706702 71.33087) + (xy 157.619603 71.294792) (xy 157.527138 71.2764) (xy 157.432862 71.2764) (xy 157.340397 71.294792) (xy 157.253298 71.33087) + (xy 157.17491 71.383247) (xy 157.108247 71.44991) (xy 157.05587 71.528298) (xy 157.019792 71.615397) (xy 157.0014 71.707862) + (xy 157.0014 71.802138) (xy 157.00175 71.803895) (xy 156.655746 72.1499) (xy 156.480448 72.1499) (xy 156.51509 72.126753) + (xy 156.581753 72.06009) (xy 156.63413 71.981702) (xy 156.670208 71.894603) (xy 156.6886 71.802138) (xy 156.6886 71.707862) + (xy 156.670208 71.615397) (xy 156.63413 71.528298) (xy 156.581753 71.44991) (xy 156.51509 71.383247) (xy 156.436702 71.33087) + (xy 156.349603 71.294792) (xy 156.257138 71.2764) (xy 156.162862 71.2764) (xy 156.070397 71.294792) (xy 155.983298 71.33087) + (xy 155.90491 71.383247) (xy 155.838247 71.44991) (xy 155.78587 71.528298) (xy 155.749792 71.615397) (xy 155.7314 71.707862) + (xy 155.7314 71.802138) (xy 155.73175 71.803896) (xy 155.703246 71.8324) (xy 154.865368 71.8324) (xy 154.892208 71.767603) + (xy 154.9106 71.675138) (xy 154.9106 71.580862) (xy 154.892208 71.488397) (xy 154.85613 71.401298) (xy 154.803753 71.32291) + (xy 154.73709 71.256247) (xy 154.658702 71.20387) (xy 154.571603 71.167792) (xy 154.479138 71.1494) (xy 154.384862 71.1494) + (xy 154.383104 71.14975) (xy 154.212726 70.979372) (xy 154.203216 70.967784) (xy 154.156987 70.929845) (xy 154.104244 70.901654) + (xy 154.047016 70.884294) (xy 154.002404 70.8799) (xy 153.9875 70.878432) (xy 153.972596 70.8799) (xy 141.111904 70.8799) + (xy 141.097 70.878432) (xy 141.037484 70.884294) (xy 140.983766 70.900589) (xy 141.413255 70.4711) (xy 160.814996 70.4711) + ) + ) + (filled_polygon + (pts + (xy 156.473247 59.74109) (xy 156.53991 59.807753) (xy 156.5414 59.808749) (xy 156.541401 60.199243) (xy 153.163246 63.5774) + (xy 137.285756 63.5774) (xy 136.559456 62.8511) (xy 153.210596 62.8511) (xy 153.2255 62.852568) (xy 153.240404 62.8511) + (xy 153.285016 62.846706) (xy 153.342244 62.829346) (xy 153.394987 62.801155) (xy 153.441216 62.763216) (xy 153.450726 62.751628) + (xy 156.41414 59.788216) (xy 156.425716 59.778716) (xy 156.463655 59.732487) (xy 156.465363 59.729291) + ) + ) + (filled_polygon + (pts + (xy 152.464746 61.6089) (xy 136.968255 61.6089) (xy 136.432455 61.0731) (xy 152.956596 61.0731) (xy 152.9715 61.074568) + (xy 152.986404 61.0731) (xy 153.002091 61.071555) + ) + ) + ) + (zone (net 1) (net_name GND) (layer In1.Cu) (tstamp 616E0006) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) + (fill yes (arc_segments 32) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 212.344 139.954) (xy 103.378 139.954) (xy 103.378 40.386) (xy 212.344 40.386) + ) + ) + (filled_polygon + (pts + (xy 210.647433 40.723945) (xy 210.970085 40.821359) (xy 211.267679 40.979593) (xy 211.528863 41.192609) (xy 211.743702 41.452306) + (xy 211.904005 41.74878) (xy 212.003671 42.070747) (xy 212.0404 42.420201) (xy 212.040401 137.907142) (xy 212.006055 138.257433) + (xy 211.90864 138.580087) (xy 211.750407 138.877678) (xy 211.537389 139.138865) (xy 211.277694 139.353702) (xy 210.981219 139.514006) + (xy 210.659249 139.613672) (xy 210.309799 139.6504) (xy 196.483848 139.6504) (xy 196.133567 139.616055) (xy 195.810913 139.51864) + (xy 195.513322 139.360407) (xy 195.252135 139.147389) (xy 195.037298 138.887694) (xy 194.876994 138.591219) (xy 194.777328 138.269249) + (xy 194.7406 137.919799) (xy 194.7406 135.007135) (xy 201.8464 135.007135) (xy 201.8464 135.332865) (xy 201.909947 135.652337) + (xy 202.034599 135.953273) (xy 202.215565 136.224109) (xy 202.445891 136.454435) (xy 202.716727 136.635401) (xy 203.017663 136.760053) + (xy 203.337135 136.8236) (xy 203.662865 136.8236) (xy 203.982337 136.760053) (xy 204.283273 136.635401) (xy 204.554109 136.454435) + (xy 204.784435 136.224109) (xy 204.965401 135.953273) (xy 205.090053 135.652337) (xy 205.1536 135.332865) (xy 205.1536 135.007135) + (xy 205.090053 134.687663) (xy 204.965401 134.386727) (xy 204.784435 134.115891) (xy 204.554109 133.885565) (xy 204.283273 133.704599) + (xy 203.982337 133.579947) (xy 203.662865 133.5164) (xy 203.337135 133.5164) (xy 203.017663 133.579947) (xy 202.716727 133.704599) + (xy 202.445891 133.885565) (xy 202.215565 134.115891) (xy 202.034599 134.386727) (xy 201.909947 134.687663) (xy 201.8464 135.007135) + (xy 194.7406 135.007135) (xy 194.7406 131.557096) (xy 194.739211 131.542998) (xy 194.7393 131.530298) (xy 194.738887 131.526079) + (xy 194.697434 131.131676) (xy 194.691902 131.104725) (xy 194.686745 131.077692) (xy 194.68552 131.073634) (xy 194.68552 131.073632) + (xy 194.685519 131.07363) (xy 194.568249 130.694791) (xy 194.55758 130.669411) (xy 194.547277 130.643911) (xy 194.545287 130.640168) + (xy 194.356665 130.29132) (xy 194.341271 130.268497) (xy 194.326211 130.245484) (xy 194.323532 130.242199) (xy 194.323531 130.242197) + (xy 194.323527 130.242193) (xy 194.070745 129.93663) (xy 194.051212 129.917233) (xy 194.031967 129.897581) (xy 194.028701 129.894879) + (xy 193.721376 129.644231) (xy 193.698458 129.629004) (xy 193.675752 129.613458) (xy 193.672023 129.611441) (xy 193.351762 129.441154) + (xy 199.6564 129.441154) (xy 199.6564 129.638846) (xy 199.694968 129.832739) (xy 199.770621 130.015383) (xy 199.880453 130.179758) + (xy 200.020242 130.319547) (xy 200.184617 130.429379) (xy 200.367261 130.505032) (xy 200.561154 130.5436) (xy 200.758846 130.5436) + (xy 200.952739 130.505032) (xy 201.135383 130.429379) (xy 201.299758 130.319547) (xy 201.356473 130.262832) (xy 202.581537 130.262832) + (xy 202.668539 130.397049) (xy 202.845953 130.484265) (xy 203.036972 130.535192) (xy 203.234257 130.547875) (xy 203.430225 130.521826) + (xy 203.617346 130.458046) (xy 203.731461 130.397049) (xy 203.818463 130.262832) (xy 203.2 129.644369) (xy 202.581537 130.262832) + (xy 201.356473 130.262832) (xy 201.439547 130.179758) (xy 201.549379 130.015383) (xy 201.625032 129.832739) (xy 201.6636 129.638846) + (xy 201.6636 129.574257) (xy 202.192125 129.574257) (xy 202.218174 129.770225) (xy 202.281954 129.957346) (xy 202.342951 130.071461) + (xy 202.477168 130.158463) (xy 203.095631 129.54) (xy 203.304369 129.54) (xy 203.922832 130.158463) (xy 204.057049 130.071461) + (xy 204.144265 129.894047) (xy 204.195192 129.703028) (xy 204.207875 129.505743) (xy 204.181826 129.309775) (xy 204.118046 129.122654) + (xy 204.060503 129.014999) (xy 204.735294 129.014999) (xy 204.735294 130.065001) (xy 204.744511 130.158587) (xy 204.771809 130.248576) + (xy 204.816139 130.331511) (xy 204.875796 130.404204) (xy 204.948489 130.463861) (xy 205.031424 130.508191) (xy 205.121413 130.535489) + (xy 205.214999 130.544706) (xy 206.265001 130.544706) (xy 206.358587 130.535489) (xy 206.448576 130.508191) (xy 206.531511 130.463861) + (xy 206.604204 130.404204) (xy 206.663861 130.331511) (xy 206.708191 130.248576) (xy 206.735489 130.158587) (xy 206.744706 130.065001) + (xy 206.744706 129.014999) (xy 206.735489 128.921413) (xy 206.708191 128.831424) (xy 206.663861 128.748489) (xy 206.604204 128.675796) + (xy 206.531511 128.616139) (xy 206.448576 128.571809) (xy 206.358587 128.544511) (xy 206.265001 128.535294) (xy 205.214999 128.535294) + (xy 205.121413 128.544511) (xy 205.031424 128.571809) (xy 204.948489 128.616139) (xy 204.875796 128.675796) (xy 204.816139 128.748489) + (xy 204.771809 128.831424) (xy 204.744511 128.921413) (xy 204.735294 129.014999) (xy 204.060503 129.014999) (xy 204.057049 129.008539) + (xy 203.922832 128.921537) (xy 203.304369 129.54) (xy 203.095631 129.54) (xy 202.477168 128.921537) (xy 202.342951 129.008539) + (xy 202.255735 129.185953) (xy 202.204808 129.376972) (xy 202.192125 129.574257) (xy 201.6636 129.574257) (xy 201.6636 129.441154) + (xy 201.625032 129.247261) (xy 201.549379 129.064617) (xy 201.439547 128.900242) (xy 201.356473 128.817168) (xy 202.581537 128.817168) + (xy 203.2 129.435631) (xy 203.818463 128.817168) (xy 203.731461 128.682951) (xy 203.554047 128.595735) (xy 203.363028 128.544808) + (xy 203.165743 128.532125) (xy 202.969775 128.558174) (xy 202.782654 128.621954) (xy 202.668539 128.682951) (xy 202.581537 128.817168) + (xy 201.356473 128.817168) (xy 201.299758 128.760453) (xy 201.135383 128.650621) (xy 200.952739 128.574968) (xy 200.758846 128.5364) + (xy 200.561154 128.5364) (xy 200.367261 128.574968) (xy 200.184617 128.650621) (xy 200.020242 128.760453) (xy 199.880453 128.900242) + (xy 199.770621 129.064617) (xy 199.694968 129.247261) (xy 199.6564 129.441154) (xy 193.351762 129.441154) (xy 193.321867 129.425259) + (xy 193.296397 129.414761) (xy 193.271134 129.403934) (xy 193.267085 129.40268) (xy 192.887434 129.288057) (xy 192.860474 129.282719) + (xy 192.833526 129.276991) (xy 192.82931 129.276548) (xy 192.434626 129.237849) (xy 192.434616 129.237849) (xy 192.419904 129.2364) + (xy 105.297848 129.2364) (xy 104.947567 129.202055) (xy 104.624913 129.10464) (xy 104.327322 128.946407) (xy 104.066135 128.733389) + (xy 103.851298 128.473694) (xy 103.690994 128.177219) (xy 103.591328 127.855249) (xy 103.5546 127.505799) (xy 103.5546 126.901154) + (xy 199.6564 126.901154) (xy 199.6564 127.098846) (xy 199.694968 127.292739) (xy 199.770621 127.475383) (xy 199.880453 127.639758) + (xy 200.020242 127.779547) (xy 200.184617 127.889379) (xy 200.367261 127.965032) (xy 200.561154 128.0036) (xy 200.758846 128.0036) + (xy 200.952739 127.965032) (xy 201.135383 127.889379) (xy 201.299758 127.779547) (xy 201.356473 127.722832) (xy 202.581537 127.722832) + (xy 202.668539 127.857049) (xy 202.845953 127.944265) (xy 203.036972 127.995192) (xy 203.234257 128.007875) (xy 203.430225 127.981826) + (xy 203.617346 127.918046) (xy 203.731461 127.857049) (xy 203.818463 127.722832) (xy 203.2 127.104369) (xy 202.581537 127.722832) + (xy 201.356473 127.722832) (xy 201.439547 127.639758) (xy 201.549379 127.475383) (xy 201.625032 127.292739) (xy 201.6636 127.098846) + (xy 201.6636 127.034257) (xy 202.192125 127.034257) (xy 202.218174 127.230225) (xy 202.281954 127.417346) (xy 202.342951 127.531461) + (xy 202.477168 127.618463) (xy 203.095631 127) (xy 203.304369 127) (xy 203.922832 127.618463) (xy 204.057049 127.531461) + (xy 204.144265 127.354047) (xy 204.195192 127.163028) (xy 204.207875 126.965743) (xy 204.19929 126.901154) (xy 204.7364 126.901154) + (xy 204.7364 127.098846) (xy 204.774968 127.292739) (xy 204.850621 127.475383) (xy 204.960453 127.639758) (xy 205.100242 127.779547) + (xy 205.264617 127.889379) (xy 205.447261 127.965032) (xy 205.641154 128.0036) (xy 205.838846 128.0036) (xy 206.032739 127.965032) + (xy 206.215383 127.889379) (xy 206.379758 127.779547) (xy 206.519547 127.639758) (xy 206.629379 127.475383) (xy 206.705032 127.292739) + (xy 206.7436 127.098846) (xy 206.7436 126.901154) (xy 206.705032 126.707261) (xy 206.629379 126.524617) (xy 206.519547 126.360242) + (xy 206.379758 126.220453) (xy 206.215383 126.110621) (xy 206.032739 126.034968) (xy 205.838846 125.9964) (xy 205.641154 125.9964) + (xy 205.447261 126.034968) (xy 205.264617 126.110621) (xy 205.100242 126.220453) (xy 204.960453 126.360242) (xy 204.850621 126.524617) + (xy 204.774968 126.707261) (xy 204.7364 126.901154) (xy 204.19929 126.901154) (xy 204.181826 126.769775) (xy 204.118046 126.582654) + (xy 204.057049 126.468539) (xy 203.922832 126.381537) (xy 203.304369 127) (xy 203.095631 127) (xy 202.477168 126.381537) + (xy 202.342951 126.468539) (xy 202.255735 126.645953) (xy 202.204808 126.836972) (xy 202.192125 127.034257) (xy 201.6636 127.034257) + (xy 201.6636 126.901154) (xy 201.625032 126.707261) (xy 201.549379 126.524617) (xy 201.439547 126.360242) (xy 201.356473 126.277168) + (xy 202.581537 126.277168) (xy 203.2 126.895631) (xy 203.818463 126.277168) (xy 203.731461 126.142951) (xy 203.554047 126.055735) + (xy 203.363028 126.004808) (xy 203.165743 125.992125) (xy 202.969775 126.018174) (xy 202.782654 126.081954) (xy 202.668539 126.142951) + (xy 202.581537 126.277168) (xy 201.356473 126.277168) (xy 201.299758 126.220453) (xy 201.135383 126.110621) (xy 200.952739 126.034968) + (xy 200.758846 125.9964) (xy 200.561154 125.9964) (xy 200.367261 126.034968) (xy 200.184617 126.110621) (xy 200.020242 126.220453) + (xy 199.880453 126.360242) (xy 199.770621 126.524617) (xy 199.694968 126.707261) (xy 199.6564 126.901154) (xy 103.5546 126.901154) + (xy 103.5546 125.301862) (xy 168.6854 125.301862) (xy 168.6854 125.396138) (xy 168.703792 125.488603) (xy 168.73987 125.575702) + (xy 168.792247 125.65409) (xy 168.85891 125.720753) (xy 168.937298 125.77313) (xy 169.024397 125.809208) (xy 169.116862 125.8276) + (xy 169.211138 125.8276) (xy 169.303603 125.809208) (xy 169.390702 125.77313) (xy 169.46909 125.720753) (xy 169.535753 125.65409) + (xy 169.58813 125.575702) (xy 169.624208 125.488603) (xy 169.6426 125.396138) (xy 169.6426 125.301862) (xy 170.3364 125.301862) + (xy 170.3364 125.396138) (xy 170.354792 125.488603) (xy 170.39087 125.575702) (xy 170.443247 125.65409) (xy 170.50991 125.720753) + (xy 170.588298 125.77313) (xy 170.675397 125.809208) (xy 170.767862 125.8276) (xy 170.862138 125.8276) (xy 170.954603 125.809208) + (xy 171.041702 125.77313) (xy 171.12009 125.720753) (xy 171.186753 125.65409) (xy 171.23913 125.575702) (xy 171.275208 125.488603) + (xy 171.2936 125.396138) (xy 171.2936 125.301862) (xy 171.9874 125.301862) (xy 171.9874 125.396138) (xy 172.005792 125.488603) + (xy 172.04187 125.575702) (xy 172.094247 125.65409) (xy 172.16091 125.720753) (xy 172.239298 125.77313) (xy 172.326397 125.809208) + (xy 172.418862 125.8276) (xy 172.513138 125.8276) (xy 172.605603 125.809208) (xy 172.692702 125.77313) (xy 172.77109 125.720753) + (xy 172.837753 125.65409) (xy 172.89013 125.575702) (xy 172.926208 125.488603) (xy 172.9446 125.396138) (xy 172.9446 125.301862) + (xy 172.926208 125.209397) (xy 172.915205 125.182832) (xy 200.041537 125.182832) (xy 200.128539 125.317049) (xy 200.305953 125.404265) + (xy 200.496972 125.455192) (xy 200.694257 125.467875) (xy 200.890225 125.441826) (xy 201.077346 125.378046) (xy 201.191461 125.317049) + (xy 201.278463 125.182832) (xy 202.581537 125.182832) (xy 202.668539 125.317049) (xy 202.845953 125.404265) (xy 203.036972 125.455192) + (xy 203.234257 125.467875) (xy 203.430225 125.441826) (xy 203.617346 125.378046) (xy 203.731461 125.317049) (xy 203.818463 125.182832) + (xy 203.2 124.564369) (xy 202.581537 125.182832) (xy 201.278463 125.182832) (xy 200.66 124.564369) (xy 200.041537 125.182832) + (xy 172.915205 125.182832) (xy 172.89013 125.122298) (xy 172.837753 125.04391) (xy 172.77109 124.977247) (xy 172.692702 124.92487) + (xy 172.605603 124.888792) (xy 172.513138 124.8704) (xy 172.418862 124.8704) (xy 172.326397 124.888792) (xy 172.239298 124.92487) + (xy 172.16091 124.977247) (xy 172.094247 125.04391) (xy 172.04187 125.122298) (xy 172.005792 125.209397) (xy 171.9874 125.301862) + (xy 171.2936 125.301862) (xy 171.275208 125.209397) (xy 171.23913 125.122298) (xy 171.186753 125.04391) (xy 171.12009 124.977247) + (xy 171.041702 124.92487) (xy 170.954603 124.888792) (xy 170.862138 124.8704) (xy 170.767862 124.8704) (xy 170.675397 124.888792) + (xy 170.588298 124.92487) (xy 170.50991 124.977247) (xy 170.443247 125.04391) (xy 170.39087 125.122298) (xy 170.354792 125.209397) + (xy 170.3364 125.301862) (xy 169.6426 125.301862) (xy 169.624208 125.209397) (xy 169.58813 125.122298) (xy 169.535753 125.04391) + (xy 169.46909 124.977247) (xy 169.390702 124.92487) (xy 169.303603 124.888792) (xy 169.211138 124.8704) (xy 169.116862 124.8704) + (xy 169.024397 124.888792) (xy 168.937298 124.92487) (xy 168.85891 124.977247) (xy 168.792247 125.04391) (xy 168.73987 125.122298) + (xy 168.703792 125.209397) (xy 168.6854 125.301862) (xy 103.5546 125.301862) (xy 103.5546 124.494257) (xy 199.652125 124.494257) + (xy 199.678174 124.690225) (xy 199.741954 124.877346) (xy 199.802951 124.991461) (xy 199.937168 125.078463) (xy 200.555631 124.46) + (xy 200.764369 124.46) (xy 201.382832 125.078463) (xy 201.517049 124.991461) (xy 201.604265 124.814047) (xy 201.655192 124.623028) + (xy 201.66347 124.494257) (xy 202.192125 124.494257) (xy 202.218174 124.690225) (xy 202.281954 124.877346) (xy 202.342951 124.991461) + (xy 202.477168 125.078463) (xy 203.095631 124.46) (xy 203.304369 124.46) (xy 203.922832 125.078463) (xy 204.057049 124.991461) + (xy 204.144265 124.814047) (xy 204.195192 124.623028) (xy 204.207875 124.425743) (xy 204.19929 124.361154) (xy 204.7364 124.361154) + (xy 204.7364 124.558846) (xy 204.774968 124.752739) (xy 204.850621 124.935383) (xy 204.960453 125.099758) (xy 205.100242 125.239547) + (xy 205.264617 125.349379) (xy 205.447261 125.425032) (xy 205.641154 125.4636) (xy 205.838846 125.4636) (xy 206.032739 125.425032) + (xy 206.215383 125.349379) (xy 206.379758 125.239547) (xy 206.519547 125.099758) (xy 206.629379 124.935383) (xy 206.705032 124.752739) + (xy 206.7436 124.558846) (xy 206.7436 124.361154) (xy 206.705032 124.167261) (xy 206.629379 123.984617) (xy 206.519547 123.820242) + (xy 206.379758 123.680453) (xy 206.215383 123.570621) (xy 206.032739 123.494968) (xy 205.838846 123.4564) (xy 205.641154 123.4564) + (xy 205.447261 123.494968) (xy 205.264617 123.570621) (xy 205.100242 123.680453) (xy 204.960453 123.820242) (xy 204.850621 123.984617) + (xy 204.774968 124.167261) (xy 204.7364 124.361154) (xy 204.19929 124.361154) (xy 204.181826 124.229775) (xy 204.118046 124.042654) + (xy 204.057049 123.928539) (xy 203.922832 123.841537) (xy 203.304369 124.46) (xy 203.095631 124.46) (xy 202.477168 123.841537) + (xy 202.342951 123.928539) (xy 202.255735 124.105953) (xy 202.204808 124.296972) (xy 202.192125 124.494257) (xy 201.66347 124.494257) + (xy 201.667875 124.425743) (xy 201.641826 124.229775) (xy 201.578046 124.042654) (xy 201.517049 123.928539) (xy 201.382832 123.841537) + (xy 200.764369 124.46) (xy 200.555631 124.46) (xy 199.937168 123.841537) (xy 199.802951 123.928539) (xy 199.715735 124.105953) + (xy 199.664808 124.296972) (xy 199.652125 124.494257) (xy 103.5546 124.494257) (xy 103.5546 123.737168) (xy 200.041537 123.737168) + (xy 200.66 124.355631) (xy 201.278463 123.737168) (xy 202.581537 123.737168) (xy 203.2 124.355631) (xy 203.818463 123.737168) + (xy 203.731461 123.602951) (xy 203.554047 123.515735) (xy 203.363028 123.464808) (xy 203.165743 123.452125) (xy 202.969775 123.478174) + (xy 202.782654 123.541954) (xy 202.668539 123.602951) (xy 202.581537 123.737168) (xy 201.278463 123.737168) (xy 201.191461 123.602951) + (xy 201.014047 123.515735) (xy 200.823028 123.464808) (xy 200.625743 123.452125) (xy 200.429775 123.478174) (xy 200.242654 123.541954) + (xy 200.128539 123.602951) (xy 200.041537 123.737168) (xy 103.5546 123.737168) (xy 103.5546 121.821154) (xy 199.6564 121.821154) + (xy 199.6564 122.018846) (xy 199.694968 122.212739) (xy 199.770621 122.395383) (xy 199.880453 122.559758) (xy 200.020242 122.699547) + (xy 200.184617 122.809379) (xy 200.367261 122.885032) (xy 200.561154 122.9236) (xy 200.758846 122.9236) (xy 200.952739 122.885032) + (xy 201.135383 122.809379) (xy 201.299758 122.699547) (xy 201.356473 122.642832) (xy 202.581537 122.642832) (xy 202.668539 122.777049) + (xy 202.845953 122.864265) (xy 203.036972 122.915192) (xy 203.234257 122.927875) (xy 203.430225 122.901826) (xy 203.617346 122.838046) + (xy 203.731461 122.777049) (xy 203.818463 122.642832) (xy 203.2 122.024369) (xy 202.581537 122.642832) (xy 201.356473 122.642832) + (xy 201.439547 122.559758) (xy 201.549379 122.395383) (xy 201.625032 122.212739) (xy 201.6636 122.018846) (xy 201.6636 121.954257) + (xy 202.192125 121.954257) (xy 202.218174 122.150225) (xy 202.281954 122.337346) (xy 202.342951 122.451461) (xy 202.477168 122.538463) + (xy 203.095631 121.92) (xy 203.304369 121.92) (xy 203.922832 122.538463) (xy 204.057049 122.451461) (xy 204.144265 122.274047) + (xy 204.195192 122.083028) (xy 204.207875 121.885743) (xy 204.19929 121.821154) (xy 204.7364 121.821154) (xy 204.7364 122.018846) + (xy 204.774968 122.212739) (xy 204.850621 122.395383) (xy 204.960453 122.559758) (xy 205.100242 122.699547) (xy 205.264617 122.809379) + (xy 205.447261 122.885032) (xy 205.641154 122.9236) (xy 205.838846 122.9236) (xy 206.032739 122.885032) (xy 206.215383 122.809379) + (xy 206.379758 122.699547) (xy 206.519547 122.559758) (xy 206.629379 122.395383) (xy 206.705032 122.212739) (xy 206.7436 122.018846) + (xy 206.7436 121.821154) (xy 206.705032 121.627261) (xy 206.629379 121.444617) (xy 206.519547 121.280242) (xy 206.379758 121.140453) + (xy 206.215383 121.030621) (xy 206.032739 120.954968) (xy 205.838846 120.9164) (xy 205.641154 120.9164) (xy 205.447261 120.954968) + (xy 205.264617 121.030621) (xy 205.100242 121.140453) (xy 204.960453 121.280242) (xy 204.850621 121.444617) (xy 204.774968 121.627261) + (xy 204.7364 121.821154) (xy 204.19929 121.821154) (xy 204.181826 121.689775) (xy 204.118046 121.502654) (xy 204.057049 121.388539) + (xy 203.922832 121.301537) (xy 203.304369 121.92) (xy 203.095631 121.92) (xy 202.477168 121.301537) (xy 202.342951 121.388539) + (xy 202.255735 121.565953) (xy 202.204808 121.756972) (xy 202.192125 121.954257) (xy 201.6636 121.954257) (xy 201.6636 121.821154) + (xy 201.625032 121.627261) (xy 201.549379 121.444617) (xy 201.439547 121.280242) (xy 201.356473 121.197168) (xy 202.581537 121.197168) + (xy 203.2 121.815631) (xy 203.818463 121.197168) (xy 203.731461 121.062951) (xy 203.554047 120.975735) (xy 203.363028 120.924808) + (xy 203.165743 120.912125) (xy 202.969775 120.938174) (xy 202.782654 121.001954) (xy 202.668539 121.062951) (xy 202.581537 121.197168) + (xy 201.356473 121.197168) (xy 201.299758 121.140453) (xy 201.135383 121.030621) (xy 200.952739 120.954968) (xy 200.758846 120.9164) + (xy 200.561154 120.9164) (xy 200.367261 120.954968) (xy 200.184617 121.030621) (xy 200.020242 121.140453) (xy 199.880453 121.280242) + (xy 199.770621 121.444617) (xy 199.694968 121.627261) (xy 199.6564 121.821154) (xy 103.5546 121.821154) (xy 103.5546 120.475862) + (xy 106.2014 120.475862) (xy 106.2014 120.570138) (xy 106.219792 120.662603) (xy 106.25587 120.749702) (xy 106.308247 120.82809) + (xy 106.37491 120.894753) (xy 106.453298 120.94713) (xy 106.540397 120.983208) (xy 106.632862 121.0016) (xy 106.727138 121.0016) + (xy 106.819603 120.983208) (xy 106.906702 120.94713) (xy 106.98509 120.894753) (xy 107.051753 120.82809) (xy 107.10413 120.749702) + (xy 107.140208 120.662603) (xy 107.1586 120.570138) (xy 107.1586 120.475862) (xy 107.4714 120.475862) (xy 107.4714 120.570138) + (xy 107.489792 120.662603) (xy 107.52587 120.749702) (xy 107.578247 120.82809) (xy 107.64491 120.894753) (xy 107.723298 120.94713) + (xy 107.810397 120.983208) (xy 107.902862 121.0016) (xy 107.997138 121.0016) (xy 108.089603 120.983208) (xy 108.176702 120.94713) + (xy 108.25509 120.894753) (xy 108.321753 120.82809) (xy 108.37413 120.749702) (xy 108.410208 120.662603) (xy 108.4286 120.570138) + (xy 108.4286 120.475862) (xy 108.410208 120.383397) (xy 108.37413 120.296298) (xy 108.321753 120.21791) (xy 108.25509 120.151247) + (xy 108.176702 120.09887) (xy 108.089603 120.062792) (xy 107.997138 120.0444) (xy 107.902862 120.0444) (xy 107.810397 120.062792) + (xy 107.723298 120.09887) (xy 107.64491 120.151247) (xy 107.578247 120.21791) (xy 107.52587 120.296298) (xy 107.489792 120.383397) + (xy 107.4714 120.475862) (xy 107.1586 120.475862) (xy 107.140208 120.383397) (xy 107.10413 120.296298) (xy 107.051753 120.21791) + (xy 106.98509 120.151247) (xy 106.906702 120.09887) (xy 106.819603 120.062792) (xy 106.727138 120.0444) (xy 106.632862 120.0444) + (xy 106.540397 120.062792) (xy 106.453298 120.09887) (xy 106.37491 120.151247) (xy 106.308247 120.21791) (xy 106.25587 120.296298) + (xy 106.219792 120.383397) (xy 106.2014 120.475862) (xy 103.5546 120.475862) (xy 103.5546 120.031362) (xy 166.3359 120.031362) + (xy 166.3359 120.125638) (xy 166.354292 120.218103) (xy 166.39037 120.305202) (xy 166.442747 120.38359) (xy 166.50941 120.450253) + (xy 166.587798 120.50263) (xy 166.674897 120.538708) (xy 166.767362 120.5571) (xy 166.861638 120.5571) (xy 166.954103 120.538708) + (xy 167.041202 120.50263) (xy 167.11959 120.450253) (xy 167.186253 120.38359) (xy 167.23863 120.305202) (xy 167.274708 120.218103) + (xy 167.2931 120.125638) (xy 167.2931 120.031362) (xy 167.274708 119.938897) (xy 167.23863 119.851798) (xy 167.186253 119.77341) + (xy 167.11959 119.706747) (xy 167.041202 119.65437) (xy 167.037562 119.652862) (xy 180.8714 119.652862) (xy 180.8714 119.747138) + (xy 180.889792 119.839603) (xy 180.92587 119.926702) (xy 180.978247 120.00509) (xy 181.04491 120.071753) (xy 181.123298 120.12413) + (xy 181.210397 120.160208) (xy 181.302862 120.1786) (xy 181.397138 120.1786) (xy 181.489603 120.160208) (xy 181.576702 120.12413) + (xy 181.65509 120.071753) (xy 181.721753 120.00509) (xy 181.77413 119.926702) (xy 181.810208 119.839603) (xy 181.8286 119.747138) + (xy 181.8286 119.652862) (xy 181.818655 119.602862) (xy 189.2714 119.602862) (xy 189.2714 119.697138) (xy 189.289792 119.789603) + (xy 189.32587 119.876702) (xy 189.378247 119.95509) (xy 189.44491 120.021753) (xy 189.523298 120.07413) (xy 189.610397 120.110208) + (xy 189.702862 120.1286) (xy 189.797138 120.1286) (xy 189.889603 120.110208) (xy 189.976702 120.07413) (xy 190.05509 120.021753) + (xy 190.121753 119.95509) (xy 190.17413 119.876702) (xy 190.210208 119.789603) (xy 190.2286 119.697138) (xy 190.2286 119.602862) + (xy 190.210208 119.510397) (xy 190.17413 119.423298) (xy 190.121753 119.34491) (xy 190.057997 119.281154) (xy 199.6564 119.281154) + (xy 199.6564 119.478846) (xy 199.694968 119.672739) (xy 199.770621 119.855383) (xy 199.880453 120.019758) (xy 200.020242 120.159547) + (xy 200.184617 120.269379) (xy 200.367261 120.345032) (xy 200.561154 120.3836) (xy 200.758846 120.3836) (xy 200.952739 120.345032) + (xy 201.135383 120.269379) (xy 201.299758 120.159547) (xy 201.356473 120.102832) (xy 202.581537 120.102832) (xy 202.668539 120.237049) + (xy 202.845953 120.324265) (xy 203.036972 120.375192) (xy 203.234257 120.387875) (xy 203.430225 120.361826) (xy 203.617346 120.298046) + (xy 203.731461 120.237049) (xy 203.818463 120.102832) (xy 203.2 119.484369) (xy 202.581537 120.102832) (xy 201.356473 120.102832) + (xy 201.439547 120.019758) (xy 201.549379 119.855383) (xy 201.625032 119.672739) (xy 201.6636 119.478846) (xy 201.6636 119.414257) + (xy 202.192125 119.414257) (xy 202.218174 119.610225) (xy 202.281954 119.797346) (xy 202.342951 119.911461) (xy 202.477168 119.998463) + (xy 203.095631 119.38) (xy 203.304369 119.38) (xy 203.922832 119.998463) (xy 204.057049 119.911461) (xy 204.144265 119.734047) + (xy 204.195192 119.543028) (xy 204.207875 119.345743) (xy 204.19929 119.281154) (xy 204.7364 119.281154) (xy 204.7364 119.478846) + (xy 204.774968 119.672739) (xy 204.850621 119.855383) (xy 204.960453 120.019758) (xy 205.100242 120.159547) (xy 205.264617 120.269379) + (xy 205.447261 120.345032) (xy 205.641154 120.3836) (xy 205.838846 120.3836) (xy 206.032739 120.345032) (xy 206.215383 120.269379) + (xy 206.379758 120.159547) (xy 206.519547 120.019758) (xy 206.629379 119.855383) (xy 206.705032 119.672739) (xy 206.7436 119.478846) + (xy 206.7436 119.281154) (xy 206.705032 119.087261) (xy 206.629379 118.904617) (xy 206.519547 118.740242) (xy 206.379758 118.600453) + (xy 206.215383 118.490621) (xy 206.032739 118.414968) (xy 205.838846 118.3764) (xy 205.641154 118.3764) (xy 205.447261 118.414968) + (xy 205.264617 118.490621) (xy 205.100242 118.600453) (xy 204.960453 118.740242) (xy 204.850621 118.904617) (xy 204.774968 119.087261) + (xy 204.7364 119.281154) (xy 204.19929 119.281154) (xy 204.181826 119.149775) (xy 204.118046 118.962654) (xy 204.057049 118.848539) + (xy 203.922832 118.761537) (xy 203.304369 119.38) (xy 203.095631 119.38) (xy 202.477168 118.761537) (xy 202.342951 118.848539) + (xy 202.255735 119.025953) (xy 202.204808 119.216972) (xy 202.192125 119.414257) (xy 201.6636 119.414257) (xy 201.6636 119.281154) + (xy 201.625032 119.087261) (xy 201.549379 118.904617) (xy 201.439547 118.740242) (xy 201.356473 118.657168) (xy 202.581537 118.657168) + (xy 203.2 119.275631) (xy 203.818463 118.657168) (xy 203.731461 118.522951) (xy 203.554047 118.435735) (xy 203.363028 118.384808) + (xy 203.165743 118.372125) (xy 202.969775 118.398174) (xy 202.782654 118.461954) (xy 202.668539 118.522951) (xy 202.581537 118.657168) + (xy 201.356473 118.657168) (xy 201.299758 118.600453) (xy 201.135383 118.490621) (xy 200.952739 118.414968) (xy 200.758846 118.3764) + (xy 200.561154 118.3764) (xy 200.367261 118.414968) (xy 200.184617 118.490621) (xy 200.020242 118.600453) (xy 199.880453 118.740242) + (xy 199.770621 118.904617) (xy 199.694968 119.087261) (xy 199.6564 119.281154) (xy 190.057997 119.281154) (xy 190.05509 119.278247) + (xy 189.976702 119.22587) (xy 189.889603 119.189792) (xy 189.797138 119.1714) (xy 189.702862 119.1714) (xy 189.610397 119.189792) + (xy 189.523298 119.22587) (xy 189.44491 119.278247) (xy 189.378247 119.34491) (xy 189.32587 119.423298) (xy 189.289792 119.510397) + (xy 189.2714 119.602862) (xy 181.818655 119.602862) (xy 181.810208 119.560397) (xy 181.77413 119.473298) (xy 181.721753 119.39491) + (xy 181.65509 119.328247) (xy 181.576702 119.27587) (xy 181.489603 119.239792) (xy 181.397138 119.2214) (xy 181.302862 119.2214) + (xy 181.210397 119.239792) (xy 181.123298 119.27587) (xy 181.04491 119.328247) (xy 180.978247 119.39491) (xy 180.92587 119.473298) + (xy 180.889792 119.560397) (xy 180.8714 119.652862) (xy 167.037562 119.652862) (xy 166.954103 119.618292) (xy 166.861638 119.5999) + (xy 166.767362 119.5999) (xy 166.674897 119.618292) (xy 166.587798 119.65437) (xy 166.50941 119.706747) (xy 166.442747 119.77341) + (xy 166.39037 119.851798) (xy 166.354292 119.938897) (xy 166.3359 120.031362) (xy 103.5546 120.031362) (xy 103.5546 118.402862) + (xy 112.6214 118.402862) (xy 112.6214 118.497138) (xy 112.639792 118.589603) (xy 112.67587 118.676702) (xy 112.728247 118.75509) + (xy 112.79491 118.821753) (xy 112.873298 118.87413) (xy 112.960397 118.910208) (xy 113.052862 118.9286) (xy 113.147138 118.9286) + (xy 113.239603 118.910208) (xy 113.326702 118.87413) (xy 113.40509 118.821753) (xy 113.471753 118.75509) (xy 113.52413 118.676702) + (xy 113.560208 118.589603) (xy 113.5786 118.497138) (xy 113.5786 118.402862) (xy 113.560208 118.310397) (xy 113.52413 118.223298) + (xy 113.471753 118.14491) (xy 113.40509 118.078247) (xy 113.326702 118.02587) (xy 113.239603 117.989792) (xy 113.147138 117.9714) + (xy 113.052862 117.9714) (xy 112.960397 117.989792) (xy 112.873298 118.02587) (xy 112.79491 118.078247) (xy 112.728247 118.14491) + (xy 112.67587 118.223298) (xy 112.639792 118.310397) (xy 112.6214 118.402862) (xy 103.5546 118.402862) (xy 103.5546 116.702862) + (xy 112.2214 116.702862) (xy 112.2214 116.797138) (xy 112.239792 116.889603) (xy 112.27587 116.976702) (xy 112.328247 117.05509) + (xy 112.39491 117.121753) (xy 112.473298 117.17413) (xy 112.560397 117.210208) (xy 112.652862 117.2286) (xy 112.747138 117.2286) + (xy 112.839603 117.210208) (xy 112.926702 117.17413) (xy 113.00509 117.121753) (xy 113.071753 117.05509) (xy 113.12413 116.976702) + (xy 113.160208 116.889603) (xy 113.1786 116.797138) (xy 113.1786 116.741154) (xy 199.6564 116.741154) (xy 199.6564 116.938846) + (xy 199.694968 117.132739) (xy 199.770621 117.315383) (xy 199.880453 117.479758) (xy 200.020242 117.619547) (xy 200.184617 117.729379) + (xy 200.367261 117.805032) (xy 200.561154 117.8436) (xy 200.758846 117.8436) (xy 200.952739 117.805032) (xy 201.135383 117.729379) + (xy 201.299758 117.619547) (xy 201.356473 117.562832) (xy 202.581537 117.562832) (xy 202.668539 117.697049) (xy 202.845953 117.784265) + (xy 203.036972 117.835192) (xy 203.234257 117.847875) (xy 203.430225 117.821826) (xy 203.617346 117.758046) (xy 203.731461 117.697049) + (xy 203.818463 117.562832) (xy 203.2 116.944369) (xy 202.581537 117.562832) (xy 201.356473 117.562832) (xy 201.439547 117.479758) + (xy 201.549379 117.315383) (xy 201.625032 117.132739) (xy 201.6636 116.938846) (xy 201.6636 116.874257) (xy 202.192125 116.874257) + (xy 202.218174 117.070225) (xy 202.281954 117.257346) (xy 202.342951 117.371461) (xy 202.477168 117.458463) (xy 203.095631 116.84) + (xy 203.304369 116.84) (xy 203.922832 117.458463) (xy 204.057049 117.371461) (xy 204.144265 117.194047) (xy 204.195192 117.003028) + (xy 204.207875 116.805743) (xy 204.19929 116.741154) (xy 204.7364 116.741154) (xy 204.7364 116.938846) (xy 204.774968 117.132739) + (xy 204.850621 117.315383) (xy 204.960453 117.479758) (xy 205.100242 117.619547) (xy 205.264617 117.729379) (xy 205.447261 117.805032) + (xy 205.641154 117.8436) (xy 205.838846 117.8436) (xy 206.032739 117.805032) (xy 206.215383 117.729379) (xy 206.379758 117.619547) + (xy 206.519547 117.479758) (xy 206.629379 117.315383) (xy 206.705032 117.132739) (xy 206.7436 116.938846) (xy 206.7436 116.741154) + (xy 206.705032 116.547261) (xy 206.629379 116.364617) (xy 206.519547 116.200242) (xy 206.379758 116.060453) (xy 206.215383 115.950621) + (xy 206.032739 115.874968) (xy 205.838846 115.8364) (xy 205.641154 115.8364) (xy 205.447261 115.874968) (xy 205.264617 115.950621) + (xy 205.100242 116.060453) (xy 204.960453 116.200242) (xy 204.850621 116.364617) (xy 204.774968 116.547261) (xy 204.7364 116.741154) + (xy 204.19929 116.741154) (xy 204.181826 116.609775) (xy 204.118046 116.422654) (xy 204.057049 116.308539) (xy 203.922832 116.221537) + (xy 203.304369 116.84) (xy 203.095631 116.84) (xy 202.477168 116.221537) (xy 202.342951 116.308539) (xy 202.255735 116.485953) + (xy 202.204808 116.676972) (xy 202.192125 116.874257) (xy 201.6636 116.874257) (xy 201.6636 116.741154) (xy 201.625032 116.547261) + (xy 201.549379 116.364617) (xy 201.439547 116.200242) (xy 201.356473 116.117168) (xy 202.581537 116.117168) (xy 203.2 116.735631) + (xy 203.818463 116.117168) (xy 203.731461 115.982951) (xy 203.554047 115.895735) (xy 203.363028 115.844808) (xy 203.165743 115.832125) + (xy 202.969775 115.858174) (xy 202.782654 115.921954) (xy 202.668539 115.982951) (xy 202.581537 116.117168) (xy 201.356473 116.117168) + (xy 201.299758 116.060453) (xy 201.135383 115.950621) (xy 200.952739 115.874968) (xy 200.758846 115.8364) (xy 200.561154 115.8364) + (xy 200.367261 115.874968) (xy 200.184617 115.950621) (xy 200.020242 116.060453) (xy 199.880453 116.200242) (xy 199.770621 116.364617) + (xy 199.694968 116.547261) (xy 199.6564 116.741154) (xy 113.1786 116.741154) (xy 113.1786 116.702862) (xy 113.160208 116.610397) + (xy 113.12413 116.523298) (xy 113.071753 116.44491) (xy 113.00509 116.378247) (xy 112.926702 116.32587) (xy 112.839603 116.289792) + (xy 112.747138 116.2714) (xy 112.652862 116.2714) (xy 112.560397 116.289792) (xy 112.473298 116.32587) (xy 112.39491 116.378247) + (xy 112.328247 116.44491) (xy 112.27587 116.523298) (xy 112.239792 116.610397) (xy 112.2214 116.702862) (xy 103.5546 116.702862) + (xy 103.5546 115.602862) (xy 113.7714 115.602862) (xy 113.7714 115.697138) (xy 113.789792 115.789603) (xy 113.82587 115.876702) + (xy 113.878247 115.95509) (xy 113.94491 116.021753) (xy 114.023298 116.07413) (xy 114.110397 116.110208) (xy 114.202862 116.1286) + (xy 114.297138 116.1286) (xy 114.389603 116.110208) (xy 114.476702 116.07413) (xy 114.55509 116.021753) (xy 114.621753 115.95509) + (xy 114.67413 115.876702) (xy 114.710208 115.789603) (xy 114.7286 115.697138) (xy 114.7286 115.602862) (xy 114.7714 115.602862) + (xy 114.7714 115.697138) (xy 114.789792 115.789603) (xy 114.82587 115.876702) (xy 114.878247 115.95509) (xy 114.94491 116.021753) + (xy 115.023298 116.07413) (xy 115.110397 116.110208) (xy 115.202862 116.1286) (xy 115.297138 116.1286) (xy 115.389603 116.110208) + (xy 115.476702 116.07413) (xy 115.55509 116.021753) (xy 115.621753 115.95509) (xy 115.67413 115.876702) (xy 115.710208 115.789603) + (xy 115.7286 115.697138) (xy 115.7286 115.602862) (xy 115.7714 115.602862) (xy 115.7714 115.697138) (xy 115.789792 115.789603) + (xy 115.82587 115.876702) (xy 115.878247 115.95509) (xy 115.94491 116.021753) (xy 116.023298 116.07413) (xy 116.110397 116.110208) + (xy 116.202862 116.1286) (xy 116.297138 116.1286) (xy 116.389603 116.110208) (xy 116.476702 116.07413) (xy 116.55509 116.021753) + (xy 116.621753 115.95509) (xy 116.67413 115.876702) (xy 116.710208 115.789603) (xy 116.7286 115.697138) (xy 116.7286 115.602862) + (xy 117.7714 115.602862) (xy 117.7714 115.697138) (xy 117.789792 115.789603) (xy 117.82587 115.876702) (xy 117.878247 115.95509) + (xy 117.94491 116.021753) (xy 118.023298 116.07413) (xy 118.110397 116.110208) (xy 118.202862 116.1286) (xy 118.297138 116.1286) + (xy 118.389603 116.110208) (xy 118.476702 116.07413) (xy 118.55509 116.021753) (xy 118.621753 115.95509) (xy 118.67413 115.876702) + (xy 118.710208 115.789603) (xy 118.7286 115.697138) (xy 118.7286 115.602862) (xy 119.7714 115.602862) (xy 119.7714 115.697138) + (xy 119.789792 115.789603) (xy 119.82587 115.876702) (xy 119.878247 115.95509) (xy 119.94491 116.021753) (xy 120.023298 116.07413) + (xy 120.110397 116.110208) (xy 120.202862 116.1286) (xy 120.297138 116.1286) (xy 120.389603 116.110208) (xy 120.476702 116.07413) + (xy 120.55509 116.021753) (xy 120.621753 115.95509) (xy 120.67413 115.876702) (xy 120.710208 115.789603) (xy 120.7286 115.697138) + (xy 120.7286 115.602862) (xy 120.7714 115.602862) (xy 120.7714 115.697138) (xy 120.789792 115.789603) (xy 120.82587 115.876702) + (xy 120.878247 115.95509) (xy 120.94491 116.021753) (xy 121.023298 116.07413) (xy 121.110397 116.110208) (xy 121.202862 116.1286) + (xy 121.297138 116.1286) (xy 121.389603 116.110208) (xy 121.476702 116.07413) (xy 121.55509 116.021753) (xy 121.621753 115.95509) + (xy 121.67413 115.876702) (xy 121.710208 115.789603) (xy 121.7286 115.697138) (xy 121.7286 115.602862) (xy 121.7714 115.602862) + (xy 121.7714 115.697138) (xy 121.789792 115.789603) (xy 121.82587 115.876702) (xy 121.878247 115.95509) (xy 121.94491 116.021753) + (xy 122.023298 116.07413) (xy 122.110397 116.110208) (xy 122.202862 116.1286) (xy 122.297138 116.1286) (xy 122.389603 116.110208) + (xy 122.476702 116.07413) (xy 122.55509 116.021753) (xy 122.621753 115.95509) (xy 122.67413 115.876702) (xy 122.710208 115.789603) + (xy 122.7286 115.697138) (xy 122.7286 115.602862) (xy 122.7714 115.602862) (xy 122.7714 115.697138) (xy 122.789792 115.789603) + (xy 122.82587 115.876702) (xy 122.878247 115.95509) (xy 122.94491 116.021753) (xy 123.023298 116.07413) (xy 123.110397 116.110208) + (xy 123.202862 116.1286) (xy 123.297138 116.1286) (xy 123.389603 116.110208) (xy 123.476702 116.07413) (xy 123.55509 116.021753) + (xy 123.621753 115.95509) (xy 123.67413 115.876702) (xy 123.710208 115.789603) (xy 123.7286 115.697138) (xy 123.7286 115.602862) + (xy 123.710208 115.510397) (xy 123.67413 115.423298) (xy 123.621753 115.34491) (xy 123.55509 115.278247) (xy 123.476702 115.22587) + (xy 123.389603 115.189792) (xy 123.297138 115.1714) (xy 123.202862 115.1714) (xy 123.110397 115.189792) (xy 123.023298 115.22587) + (xy 122.94491 115.278247) (xy 122.878247 115.34491) (xy 122.82587 115.423298) (xy 122.789792 115.510397) (xy 122.7714 115.602862) + (xy 122.7286 115.602862) (xy 122.710208 115.510397) (xy 122.67413 115.423298) (xy 122.621753 115.34491) (xy 122.55509 115.278247) + (xy 122.476702 115.22587) (xy 122.389603 115.189792) (xy 122.297138 115.1714) (xy 122.202862 115.1714) (xy 122.110397 115.189792) + (xy 122.023298 115.22587) (xy 121.94491 115.278247) (xy 121.878247 115.34491) (xy 121.82587 115.423298) (xy 121.789792 115.510397) + (xy 121.7714 115.602862) (xy 121.7286 115.602862) (xy 121.710208 115.510397) (xy 121.67413 115.423298) (xy 121.621753 115.34491) + (xy 121.55509 115.278247) (xy 121.476702 115.22587) (xy 121.389603 115.189792) (xy 121.297138 115.1714) (xy 121.202862 115.1714) + (xy 121.110397 115.189792) (xy 121.023298 115.22587) (xy 120.94491 115.278247) (xy 120.878247 115.34491) (xy 120.82587 115.423298) + (xy 120.789792 115.510397) (xy 120.7714 115.602862) (xy 120.7286 115.602862) (xy 120.710208 115.510397) (xy 120.67413 115.423298) + (xy 120.621753 115.34491) (xy 120.55509 115.278247) (xy 120.476702 115.22587) (xy 120.389603 115.189792) (xy 120.297138 115.1714) + (xy 120.202862 115.1714) (xy 120.110397 115.189792) (xy 120.023298 115.22587) (xy 119.94491 115.278247) (xy 119.878247 115.34491) + (xy 119.82587 115.423298) (xy 119.789792 115.510397) (xy 119.7714 115.602862) (xy 118.7286 115.602862) (xy 118.710208 115.510397) + (xy 118.67413 115.423298) (xy 118.621753 115.34491) (xy 118.55509 115.278247) (xy 118.476702 115.22587) (xy 118.389603 115.189792) + (xy 118.297138 115.1714) (xy 118.202862 115.1714) (xy 118.110397 115.189792) (xy 118.023298 115.22587) (xy 117.94491 115.278247) + (xy 117.878247 115.34491) (xy 117.82587 115.423298) (xy 117.789792 115.510397) (xy 117.7714 115.602862) (xy 116.7286 115.602862) + (xy 116.710208 115.510397) (xy 116.67413 115.423298) (xy 116.621753 115.34491) (xy 116.55509 115.278247) (xy 116.476702 115.22587) + (xy 116.389603 115.189792) (xy 116.297138 115.1714) (xy 116.202862 115.1714) (xy 116.110397 115.189792) (xy 116.023298 115.22587) + (xy 115.94491 115.278247) (xy 115.878247 115.34491) (xy 115.82587 115.423298) (xy 115.789792 115.510397) (xy 115.7714 115.602862) + (xy 115.7286 115.602862) (xy 115.710208 115.510397) (xy 115.67413 115.423298) (xy 115.621753 115.34491) (xy 115.55509 115.278247) + (xy 115.476702 115.22587) (xy 115.389603 115.189792) (xy 115.297138 115.1714) (xy 115.202862 115.1714) (xy 115.110397 115.189792) + (xy 115.023298 115.22587) (xy 114.94491 115.278247) (xy 114.878247 115.34491) (xy 114.82587 115.423298) (xy 114.789792 115.510397) + (xy 114.7714 115.602862) (xy 114.7286 115.602862) (xy 114.710208 115.510397) (xy 114.67413 115.423298) (xy 114.621753 115.34491) + (xy 114.55509 115.278247) (xy 114.476702 115.22587) (xy 114.389603 115.189792) (xy 114.297138 115.1714) (xy 114.202862 115.1714) + (xy 114.110397 115.189792) (xy 114.023298 115.22587) (xy 113.94491 115.278247) (xy 113.878247 115.34491) (xy 113.82587 115.423298) + (xy 113.789792 115.510397) (xy 113.7714 115.602862) (xy 103.5546 115.602862) (xy 103.5546 114.570362) (xy 105.1854 114.570362) + (xy 105.1854 114.664638) (xy 105.203792 114.757103) (xy 105.23987 114.844202) (xy 105.292247 114.92259) (xy 105.35891 114.989253) + (xy 105.437298 115.04163) (xy 105.524397 115.077708) (xy 105.616862 115.0961) (xy 105.711138 115.0961) (xy 105.803603 115.077708) + (xy 105.890702 115.04163) (xy 105.96909 114.989253) (xy 106.035753 114.92259) (xy 106.08813 114.844202) (xy 106.124208 114.757103) + (xy 106.1426 114.664638) (xy 106.1426 114.570362) (xy 108.4874 114.570362) (xy 108.4874 114.664638) (xy 108.505792 114.757103) + (xy 108.54187 114.844202) (xy 108.594247 114.92259) (xy 108.66091 114.989253) (xy 108.739298 115.04163) (xy 108.826397 115.077708) + (xy 108.918862 115.0961) (xy 109.013138 115.0961) (xy 109.105603 115.077708) (xy 109.192702 115.04163) (xy 109.27109 114.989253) + (xy 109.337753 114.92259) (xy 109.39013 114.844202) (xy 109.426208 114.757103) (xy 109.4446 114.664638) (xy 109.4446 114.570362) + (xy 109.426208 114.477897) (xy 109.39013 114.390798) (xy 109.337753 114.31241) (xy 109.27109 114.245747) (xy 109.192702 114.19337) + (xy 109.105603 114.157292) (xy 109.013138 114.1389) (xy 108.918862 114.1389) (xy 108.826397 114.157292) (xy 108.739298 114.19337) + (xy 108.66091 114.245747) (xy 108.594247 114.31241) (xy 108.54187 114.390798) (xy 108.505792 114.477897) (xy 108.4874 114.570362) + (xy 106.1426 114.570362) (xy 106.124208 114.477897) (xy 106.08813 114.390798) (xy 106.035753 114.31241) (xy 105.96909 114.245747) + (xy 105.890702 114.19337) (xy 105.803603 114.157292) (xy 105.711138 114.1389) (xy 105.616862 114.1389) (xy 105.524397 114.157292) + (xy 105.437298 114.19337) (xy 105.35891 114.245747) (xy 105.292247 114.31241) (xy 105.23987 114.390798) (xy 105.203792 114.477897) + (xy 105.1854 114.570362) (xy 103.5546 114.570362) (xy 103.5546 114.052862) (xy 112.2214 114.052862) (xy 112.2214 114.147138) + (xy 112.239792 114.239603) (xy 112.27587 114.326702) (xy 112.328247 114.40509) (xy 112.39491 114.471753) (xy 112.473298 114.52413) + (xy 112.560397 114.560208) (xy 112.652862 114.5786) (xy 112.747138 114.5786) (xy 112.839603 114.560208) (xy 112.857337 114.552862) + (xy 113.1214 114.552862) (xy 113.1214 114.647138) (xy 113.139792 114.739603) (xy 113.17587 114.826702) (xy 113.228247 114.90509) + (xy 113.29491 114.971753) (xy 113.373298 115.02413) (xy 113.460397 115.060208) (xy 113.552862 115.0786) (xy 113.647138 115.0786) + (xy 113.739603 115.060208) (xy 113.826702 115.02413) (xy 113.90509 114.971753) (xy 113.971753 114.90509) (xy 114.02413 114.826702) + (xy 114.060208 114.739603) (xy 114.067516 114.702862) (xy 114.2714 114.702862) (xy 114.2714 114.797138) (xy 114.289792 114.889603) + (xy 114.32587 114.976702) (xy 114.378247 115.05509) (xy 114.44491 115.121753) (xy 114.523298 115.17413) (xy 114.610397 115.210208) + (xy 114.702862 115.2286) (xy 114.797138 115.2286) (xy 114.889603 115.210208) (xy 114.976702 115.17413) (xy 115.05509 115.121753) + (xy 115.121753 115.05509) (xy 115.17413 114.976702) (xy 115.210208 114.889603) (xy 115.2286 114.797138) (xy 115.2286 114.702862) + (xy 115.2714 114.702862) (xy 115.2714 114.797138) (xy 115.289792 114.889603) (xy 115.32587 114.976702) (xy 115.378247 115.05509) + (xy 115.44491 115.121753) (xy 115.523298 115.17413) (xy 115.610397 115.210208) (xy 115.702862 115.2286) (xy 115.797138 115.2286) + (xy 115.889603 115.210208) (xy 115.976702 115.17413) (xy 116.05509 115.121753) (xy 116.121753 115.05509) (xy 116.17413 114.976702) + (xy 116.210208 114.889603) (xy 116.2286 114.797138) (xy 116.2286 114.702862) (xy 116.2714 114.702862) (xy 116.2714 114.797138) + (xy 116.289792 114.889603) (xy 116.32587 114.976702) (xy 116.378247 115.05509) (xy 116.44491 115.121753) (xy 116.523298 115.17413) + (xy 116.610397 115.210208) (xy 116.702862 115.2286) (xy 116.797138 115.2286) (xy 116.889603 115.210208) (xy 116.976702 115.17413) + (xy 117.05509 115.121753) (xy 117.121753 115.05509) (xy 117.17413 114.976702) (xy 117.210208 114.889603) (xy 117.2286 114.797138) + (xy 117.2286 114.702862) (xy 117.2714 114.702862) (xy 117.2714 114.797138) (xy 117.289792 114.889603) (xy 117.32587 114.976702) + (xy 117.378247 115.05509) (xy 117.44491 115.121753) (xy 117.523298 115.17413) (xy 117.610397 115.210208) (xy 117.702862 115.2286) + (xy 117.797138 115.2286) (xy 117.889603 115.210208) (xy 117.976702 115.17413) (xy 118.05509 115.121753) (xy 118.121753 115.05509) + (xy 118.17413 114.976702) (xy 118.210208 114.889603) (xy 118.2286 114.797138) (xy 118.2286 114.702862) (xy 120.2714 114.702862) + (xy 120.2714 114.797138) (xy 120.289792 114.889603) (xy 120.32587 114.976702) (xy 120.378247 115.05509) (xy 120.44491 115.121753) + (xy 120.523298 115.17413) (xy 120.610397 115.210208) (xy 120.702862 115.2286) (xy 120.797138 115.2286) (xy 120.889603 115.210208) + (xy 120.976702 115.17413) (xy 121.05509 115.121753) (xy 121.121753 115.05509) (xy 121.17413 114.976702) (xy 121.210208 114.889603) + (xy 121.2286 114.797138) (xy 121.2286 114.702862) (xy 121.2714 114.702862) (xy 121.2714 114.797138) (xy 121.289792 114.889603) + (xy 121.32587 114.976702) (xy 121.378247 115.05509) (xy 121.44491 115.121753) (xy 121.523298 115.17413) (xy 121.610397 115.210208) + (xy 121.702862 115.2286) (xy 121.797138 115.2286) (xy 121.889603 115.210208) (xy 121.976702 115.17413) (xy 122.05509 115.121753) + (xy 122.121753 115.05509) (xy 122.17413 114.976702) (xy 122.210208 114.889603) (xy 122.2286 114.797138) (xy 122.2286 114.702862) + (xy 122.2714 114.702862) (xy 122.2714 114.797138) (xy 122.289792 114.889603) (xy 122.32587 114.976702) (xy 122.378247 115.05509) + (xy 122.44491 115.121753) (xy 122.523298 115.17413) (xy 122.610397 115.210208) (xy 122.702862 115.2286) (xy 122.797138 115.2286) + (xy 122.889603 115.210208) (xy 122.976702 115.17413) (xy 123.05509 115.121753) (xy 123.121753 115.05509) (xy 123.17413 114.976702) + (xy 123.210208 114.889603) (xy 123.2286 114.797138) (xy 123.2286 114.702862) (xy 123.2714 114.702862) (xy 123.2714 114.797138) + (xy 123.289792 114.889603) (xy 123.32587 114.976702) (xy 123.378247 115.05509) (xy 123.44491 115.121753) (xy 123.523298 115.17413) + (xy 123.610397 115.210208) (xy 123.702862 115.2286) (xy 123.797138 115.2286) (xy 123.889603 115.210208) (xy 123.976702 115.17413) + (xy 124.05509 115.121753) (xy 124.121753 115.05509) (xy 124.17413 114.976702) (xy 124.210208 114.889603) (xy 124.2286 114.797138) + (xy 124.2286 114.702862) (xy 124.210208 114.610397) (xy 124.186376 114.552862) (xy 124.4214 114.552862) (xy 124.4214 114.647138) + (xy 124.439792 114.739603) (xy 124.47587 114.826702) (xy 124.528247 114.90509) (xy 124.59491 114.971753) (xy 124.673298 115.02413) + (xy 124.760397 115.060208) (xy 124.852862 115.0786) (xy 124.947138 115.0786) (xy 125.039603 115.060208) (xy 125.126702 115.02413) + (xy 125.20509 114.971753) (xy 125.271753 114.90509) (xy 125.283264 114.887862) (xy 159.0334 114.887862) (xy 159.0334 114.982138) + (xy 159.051792 115.074603) (xy 159.08787 115.161702) (xy 159.140247 115.24009) (xy 159.20691 115.306753) (xy 159.285298 115.35913) + (xy 159.372397 115.395208) (xy 159.464862 115.4136) (xy 159.559138 115.4136) (xy 159.651603 115.395208) (xy 159.738702 115.35913) + (xy 159.81709 115.306753) (xy 159.883753 115.24009) (xy 159.93613 115.161702) (xy 159.972208 115.074603) (xy 159.9906 114.982138) + (xy 159.9906 114.887862) (xy 159.972208 114.795397) (xy 159.93613 114.708298) (xy 159.883753 114.62991) (xy 159.81709 114.563247) + (xy 159.738702 114.51087) (xy 159.651603 114.474792) (xy 159.559138 114.4564) (xy 159.464862 114.4564) (xy 159.372397 114.474792) + (xy 159.285298 114.51087) (xy 159.20691 114.563247) (xy 159.140247 114.62991) (xy 159.08787 114.708298) (xy 159.051792 114.795397) + (xy 159.0334 114.887862) (xy 125.283264 114.887862) (xy 125.32413 114.826702) (xy 125.360208 114.739603) (xy 125.3786 114.647138) + (xy 125.3786 114.552862) (xy 125.360208 114.460397) (xy 125.32413 114.373298) (xy 125.271753 114.29491) (xy 125.20509 114.228247) + (xy 125.126702 114.17587) (xy 125.039603 114.139792) (xy 124.947138 114.1214) (xy 124.852862 114.1214) (xy 124.760397 114.139792) + (xy 124.673298 114.17587) (xy 124.59491 114.228247) (xy 124.528247 114.29491) (xy 124.47587 114.373298) (xy 124.439792 114.460397) + (xy 124.4214 114.552862) (xy 124.186376 114.552862) (xy 124.17413 114.523298) (xy 124.121753 114.44491) (xy 124.05509 114.378247) + (xy 123.976702 114.32587) (xy 123.889603 114.289792) (xy 123.797138 114.2714) (xy 123.702862 114.2714) (xy 123.610397 114.289792) + (xy 123.523298 114.32587) (xy 123.44491 114.378247) (xy 123.378247 114.44491) (xy 123.32587 114.523298) (xy 123.289792 114.610397) + (xy 123.2714 114.702862) (xy 123.2286 114.702862) (xy 123.210208 114.610397) (xy 123.17413 114.523298) (xy 123.121753 114.44491) + (xy 123.05509 114.378247) (xy 122.976702 114.32587) (xy 122.889603 114.289792) (xy 122.797138 114.2714) (xy 122.702862 114.2714) + (xy 122.610397 114.289792) (xy 122.523298 114.32587) (xy 122.44491 114.378247) (xy 122.378247 114.44491) (xy 122.32587 114.523298) + (xy 122.289792 114.610397) (xy 122.2714 114.702862) (xy 122.2286 114.702862) (xy 122.210208 114.610397) (xy 122.17413 114.523298) + (xy 122.121753 114.44491) (xy 122.05509 114.378247) (xy 121.976702 114.32587) (xy 121.889603 114.289792) (xy 121.797138 114.2714) + (xy 121.702862 114.2714) (xy 121.610397 114.289792) (xy 121.523298 114.32587) (xy 121.44491 114.378247) (xy 121.378247 114.44491) + (xy 121.32587 114.523298) (xy 121.289792 114.610397) (xy 121.2714 114.702862) (xy 121.2286 114.702862) (xy 121.210208 114.610397) + (xy 121.17413 114.523298) (xy 121.121753 114.44491) (xy 121.05509 114.378247) (xy 120.976702 114.32587) (xy 120.889603 114.289792) + (xy 120.797138 114.2714) (xy 120.702862 114.2714) (xy 120.610397 114.289792) (xy 120.523298 114.32587) (xy 120.44491 114.378247) + (xy 120.378247 114.44491) (xy 120.32587 114.523298) (xy 120.289792 114.610397) (xy 120.2714 114.702862) (xy 118.2286 114.702862) + (xy 118.210208 114.610397) (xy 118.17413 114.523298) (xy 118.121753 114.44491) (xy 118.05509 114.378247) (xy 117.976702 114.32587) + (xy 117.889603 114.289792) (xy 117.797138 114.2714) (xy 117.702862 114.2714) (xy 117.610397 114.289792) (xy 117.523298 114.32587) + (xy 117.44491 114.378247) (xy 117.378247 114.44491) (xy 117.32587 114.523298) (xy 117.289792 114.610397) (xy 117.2714 114.702862) + (xy 117.2286 114.702862) (xy 117.210208 114.610397) (xy 117.17413 114.523298) (xy 117.121753 114.44491) (xy 117.05509 114.378247) + (xy 116.976702 114.32587) (xy 116.889603 114.289792) (xy 116.797138 114.2714) (xy 116.702862 114.2714) (xy 116.610397 114.289792) + (xy 116.523298 114.32587) (xy 116.44491 114.378247) (xy 116.378247 114.44491) (xy 116.32587 114.523298) (xy 116.289792 114.610397) + (xy 116.2714 114.702862) (xy 116.2286 114.702862) (xy 116.210208 114.610397) (xy 116.17413 114.523298) (xy 116.121753 114.44491) + (xy 116.05509 114.378247) (xy 115.976702 114.32587) (xy 115.889603 114.289792) (xy 115.797138 114.2714) (xy 115.702862 114.2714) + (xy 115.610397 114.289792) (xy 115.523298 114.32587) (xy 115.44491 114.378247) (xy 115.378247 114.44491) (xy 115.32587 114.523298) + (xy 115.289792 114.610397) (xy 115.2714 114.702862) (xy 115.2286 114.702862) (xy 115.210208 114.610397) (xy 115.17413 114.523298) + (xy 115.121753 114.44491) (xy 115.05509 114.378247) (xy 114.976702 114.32587) (xy 114.889603 114.289792) (xy 114.797138 114.2714) + (xy 114.702862 114.2714) (xy 114.610397 114.289792) (xy 114.523298 114.32587) (xy 114.44491 114.378247) (xy 114.378247 114.44491) + (xy 114.32587 114.523298) (xy 114.289792 114.610397) (xy 114.2714 114.702862) (xy 114.067516 114.702862) (xy 114.0786 114.647138) + (xy 114.0786 114.552862) (xy 114.060208 114.460397) (xy 114.02413 114.373298) (xy 113.971753 114.29491) (xy 113.90509 114.228247) + (xy 113.826702 114.17587) (xy 113.739603 114.139792) (xy 113.647138 114.1214) (xy 113.552862 114.1214) (xy 113.460397 114.139792) + (xy 113.373298 114.17587) (xy 113.29491 114.228247) (xy 113.228247 114.29491) (xy 113.17587 114.373298) (xy 113.139792 114.460397) + (xy 113.1214 114.552862) (xy 112.857337 114.552862) (xy 112.926702 114.52413) (xy 113.00509 114.471753) (xy 113.071753 114.40509) + (xy 113.12413 114.326702) (xy 113.160208 114.239603) (xy 113.1786 114.147138) (xy 113.1786 114.102862) (xy 118.0714 114.102862) + (xy 118.0714 114.197138) (xy 118.089792 114.289603) (xy 118.12587 114.376702) (xy 118.178247 114.45509) (xy 118.24491 114.521753) + (xy 118.323298 114.57413) (xy 118.410397 114.610208) (xy 118.502862 114.6286) (xy 118.597138 114.6286) (xy 118.689603 114.610208) + (xy 118.776702 114.57413) (xy 118.85509 114.521753) (xy 118.921753 114.45509) (xy 118.97413 114.376702) (xy 119.010208 114.289603) + (xy 119.0286 114.197138) (xy 119.0286 114.102862) (xy 119.4714 114.102862) (xy 119.4714 114.197138) (xy 119.489792 114.289603) + (xy 119.52587 114.376702) (xy 119.578247 114.45509) (xy 119.64491 114.521753) (xy 119.723298 114.57413) (xy 119.810397 114.610208) + (xy 119.902862 114.6286) (xy 119.997138 114.6286) (xy 120.089603 114.610208) (xy 120.176702 114.57413) (xy 120.25509 114.521753) + (xy 120.321753 114.45509) (xy 120.37413 114.376702) (xy 120.410208 114.289603) (xy 120.4286 114.197138) (xy 120.4286 114.102862) + (xy 120.410208 114.010397) (xy 120.37413 113.923298) (xy 120.321753 113.84491) (xy 120.25509 113.778247) (xy 120.217099 113.752862) + (xy 123.8214 113.752862) (xy 123.8214 113.847138) (xy 123.839792 113.939603) (xy 123.87587 114.026702) (xy 123.928247 114.10509) + (xy 123.99491 114.171753) (xy 124.073298 114.22413) (xy 124.160397 114.260208) (xy 124.252862 114.2786) (xy 124.347138 114.2786) + (xy 124.439603 114.260208) (xy 124.526702 114.22413) (xy 124.60509 114.171753) (xy 124.671753 114.10509) (xy 124.70665 114.052862) + (xy 125.3214 114.052862) (xy 125.3214 114.147138) (xy 125.339792 114.239603) (xy 125.37587 114.326702) (xy 125.428247 114.40509) + (xy 125.49491 114.471753) (xy 125.573298 114.52413) (xy 125.660397 114.560208) (xy 125.752862 114.5786) (xy 125.847138 114.5786) + (xy 125.939603 114.560208) (xy 126.026702 114.52413) (xy 126.10509 114.471753) (xy 126.171753 114.40509) (xy 126.22413 114.326702) + (xy 126.260208 114.239603) (xy 126.2786 114.147138) (xy 126.2786 114.125862) (xy 159.7954 114.125862) (xy 159.7954 114.220138) + (xy 159.813792 114.312603) (xy 159.84987 114.399702) (xy 159.902247 114.47809) (xy 159.96891 114.544753) (xy 160.047298 114.59713) + (xy 160.134397 114.633208) (xy 160.226862 114.6516) (xy 160.321138 114.6516) (xy 160.413603 114.633208) (xy 160.500702 114.59713) + (xy 160.57909 114.544753) (xy 160.645753 114.47809) (xy 160.665616 114.448362) (xy 166.7169 114.448362) (xy 166.7169 114.542638) + (xy 166.735292 114.635103) (xy 166.77137 114.722202) (xy 166.823747 114.80059) (xy 166.89041 114.867253) (xy 166.968798 114.91963) + (xy 167.055897 114.955708) (xy 167.148362 114.9741) (xy 167.242638 114.9741) (xy 167.335103 114.955708) (xy 167.422202 114.91963) + (xy 167.50059 114.867253) (xy 167.567253 114.80059) (xy 167.61963 114.722202) (xy 167.655708 114.635103) (xy 167.6741 114.542638) + (xy 167.6741 114.448362) (xy 167.655708 114.355897) (xy 167.61963 114.268798) (xy 167.567253 114.19041) (xy 167.50059 114.123747) + (xy 167.422202 114.07137) (xy 167.335103 114.035292) (xy 167.242638 114.0169) (xy 167.148362 114.0169) (xy 167.055897 114.035292) + (xy 166.968798 114.07137) (xy 166.89041 114.123747) (xy 166.823747 114.19041) (xy 166.77137 114.268798) (xy 166.735292 114.355897) + (xy 166.7169 114.448362) (xy 160.665616 114.448362) (xy 160.69813 114.399702) (xy 160.734208 114.312603) (xy 160.7526 114.220138) + (xy 160.7526 114.125862) (xy 160.734208 114.033397) (xy 160.700849 113.952862) (xy 180.9714 113.952862) (xy 180.9714 114.047138) + (xy 180.989792 114.139603) (xy 181.02587 114.226702) (xy 181.078247 114.30509) (xy 181.14491 114.371753) (xy 181.223298 114.42413) + (xy 181.310397 114.460208) (xy 181.402862 114.4786) (xy 181.497138 114.4786) (xy 181.589603 114.460208) (xy 181.676702 114.42413) + (xy 181.75509 114.371753) (xy 181.821753 114.30509) (xy 181.87413 114.226702) (xy 181.910208 114.139603) (xy 181.9286 114.047138) + (xy 181.9286 113.952862) (xy 183.1714 113.952862) (xy 183.1714 114.047138) (xy 183.189792 114.139603) (xy 183.22587 114.226702) + (xy 183.278247 114.30509) (xy 183.34491 114.371753) (xy 183.423298 114.42413) (xy 183.510397 114.460208) (xy 183.602862 114.4786) + (xy 183.697138 114.4786) (xy 183.789603 114.460208) (xy 183.876702 114.42413) (xy 183.95509 114.371753) (xy 184.021753 114.30509) + (xy 184.07413 114.226702) (xy 184.110208 114.139603) (xy 184.1286 114.047138) (xy 184.1286 113.952862) (xy 189.4714 113.952862) + (xy 189.4714 114.047138) (xy 189.489792 114.139603) (xy 189.52587 114.226702) (xy 189.578247 114.30509) (xy 189.64491 114.371753) + (xy 189.723298 114.42413) (xy 189.810397 114.460208) (xy 189.902862 114.4786) (xy 189.997138 114.4786) (xy 190.089603 114.460208) + (xy 190.176702 114.42413) (xy 190.25509 114.371753) (xy 190.321753 114.30509) (xy 190.37413 114.226702) (xy 190.410208 114.139603) + (xy 190.4286 114.047138) (xy 190.4286 113.952862) (xy 191.6714 113.952862) (xy 191.6714 114.047138) (xy 191.689792 114.139603) + (xy 191.72587 114.226702) (xy 191.778247 114.30509) (xy 191.84491 114.371753) (xy 191.923298 114.42413) (xy 192.010397 114.460208) + (xy 192.102862 114.4786) (xy 192.197138 114.4786) (xy 192.289603 114.460208) (xy 192.376702 114.42413) (xy 192.45509 114.371753) + (xy 192.521753 114.30509) (xy 192.57413 114.226702) (xy 192.584712 114.201154) (xy 199.6564 114.201154) (xy 199.6564 114.398846) + (xy 199.694968 114.592739) (xy 199.770621 114.775383) (xy 199.880453 114.939758) (xy 200.020242 115.079547) (xy 200.184617 115.189379) + (xy 200.367261 115.265032) (xy 200.561154 115.3036) (xy 200.758846 115.3036) (xy 200.952739 115.265032) (xy 201.135383 115.189379) + (xy 201.299758 115.079547) (xy 201.356473 115.022832) (xy 202.581537 115.022832) (xy 202.668539 115.157049) (xy 202.845953 115.244265) + (xy 203.036972 115.295192) (xy 203.234257 115.307875) (xy 203.430225 115.281826) (xy 203.617346 115.218046) (xy 203.731461 115.157049) + (xy 203.818463 115.022832) (xy 203.2 114.404369) (xy 202.581537 115.022832) (xy 201.356473 115.022832) (xy 201.439547 114.939758) + (xy 201.549379 114.775383) (xy 201.625032 114.592739) (xy 201.6636 114.398846) (xy 201.6636 114.334257) (xy 202.192125 114.334257) + (xy 202.218174 114.530225) (xy 202.281954 114.717346) (xy 202.342951 114.831461) (xy 202.477168 114.918463) (xy 203.095631 114.3) + (xy 203.304369 114.3) (xy 203.922832 114.918463) (xy 204.057049 114.831461) (xy 204.144265 114.654047) (xy 204.195192 114.463028) + (xy 204.207875 114.265743) (xy 204.19929 114.201154) (xy 204.7364 114.201154) (xy 204.7364 114.398846) (xy 204.774968 114.592739) + (xy 204.850621 114.775383) (xy 204.960453 114.939758) (xy 205.100242 115.079547) (xy 205.264617 115.189379) (xy 205.447261 115.265032) + (xy 205.641154 115.3036) (xy 205.838846 115.3036) (xy 206.032739 115.265032) (xy 206.215383 115.189379) (xy 206.379758 115.079547) + (xy 206.519547 114.939758) (xy 206.629379 114.775383) (xy 206.705032 114.592739) (xy 206.7436 114.398846) (xy 206.7436 114.201154) + (xy 206.705032 114.007261) (xy 206.629379 113.824617) (xy 206.519547 113.660242) (xy 206.379758 113.520453) (xy 206.215383 113.410621) + (xy 206.032739 113.334968) (xy 205.838846 113.2964) (xy 205.641154 113.2964) (xy 205.447261 113.334968) (xy 205.264617 113.410621) + (xy 205.100242 113.520453) (xy 204.960453 113.660242) (xy 204.850621 113.824617) (xy 204.774968 114.007261) (xy 204.7364 114.201154) + (xy 204.19929 114.201154) (xy 204.181826 114.069775) (xy 204.118046 113.882654) (xy 204.057049 113.768539) (xy 203.922832 113.681537) + (xy 203.304369 114.3) (xy 203.095631 114.3) (xy 202.477168 113.681537) (xy 202.342951 113.768539) (xy 202.255735 113.945953) + (xy 202.204808 114.136972) (xy 202.192125 114.334257) (xy 201.6636 114.334257) (xy 201.6636 114.201154) (xy 201.625032 114.007261) + (xy 201.549379 113.824617) (xy 201.439547 113.660242) (xy 201.356473 113.577168) (xy 202.581537 113.577168) (xy 203.2 114.195631) + (xy 203.818463 113.577168) (xy 203.731461 113.442951) (xy 203.554047 113.355735) (xy 203.363028 113.304808) (xy 203.165743 113.292125) + (xy 202.969775 113.318174) (xy 202.782654 113.381954) (xy 202.668539 113.442951) (xy 202.581537 113.577168) (xy 201.356473 113.577168) + (xy 201.299758 113.520453) (xy 201.135383 113.410621) (xy 200.952739 113.334968) (xy 200.758846 113.2964) (xy 200.561154 113.2964) + (xy 200.367261 113.334968) (xy 200.184617 113.410621) (xy 200.020242 113.520453) (xy 199.880453 113.660242) (xy 199.770621 113.824617) + (xy 199.694968 114.007261) (xy 199.6564 114.201154) (xy 192.584712 114.201154) (xy 192.610208 114.139603) (xy 192.6286 114.047138) + (xy 192.6286 113.952862) (xy 192.610208 113.860397) (xy 192.57413 113.773298) (xy 192.521753 113.69491) (xy 192.45509 113.628247) + (xy 192.376702 113.57587) (xy 192.289603 113.539792) (xy 192.197138 113.5214) (xy 192.102862 113.5214) (xy 192.010397 113.539792) + (xy 191.923298 113.57587) (xy 191.84491 113.628247) (xy 191.778247 113.69491) (xy 191.72587 113.773298) (xy 191.689792 113.860397) + (xy 191.6714 113.952862) (xy 190.4286 113.952862) (xy 190.410208 113.860397) (xy 190.37413 113.773298) (xy 190.321753 113.69491) + (xy 190.25509 113.628247) (xy 190.176702 113.57587) (xy 190.089603 113.539792) (xy 189.997138 113.5214) (xy 189.902862 113.5214) + (xy 189.810397 113.539792) (xy 189.723298 113.57587) (xy 189.64491 113.628247) (xy 189.578247 113.69491) (xy 189.52587 113.773298) + (xy 189.489792 113.860397) (xy 189.4714 113.952862) (xy 184.1286 113.952862) (xy 184.110208 113.860397) (xy 184.07413 113.773298) + (xy 184.021753 113.69491) (xy 183.95509 113.628247) (xy 183.876702 113.57587) (xy 183.789603 113.539792) (xy 183.697138 113.5214) + (xy 183.602862 113.5214) (xy 183.510397 113.539792) (xy 183.423298 113.57587) (xy 183.34491 113.628247) (xy 183.278247 113.69491) + (xy 183.22587 113.773298) (xy 183.189792 113.860397) (xy 183.1714 113.952862) (xy 181.9286 113.952862) (xy 181.910208 113.860397) + (xy 181.87413 113.773298) (xy 181.821753 113.69491) (xy 181.75509 113.628247) (xy 181.676702 113.57587) (xy 181.589603 113.539792) + (xy 181.497138 113.5214) (xy 181.402862 113.5214) (xy 181.310397 113.539792) (xy 181.223298 113.57587) (xy 181.14491 113.628247) + (xy 181.078247 113.69491) (xy 181.02587 113.773298) (xy 180.989792 113.860397) (xy 180.9714 113.952862) (xy 160.700849 113.952862) + (xy 160.69813 113.946298) (xy 160.645753 113.86791) (xy 160.57909 113.801247) (xy 160.500702 113.74887) (xy 160.413603 113.712792) + (xy 160.321138 113.6944) (xy 160.226862 113.6944) (xy 160.134397 113.712792) (xy 160.047298 113.74887) (xy 159.96891 113.801247) + (xy 159.902247 113.86791) (xy 159.84987 113.946298) (xy 159.813792 114.033397) (xy 159.7954 114.125862) (xy 126.2786 114.125862) + (xy 126.2786 114.052862) (xy 126.260208 113.960397) (xy 126.22413 113.873298) (xy 126.171753 113.79491) (xy 126.10509 113.728247) + (xy 126.026702 113.67587) (xy 125.939603 113.639792) (xy 125.847138 113.6214) (xy 125.752862 113.6214) (xy 125.660397 113.639792) + (xy 125.573298 113.67587) (xy 125.49491 113.728247) (xy 125.428247 113.79491) (xy 125.37587 113.873298) (xy 125.339792 113.960397) + (xy 125.3214 114.052862) (xy 124.70665 114.052862) (xy 124.72413 114.026702) (xy 124.760208 113.939603) (xy 124.7786 113.847138) + (xy 124.7786 113.752862) (xy 124.760208 113.660397) (xy 124.72413 113.573298) (xy 124.671753 113.49491) (xy 124.60509 113.428247) + (xy 124.526702 113.37587) (xy 124.439603 113.339792) (xy 124.347138 113.3214) (xy 124.252862 113.3214) (xy 124.160397 113.339792) + (xy 124.073298 113.37587) (xy 123.99491 113.428247) (xy 123.928247 113.49491) (xy 123.87587 113.573298) (xy 123.839792 113.660397) + (xy 123.8214 113.752862) (xy 120.217099 113.752862) (xy 120.176702 113.72587) (xy 120.089603 113.689792) (xy 119.997138 113.6714) + (xy 119.902862 113.6714) (xy 119.810397 113.689792) (xy 119.723298 113.72587) (xy 119.64491 113.778247) (xy 119.578247 113.84491) + (xy 119.52587 113.923298) (xy 119.489792 114.010397) (xy 119.4714 114.102862) (xy 119.0286 114.102862) (xy 119.010208 114.010397) + (xy 118.97413 113.923298) (xy 118.921753 113.84491) (xy 118.85509 113.778247) (xy 118.776702 113.72587) (xy 118.689603 113.689792) + (xy 118.597138 113.6714) (xy 118.502862 113.6714) (xy 118.410397 113.689792) (xy 118.323298 113.72587) (xy 118.24491 113.778247) + (xy 118.178247 113.84491) (xy 118.12587 113.923298) (xy 118.089792 114.010397) (xy 118.0714 114.102862) (xy 113.1786 114.102862) + (xy 113.1786 114.052862) (xy 113.160208 113.960397) (xy 113.12413 113.873298) (xy 113.071753 113.79491) (xy 113.00509 113.728247) + (xy 112.926702 113.67587) (xy 112.839603 113.639792) (xy 112.747138 113.6214) (xy 112.652862 113.6214) (xy 112.560397 113.639792) + (xy 112.473298 113.67587) (xy 112.39491 113.728247) (xy 112.328247 113.79491) (xy 112.27587 113.873298) (xy 112.239792 113.960397) + (xy 112.2214 114.052862) (xy 103.5546 114.052862) (xy 103.5546 112.220862) (xy 106.0744 112.220862) (xy 106.0744 112.315138) + (xy 106.092792 112.407603) (xy 106.12887 112.494702) (xy 106.181247 112.57309) (xy 106.24791 112.639753) (xy 106.326298 112.69213) + (xy 106.413397 112.728208) (xy 106.505862 112.7466) (xy 106.600138 112.7466) (xy 106.692603 112.728208) (xy 106.779702 112.69213) + (xy 106.85809 112.639753) (xy 106.924753 112.57309) (xy 106.97713 112.494702) (xy 107.013208 112.407603) (xy 107.0316 112.315138) + (xy 107.0316 112.220862) (xy 107.5984 112.220862) (xy 107.5984 112.315138) (xy 107.616792 112.407603) (xy 107.65287 112.494702) + (xy 107.705247 112.57309) (xy 107.77191 112.639753) (xy 107.850298 112.69213) (xy 107.937397 112.728208) (xy 108.029862 112.7466) + (xy 108.124138 112.7466) (xy 108.216603 112.728208) (xy 108.303702 112.69213) (xy 108.38209 112.639753) (xy 108.448753 112.57309) + (xy 108.50113 112.494702) (xy 108.537208 112.407603) (xy 108.538151 112.402862) (xy 123.8214 112.402862) (xy 123.8214 112.497138) + (xy 123.839792 112.589603) (xy 123.87587 112.676702) (xy 123.928247 112.75509) (xy 123.99491 112.821753) (xy 124.073298 112.87413) + (xy 124.160397 112.910208) (xy 124.252862 112.9286) (xy 124.347138 112.9286) (xy 124.439603 112.910208) (xy 124.526702 112.87413) + (xy 124.60509 112.821753) (xy 124.671753 112.75509) (xy 124.72413 112.676702) (xy 124.754715 112.602862) (xy 133.4214 112.602862) + (xy 133.4214 112.697138) (xy 133.439792 112.789603) (xy 133.47587 112.876702) (xy 133.528247 112.95509) (xy 133.59491 113.021753) + (xy 133.673298 113.07413) (xy 133.760397 113.110208) (xy 133.852862 113.1286) (xy 133.947138 113.1286) (xy 134.039603 113.110208) + (xy 134.126702 113.07413) (xy 134.20509 113.021753) (xy 134.243981 112.982862) (xy 141.8884 112.982862) (xy 141.8884 113.077138) + (xy 141.906792 113.169603) (xy 141.94287 113.256702) (xy 141.995247 113.33509) (xy 142.06191 113.401753) (xy 142.140298 113.45413) + (xy 142.227397 113.490208) (xy 142.319862 113.5086) (xy 142.414138 113.5086) (xy 142.503315 113.490862) (xy 144.3014 113.490862) + (xy 144.3014 113.585138) (xy 144.319792 113.677603) (xy 144.35587 113.764702) (xy 144.408247 113.84309) (xy 144.47491 113.909753) + (xy 144.553298 113.96213) (xy 144.640397 113.998208) (xy 144.732862 114.0166) (xy 144.827138 114.0166) (xy 144.919603 113.998208) + (xy 145.006702 113.96213) (xy 145.08509 113.909753) (xy 145.151753 113.84309) (xy 145.20413 113.764702) (xy 145.240208 113.677603) + (xy 145.2586 113.585138) (xy 145.2586 113.490862) (xy 145.5714 113.490862) (xy 145.5714 113.585138) (xy 145.589792 113.677603) + (xy 145.62587 113.764702) (xy 145.678247 113.84309) (xy 145.74491 113.909753) (xy 145.823298 113.96213) (xy 145.910397 113.998208) + (xy 146.002862 114.0166) (xy 146.097138 114.0166) (xy 146.189603 113.998208) (xy 146.276702 113.96213) (xy 146.35509 113.909753) + (xy 146.421753 113.84309) (xy 146.47413 113.764702) (xy 146.510208 113.677603) (xy 146.5286 113.585138) (xy 146.5286 113.490862) + (xy 146.8414 113.490862) (xy 146.8414 113.585138) (xy 146.859792 113.677603) (xy 146.89587 113.764702) (xy 146.948247 113.84309) + (xy 147.01491 113.909753) (xy 147.093298 113.96213) (xy 147.180397 113.998208) (xy 147.272862 114.0166) (xy 147.367138 114.0166) + (xy 147.459603 113.998208) (xy 147.546702 113.96213) (xy 147.62509 113.909753) (xy 147.691753 113.84309) (xy 147.74413 113.764702) + (xy 147.780208 113.677603) (xy 147.7986 113.585138) (xy 147.7986 113.490862) (xy 148.1114 113.490862) (xy 148.1114 113.585138) + (xy 148.129792 113.677603) (xy 148.16587 113.764702) (xy 148.218247 113.84309) (xy 148.28491 113.909753) (xy 148.363298 113.96213) + (xy 148.450397 113.998208) (xy 148.542862 114.0166) (xy 148.637138 114.0166) (xy 148.729603 113.998208) (xy 148.816702 113.96213) + (xy 148.89509 113.909753) (xy 148.961753 113.84309) (xy 149.01413 113.764702) (xy 149.050208 113.677603) (xy 149.0686 113.585138) + (xy 149.0686 113.490862) (xy 149.3814 113.490862) (xy 149.3814 113.585138) (xy 149.399792 113.677603) (xy 149.43587 113.764702) + (xy 149.488247 113.84309) (xy 149.55491 113.909753) (xy 149.633298 113.96213) (xy 149.720397 113.998208) (xy 149.812862 114.0166) + (xy 149.907138 114.0166) (xy 149.999603 113.998208) (xy 150.086702 113.96213) (xy 150.16509 113.909753) (xy 150.231753 113.84309) + (xy 150.28413 113.764702) (xy 150.320208 113.677603) (xy 150.3386 113.585138) (xy 150.3386 113.490862) (xy 150.6514 113.490862) + (xy 150.6514 113.585138) (xy 150.669792 113.677603) (xy 150.70587 113.764702) (xy 150.758247 113.84309) (xy 150.82491 113.909753) + (xy 150.903298 113.96213) (xy 150.990397 113.998208) (xy 151.082862 114.0166) (xy 151.177138 114.0166) (xy 151.269603 113.998208) + (xy 151.356702 113.96213) (xy 151.43509 113.909753) (xy 151.501753 113.84309) (xy 151.55413 113.764702) (xy 151.590208 113.677603) + (xy 151.6086 113.585138) (xy 151.6086 113.490862) (xy 151.9214 113.490862) (xy 151.9214 113.585138) (xy 151.939792 113.677603) + (xy 151.97587 113.764702) (xy 152.028247 113.84309) (xy 152.09491 113.909753) (xy 152.173298 113.96213) (xy 152.260397 113.998208) + (xy 152.352862 114.0166) (xy 152.447138 114.0166) (xy 152.539603 113.998208) (xy 152.626702 113.96213) (xy 152.70509 113.909753) + (xy 152.771753 113.84309) (xy 152.82413 113.764702) (xy 152.860208 113.677603) (xy 152.8786 113.585138) (xy 152.8786 113.490862) + (xy 153.1914 113.490862) (xy 153.1914 113.585138) (xy 153.209792 113.677603) (xy 153.24587 113.764702) (xy 153.298247 113.84309) + (xy 153.36491 113.909753) (xy 153.443298 113.96213) (xy 153.530397 113.998208) (xy 153.622862 114.0166) (xy 153.717138 114.0166) + (xy 153.809603 113.998208) (xy 153.896702 113.96213) (xy 153.97509 113.909753) (xy 154.041753 113.84309) (xy 154.09413 113.764702) + (xy 154.130208 113.677603) (xy 154.1486 113.585138) (xy 154.1486 113.490862) (xy 154.4614 113.490862) (xy 154.4614 113.585138) + (xy 154.479792 113.677603) (xy 154.51587 113.764702) (xy 154.568247 113.84309) (xy 154.63491 113.909753) (xy 154.713298 113.96213) + (xy 154.800397 113.998208) (xy 154.892862 114.0166) (xy 154.987138 114.0166) (xy 155.079603 113.998208) (xy 155.166702 113.96213) + (xy 155.24509 113.909753) (xy 155.311753 113.84309) (xy 155.36413 113.764702) (xy 155.400208 113.677603) (xy 155.4186 113.585138) + (xy 155.4186 113.490862) (xy 155.7314 113.490862) (xy 155.7314 113.585138) (xy 155.749792 113.677603) (xy 155.78587 113.764702) + (xy 155.838247 113.84309) (xy 155.90491 113.909753) (xy 155.983298 113.96213) (xy 156.070397 113.998208) (xy 156.162862 114.0166) + (xy 156.257138 114.0166) (xy 156.349603 113.998208) (xy 156.436702 113.96213) (xy 156.51509 113.909753) (xy 156.581753 113.84309) + (xy 156.63413 113.764702) (xy 156.670208 113.677603) (xy 156.6886 113.585138) (xy 156.6886 113.490862) (xy 157.0014 113.490862) + (xy 157.0014 113.585138) (xy 157.019792 113.677603) (xy 157.05587 113.764702) (xy 157.108247 113.84309) (xy 157.17491 113.909753) + (xy 157.253298 113.96213) (xy 157.340397 113.998208) (xy 157.432862 114.0166) (xy 157.527138 114.0166) (xy 157.619603 113.998208) + (xy 157.706702 113.96213) (xy 157.78509 113.909753) (xy 157.851753 113.84309) (xy 157.90413 113.764702) (xy 157.940208 113.677603) + (xy 157.9586 113.585138) (xy 157.9586 113.490862) (xy 157.940208 113.398397) (xy 157.921347 113.352862) (xy 182.0714 113.352862) + (xy 182.0714 113.447138) (xy 182.089792 113.539603) (xy 182.12587 113.626702) (xy 182.178247 113.70509) (xy 182.24491 113.771753) + (xy 182.323298 113.82413) (xy 182.410397 113.860208) (xy 182.502862 113.8786) (xy 182.597138 113.8786) (xy 182.689603 113.860208) + (xy 182.776702 113.82413) (xy 182.85509 113.771753) (xy 182.921753 113.70509) (xy 182.97413 113.626702) (xy 183.010208 113.539603) + (xy 183.0286 113.447138) (xy 183.0286 113.352862) (xy 190.5714 113.352862) (xy 190.5714 113.447138) (xy 190.589792 113.539603) + (xy 190.62587 113.626702) (xy 190.678247 113.70509) (xy 190.74491 113.771753) (xy 190.823298 113.82413) (xy 190.910397 113.860208) + (xy 191.002862 113.8786) (xy 191.097138 113.8786) (xy 191.189603 113.860208) (xy 191.276702 113.82413) (xy 191.35509 113.771753) + (xy 191.421753 113.70509) (xy 191.47413 113.626702) (xy 191.510208 113.539603) (xy 191.5286 113.447138) (xy 191.5286 113.352862) + (xy 191.510208 113.260397) (xy 191.47413 113.173298) (xy 191.421753 113.09491) (xy 191.35509 113.028247) (xy 191.276702 112.97587) + (xy 191.189603 112.939792) (xy 191.097138 112.9214) (xy 191.002862 112.9214) (xy 190.910397 112.939792) (xy 190.823298 112.97587) + (xy 190.74491 113.028247) (xy 190.678247 113.09491) (xy 190.62587 113.173298) (xy 190.589792 113.260397) (xy 190.5714 113.352862) + (xy 183.0286 113.352862) (xy 183.010208 113.260397) (xy 182.97413 113.173298) (xy 182.921753 113.09491) (xy 182.85509 113.028247) + (xy 182.776702 112.97587) (xy 182.689603 112.939792) (xy 182.597138 112.9214) (xy 182.502862 112.9214) (xy 182.410397 112.939792) + (xy 182.323298 112.97587) (xy 182.24491 113.028247) (xy 182.178247 113.09491) (xy 182.12587 113.173298) (xy 182.089792 113.260397) + (xy 182.0714 113.352862) (xy 157.921347 113.352862) (xy 157.90413 113.311298) (xy 157.851753 113.23291) (xy 157.78509 113.166247) + (xy 157.706702 113.11387) (xy 157.619603 113.077792) (xy 157.527138 113.0594) (xy 157.432862 113.0594) (xy 157.340397 113.077792) + (xy 157.253298 113.11387) (xy 157.17491 113.166247) (xy 157.108247 113.23291) (xy 157.05587 113.311298) (xy 157.019792 113.398397) + (xy 157.0014 113.490862) (xy 156.6886 113.490862) (xy 156.670208 113.398397) (xy 156.63413 113.311298) (xy 156.581753 113.23291) + (xy 156.51509 113.166247) (xy 156.436702 113.11387) (xy 156.349603 113.077792) (xy 156.257138 113.0594) (xy 156.162862 113.0594) + (xy 156.070397 113.077792) (xy 155.983298 113.11387) (xy 155.90491 113.166247) (xy 155.838247 113.23291) (xy 155.78587 113.311298) + (xy 155.749792 113.398397) (xy 155.7314 113.490862) (xy 155.4186 113.490862) (xy 155.400208 113.398397) (xy 155.36413 113.311298) + (xy 155.311753 113.23291) (xy 155.24509 113.166247) (xy 155.166702 113.11387) (xy 155.079603 113.077792) (xy 154.987138 113.0594) + (xy 154.892862 113.0594) (xy 154.800397 113.077792) (xy 154.713298 113.11387) (xy 154.63491 113.166247) (xy 154.568247 113.23291) + (xy 154.51587 113.311298) (xy 154.479792 113.398397) (xy 154.4614 113.490862) (xy 154.1486 113.490862) (xy 154.130208 113.398397) + (xy 154.09413 113.311298) (xy 154.041753 113.23291) (xy 153.97509 113.166247) (xy 153.896702 113.11387) (xy 153.809603 113.077792) + (xy 153.717138 113.0594) (xy 153.622862 113.0594) (xy 153.530397 113.077792) (xy 153.443298 113.11387) (xy 153.36491 113.166247) + (xy 153.298247 113.23291) (xy 153.24587 113.311298) (xy 153.209792 113.398397) (xy 153.1914 113.490862) (xy 152.8786 113.490862) + (xy 152.860208 113.398397) (xy 152.82413 113.311298) (xy 152.771753 113.23291) (xy 152.70509 113.166247) (xy 152.626702 113.11387) + (xy 152.539603 113.077792) (xy 152.447138 113.0594) (xy 152.352862 113.0594) (xy 152.260397 113.077792) (xy 152.173298 113.11387) + (xy 152.09491 113.166247) (xy 152.028247 113.23291) (xy 151.97587 113.311298) (xy 151.939792 113.398397) (xy 151.9214 113.490862) + (xy 151.6086 113.490862) (xy 151.590208 113.398397) (xy 151.55413 113.311298) (xy 151.501753 113.23291) (xy 151.43509 113.166247) + (xy 151.356702 113.11387) (xy 151.269603 113.077792) (xy 151.177138 113.0594) (xy 151.082862 113.0594) (xy 150.990397 113.077792) + (xy 150.903298 113.11387) (xy 150.82491 113.166247) (xy 150.758247 113.23291) (xy 150.70587 113.311298) (xy 150.669792 113.398397) + (xy 150.6514 113.490862) (xy 150.3386 113.490862) (xy 150.320208 113.398397) (xy 150.28413 113.311298) (xy 150.231753 113.23291) + (xy 150.16509 113.166247) (xy 150.086702 113.11387) (xy 149.999603 113.077792) (xy 149.907138 113.0594) (xy 149.812862 113.0594) + (xy 149.720397 113.077792) (xy 149.633298 113.11387) (xy 149.55491 113.166247) (xy 149.488247 113.23291) (xy 149.43587 113.311298) + (xy 149.399792 113.398397) (xy 149.3814 113.490862) (xy 149.0686 113.490862) (xy 149.050208 113.398397) (xy 149.01413 113.311298) + (xy 148.961753 113.23291) (xy 148.89509 113.166247) (xy 148.816702 113.11387) (xy 148.729603 113.077792) (xy 148.637138 113.0594) + (xy 148.542862 113.0594) (xy 148.450397 113.077792) (xy 148.363298 113.11387) (xy 148.28491 113.166247) (xy 148.218247 113.23291) + (xy 148.16587 113.311298) (xy 148.129792 113.398397) (xy 148.1114 113.490862) (xy 147.7986 113.490862) (xy 147.780208 113.398397) + (xy 147.74413 113.311298) (xy 147.691753 113.23291) (xy 147.62509 113.166247) (xy 147.546702 113.11387) (xy 147.459603 113.077792) + (xy 147.367138 113.0594) (xy 147.272862 113.0594) (xy 147.180397 113.077792) (xy 147.093298 113.11387) (xy 147.01491 113.166247) + (xy 146.948247 113.23291) (xy 146.89587 113.311298) (xy 146.859792 113.398397) (xy 146.8414 113.490862) (xy 146.5286 113.490862) + (xy 146.510208 113.398397) (xy 146.47413 113.311298) (xy 146.421753 113.23291) (xy 146.35509 113.166247) (xy 146.276702 113.11387) + (xy 146.189603 113.077792) (xy 146.097138 113.0594) (xy 146.002862 113.0594) (xy 145.910397 113.077792) (xy 145.823298 113.11387) + (xy 145.74491 113.166247) (xy 145.678247 113.23291) (xy 145.62587 113.311298) (xy 145.589792 113.398397) (xy 145.5714 113.490862) + (xy 145.2586 113.490862) (xy 145.240208 113.398397) (xy 145.20413 113.311298) (xy 145.151753 113.23291) (xy 145.08509 113.166247) + (xy 145.006702 113.11387) (xy 144.919603 113.077792) (xy 144.827138 113.0594) (xy 144.732862 113.0594) (xy 144.640397 113.077792) + (xy 144.553298 113.11387) (xy 144.47491 113.166247) (xy 144.408247 113.23291) (xy 144.35587 113.311298) (xy 144.319792 113.398397) + (xy 144.3014 113.490862) (xy 142.503315 113.490862) (xy 142.506603 113.490208) (xy 142.593702 113.45413) (xy 142.67209 113.401753) + (xy 142.738753 113.33509) (xy 142.79113 113.256702) (xy 142.827208 113.169603) (xy 142.8456 113.077138) (xy 142.8456 112.982862) + (xy 142.827208 112.890397) (xy 142.79113 112.803298) (xy 142.738753 112.72491) (xy 142.67209 112.658247) (xy 142.593702 112.60587) + (xy 142.506603 112.569792) (xy 142.414138 112.5514) (xy 142.319862 112.5514) (xy 142.227397 112.569792) (xy 142.140298 112.60587) + (xy 142.06191 112.658247) (xy 141.995247 112.72491) (xy 141.94287 112.803298) (xy 141.906792 112.890397) (xy 141.8884 112.982862) + (xy 134.243981 112.982862) (xy 134.271753 112.95509) (xy 134.32413 112.876702) (xy 134.360208 112.789603) (xy 134.3786 112.697138) + (xy 134.3786 112.602862) (xy 134.360208 112.510397) (xy 134.32413 112.423298) (xy 134.271753 112.34491) (xy 134.20509 112.278247) + (xy 134.126702 112.22587) (xy 134.039603 112.189792) (xy 133.947138 112.1714) (xy 133.852862 112.1714) (xy 133.760397 112.189792) + (xy 133.673298 112.22587) (xy 133.59491 112.278247) (xy 133.528247 112.34491) (xy 133.47587 112.423298) (xy 133.439792 112.510397) + (xy 133.4214 112.602862) (xy 124.754715 112.602862) (xy 124.760208 112.589603) (xy 124.7786 112.497138) (xy 124.7786 112.402862) + (xy 124.760208 112.310397) (xy 124.72413 112.223298) (xy 124.671753 112.14491) (xy 124.60509 112.078247) (xy 124.526702 112.02587) + (xy 124.439603 111.989792) (xy 124.347138 111.9714) (xy 124.252862 111.9714) (xy 124.160397 111.989792) (xy 124.073298 112.02587) + (xy 123.99491 112.078247) (xy 123.928247 112.14491) (xy 123.87587 112.223298) (xy 123.839792 112.310397) (xy 123.8214 112.402862) + (xy 108.538151 112.402862) (xy 108.5556 112.315138) (xy 108.5556 112.220862) (xy 108.537208 112.128397) (xy 108.50113 112.041298) + (xy 108.448753 111.96291) (xy 108.38209 111.896247) (xy 108.303702 111.84387) (xy 108.216603 111.807792) (xy 108.124138 111.7894) + (xy 108.029862 111.7894) (xy 107.937397 111.807792) (xy 107.850298 111.84387) (xy 107.77191 111.896247) (xy 107.705247 111.96291) + (xy 107.65287 112.041298) (xy 107.616792 112.128397) (xy 107.5984 112.220862) (xy 107.0316 112.220862) (xy 107.013208 112.128397) + (xy 106.97713 112.041298) (xy 106.924753 111.96291) (xy 106.85809 111.896247) (xy 106.779702 111.84387) (xy 106.692603 111.807792) + (xy 106.600138 111.7894) (xy 106.505862 111.7894) (xy 106.413397 111.807792) (xy 106.326298 111.84387) (xy 106.24791 111.896247) + (xy 106.181247 111.96291) (xy 106.12887 112.041298) (xy 106.092792 112.128397) (xy 106.0744 112.220862) (xy 103.5546 112.220862) + (xy 103.5546 111.552862) (xy 124.4214 111.552862) (xy 124.4214 111.647138) (xy 124.439792 111.739603) (xy 124.47587 111.826702) + (xy 124.528247 111.90509) (xy 124.59491 111.971753) (xy 124.673298 112.02413) (xy 124.760397 112.060208) (xy 124.852862 112.0786) + (xy 124.947138 112.0786) (xy 125.039603 112.060208) (xy 125.057337 112.052862) (xy 125.3214 112.052862) (xy 125.3214 112.147138) + (xy 125.339792 112.239603) (xy 125.37587 112.326702) (xy 125.428247 112.40509) (xy 125.49491 112.471753) (xy 125.573298 112.52413) + (xy 125.660397 112.560208) (xy 125.752862 112.5786) (xy 125.847138 112.5786) (xy 125.939603 112.560208) (xy 126.026702 112.52413) + (xy 126.10509 112.471753) (xy 126.171753 112.40509) (xy 126.22413 112.326702) (xy 126.260208 112.239603) (xy 126.2786 112.147138) + (xy 126.2786 112.093862) (xy 139.2214 112.093862) (xy 139.2214 112.188138) (xy 139.239792 112.280603) (xy 139.27587 112.367702) + (xy 139.328247 112.44609) (xy 139.39491 112.512753) (xy 139.473298 112.56513) (xy 139.560397 112.601208) (xy 139.652862 112.6196) + (xy 139.747138 112.6196) (xy 139.839603 112.601208) (xy 139.926702 112.56513) (xy 140.00509 112.512753) (xy 140.071753 112.44609) + (xy 140.12413 112.367702) (xy 140.160208 112.280603) (xy 140.1786 112.188138) (xy 140.1786 112.093862) (xy 158.3984 112.093862) + (xy 158.3984 112.188138) (xy 158.416792 112.280603) (xy 158.45287 112.367702) (xy 158.505247 112.44609) (xy 158.57191 112.512753) + (xy 158.650298 112.56513) (xy 158.737397 112.601208) (xy 158.829862 112.6196) (xy 158.924138 112.6196) (xy 159.016603 112.601208) + (xy 159.103702 112.56513) (xy 159.18209 112.512753) (xy 159.196906 112.497937) (xy 182.8714 112.497937) (xy 182.8714 112.602063) + (xy 182.891713 112.704187) (xy 182.93156 112.800386) (xy 182.989409 112.886963) (xy 183.063037 112.960591) (xy 183.149614 113.01844) + (xy 183.245813 113.058287) (xy 183.347937 113.0786) (xy 183.452063 113.0786) (xy 183.554187 113.058287) (xy 183.650386 113.01844) + (xy 183.736963 112.960591) (xy 183.810591 112.886963) (xy 183.86844 112.800386) (xy 183.908287 112.704187) (xy 183.9286 112.602063) + (xy 183.9286 112.497937) (xy 191.3714 112.497937) (xy 191.3714 112.602063) (xy 191.391713 112.704187) (xy 191.43156 112.800386) + (xy 191.489409 112.886963) (xy 191.563037 112.960591) (xy 191.649614 113.01844) (xy 191.745813 113.058287) (xy 191.847937 113.0786) + (xy 191.952063 113.0786) (xy 192.054187 113.058287) (xy 192.150386 113.01844) (xy 192.236963 112.960591) (xy 192.310591 112.886963) + (xy 192.36844 112.800386) (xy 192.408287 112.704187) (xy 192.4286 112.602063) (xy 192.4286 112.497937) (xy 192.408287 112.395813) + (xy 192.36844 112.299614) (xy 192.310591 112.213037) (xy 192.236963 112.139409) (xy 192.150386 112.08156) (xy 192.054187 112.041713) + (xy 191.952063 112.0214) (xy 191.847937 112.0214) (xy 191.745813 112.041713) (xy 191.649614 112.08156) (xy 191.563037 112.139409) + (xy 191.489409 112.213037) (xy 191.43156 112.299614) (xy 191.391713 112.395813) (xy 191.3714 112.497937) (xy 183.9286 112.497937) + (xy 183.908287 112.395813) (xy 183.86844 112.299614) (xy 183.810591 112.213037) (xy 183.736963 112.139409) (xy 183.650386 112.08156) + (xy 183.554187 112.041713) (xy 183.452063 112.0214) (xy 183.347937 112.0214) (xy 183.245813 112.041713) (xy 183.149614 112.08156) + (xy 183.063037 112.139409) (xy 182.989409 112.213037) (xy 182.93156 112.299614) (xy 182.891713 112.395813) (xy 182.8714 112.497937) + (xy 159.196906 112.497937) (xy 159.248753 112.44609) (xy 159.30113 112.367702) (xy 159.337208 112.280603) (xy 159.3556 112.188138) + (xy 159.3556 112.093862) (xy 159.337208 112.001397) (xy 159.30113 111.914298) (xy 159.248753 111.83591) (xy 159.18209 111.769247) + (xy 159.103702 111.71687) (xy 159.016603 111.680792) (xy 158.924138 111.6624) (xy 158.829862 111.6624) (xy 158.737397 111.680792) + (xy 158.650298 111.71687) (xy 158.57191 111.769247) (xy 158.505247 111.83591) (xy 158.45287 111.914298) (xy 158.416792 112.001397) + (xy 158.3984 112.093862) (xy 140.1786 112.093862) (xy 140.160208 112.001397) (xy 140.12413 111.914298) (xy 140.071753 111.83591) + (xy 140.00509 111.769247) (xy 139.926702 111.71687) (xy 139.839603 111.680792) (xy 139.747138 111.6624) (xy 139.652862 111.6624) + (xy 139.560397 111.680792) (xy 139.473298 111.71687) (xy 139.39491 111.769247) (xy 139.328247 111.83591) (xy 139.27587 111.914298) + (xy 139.239792 112.001397) (xy 139.2214 112.093862) (xy 126.2786 112.093862) (xy 126.2786 112.052862) (xy 126.260208 111.960397) + (xy 126.22413 111.873298) (xy 126.171753 111.79491) (xy 126.10509 111.728247) (xy 126.026702 111.67587) (xy 125.971157 111.652862) + (xy 181.9214 111.652862) (xy 181.9214 111.747138) (xy 181.939792 111.839603) (xy 181.97587 111.926702) (xy 182.028247 112.00509) + (xy 182.09491 112.071753) (xy 182.173298 112.12413) (xy 182.260397 112.160208) (xy 182.352862 112.1786) (xy 182.447138 112.1786) + (xy 182.539603 112.160208) (xy 182.626702 112.12413) (xy 182.70509 112.071753) (xy 182.771753 112.00509) (xy 182.82413 111.926702) + (xy 182.860208 111.839603) (xy 182.8786 111.747138) (xy 182.8786 111.652862) (xy 182.868655 111.602862) (xy 186.2714 111.602862) + (xy 186.2714 111.697138) (xy 186.289792 111.789603) (xy 186.32587 111.876702) (xy 186.378247 111.95509) (xy 186.44491 112.021753) + (xy 186.523298 112.07413) (xy 186.610397 112.110208) (xy 186.702862 112.1286) (xy 186.797138 112.1286) (xy 186.889603 112.110208) + (xy 186.976702 112.07413) (xy 187.05509 112.021753) (xy 187.121753 111.95509) (xy 187.17413 111.876702) (xy 187.210208 111.789603) + (xy 187.2286 111.697138) (xy 187.2286 111.652862) (xy 190.4214 111.652862) (xy 190.4214 111.747138) (xy 190.439792 111.839603) + (xy 190.47587 111.926702) (xy 190.528247 112.00509) (xy 190.59491 112.071753) (xy 190.673298 112.12413) (xy 190.760397 112.160208) + (xy 190.852862 112.1786) (xy 190.947138 112.1786) (xy 191.039603 112.160208) (xy 191.126702 112.12413) (xy 191.20509 112.071753) + (xy 191.271753 112.00509) (xy 191.32413 111.926702) (xy 191.360208 111.839603) (xy 191.3786 111.747138) (xy 191.3786 111.661154) + (xy 199.6564 111.661154) (xy 199.6564 111.858846) (xy 199.694968 112.052739) (xy 199.770621 112.235383) (xy 199.880453 112.399758) + (xy 200.020242 112.539547) (xy 200.184617 112.649379) (xy 200.367261 112.725032) (xy 200.561154 112.7636) (xy 200.758846 112.7636) + (xy 200.952739 112.725032) (xy 201.135383 112.649379) (xy 201.299758 112.539547) (xy 201.356473 112.482832) (xy 202.581537 112.482832) + (xy 202.668539 112.617049) (xy 202.845953 112.704265) (xy 203.036972 112.755192) (xy 203.234257 112.767875) (xy 203.430225 112.741826) + (xy 203.617346 112.678046) (xy 203.731461 112.617049) (xy 203.818463 112.482832) (xy 203.2 111.864369) (xy 202.581537 112.482832) + (xy 201.356473 112.482832) (xy 201.439547 112.399758) (xy 201.549379 112.235383) (xy 201.625032 112.052739) (xy 201.6636 111.858846) + (xy 201.6636 111.794257) (xy 202.192125 111.794257) (xy 202.218174 111.990225) (xy 202.281954 112.177346) (xy 202.342951 112.291461) + (xy 202.477168 112.378463) (xy 203.095631 111.76) (xy 203.304369 111.76) (xy 203.922832 112.378463) (xy 204.057049 112.291461) + (xy 204.144265 112.114047) (xy 204.195192 111.923028) (xy 204.207875 111.725743) (xy 204.19929 111.661154) (xy 204.7364 111.661154) + (xy 204.7364 111.858846) (xy 204.774968 112.052739) (xy 204.850621 112.235383) (xy 204.960453 112.399758) (xy 205.100242 112.539547) + (xy 205.264617 112.649379) (xy 205.447261 112.725032) (xy 205.641154 112.7636) (xy 205.838846 112.7636) (xy 206.032739 112.725032) + (xy 206.215383 112.649379) (xy 206.379758 112.539547) (xy 206.519547 112.399758) (xy 206.629379 112.235383) (xy 206.705032 112.052739) + (xy 206.7436 111.858846) (xy 206.7436 111.661154) (xy 206.705032 111.467261) (xy 206.629379 111.284617) (xy 206.519547 111.120242) + (xy 206.379758 110.980453) (xy 206.215383 110.870621) (xy 206.032739 110.794968) (xy 205.838846 110.7564) (xy 205.641154 110.7564) + (xy 205.447261 110.794968) (xy 205.264617 110.870621) (xy 205.100242 110.980453) (xy 204.960453 111.120242) (xy 204.850621 111.284617) + (xy 204.774968 111.467261) (xy 204.7364 111.661154) (xy 204.19929 111.661154) (xy 204.181826 111.529775) (xy 204.118046 111.342654) + (xy 204.057049 111.228539) (xy 203.922832 111.141537) (xy 203.304369 111.76) (xy 203.095631 111.76) (xy 202.477168 111.141537) + (xy 202.342951 111.228539) (xy 202.255735 111.405953) (xy 202.204808 111.596972) (xy 202.192125 111.794257) (xy 201.6636 111.794257) + (xy 201.6636 111.661154) (xy 201.625032 111.467261) (xy 201.549379 111.284617) (xy 201.439547 111.120242) (xy 201.356473 111.037168) + (xy 202.581537 111.037168) (xy 203.2 111.655631) (xy 203.818463 111.037168) (xy 203.731461 110.902951) (xy 203.554047 110.815735) + (xy 203.363028 110.764808) (xy 203.165743 110.752125) (xy 202.969775 110.778174) (xy 202.782654 110.841954) (xy 202.668539 110.902951) + (xy 202.581537 111.037168) (xy 201.356473 111.037168) (xy 201.299758 110.980453) (xy 201.135383 110.870621) (xy 200.952739 110.794968) + (xy 200.758846 110.7564) (xy 200.561154 110.7564) (xy 200.367261 110.794968) (xy 200.184617 110.870621) (xy 200.020242 110.980453) + (xy 199.880453 111.120242) (xy 199.770621 111.284617) (xy 199.694968 111.467261) (xy 199.6564 111.661154) (xy 191.3786 111.661154) + (xy 191.3786 111.652862) (xy 191.360208 111.560397) (xy 191.32413 111.473298) (xy 191.271753 111.39491) (xy 191.20509 111.328247) + (xy 191.126702 111.27587) (xy 191.039603 111.239792) (xy 190.947138 111.2214) (xy 190.852862 111.2214) (xy 190.760397 111.239792) + (xy 190.673298 111.27587) (xy 190.59491 111.328247) (xy 190.528247 111.39491) (xy 190.47587 111.473298) (xy 190.439792 111.560397) + (xy 190.4214 111.652862) (xy 187.2286 111.652862) (xy 187.2286 111.602862) (xy 187.210208 111.510397) (xy 187.17413 111.423298) + (xy 187.121753 111.34491) (xy 187.05509 111.278247) (xy 186.976702 111.22587) (xy 186.889603 111.189792) (xy 186.797138 111.1714) + (xy 186.702862 111.1714) (xy 186.610397 111.189792) (xy 186.523298 111.22587) (xy 186.44491 111.278247) (xy 186.378247 111.34491) + (xy 186.32587 111.423298) (xy 186.289792 111.510397) (xy 186.2714 111.602862) (xy 182.868655 111.602862) (xy 182.860208 111.560397) + (xy 182.82413 111.473298) (xy 182.771753 111.39491) (xy 182.70509 111.328247) (xy 182.626702 111.27587) (xy 182.539603 111.239792) + (xy 182.447138 111.2214) (xy 182.352862 111.2214) (xy 182.260397 111.239792) (xy 182.173298 111.27587) (xy 182.09491 111.328247) + (xy 182.028247 111.39491) (xy 181.97587 111.473298) (xy 181.939792 111.560397) (xy 181.9214 111.652862) (xy 125.971157 111.652862) + (xy 125.939603 111.639792) (xy 125.847138 111.6214) (xy 125.752862 111.6214) (xy 125.660397 111.639792) (xy 125.573298 111.67587) + (xy 125.49491 111.728247) (xy 125.428247 111.79491) (xy 125.37587 111.873298) (xy 125.339792 111.960397) (xy 125.3214 112.052862) + (xy 125.057337 112.052862) (xy 125.126702 112.02413) (xy 125.20509 111.971753) (xy 125.271753 111.90509) (xy 125.32413 111.826702) + (xy 125.360208 111.739603) (xy 125.3786 111.647138) (xy 125.3786 111.552862) (xy 125.360208 111.460397) (xy 125.32413 111.373298) + (xy 125.271753 111.29491) (xy 125.20509 111.228247) (xy 125.126702 111.17587) (xy 125.039603 111.139792) (xy 124.947138 111.1214) + (xy 124.852862 111.1214) (xy 124.760397 111.139792) (xy 124.673298 111.17587) (xy 124.59491 111.228247) (xy 124.528247 111.29491) + (xy 124.47587 111.373298) (xy 124.439792 111.460397) (xy 124.4214 111.552862) (xy 103.5546 111.552862) (xy 103.5546 110.552862) + (xy 124.4214 110.552862) (xy 124.4214 110.647138) (xy 124.439792 110.739603) (xy 124.47587 110.826702) (xy 124.528247 110.90509) + (xy 124.59491 110.971753) (xy 124.673298 111.02413) (xy 124.760397 111.060208) (xy 124.852862 111.0786) (xy 124.947138 111.0786) + (xy 125.039603 111.060208) (xy 125.057337 111.052862) (xy 125.3214 111.052862) (xy 125.3214 111.147138) (xy 125.339792 111.239603) + (xy 125.37587 111.326702) (xy 125.428247 111.40509) (xy 125.49491 111.471753) (xy 125.573298 111.52413) (xy 125.660397 111.560208) + (xy 125.752862 111.5786) (xy 125.847138 111.5786) (xy 125.939603 111.560208) (xy 126.026702 111.52413) (xy 126.10509 111.471753) + (xy 126.171753 111.40509) (xy 126.22413 111.326702) (xy 126.260208 111.239603) (xy 126.2786 111.147138) (xy 126.2786 111.052862) + (xy 126.260208 110.960397) (xy 126.22413 110.873298) (xy 126.191099 110.823862) (xy 158.3984 110.823862) (xy 158.3984 110.918138) + (xy 158.416792 111.010603) (xy 158.45287 111.097702) (xy 158.505247 111.17609) (xy 158.57191 111.242753) (xy 158.650298 111.29513) + (xy 158.737397 111.331208) (xy 158.829862 111.3496) (xy 158.924138 111.3496) (xy 159.016603 111.331208) (xy 159.103702 111.29513) + (xy 159.18209 111.242753) (xy 159.248753 111.17609) (xy 159.30113 111.097702) (xy 159.337208 111.010603) (xy 159.3556 110.918138) + (xy 159.3556 110.823862) (xy 159.337208 110.731397) (xy 159.30113 110.644298) (xy 159.248753 110.56591) (xy 159.18209 110.499247) + (xy 159.103702 110.44687) (xy 159.016603 110.410792) (xy 158.924138 110.3924) (xy 158.829862 110.3924) (xy 158.737397 110.410792) + (xy 158.650298 110.44687) (xy 158.57191 110.499247) (xy 158.505247 110.56591) (xy 158.45287 110.644298) (xy 158.416792 110.731397) + (xy 158.3984 110.823862) (xy 126.191099 110.823862) (xy 126.171753 110.79491) (xy 126.10509 110.728247) (xy 126.026702 110.67587) + (xy 125.939603 110.639792) (xy 125.847138 110.6214) (xy 125.752862 110.6214) (xy 125.660397 110.639792) (xy 125.573298 110.67587) + (xy 125.49491 110.728247) (xy 125.428247 110.79491) (xy 125.37587 110.873298) (xy 125.339792 110.960397) (xy 125.3214 111.052862) + (xy 125.057337 111.052862) (xy 125.126702 111.02413) (xy 125.20509 110.971753) (xy 125.271753 110.90509) (xy 125.32413 110.826702) + (xy 125.360208 110.739603) (xy 125.3786 110.647138) (xy 125.3786 110.552862) (xy 125.360208 110.460397) (xy 125.32413 110.373298) + (xy 125.271753 110.29491) (xy 125.20509 110.228247) (xy 125.126702 110.17587) (xy 125.039603 110.139792) (xy 124.947138 110.1214) + (xy 124.852862 110.1214) (xy 124.760397 110.139792) (xy 124.673298 110.17587) (xy 124.59491 110.228247) (xy 124.528247 110.29491) + (xy 124.47587 110.373298) (xy 124.439792 110.460397) (xy 124.4214 110.552862) (xy 103.5546 110.552862) (xy 103.5546 109.552862) + (xy 124.4214 109.552862) (xy 124.4214 109.647138) (xy 124.439792 109.739603) (xy 124.47587 109.826702) (xy 124.528247 109.90509) + (xy 124.59491 109.971753) (xy 124.673298 110.02413) (xy 124.760397 110.060208) (xy 124.852862 110.0786) (xy 124.947138 110.0786) + (xy 125.039603 110.060208) (xy 125.057337 110.052862) (xy 125.3214 110.052862) (xy 125.3214 110.147138) (xy 125.339792 110.239603) + (xy 125.37587 110.326702) (xy 125.428247 110.40509) (xy 125.49491 110.471753) (xy 125.573298 110.52413) (xy 125.660397 110.560208) + (xy 125.752862 110.5786) (xy 125.847138 110.5786) (xy 125.939603 110.560208) (xy 126.026702 110.52413) (xy 126.10509 110.471753) + (xy 126.171753 110.40509) (xy 126.22413 110.326702) (xy 126.260208 110.239603) (xy 126.2786 110.147138) (xy 126.2786 110.052862) + (xy 126.260208 109.960397) (xy 126.22413 109.873298) (xy 126.171753 109.79491) (xy 126.10509 109.728247) (xy 126.026702 109.67587) + (xy 125.939603 109.639792) (xy 125.847138 109.6214) (xy 125.752862 109.6214) (xy 125.660397 109.639792) (xy 125.573298 109.67587) + (xy 125.49491 109.728247) (xy 125.428247 109.79491) (xy 125.37587 109.873298) (xy 125.339792 109.960397) (xy 125.3214 110.052862) + (xy 125.057337 110.052862) (xy 125.126702 110.02413) (xy 125.20509 109.971753) (xy 125.271753 109.90509) (xy 125.32413 109.826702) + (xy 125.360208 109.739603) (xy 125.3786 109.647138) (xy 125.3786 109.552862) (xy 125.360208 109.460397) (xy 125.32413 109.373298) + (xy 125.271753 109.29491) (xy 125.20509 109.228247) (xy 125.126702 109.17587) (xy 125.039603 109.139792) (xy 124.947138 109.1214) + (xy 124.852862 109.1214) (xy 124.760397 109.139792) (xy 124.673298 109.17587) (xy 124.59491 109.228247) (xy 124.528247 109.29491) + (xy 124.47587 109.373298) (xy 124.439792 109.460397) (xy 124.4214 109.552862) (xy 103.5546 109.552862) (xy 103.5546 108.552862) + (xy 124.4214 108.552862) (xy 124.4214 108.647138) (xy 124.439792 108.739603) (xy 124.47587 108.826702) (xy 124.528247 108.90509) + (xy 124.59491 108.971753) (xy 124.673298 109.02413) (xy 124.760397 109.060208) (xy 124.852862 109.0786) (xy 124.947138 109.0786) + (xy 125.039603 109.060208) (xy 125.057337 109.052862) (xy 125.3214 109.052862) (xy 125.3214 109.147138) (xy 125.339792 109.239603) + (xy 125.37587 109.326702) (xy 125.428247 109.40509) (xy 125.49491 109.471753) (xy 125.573298 109.52413) (xy 125.660397 109.560208) + (xy 125.752862 109.5786) (xy 125.847138 109.5786) (xy 125.939603 109.560208) (xy 125.954923 109.553862) (xy 158.3984 109.553862) + (xy 158.3984 109.648138) (xy 158.416792 109.740603) (xy 158.45287 109.827702) (xy 158.505247 109.90609) (xy 158.57191 109.972753) + (xy 158.650298 110.02513) (xy 158.737397 110.061208) (xy 158.829862 110.0796) (xy 158.924138 110.0796) (xy 159.016603 110.061208) + (xy 159.103702 110.02513) (xy 159.18209 109.972753) (xy 159.248753 109.90609) (xy 159.30113 109.827702) (xy 159.337208 109.740603) + (xy 159.3556 109.648138) (xy 159.3556 109.553862) (xy 159.337208 109.461397) (xy 159.30113 109.374298) (xy 159.248753 109.29591) + (xy 159.18209 109.229247) (xy 159.103702 109.17687) (xy 159.016603 109.140792) (xy 158.924138 109.1224) (xy 158.829862 109.1224) + (xy 158.737397 109.140792) (xy 158.650298 109.17687) (xy 158.57191 109.229247) (xy 158.505247 109.29591) (xy 158.45287 109.374298) + (xy 158.416792 109.461397) (xy 158.3984 109.553862) (xy 125.954923 109.553862) (xy 126.026702 109.52413) (xy 126.10509 109.471753) + (xy 126.171753 109.40509) (xy 126.22413 109.326702) (xy 126.260208 109.239603) (xy 126.2786 109.147138) (xy 126.2786 109.121154) + (xy 199.6564 109.121154) (xy 199.6564 109.318846) (xy 199.694968 109.512739) (xy 199.770621 109.695383) (xy 199.880453 109.859758) + (xy 200.020242 109.999547) (xy 200.184617 110.109379) (xy 200.367261 110.185032) (xy 200.561154 110.2236) (xy 200.758846 110.2236) + (xy 200.952739 110.185032) (xy 201.135383 110.109379) (xy 201.299758 109.999547) (xy 201.356473 109.942832) (xy 202.581537 109.942832) + (xy 202.668539 110.077049) (xy 202.845953 110.164265) (xy 203.036972 110.215192) (xy 203.234257 110.227875) (xy 203.430225 110.201826) + (xy 203.617346 110.138046) (xy 203.731461 110.077049) (xy 203.818463 109.942832) (xy 203.2 109.324369) (xy 202.581537 109.942832) + (xy 201.356473 109.942832) (xy 201.439547 109.859758) (xy 201.549379 109.695383) (xy 201.625032 109.512739) (xy 201.6636 109.318846) + (xy 201.6636 109.254257) (xy 202.192125 109.254257) (xy 202.218174 109.450225) (xy 202.281954 109.637346) (xy 202.342951 109.751461) + (xy 202.477168 109.838463) (xy 203.095631 109.22) (xy 203.304369 109.22) (xy 203.922832 109.838463) (xy 204.057049 109.751461) + (xy 204.144265 109.574047) (xy 204.195192 109.383028) (xy 204.207875 109.185743) (xy 204.19929 109.121154) (xy 204.7364 109.121154) + (xy 204.7364 109.318846) (xy 204.774968 109.512739) (xy 204.850621 109.695383) (xy 204.960453 109.859758) (xy 205.100242 109.999547) + (xy 205.264617 110.109379) (xy 205.447261 110.185032) (xy 205.641154 110.2236) (xy 205.838846 110.2236) (xy 206.032739 110.185032) + (xy 206.215383 110.109379) (xy 206.379758 109.999547) (xy 206.519547 109.859758) (xy 206.629379 109.695383) (xy 206.705032 109.512739) + (xy 206.7436 109.318846) (xy 206.7436 109.121154) (xy 206.705032 108.927261) (xy 206.629379 108.744617) (xy 206.519547 108.580242) + (xy 206.379758 108.440453) (xy 206.215383 108.330621) (xy 206.032739 108.254968) (xy 205.838846 108.2164) (xy 205.641154 108.2164) + (xy 205.447261 108.254968) (xy 205.264617 108.330621) (xy 205.100242 108.440453) (xy 204.960453 108.580242) (xy 204.850621 108.744617) + (xy 204.774968 108.927261) (xy 204.7364 109.121154) (xy 204.19929 109.121154) (xy 204.181826 108.989775) (xy 204.118046 108.802654) + (xy 204.057049 108.688539) (xy 203.922832 108.601537) (xy 203.304369 109.22) (xy 203.095631 109.22) (xy 202.477168 108.601537) + (xy 202.342951 108.688539) (xy 202.255735 108.865953) (xy 202.204808 109.056972) (xy 202.192125 109.254257) (xy 201.6636 109.254257) + (xy 201.6636 109.121154) (xy 201.625032 108.927261) (xy 201.549379 108.744617) (xy 201.439547 108.580242) (xy 201.356473 108.497168) + (xy 202.581537 108.497168) (xy 203.2 109.115631) (xy 203.818463 108.497168) (xy 203.731461 108.362951) (xy 203.554047 108.275735) + (xy 203.363028 108.224808) (xy 203.165743 108.212125) (xy 202.969775 108.238174) (xy 202.782654 108.301954) (xy 202.668539 108.362951) + (xy 202.581537 108.497168) (xy 201.356473 108.497168) (xy 201.299758 108.440453) (xy 201.135383 108.330621) (xy 200.952739 108.254968) + (xy 200.758846 108.2164) (xy 200.561154 108.2164) (xy 200.367261 108.254968) (xy 200.184617 108.330621) (xy 200.020242 108.440453) + (xy 199.880453 108.580242) (xy 199.770621 108.744617) (xy 199.694968 108.927261) (xy 199.6564 109.121154) (xy 126.2786 109.121154) + (xy 126.2786 109.052862) (xy 126.260208 108.960397) (xy 126.22413 108.873298) (xy 126.171753 108.79491) (xy 126.10509 108.728247) + (xy 126.026702 108.67587) (xy 125.939603 108.639792) (xy 125.847138 108.6214) (xy 125.752862 108.6214) (xy 125.660397 108.639792) + (xy 125.573298 108.67587) (xy 125.49491 108.728247) (xy 125.428247 108.79491) (xy 125.37587 108.873298) (xy 125.339792 108.960397) + (xy 125.3214 109.052862) (xy 125.057337 109.052862) (xy 125.126702 109.02413) (xy 125.20509 108.971753) (xy 125.271753 108.90509) + (xy 125.32413 108.826702) (xy 125.360208 108.739603) (xy 125.3786 108.647138) (xy 125.3786 108.552862) (xy 125.360208 108.460397) + (xy 125.32413 108.373298) (xy 125.271753 108.29491) (xy 125.20509 108.228247) (xy 125.126702 108.17587) (xy 125.039603 108.139792) + (xy 124.947138 108.1214) (xy 124.852862 108.1214) (xy 124.760397 108.139792) (xy 124.673298 108.17587) (xy 124.59491 108.228247) + (xy 124.528247 108.29491) (xy 124.47587 108.373298) (xy 124.439792 108.460397) (xy 124.4214 108.552862) (xy 103.5546 108.552862) + (xy 103.5546 106.552862) (xy 108.2714 106.552862) (xy 108.2714 106.647138) (xy 108.289792 106.739603) (xy 108.32587 106.826702) + (xy 108.378247 106.90509) (xy 108.44491 106.971753) (xy 108.523298 107.02413) (xy 108.610397 107.060208) (xy 108.702862 107.0786) + (xy 108.797138 107.0786) (xy 108.889603 107.060208) (xy 108.907337 107.052862) (xy 112.2214 107.052862) (xy 112.2214 107.147138) + (xy 112.239792 107.239603) (xy 112.27587 107.326702) (xy 112.328247 107.40509) (xy 112.39491 107.471753) (xy 112.473298 107.52413) + (xy 112.560397 107.560208) (xy 112.652862 107.5786) (xy 112.747138 107.5786) (xy 112.839603 107.560208) (xy 112.857337 107.552862) + (xy 113.1214 107.552862) (xy 113.1214 107.647138) (xy 113.139792 107.739603) (xy 113.17587 107.826702) (xy 113.228247 107.90509) + (xy 113.29491 107.971753) (xy 113.373298 108.02413) (xy 113.460397 108.060208) (xy 113.552862 108.0786) (xy 113.647138 108.0786) + (xy 113.739603 108.060208) (xy 113.826702 108.02413) (xy 113.90509 107.971753) (xy 113.971753 107.90509) (xy 114.02413 107.826702) + (xy 114.060208 107.739603) (xy 114.0786 107.647138) (xy 114.0786 107.552862) (xy 124.4214 107.552862) (xy 124.4214 107.647138) + (xy 124.439792 107.739603) (xy 124.47587 107.826702) (xy 124.528247 107.90509) (xy 124.59491 107.971753) (xy 124.673298 108.02413) + (xy 124.760397 108.060208) (xy 124.852862 108.0786) (xy 124.947138 108.0786) (xy 125.039603 108.060208) (xy 125.057337 108.052862) + (xy 125.3214 108.052862) (xy 125.3214 108.147138) (xy 125.339792 108.239603) (xy 125.37587 108.326702) (xy 125.428247 108.40509) + (xy 125.49491 108.471753) (xy 125.573298 108.52413) (xy 125.660397 108.560208) (xy 125.752862 108.5786) (xy 125.847138 108.5786) + (xy 125.939603 108.560208) (xy 126.026702 108.52413) (xy 126.10509 108.471753) (xy 126.171753 108.40509) (xy 126.22413 108.326702) + (xy 126.241875 108.283862) (xy 158.3984 108.283862) (xy 158.3984 108.378138) (xy 158.416792 108.470603) (xy 158.45287 108.557702) + (xy 158.505247 108.63609) (xy 158.57191 108.702753) (xy 158.650298 108.75513) (xy 158.737397 108.791208) (xy 158.829862 108.8096) + (xy 158.924138 108.8096) (xy 159.016603 108.791208) (xy 159.103702 108.75513) (xy 159.18209 108.702753) (xy 159.248753 108.63609) + (xy 159.30113 108.557702) (xy 159.337208 108.470603) (xy 159.3556 108.378138) (xy 159.3556 108.283862) (xy 159.337208 108.191397) + (xy 159.30113 108.104298) (xy 159.248753 108.02591) (xy 159.18209 107.959247) (xy 159.103702 107.90687) (xy 159.016603 107.870792) + (xy 158.924138 107.8524) (xy 158.829862 107.8524) (xy 158.737397 107.870792) (xy 158.650298 107.90687) (xy 158.57191 107.959247) + (xy 158.505247 108.02591) (xy 158.45287 108.104298) (xy 158.416792 108.191397) (xy 158.3984 108.283862) (xy 126.241875 108.283862) + (xy 126.260208 108.239603) (xy 126.2786 108.147138) (xy 126.2786 108.052862) (xy 126.260208 107.960397) (xy 126.22413 107.873298) + (xy 126.171753 107.79491) (xy 126.10509 107.728247) (xy 126.026702 107.67587) (xy 125.939603 107.639792) (xy 125.847138 107.6214) + (xy 125.752862 107.6214) (xy 125.660397 107.639792) (xy 125.573298 107.67587) (xy 125.49491 107.728247) (xy 125.428247 107.79491) + (xy 125.37587 107.873298) (xy 125.339792 107.960397) (xy 125.3214 108.052862) (xy 125.057337 108.052862) (xy 125.126702 108.02413) + (xy 125.20509 107.971753) (xy 125.271753 107.90509) (xy 125.32413 107.826702) (xy 125.360208 107.739603) (xy 125.3786 107.647138) + (xy 125.3786 107.552862) (xy 125.360208 107.460397) (xy 125.32413 107.373298) (xy 125.271753 107.29491) (xy 125.20509 107.228247) + (xy 125.126702 107.17587) (xy 125.039603 107.139792) (xy 124.947138 107.1214) (xy 124.852862 107.1214) (xy 124.760397 107.139792) + (xy 124.673298 107.17587) (xy 124.59491 107.228247) (xy 124.528247 107.29491) (xy 124.47587 107.373298) (xy 124.439792 107.460397) + (xy 124.4214 107.552862) (xy 114.0786 107.552862) (xy 114.060208 107.460397) (xy 114.02413 107.373298) (xy 113.971753 107.29491) + (xy 113.90509 107.228247) (xy 113.826702 107.17587) (xy 113.739603 107.139792) (xy 113.647138 107.1214) (xy 113.552862 107.1214) + (xy 113.460397 107.139792) (xy 113.373298 107.17587) (xy 113.29491 107.228247) (xy 113.228247 107.29491) (xy 113.17587 107.373298) + (xy 113.139792 107.460397) (xy 113.1214 107.552862) (xy 112.857337 107.552862) (xy 112.926702 107.52413) (xy 113.00509 107.471753) + (xy 113.071753 107.40509) (xy 113.12413 107.326702) (xy 113.160208 107.239603) (xy 113.1786 107.147138) (xy 113.1786 107.052862) + (xy 113.160208 106.960397) (xy 113.12413 106.873298) (xy 113.071753 106.79491) (xy 113.00509 106.728247) (xy 112.926702 106.67587) + (xy 112.839603 106.639792) (xy 112.747138 106.6214) (xy 112.652862 106.6214) (xy 112.560397 106.639792) (xy 112.473298 106.67587) + (xy 112.39491 106.728247) (xy 112.328247 106.79491) (xy 112.27587 106.873298) (xy 112.239792 106.960397) (xy 112.2214 107.052862) + (xy 108.907337 107.052862) (xy 108.976702 107.02413) (xy 109.05509 106.971753) (xy 109.121753 106.90509) (xy 109.17413 106.826702) + (xy 109.210208 106.739603) (xy 109.2286 106.647138) (xy 109.2286 106.552862) (xy 124.4214 106.552862) (xy 124.4214 106.647138) + (xy 124.439792 106.739603) (xy 124.47587 106.826702) (xy 124.528247 106.90509) (xy 124.59491 106.971753) (xy 124.673298 107.02413) + (xy 124.760397 107.060208) (xy 124.852862 107.0786) (xy 124.947138 107.0786) (xy 125.039603 107.060208) (xy 125.057337 107.052862) + (xy 125.3214 107.052862) (xy 125.3214 107.147138) (xy 125.339792 107.239603) (xy 125.37587 107.326702) (xy 125.428247 107.40509) + (xy 125.49491 107.471753) (xy 125.573298 107.52413) (xy 125.660397 107.560208) (xy 125.752862 107.5786) (xy 125.847138 107.5786) + (xy 125.939603 107.560208) (xy 126.026702 107.52413) (xy 126.10509 107.471753) (xy 126.171753 107.40509) (xy 126.22413 107.326702) + (xy 126.260208 107.239603) (xy 126.2786 107.147138) (xy 126.2786 107.052862) (xy 126.270843 107.013862) (xy 158.3984 107.013862) + (xy 158.3984 107.108138) (xy 158.416792 107.200603) (xy 158.45287 107.287702) (xy 158.505247 107.36609) (xy 158.57191 107.432753) + (xy 158.650298 107.48513) (xy 158.737397 107.521208) (xy 158.829862 107.5396) (xy 158.924138 107.5396) (xy 159.016603 107.521208) + (xy 159.103702 107.48513) (xy 159.18209 107.432753) (xy 159.248753 107.36609) (xy 159.30113 107.287702) (xy 159.337208 107.200603) + (xy 159.3556 107.108138) (xy 159.3556 107.013862) (xy 159.337208 106.921397) (xy 159.30113 106.834298) (xy 159.248753 106.75591) + (xy 159.18209 106.689247) (xy 159.103702 106.63687) (xy 159.016603 106.600792) (xy 158.924138 106.5824) (xy 158.829862 106.5824) + (xy 158.737397 106.600792) (xy 158.650298 106.63687) (xy 158.57191 106.689247) (xy 158.505247 106.75591) (xy 158.45287 106.834298) + (xy 158.416792 106.921397) (xy 158.3984 107.013862) (xy 126.270843 107.013862) (xy 126.260208 106.960397) (xy 126.22413 106.873298) + (xy 126.171753 106.79491) (xy 126.10509 106.728247) (xy 126.026702 106.67587) (xy 125.939603 106.639792) (xy 125.847138 106.6214) + (xy 125.752862 106.6214) (xy 125.660397 106.639792) (xy 125.573298 106.67587) (xy 125.49491 106.728247) (xy 125.428247 106.79491) + (xy 125.37587 106.873298) (xy 125.339792 106.960397) (xy 125.3214 107.052862) (xy 125.057337 107.052862) (xy 125.126702 107.02413) + (xy 125.20509 106.971753) (xy 125.271753 106.90509) (xy 125.32413 106.826702) (xy 125.360208 106.739603) (xy 125.3786 106.647138) + (xy 125.3786 106.581154) (xy 199.6564 106.581154) (xy 199.6564 106.778846) (xy 199.694968 106.972739) (xy 199.770621 107.155383) + (xy 199.880453 107.319758) (xy 200.020242 107.459547) (xy 200.184617 107.569379) (xy 200.367261 107.645032) (xy 200.561154 107.6836) + (xy 200.758846 107.6836) (xy 200.952739 107.645032) (xy 201.135383 107.569379) (xy 201.299758 107.459547) (xy 201.439547 107.319758) + (xy 201.549379 107.155383) (xy 201.625032 106.972739) (xy 201.6636 106.778846) (xy 201.6636 106.581154) (xy 202.1964 106.581154) + (xy 202.1964 106.778846) (xy 202.234968 106.972739) (xy 202.310621 107.155383) (xy 202.420453 107.319758) (xy 202.560242 107.459547) + (xy 202.724617 107.569379) (xy 202.907261 107.645032) (xy 203.101154 107.6836) (xy 203.298846 107.6836) (xy 203.492739 107.645032) + (xy 203.675383 107.569379) (xy 203.839758 107.459547) (xy 203.979547 107.319758) (xy 204.089379 107.155383) (xy 204.165032 106.972739) + (xy 204.2036 106.778846) (xy 204.2036 106.581154) (xy 204.7364 106.581154) (xy 204.7364 106.778846) (xy 204.774968 106.972739) + (xy 204.850621 107.155383) (xy 204.960453 107.319758) (xy 205.100242 107.459547) (xy 205.264617 107.569379) (xy 205.447261 107.645032) + (xy 205.641154 107.6836) (xy 205.838846 107.6836) (xy 206.032739 107.645032) (xy 206.215383 107.569379) (xy 206.379758 107.459547) + (xy 206.519547 107.319758) (xy 206.629379 107.155383) (xy 206.705032 106.972739) (xy 206.7436 106.778846) (xy 206.7436 106.581154) + (xy 206.705032 106.387261) (xy 206.629379 106.204617) (xy 206.519547 106.040242) (xy 206.379758 105.900453) (xy 206.215383 105.790621) + (xy 206.032739 105.714968) (xy 205.838846 105.6764) (xy 205.641154 105.6764) (xy 205.447261 105.714968) (xy 205.264617 105.790621) + (xy 205.100242 105.900453) (xy 204.960453 106.040242) (xy 204.850621 106.204617) (xy 204.774968 106.387261) (xy 204.7364 106.581154) + (xy 204.2036 106.581154) (xy 204.165032 106.387261) (xy 204.089379 106.204617) (xy 203.979547 106.040242) (xy 203.839758 105.900453) + (xy 203.675383 105.790621) (xy 203.492739 105.714968) (xy 203.298846 105.6764) (xy 203.101154 105.6764) (xy 202.907261 105.714968) + (xy 202.724617 105.790621) (xy 202.560242 105.900453) (xy 202.420453 106.040242) (xy 202.310621 106.204617) (xy 202.234968 106.387261) + (xy 202.1964 106.581154) (xy 201.6636 106.581154) (xy 201.625032 106.387261) (xy 201.549379 106.204617) (xy 201.439547 106.040242) + (xy 201.299758 105.900453) (xy 201.135383 105.790621) (xy 200.952739 105.714968) (xy 200.758846 105.6764) (xy 200.561154 105.6764) + (xy 200.367261 105.714968) (xy 200.184617 105.790621) (xy 200.020242 105.900453) (xy 199.880453 106.040242) (xy 199.770621 106.204617) + (xy 199.694968 106.387261) (xy 199.6564 106.581154) (xy 125.3786 106.581154) (xy 125.3786 106.552862) (xy 125.360208 106.460397) + (xy 125.32413 106.373298) (xy 125.271753 106.29491) (xy 125.20509 106.228247) (xy 125.126702 106.17587) (xy 125.039603 106.139792) + (xy 124.947138 106.1214) (xy 124.852862 106.1214) (xy 124.760397 106.139792) (xy 124.673298 106.17587) (xy 124.59491 106.228247) + (xy 124.528247 106.29491) (xy 124.47587 106.373298) (xy 124.439792 106.460397) (xy 124.4214 106.552862) (xy 109.2286 106.552862) + (xy 109.210208 106.460397) (xy 109.17413 106.373298) (xy 109.121753 106.29491) (xy 109.05509 106.228247) (xy 108.976702 106.17587) + (xy 108.889603 106.139792) (xy 108.797138 106.1214) (xy 108.702862 106.1214) (xy 108.610397 106.139792) (xy 108.523298 106.17587) + (xy 108.44491 106.228247) (xy 108.378247 106.29491) (xy 108.32587 106.373298) (xy 108.289792 106.460397) (xy 108.2714 106.552862) + (xy 103.5546 106.552862) (xy 103.5546 105.552862) (xy 124.4214 105.552862) (xy 124.4214 105.647138) (xy 124.439792 105.739603) + (xy 124.47587 105.826702) (xy 124.528247 105.90509) (xy 124.59491 105.971753) (xy 124.673298 106.02413) (xy 124.760397 106.060208) + (xy 124.852862 106.0786) (xy 124.947138 106.0786) (xy 125.039603 106.060208) (xy 125.057337 106.052862) (xy 125.3214 106.052862) + (xy 125.3214 106.147138) (xy 125.339792 106.239603) (xy 125.37587 106.326702) (xy 125.428247 106.40509) (xy 125.49491 106.471753) + (xy 125.573298 106.52413) (xy 125.660397 106.560208) (xy 125.752862 106.5786) (xy 125.847138 106.5786) (xy 125.939603 106.560208) + (xy 126.026702 106.52413) (xy 126.10509 106.471753) (xy 126.171753 106.40509) (xy 126.22413 106.326702) (xy 126.260208 106.239603) + (xy 126.2786 106.147138) (xy 126.2786 106.052862) (xy 126.260208 105.960397) (xy 126.22413 105.873298) (xy 126.171753 105.79491) + (xy 126.120705 105.743862) (xy 158.3984 105.743862) (xy 158.3984 105.838138) (xy 158.416792 105.930603) (xy 158.45287 106.017702) + (xy 158.505247 106.09609) (xy 158.57191 106.162753) (xy 158.650298 106.21513) (xy 158.737397 106.251208) (xy 158.829862 106.2696) + (xy 158.924138 106.2696) (xy 159.016603 106.251208) (xy 159.103702 106.21513) (xy 159.18209 106.162753) (xy 159.248753 106.09609) + (xy 159.30113 106.017702) (xy 159.337208 105.930603) (xy 159.3556 105.838138) (xy 159.3556 105.743862) (xy 159.337208 105.651397) + (xy 159.30113 105.564298) (xy 159.248753 105.48591) (xy 159.18209 105.419247) (xy 159.103702 105.36687) (xy 159.016603 105.330792) + (xy 158.924138 105.3124) (xy 158.829862 105.3124) (xy 158.737397 105.330792) (xy 158.650298 105.36687) (xy 158.57191 105.419247) + (xy 158.505247 105.48591) (xy 158.45287 105.564298) (xy 158.416792 105.651397) (xy 158.3984 105.743862) (xy 126.120705 105.743862) + (xy 126.10509 105.728247) (xy 126.026702 105.67587) (xy 125.939603 105.639792) (xy 125.847138 105.6214) (xy 125.752862 105.6214) + (xy 125.660397 105.639792) (xy 125.573298 105.67587) (xy 125.49491 105.728247) (xy 125.428247 105.79491) (xy 125.37587 105.873298) + (xy 125.339792 105.960397) (xy 125.3214 106.052862) (xy 125.057337 106.052862) (xy 125.126702 106.02413) (xy 125.20509 105.971753) + (xy 125.271753 105.90509) (xy 125.32413 105.826702) (xy 125.360208 105.739603) (xy 125.3786 105.647138) (xy 125.3786 105.552862) + (xy 125.360208 105.460397) (xy 125.32413 105.373298) (xy 125.271753 105.29491) (xy 125.20509 105.228247) (xy 125.126702 105.17587) + (xy 125.039603 105.139792) (xy 124.947138 105.1214) (xy 124.852862 105.1214) (xy 124.760397 105.139792) (xy 124.673298 105.17587) + (xy 124.59491 105.228247) (xy 124.528247 105.29491) (xy 124.47587 105.373298) (xy 124.439792 105.460397) (xy 124.4214 105.552862) + (xy 103.5546 105.552862) (xy 103.5546 104.473862) (xy 158.3984 104.473862) (xy 158.3984 104.568138) (xy 158.416792 104.660603) + (xy 158.45287 104.747702) (xy 158.505247 104.82609) (xy 158.57191 104.892753) (xy 158.650298 104.94513) (xy 158.737397 104.981208) + (xy 158.829862 104.9996) (xy 158.924138 104.9996) (xy 159.016603 104.981208) (xy 159.103702 104.94513) (xy 159.18209 104.892753) + (xy 159.221981 104.852862) (xy 180.9714 104.852862) (xy 180.9714 104.947138) (xy 180.989792 105.039603) (xy 181.02587 105.126702) + (xy 181.078247 105.20509) (xy 181.14491 105.271753) (xy 181.223298 105.32413) (xy 181.310397 105.360208) (xy 181.402862 105.3786) + (xy 181.497138 105.3786) (xy 181.589603 105.360208) (xy 181.676702 105.32413) (xy 181.75509 105.271753) (xy 181.821753 105.20509) + (xy 181.87413 105.126702) (xy 181.910208 105.039603) (xy 181.9286 104.947138) (xy 181.9286 104.852862) (xy 183.1714 104.852862) + (xy 183.1714 104.947138) (xy 183.189792 105.039603) (xy 183.22587 105.126702) (xy 183.278247 105.20509) (xy 183.34491 105.271753) + (xy 183.423298 105.32413) (xy 183.510397 105.360208) (xy 183.602862 105.3786) (xy 183.697138 105.3786) (xy 183.789603 105.360208) + (xy 183.876702 105.32413) (xy 183.95509 105.271753) (xy 184.021753 105.20509) (xy 184.07413 105.126702) (xy 184.110208 105.039603) + (xy 184.1286 104.947138) (xy 184.1286 104.852862) (xy 189.4714 104.852862) (xy 189.4714 104.947138) (xy 189.489792 105.039603) + (xy 189.52587 105.126702) (xy 189.578247 105.20509) (xy 189.64491 105.271753) (xy 189.723298 105.32413) (xy 189.810397 105.360208) + (xy 189.902862 105.3786) (xy 189.997138 105.3786) (xy 190.089603 105.360208) (xy 190.176702 105.32413) (xy 190.25509 105.271753) + (xy 190.321753 105.20509) (xy 190.37413 105.126702) (xy 190.410208 105.039603) (xy 190.4286 104.947138) (xy 190.4286 104.852862) + (xy 191.6714 104.852862) (xy 191.6714 104.947138) (xy 191.689792 105.039603) (xy 191.72587 105.126702) (xy 191.778247 105.20509) + (xy 191.84491 105.271753) (xy 191.923298 105.32413) (xy 192.010397 105.360208) (xy 192.102862 105.3786) (xy 192.197138 105.3786) + (xy 192.289603 105.360208) (xy 192.376702 105.32413) (xy 192.45509 105.271753) (xy 192.521753 105.20509) (xy 192.57413 105.126702) + (xy 192.610208 105.039603) (xy 192.6286 104.947138) (xy 192.6286 104.852862) (xy 192.610208 104.760397) (xy 192.57413 104.673298) + (xy 192.521753 104.59491) (xy 192.45509 104.528247) (xy 192.376702 104.47587) (xy 192.289603 104.439792) (xy 192.197138 104.4214) + (xy 192.102862 104.4214) (xy 192.010397 104.439792) (xy 191.923298 104.47587) (xy 191.84491 104.528247) (xy 191.778247 104.59491) + (xy 191.72587 104.673298) (xy 191.689792 104.760397) (xy 191.6714 104.852862) (xy 190.4286 104.852862) (xy 190.410208 104.760397) + (xy 190.37413 104.673298) (xy 190.321753 104.59491) (xy 190.25509 104.528247) (xy 190.176702 104.47587) (xy 190.089603 104.439792) + (xy 189.997138 104.4214) (xy 189.902862 104.4214) (xy 189.810397 104.439792) (xy 189.723298 104.47587) (xy 189.64491 104.528247) + (xy 189.578247 104.59491) (xy 189.52587 104.673298) (xy 189.489792 104.760397) (xy 189.4714 104.852862) (xy 184.1286 104.852862) + (xy 184.110208 104.760397) (xy 184.07413 104.673298) (xy 184.021753 104.59491) (xy 183.95509 104.528247) (xy 183.876702 104.47587) + (xy 183.789603 104.439792) (xy 183.697138 104.4214) (xy 183.602862 104.4214) (xy 183.510397 104.439792) (xy 183.423298 104.47587) + (xy 183.34491 104.528247) (xy 183.278247 104.59491) (xy 183.22587 104.673298) (xy 183.189792 104.760397) (xy 183.1714 104.852862) + (xy 181.9286 104.852862) (xy 181.910208 104.760397) (xy 181.87413 104.673298) (xy 181.821753 104.59491) (xy 181.75509 104.528247) + (xy 181.676702 104.47587) (xy 181.589603 104.439792) (xy 181.497138 104.4214) (xy 181.402862 104.4214) (xy 181.310397 104.439792) + (xy 181.223298 104.47587) (xy 181.14491 104.528247) (xy 181.078247 104.59491) (xy 181.02587 104.673298) (xy 180.989792 104.760397) + (xy 180.9714 104.852862) (xy 159.221981 104.852862) (xy 159.248753 104.82609) (xy 159.30113 104.747702) (xy 159.337208 104.660603) + (xy 159.3556 104.568138) (xy 159.3556 104.473862) (xy 159.337208 104.381397) (xy 159.30113 104.294298) (xy 159.273444 104.252862) + (xy 182.0714 104.252862) (xy 182.0714 104.347138) (xy 182.089792 104.439603) (xy 182.12587 104.526702) (xy 182.178247 104.60509) + (xy 182.24491 104.671753) (xy 182.323298 104.72413) (xy 182.410397 104.760208) (xy 182.502862 104.7786) (xy 182.597138 104.7786) + (xy 182.689603 104.760208) (xy 182.776702 104.72413) (xy 182.85509 104.671753) (xy 182.921753 104.60509) (xy 182.97413 104.526702) + (xy 183.010208 104.439603) (xy 183.0286 104.347138) (xy 183.0286 104.252862) (xy 190.5714 104.252862) (xy 190.5714 104.347138) + (xy 190.589792 104.439603) (xy 190.62587 104.526702) (xy 190.678247 104.60509) (xy 190.74491 104.671753) (xy 190.823298 104.72413) + (xy 190.910397 104.760208) (xy 191.002862 104.7786) (xy 191.097138 104.7786) (xy 191.189603 104.760208) (xy 191.276702 104.72413) + (xy 191.35509 104.671753) (xy 191.421753 104.60509) (xy 191.47413 104.526702) (xy 191.510208 104.439603) (xy 191.5286 104.347138) + (xy 191.5286 104.252862) (xy 191.510208 104.160397) (xy 191.47413 104.073298) (xy 191.452653 104.041154) (xy 199.6564 104.041154) + (xy 199.6564 104.238846) (xy 199.694968 104.432739) (xy 199.770621 104.615383) (xy 199.880453 104.779758) (xy 200.020242 104.919547) + (xy 200.184617 105.029379) (xy 200.367261 105.105032) (xy 200.561154 105.1436) (xy 200.758846 105.1436) (xy 200.952739 105.105032) + (xy 201.135383 105.029379) (xy 201.299758 104.919547) (xy 201.439547 104.779758) (xy 201.549379 104.615383) (xy 201.625032 104.432739) + (xy 201.6636 104.238846) (xy 201.6636 104.041154) (xy 202.1964 104.041154) (xy 202.1964 104.238846) (xy 202.234968 104.432739) + (xy 202.310621 104.615383) (xy 202.420453 104.779758) (xy 202.560242 104.919547) (xy 202.724617 105.029379) (xy 202.907261 105.105032) + (xy 203.101154 105.1436) (xy 203.298846 105.1436) (xy 203.492739 105.105032) (xy 203.675383 105.029379) (xy 203.839758 104.919547) + (xy 203.979547 104.779758) (xy 204.089379 104.615383) (xy 204.165032 104.432739) (xy 204.2036 104.238846) (xy 204.2036 104.041154) + (xy 204.7364 104.041154) (xy 204.7364 104.238846) (xy 204.774968 104.432739) (xy 204.850621 104.615383) (xy 204.960453 104.779758) + (xy 205.100242 104.919547) (xy 205.264617 105.029379) (xy 205.447261 105.105032) (xy 205.641154 105.1436) (xy 205.838846 105.1436) + (xy 206.032739 105.105032) (xy 206.215383 105.029379) (xy 206.379758 104.919547) (xy 206.519547 104.779758) (xy 206.629379 104.615383) + (xy 206.705032 104.432739) (xy 206.7436 104.238846) (xy 206.7436 104.041154) (xy 206.705032 103.847261) (xy 206.629379 103.664617) + (xy 206.519547 103.500242) (xy 206.379758 103.360453) (xy 206.215383 103.250621) (xy 206.032739 103.174968) (xy 205.838846 103.1364) + (xy 205.641154 103.1364) (xy 205.447261 103.174968) (xy 205.264617 103.250621) (xy 205.100242 103.360453) (xy 204.960453 103.500242) + (xy 204.850621 103.664617) (xy 204.774968 103.847261) (xy 204.7364 104.041154) (xy 204.2036 104.041154) (xy 204.165032 103.847261) + (xy 204.089379 103.664617) (xy 203.979547 103.500242) (xy 203.839758 103.360453) (xy 203.675383 103.250621) (xy 203.492739 103.174968) + (xy 203.298846 103.1364) (xy 203.101154 103.1364) (xy 202.907261 103.174968) (xy 202.724617 103.250621) (xy 202.560242 103.360453) + (xy 202.420453 103.500242) (xy 202.310621 103.664617) (xy 202.234968 103.847261) (xy 202.1964 104.041154) (xy 201.6636 104.041154) + (xy 201.625032 103.847261) (xy 201.549379 103.664617) (xy 201.439547 103.500242) (xy 201.299758 103.360453) (xy 201.135383 103.250621) + (xy 200.952739 103.174968) (xy 200.758846 103.1364) (xy 200.561154 103.1364) (xy 200.367261 103.174968) (xy 200.184617 103.250621) + (xy 200.020242 103.360453) (xy 199.880453 103.500242) (xy 199.770621 103.664617) (xy 199.694968 103.847261) (xy 199.6564 104.041154) + (xy 191.452653 104.041154) (xy 191.421753 103.99491) (xy 191.35509 103.928247) (xy 191.276702 103.87587) (xy 191.189603 103.839792) + (xy 191.097138 103.8214) (xy 191.002862 103.8214) (xy 190.910397 103.839792) (xy 190.823298 103.87587) (xy 190.74491 103.928247) + (xy 190.678247 103.99491) (xy 190.62587 104.073298) (xy 190.589792 104.160397) (xy 190.5714 104.252862) (xy 183.0286 104.252862) + (xy 183.010208 104.160397) (xy 182.97413 104.073298) (xy 182.921753 103.99491) (xy 182.85509 103.928247) (xy 182.776702 103.87587) + (xy 182.689603 103.839792) (xy 182.597138 103.8214) (xy 182.502862 103.8214) (xy 182.410397 103.839792) (xy 182.323298 103.87587) + (xy 182.24491 103.928247) (xy 182.178247 103.99491) (xy 182.12587 104.073298) (xy 182.089792 104.160397) (xy 182.0714 104.252862) + (xy 159.273444 104.252862) (xy 159.248753 104.21591) (xy 159.18209 104.149247) (xy 159.103702 104.09687) (xy 159.016603 104.060792) + (xy 158.924138 104.0424) (xy 158.829862 104.0424) (xy 158.737397 104.060792) (xy 158.650298 104.09687) (xy 158.57191 104.149247) + (xy 158.505247 104.21591) (xy 158.45287 104.294298) (xy 158.416792 104.381397) (xy 158.3984 104.473862) (xy 103.5546 104.473862) + (xy 103.5546 103.402862) (xy 115.2714 103.402862) (xy 115.2714 103.497138) (xy 115.289792 103.589603) (xy 115.32587 103.676702) + (xy 115.378247 103.75509) (xy 115.44491 103.821753) (xy 115.523298 103.87413) (xy 115.610397 103.910208) (xy 115.702862 103.9286) + (xy 115.797138 103.9286) (xy 115.889603 103.910208) (xy 115.976702 103.87413) (xy 116.05509 103.821753) (xy 116.121753 103.75509) + (xy 116.17413 103.676702) (xy 116.210208 103.589603) (xy 116.2286 103.497138) (xy 116.2286 103.402862) (xy 117.2714 103.402862) + (xy 117.2714 103.497138) (xy 117.289792 103.589603) (xy 117.32587 103.676702) (xy 117.378247 103.75509) (xy 117.44491 103.821753) + (xy 117.523298 103.87413) (xy 117.610397 103.910208) (xy 117.702862 103.9286) (xy 117.797138 103.9286) (xy 117.889603 103.910208) + (xy 117.976702 103.87413) (xy 118.05509 103.821753) (xy 118.121753 103.75509) (xy 118.17413 103.676702) (xy 118.210208 103.589603) + (xy 118.2286 103.497138) (xy 118.2286 103.402862) (xy 122.7714 103.402862) (xy 122.7714 103.497138) (xy 122.789792 103.589603) + (xy 122.82587 103.676702) (xy 122.878247 103.75509) (xy 122.94491 103.821753) (xy 123.023298 103.87413) (xy 123.110397 103.910208) + (xy 123.202862 103.9286) (xy 123.297138 103.9286) (xy 123.389603 103.910208) (xy 123.476702 103.87413) (xy 123.55509 103.821753) + (xy 123.621753 103.75509) (xy 123.67413 103.676702) (xy 123.710208 103.589603) (xy 123.7286 103.497138) (xy 123.7286 103.402862) + (xy 123.7714 103.402862) (xy 123.7714 103.497138) (xy 123.789792 103.589603) (xy 123.82587 103.676702) (xy 123.878247 103.75509) + (xy 123.94491 103.821753) (xy 124.023298 103.87413) (xy 124.110397 103.910208) (xy 124.202862 103.9286) (xy 124.297138 103.9286) + (xy 124.389603 103.910208) (xy 124.476702 103.87413) (xy 124.55509 103.821753) (xy 124.621753 103.75509) (xy 124.67413 103.676702) + (xy 124.710208 103.589603) (xy 124.717516 103.552862) (xy 125.3214 103.552862) (xy 125.3214 103.647138) (xy 125.339792 103.739603) + (xy 125.37587 103.826702) (xy 125.428247 103.90509) (xy 125.49491 103.971753) (xy 125.573298 104.02413) (xy 125.660397 104.060208) + (xy 125.752862 104.0786) (xy 125.847138 104.0786) (xy 125.939603 104.060208) (xy 126.026702 104.02413) (xy 126.10509 103.971753) + (xy 126.171753 103.90509) (xy 126.22413 103.826702) (xy 126.260208 103.739603) (xy 126.2786 103.647138) (xy 126.2786 103.552862) + (xy 126.260208 103.460397) (xy 126.22413 103.373298) (xy 126.171753 103.29491) (xy 126.10509 103.228247) (xy 126.061225 103.198937) + (xy 158.3484 103.198937) (xy 158.3484 103.303063) (xy 158.368713 103.405187) (xy 158.40856 103.501386) (xy 158.466409 103.587963) + (xy 158.540037 103.661591) (xy 158.626614 103.71944) (xy 158.722813 103.759287) (xy 158.824937 103.7796) (xy 158.929063 103.7796) + (xy 159.031187 103.759287) (xy 159.127386 103.71944) (xy 159.213963 103.661591) (xy 159.287591 103.587963) (xy 159.34544 103.501386) + (xy 159.385287 103.405187) (xy 159.4056 103.303063) (xy 159.4056 103.198937) (xy 159.403641 103.189088) (xy 161.1059 103.189088) + (xy 161.1059 103.312912) (xy 161.130056 103.434356) (xy 161.177441 103.548754) (xy 161.246234 103.651709) (xy 161.333791 103.739266) + (xy 161.436746 103.808059) (xy 161.551144 103.855444) (xy 161.672588 103.8796) (xy 161.796412 103.8796) (xy 161.917856 103.855444) + (xy 162.032254 103.808059) (xy 162.135209 103.739266) (xy 162.222766 103.651709) (xy 162.291559 103.548754) (xy 162.338944 103.434356) + (xy 162.3631 103.312912) (xy 162.3631 103.189088) (xy 163.7729 103.189088) (xy 163.7729 103.312912) (xy 163.797056 103.434356) + (xy 163.844441 103.548754) (xy 163.913234 103.651709) (xy 164.000791 103.739266) (xy 164.103746 103.808059) (xy 164.218144 103.855444) + (xy 164.339588 103.8796) (xy 164.463412 103.8796) (xy 164.584856 103.855444) (xy 164.699254 103.808059) (xy 164.802209 103.739266) + (xy 164.889766 103.651709) (xy 164.958559 103.548754) (xy 165.005944 103.434356) (xy 165.014878 103.389437) (xy 166.2224 103.389437) + (xy 166.2224 103.493563) (xy 166.242713 103.595687) (xy 166.28256 103.691886) (xy 166.340409 103.778463) (xy 166.414037 103.852091) + (xy 166.500614 103.90994) (xy 166.596813 103.949787) (xy 166.698937 103.9701) (xy 166.803063 103.9701) (xy 166.905187 103.949787) + (xy 167.001386 103.90994) (xy 167.087963 103.852091) (xy 167.161591 103.778463) (xy 167.21944 103.691886) (xy 167.259287 103.595687) + (xy 167.2796 103.493563) (xy 167.2796 103.397937) (xy 182.8714 103.397937) (xy 182.8714 103.502063) (xy 182.891713 103.604187) + (xy 182.93156 103.700386) (xy 182.989409 103.786963) (xy 183.063037 103.860591) (xy 183.149614 103.91844) (xy 183.245813 103.958287) + (xy 183.347937 103.9786) (xy 183.452063 103.9786) (xy 183.554187 103.958287) (xy 183.650386 103.91844) (xy 183.736963 103.860591) + (xy 183.810591 103.786963) (xy 183.86844 103.700386) (xy 183.908287 103.604187) (xy 183.9286 103.502063) (xy 183.9286 103.397937) + (xy 191.3714 103.397937) (xy 191.3714 103.502063) (xy 191.391713 103.604187) (xy 191.43156 103.700386) (xy 191.489409 103.786963) + (xy 191.563037 103.860591) (xy 191.649614 103.91844) (xy 191.745813 103.958287) (xy 191.847937 103.9786) (xy 191.952063 103.9786) + (xy 192.054187 103.958287) (xy 192.150386 103.91844) (xy 192.236963 103.860591) (xy 192.310591 103.786963) (xy 192.36844 103.700386) + (xy 192.408287 103.604187) (xy 192.4286 103.502063) (xy 192.4286 103.397937) (xy 192.408287 103.295813) (xy 192.36844 103.199614) + (xy 192.310591 103.113037) (xy 192.236963 103.039409) (xy 192.150386 102.98156) (xy 192.054187 102.941713) (xy 191.952063 102.9214) + (xy 191.847937 102.9214) (xy 191.745813 102.941713) (xy 191.649614 102.98156) (xy 191.563037 103.039409) (xy 191.489409 103.113037) + (xy 191.43156 103.199614) (xy 191.391713 103.295813) (xy 191.3714 103.397937) (xy 183.9286 103.397937) (xy 183.908287 103.295813) + (xy 183.86844 103.199614) (xy 183.810591 103.113037) (xy 183.736963 103.039409) (xy 183.650386 102.98156) (xy 183.554187 102.941713) + (xy 183.452063 102.9214) (xy 183.347937 102.9214) (xy 183.245813 102.941713) (xy 183.149614 102.98156) (xy 183.063037 103.039409) + (xy 182.989409 103.113037) (xy 182.93156 103.199614) (xy 182.891713 103.295813) (xy 182.8714 103.397937) (xy 167.2796 103.397937) + (xy 167.2796 103.389437) (xy 167.259287 103.287313) (xy 167.21944 103.191114) (xy 167.161591 103.104537) (xy 167.087963 103.030909) + (xy 167.001386 102.97306) (xy 166.905187 102.933213) (xy 166.803063 102.9129) (xy 166.698937 102.9129) (xy 166.596813 102.933213) + (xy 166.500614 102.97306) (xy 166.414037 103.030909) (xy 166.340409 103.104537) (xy 166.28256 103.191114) (xy 166.242713 103.287313) + (xy 166.2224 103.389437) (xy 165.014878 103.389437) (xy 165.0301 103.312912) (xy 165.0301 103.189088) (xy 165.005944 103.067644) + (xy 164.958559 102.953246) (xy 164.889766 102.850291) (xy 164.802209 102.762734) (xy 164.699254 102.693941) (xy 164.584856 102.646556) + (xy 164.463412 102.6224) (xy 164.339588 102.6224) (xy 164.218144 102.646556) (xy 164.103746 102.693941) (xy 164.000791 102.762734) + (xy 163.913234 102.850291) (xy 163.844441 102.953246) (xy 163.797056 103.067644) (xy 163.7729 103.189088) (xy 162.3631 103.189088) + (xy 162.338944 103.067644) (xy 162.291559 102.953246) (xy 162.222766 102.850291) (xy 162.135209 102.762734) (xy 162.032254 102.693941) + (xy 161.917856 102.646556) (xy 161.796412 102.6224) (xy 161.672588 102.6224) (xy 161.551144 102.646556) (xy 161.436746 102.693941) + (xy 161.333791 102.762734) (xy 161.246234 102.850291) (xy 161.177441 102.953246) (xy 161.130056 103.067644) (xy 161.1059 103.189088) + (xy 159.403641 103.189088) (xy 159.385287 103.096813) (xy 159.34544 103.000614) (xy 159.287591 102.914037) (xy 159.213963 102.840409) + (xy 159.127386 102.78256) (xy 159.031187 102.742713) (xy 158.929063 102.7224) (xy 158.824937 102.7224) (xy 158.722813 102.742713) + (xy 158.626614 102.78256) (xy 158.540037 102.840409) (xy 158.466409 102.914037) (xy 158.40856 103.000614) (xy 158.368713 103.096813) + (xy 158.3484 103.198937) (xy 126.061225 103.198937) (xy 126.026702 103.17587) (xy 125.939603 103.139792) (xy 125.847138 103.1214) + (xy 125.752862 103.1214) (xy 125.660397 103.139792) (xy 125.573298 103.17587) (xy 125.49491 103.228247) (xy 125.428247 103.29491) + (xy 125.37587 103.373298) (xy 125.339792 103.460397) (xy 125.3214 103.552862) (xy 124.717516 103.552862) (xy 124.7286 103.497138) + (xy 124.7286 103.402862) (xy 124.710208 103.310397) (xy 124.67413 103.223298) (xy 124.621753 103.14491) (xy 124.55509 103.078247) + (xy 124.476702 103.02587) (xy 124.389603 102.989792) (xy 124.297138 102.9714) (xy 124.202862 102.9714) (xy 124.110397 102.989792) + (xy 124.023298 103.02587) (xy 123.94491 103.078247) (xy 123.878247 103.14491) (xy 123.82587 103.223298) (xy 123.789792 103.310397) + (xy 123.7714 103.402862) (xy 123.7286 103.402862) (xy 123.710208 103.310397) (xy 123.67413 103.223298) (xy 123.621753 103.14491) + (xy 123.55509 103.078247) (xy 123.476702 103.02587) (xy 123.389603 102.989792) (xy 123.297138 102.9714) (xy 123.202862 102.9714) + (xy 123.110397 102.989792) (xy 123.023298 103.02587) (xy 122.94491 103.078247) (xy 122.878247 103.14491) (xy 122.82587 103.223298) + (xy 122.789792 103.310397) (xy 122.7714 103.402862) (xy 118.2286 103.402862) (xy 118.210208 103.310397) (xy 118.17413 103.223298) + (xy 118.121753 103.14491) (xy 118.05509 103.078247) (xy 117.976702 103.02587) (xy 117.889603 102.989792) (xy 117.797138 102.9714) + (xy 117.702862 102.9714) (xy 117.610397 102.989792) (xy 117.523298 103.02587) (xy 117.44491 103.078247) (xy 117.378247 103.14491) + (xy 117.32587 103.223298) (xy 117.289792 103.310397) (xy 117.2714 103.402862) (xy 116.2286 103.402862) (xy 116.210208 103.310397) + (xy 116.17413 103.223298) (xy 116.121753 103.14491) (xy 116.05509 103.078247) (xy 115.976702 103.02587) (xy 115.889603 102.989792) + (xy 115.797138 102.9714) (xy 115.702862 102.9714) (xy 115.610397 102.989792) (xy 115.523298 103.02587) (xy 115.44491 103.078247) + (xy 115.378247 103.14491) (xy 115.32587 103.223298) (xy 115.289792 103.310397) (xy 115.2714 103.402862) (xy 103.5546 103.402862) + (xy 103.5546 102.502862) (xy 114.2714 102.502862) (xy 114.2714 102.597138) (xy 114.289792 102.689603) (xy 114.32587 102.776702) + (xy 114.378247 102.85509) (xy 114.44491 102.921753) (xy 114.523298 102.97413) (xy 114.610397 103.010208) (xy 114.702862 103.0286) + (xy 114.797138 103.0286) (xy 114.889603 103.010208) (xy 114.976702 102.97413) (xy 115.05509 102.921753) (xy 115.121753 102.85509) + (xy 115.17413 102.776702) (xy 115.210208 102.689603) (xy 115.2286 102.597138) (xy 115.2286 102.502862) (xy 117.7714 102.502862) + (xy 117.7714 102.597138) (xy 117.789792 102.689603) (xy 117.82587 102.776702) (xy 117.878247 102.85509) (xy 117.94491 102.921753) + (xy 118.023298 102.97413) (xy 118.110397 103.010208) (xy 118.202862 103.0286) (xy 118.297138 103.0286) (xy 118.389603 103.010208) + (xy 118.476702 102.97413) (xy 118.55509 102.921753) (xy 118.621753 102.85509) (xy 118.67413 102.776702) (xy 118.710208 102.689603) + (xy 118.7286 102.597138) (xy 118.7286 102.502862) (xy 123.2714 102.502862) (xy 123.2714 102.597138) (xy 123.289792 102.689603) + (xy 123.32587 102.776702) (xy 123.378247 102.85509) (xy 123.44491 102.921753) (xy 123.523298 102.97413) (xy 123.610397 103.010208) + (xy 123.702862 103.0286) (xy 123.797138 103.0286) (xy 123.889603 103.010208) (xy 123.976702 102.97413) (xy 124.05509 102.921753) + (xy 124.121753 102.85509) (xy 124.17413 102.776702) (xy 124.210208 102.689603) (xy 124.2286 102.597138) (xy 124.2286 102.502862) + (xy 124.210208 102.410397) (xy 124.17413 102.323298) (xy 124.121753 102.24491) (xy 124.05509 102.178247) (xy 123.976702 102.12587) + (xy 123.889603 102.089792) (xy 123.797138 102.0714) (xy 123.702862 102.0714) (xy 123.610397 102.089792) (xy 123.523298 102.12587) + (xy 123.44491 102.178247) (xy 123.378247 102.24491) (xy 123.32587 102.323298) (xy 123.289792 102.410397) (xy 123.2714 102.502862) + (xy 118.7286 102.502862) (xy 118.710208 102.410397) (xy 118.67413 102.323298) (xy 118.621753 102.24491) (xy 118.55509 102.178247) + (xy 118.476702 102.12587) (xy 118.389603 102.089792) (xy 118.297138 102.0714) (xy 118.202862 102.0714) (xy 118.110397 102.089792) + (xy 118.023298 102.12587) (xy 117.94491 102.178247) (xy 117.878247 102.24491) (xy 117.82587 102.323298) (xy 117.789792 102.410397) + (xy 117.7714 102.502862) (xy 115.2286 102.502862) (xy 115.210208 102.410397) (xy 115.17413 102.323298) (xy 115.121753 102.24491) + (xy 115.05509 102.178247) (xy 114.976702 102.12587) (xy 114.889603 102.089792) (xy 114.797138 102.0714) (xy 114.702862 102.0714) + (xy 114.610397 102.089792) (xy 114.523298 102.12587) (xy 114.44491 102.178247) (xy 114.378247 102.24491) (xy 114.32587 102.323298) + (xy 114.289792 102.410397) (xy 114.2714 102.502862) (xy 103.5546 102.502862) (xy 103.5546 101.933862) (xy 158.3984 101.933862) + (xy 158.3984 102.028138) (xy 158.416792 102.120603) (xy 158.45287 102.207702) (xy 158.505247 102.28609) (xy 158.57191 102.352753) + (xy 158.650298 102.40513) (xy 158.737397 102.441208) (xy 158.829862 102.4596) (xy 158.924138 102.4596) (xy 159.016603 102.441208) + (xy 159.103702 102.40513) (xy 159.18209 102.352753) (xy 159.248753 102.28609) (xy 159.275248 102.246437) (xy 165.0794 102.246437) + (xy 165.0794 102.350563) (xy 165.099713 102.452687) (xy 165.13956 102.548886) (xy 165.197409 102.635463) (xy 165.271037 102.709091) + (xy 165.357614 102.76694) (xy 165.453813 102.806787) (xy 165.555937 102.8271) (xy 165.660063 102.8271) (xy 165.762187 102.806787) + (xy 165.858386 102.76694) (xy 165.944963 102.709091) (xy 166.018591 102.635463) (xy 166.073783 102.552862) (xy 181.9214 102.552862) + (xy 181.9214 102.647138) (xy 181.939792 102.739603) (xy 181.97587 102.826702) (xy 182.028247 102.90509) (xy 182.09491 102.971753) + (xy 182.173298 103.02413) (xy 182.260397 103.060208) (xy 182.352862 103.0786) (xy 182.447138 103.0786) (xy 182.539603 103.060208) + (xy 182.626702 103.02413) (xy 182.70509 102.971753) (xy 182.771753 102.90509) (xy 182.82413 102.826702) (xy 182.860208 102.739603) + (xy 182.8786 102.647138) (xy 182.8786 102.552862) (xy 190.4214 102.552862) (xy 190.4214 102.647138) (xy 190.439792 102.739603) + (xy 190.47587 102.826702) (xy 190.528247 102.90509) (xy 190.59491 102.971753) (xy 190.673298 103.02413) (xy 190.760397 103.060208) + (xy 190.852862 103.0786) (xy 190.947138 103.0786) (xy 191.039603 103.060208) (xy 191.126702 103.02413) (xy 191.20509 102.971753) + (xy 191.271753 102.90509) (xy 191.32413 102.826702) (xy 191.360208 102.739603) (xy 191.3786 102.647138) (xy 191.3786 102.552862) + (xy 191.360208 102.460397) (xy 191.32413 102.373298) (xy 191.271753 102.29491) (xy 191.20509 102.228247) (xy 191.126702 102.17587) + (xy 191.039603 102.139792) (xy 190.947138 102.1214) (xy 190.852862 102.1214) (xy 190.760397 102.139792) (xy 190.673298 102.17587) + (xy 190.59491 102.228247) (xy 190.528247 102.29491) (xy 190.47587 102.373298) (xy 190.439792 102.460397) (xy 190.4214 102.552862) + (xy 182.8786 102.552862) (xy 182.860208 102.460397) (xy 182.82413 102.373298) (xy 182.771753 102.29491) (xy 182.70509 102.228247) + (xy 182.626702 102.17587) (xy 182.539603 102.139792) (xy 182.447138 102.1214) (xy 182.352862 102.1214) (xy 182.260397 102.139792) + (xy 182.173298 102.17587) (xy 182.09491 102.228247) (xy 182.028247 102.29491) (xy 181.97587 102.373298) (xy 181.939792 102.460397) + (xy 181.9214 102.552862) (xy 166.073783 102.552862) (xy 166.07644 102.548886) (xy 166.116287 102.452687) (xy 166.1366 102.350563) + (xy 166.1366 102.246437) (xy 166.116287 102.144313) (xy 166.07644 102.048114) (xy 166.018591 101.961537) (xy 165.944963 101.887909) + (xy 165.858386 101.83006) (xy 165.762187 101.790213) (xy 165.660063 101.7699) (xy 165.555937 101.7699) (xy 165.453813 101.790213) + (xy 165.357614 101.83006) (xy 165.271037 101.887909) (xy 165.197409 101.961537) (xy 165.13956 102.048114) (xy 165.099713 102.144313) + (xy 165.0794 102.246437) (xy 159.275248 102.246437) (xy 159.30113 102.207702) (xy 159.337208 102.120603) (xy 159.3556 102.028138) + (xy 159.3556 101.933862) (xy 159.337208 101.841397) (xy 159.30113 101.754298) (xy 159.248753 101.67591) (xy 159.18209 101.609247) + (xy 159.172535 101.602862) (xy 188.9714 101.602862) (xy 188.9714 101.697138) (xy 188.989792 101.789603) (xy 189.02587 101.876702) + (xy 189.078247 101.95509) (xy 189.14491 102.021753) (xy 189.223298 102.07413) (xy 189.310397 102.110208) (xy 189.402862 102.1286) + (xy 189.497138 102.1286) (xy 189.589603 102.110208) (xy 189.676702 102.07413) (xy 189.75509 102.021753) (xy 189.821753 101.95509) + (xy 189.87413 101.876702) (xy 189.910208 101.789603) (xy 189.9286 101.697138) (xy 189.9286 101.602862) (xy 189.910208 101.510397) + (xy 189.90638 101.501154) (xy 199.6564 101.501154) (xy 199.6564 101.698846) (xy 199.694968 101.892739) (xy 199.770621 102.075383) + (xy 199.880453 102.239758) (xy 200.020242 102.379547) (xy 200.184617 102.489379) (xy 200.367261 102.565032) (xy 200.561154 102.6036) + (xy 200.758846 102.6036) (xy 200.952739 102.565032) (xy 201.135383 102.489379) (xy 201.299758 102.379547) (xy 201.439547 102.239758) + (xy 201.549379 102.075383) (xy 201.625032 101.892739) (xy 201.6636 101.698846) (xy 201.6636 101.501154) (xy 202.1964 101.501154) + (xy 202.1964 101.698846) (xy 202.234968 101.892739) (xy 202.310621 102.075383) (xy 202.420453 102.239758) (xy 202.560242 102.379547) + (xy 202.724617 102.489379) (xy 202.907261 102.565032) (xy 203.101154 102.6036) (xy 203.298846 102.6036) (xy 203.492739 102.565032) + (xy 203.675383 102.489379) (xy 203.839758 102.379547) (xy 203.979547 102.239758) (xy 204.089379 102.075383) (xy 204.165032 101.892739) + (xy 204.2036 101.698846) (xy 204.2036 101.501154) (xy 204.7364 101.501154) (xy 204.7364 101.698846) (xy 204.774968 101.892739) + (xy 204.850621 102.075383) (xy 204.960453 102.239758) (xy 205.100242 102.379547) (xy 205.264617 102.489379) (xy 205.447261 102.565032) + (xy 205.641154 102.6036) (xy 205.838846 102.6036) (xy 206.032739 102.565032) (xy 206.215383 102.489379) (xy 206.379758 102.379547) + (xy 206.519547 102.239758) (xy 206.629379 102.075383) (xy 206.705032 101.892739) (xy 206.7436 101.698846) (xy 206.7436 101.501154) + (xy 206.705032 101.307261) (xy 206.629379 101.124617) (xy 206.519547 100.960242) (xy 206.379758 100.820453) (xy 206.215383 100.710621) + (xy 206.032739 100.634968) (xy 205.838846 100.5964) (xy 205.641154 100.5964) (xy 205.447261 100.634968) (xy 205.264617 100.710621) + (xy 205.100242 100.820453) (xy 204.960453 100.960242) (xy 204.850621 101.124617) (xy 204.774968 101.307261) (xy 204.7364 101.501154) + (xy 204.2036 101.501154) (xy 204.165032 101.307261) (xy 204.089379 101.124617) (xy 203.979547 100.960242) (xy 203.839758 100.820453) + (xy 203.675383 100.710621) (xy 203.492739 100.634968) (xy 203.298846 100.5964) (xy 203.101154 100.5964) (xy 202.907261 100.634968) + (xy 202.724617 100.710621) (xy 202.560242 100.820453) (xy 202.420453 100.960242) (xy 202.310621 101.124617) (xy 202.234968 101.307261) + (xy 202.1964 101.501154) (xy 201.6636 101.501154) (xy 201.625032 101.307261) (xy 201.549379 101.124617) (xy 201.439547 100.960242) + (xy 201.299758 100.820453) (xy 201.135383 100.710621) (xy 200.952739 100.634968) (xy 200.758846 100.5964) (xy 200.561154 100.5964) + (xy 200.367261 100.634968) (xy 200.184617 100.710621) (xy 200.020242 100.820453) (xy 199.880453 100.960242) (xy 199.770621 101.124617) + (xy 199.694968 101.307261) (xy 199.6564 101.501154) (xy 189.90638 101.501154) (xy 189.87413 101.423298) (xy 189.821753 101.34491) + (xy 189.75509 101.278247) (xy 189.676702 101.22587) (xy 189.589603 101.189792) (xy 189.497138 101.1714) (xy 189.402862 101.1714) + (xy 189.310397 101.189792) (xy 189.223298 101.22587) (xy 189.14491 101.278247) (xy 189.078247 101.34491) (xy 189.02587 101.423298) + (xy 188.989792 101.510397) (xy 188.9714 101.602862) (xy 159.172535 101.602862) (xy 159.103702 101.55687) (xy 159.016603 101.520792) + (xy 158.924138 101.5024) (xy 158.829862 101.5024) (xy 158.737397 101.520792) (xy 158.650298 101.55687) (xy 158.57191 101.609247) + (xy 158.505247 101.67591) (xy 158.45287 101.754298) (xy 158.416792 101.841397) (xy 158.3984 101.933862) (xy 103.5546 101.933862) + (xy 103.5546 100.252862) (xy 113.7714 100.252862) (xy 113.7714 100.347138) (xy 113.789792 100.439603) (xy 113.82587 100.526702) + (xy 113.878247 100.60509) (xy 113.94491 100.671753) (xy 114.023298 100.72413) (xy 114.110397 100.760208) (xy 114.202862 100.7786) + (xy 114.297138 100.7786) (xy 114.389603 100.760208) (xy 114.476702 100.72413) (xy 114.55509 100.671753) (xy 114.621753 100.60509) + (xy 114.67413 100.526702) (xy 114.710208 100.439603) (xy 114.7286 100.347138) (xy 114.7286 100.252862) (xy 121.2714 100.252862) + (xy 121.2714 100.347138) (xy 121.289792 100.439603) (xy 121.32587 100.526702) (xy 121.378247 100.60509) (xy 121.44491 100.671753) + (xy 121.523298 100.72413) (xy 121.610397 100.760208) (xy 121.702862 100.7786) (xy 121.797138 100.7786) (xy 121.889603 100.760208) + (xy 121.976702 100.72413) (xy 122.05509 100.671753) (xy 122.062981 100.663862) (xy 158.3984 100.663862) (xy 158.3984 100.758138) + (xy 158.416792 100.850603) (xy 158.45287 100.937702) (xy 158.505247 101.01609) (xy 158.57191 101.082753) (xy 158.650298 101.13513) + (xy 158.737397 101.171208) (xy 158.829862 101.1896) (xy 158.924138 101.1896) (xy 159.016603 101.171208) (xy 159.103702 101.13513) + (xy 159.18209 101.082753) (xy 159.248753 101.01609) (xy 159.291 100.952862) (xy 174.0714 100.952862) (xy 174.0714 101.047138) + (xy 174.089792 101.139603) (xy 174.12587 101.226702) (xy 174.178247 101.30509) (xy 174.24491 101.371753) (xy 174.323298 101.42413) + (xy 174.410397 101.460208) (xy 174.502862 101.4786) (xy 174.597138 101.4786) (xy 174.689603 101.460208) (xy 174.776702 101.42413) + (xy 174.85509 101.371753) (xy 174.921753 101.30509) (xy 174.97413 101.226702) (xy 175.010208 101.139603) (xy 175.0286 101.047138) + (xy 175.0286 100.952862) (xy 191.6714 100.952862) (xy 191.6714 101.047138) (xy 191.689792 101.139603) (xy 191.72587 101.226702) + (xy 191.778247 101.30509) (xy 191.84491 101.371753) (xy 191.923298 101.42413) (xy 192.010397 101.460208) (xy 192.102862 101.4786) + (xy 192.197138 101.4786) (xy 192.289603 101.460208) (xy 192.376702 101.42413) (xy 192.45509 101.371753) (xy 192.521753 101.30509) + (xy 192.57413 101.226702) (xy 192.610208 101.139603) (xy 192.6286 101.047138) (xy 192.6286 100.952862) (xy 192.610208 100.860397) + (xy 192.57413 100.773298) (xy 192.521753 100.69491) (xy 192.45509 100.628247) (xy 192.376702 100.57587) (xy 192.289603 100.539792) + (xy 192.197138 100.5214) (xy 192.102862 100.5214) (xy 192.010397 100.539792) (xy 191.923298 100.57587) (xy 191.84491 100.628247) + (xy 191.778247 100.69491) (xy 191.72587 100.773298) (xy 191.689792 100.860397) (xy 191.6714 100.952862) (xy 175.0286 100.952862) + (xy 175.010208 100.860397) (xy 174.97413 100.773298) (xy 174.921753 100.69491) (xy 174.85509 100.628247) (xy 174.776702 100.57587) + (xy 174.689603 100.539792) (xy 174.597138 100.5214) (xy 174.502862 100.5214) (xy 174.410397 100.539792) (xy 174.323298 100.57587) + (xy 174.24491 100.628247) (xy 174.178247 100.69491) (xy 174.12587 100.773298) (xy 174.089792 100.860397) (xy 174.0714 100.952862) + (xy 159.291 100.952862) (xy 159.30113 100.937702) (xy 159.337208 100.850603) (xy 159.3556 100.758138) (xy 159.3556 100.663862) + (xy 159.337208 100.571397) (xy 159.30113 100.484298) (xy 159.248753 100.40591) (xy 159.18209 100.339247) (xy 159.103702 100.28687) + (xy 159.016603 100.250792) (xy 158.924138 100.2324) (xy 158.829862 100.2324) (xy 158.737397 100.250792) (xy 158.650298 100.28687) + (xy 158.57191 100.339247) (xy 158.505247 100.40591) (xy 158.45287 100.484298) (xy 158.416792 100.571397) (xy 158.3984 100.663862) + (xy 122.062981 100.663862) (xy 122.121753 100.60509) (xy 122.17413 100.526702) (xy 122.210208 100.439603) (xy 122.2286 100.347138) + (xy 122.2286 100.252862) (xy 122.210208 100.160397) (xy 122.17413 100.073298) (xy 122.121753 99.99491) (xy 122.05509 99.928247) + (xy 121.976702 99.87587) (xy 121.889603 99.839792) (xy 121.797138 99.8214) (xy 121.702862 99.8214) (xy 121.610397 99.839792) + (xy 121.523298 99.87587) (xy 121.44491 99.928247) (xy 121.378247 99.99491) (xy 121.32587 100.073298) (xy 121.289792 100.160397) + (xy 121.2714 100.252862) (xy 114.7286 100.252862) (xy 114.710208 100.160397) (xy 114.67413 100.073298) (xy 114.621753 99.99491) + (xy 114.55509 99.928247) (xy 114.476702 99.87587) (xy 114.389603 99.839792) (xy 114.297138 99.8214) (xy 114.202862 99.8214) + (xy 114.110397 99.839792) (xy 114.023298 99.87587) (xy 113.94491 99.928247) (xy 113.878247 99.99491) (xy 113.82587 100.073298) + (xy 113.789792 100.160397) (xy 113.7714 100.252862) (xy 103.5546 100.252862) (xy 103.5546 99.352862) (xy 121.7714 99.352862) + (xy 121.7714 99.447138) (xy 121.789792 99.539603) (xy 121.82587 99.626702) (xy 121.878247 99.70509) (xy 121.94491 99.771753) + (xy 122.023298 99.82413) (xy 122.110397 99.860208) (xy 122.202862 99.8786) (xy 122.297138 99.8786) (xy 122.389603 99.860208) + (xy 122.476702 99.82413) (xy 122.55509 99.771753) (xy 122.621753 99.70509) (xy 122.67413 99.626702) (xy 122.710208 99.539603) + (xy 122.7286 99.447138) (xy 122.7286 99.393862) (xy 158.3984 99.393862) (xy 158.3984 99.488138) (xy 158.416792 99.580603) + (xy 158.45287 99.667702) (xy 158.505247 99.74609) (xy 158.57191 99.812753) (xy 158.650298 99.86513) (xy 158.737397 99.901208) + (xy 158.829862 99.9196) (xy 158.924138 99.9196) (xy 159.016603 99.901208) (xy 159.103702 99.86513) (xy 159.18209 99.812753) + (xy 159.248753 99.74609) (xy 159.30113 99.667702) (xy 159.337208 99.580603) (xy 159.3556 99.488138) (xy 159.3556 99.393862) + (xy 159.337208 99.301397) (xy 159.30113 99.214298) (xy 159.248753 99.13591) (xy 159.18209 99.069247) (xy 159.103702 99.01687) + (xy 159.016603 98.980792) (xy 158.924138 98.9624) (xy 158.829862 98.9624) (xy 158.737397 98.980792) (xy 158.650298 99.01687) + (xy 158.57191 99.069247) (xy 158.505247 99.13591) (xy 158.45287 99.214298) (xy 158.416792 99.301397) (xy 158.3984 99.393862) + (xy 122.7286 99.393862) (xy 122.7286 99.352862) (xy 122.710208 99.260397) (xy 122.67413 99.173298) (xy 122.621753 99.09491) + (xy 122.55509 99.028247) (xy 122.476702 98.97587) (xy 122.441175 98.961154) (xy 199.6564 98.961154) (xy 199.6564 99.158846) + (xy 199.694968 99.352739) (xy 199.770621 99.535383) (xy 199.880453 99.699758) (xy 200.020242 99.839547) (xy 200.184617 99.949379) + (xy 200.367261 100.025032) (xy 200.561154 100.0636) (xy 200.758846 100.0636) (xy 200.952739 100.025032) (xy 201.135383 99.949379) + (xy 201.299758 99.839547) (xy 201.439547 99.699758) (xy 201.549379 99.535383) (xy 201.625032 99.352739) (xy 201.6636 99.158846) + (xy 201.6636 98.961154) (xy 202.1964 98.961154) (xy 202.1964 99.158846) (xy 202.234968 99.352739) (xy 202.310621 99.535383) + (xy 202.420453 99.699758) (xy 202.560242 99.839547) (xy 202.724617 99.949379) (xy 202.907261 100.025032) (xy 203.101154 100.0636) + (xy 203.298846 100.0636) (xy 203.492739 100.025032) (xy 203.675383 99.949379) (xy 203.839758 99.839547) (xy 203.979547 99.699758) + (xy 204.089379 99.535383) (xy 204.165032 99.352739) (xy 204.2036 99.158846) (xy 204.2036 98.961154) (xy 204.7364 98.961154) + (xy 204.7364 99.158846) (xy 204.774968 99.352739) (xy 204.850621 99.535383) (xy 204.960453 99.699758) (xy 205.100242 99.839547) + (xy 205.264617 99.949379) (xy 205.447261 100.025032) (xy 205.641154 100.0636) (xy 205.838846 100.0636) (xy 206.032739 100.025032) + (xy 206.215383 99.949379) (xy 206.379758 99.839547) (xy 206.519547 99.699758) (xy 206.629379 99.535383) (xy 206.705032 99.352739) + (xy 206.7436 99.158846) (xy 206.7436 98.961154) (xy 206.705032 98.767261) (xy 206.629379 98.584617) (xy 206.519547 98.420242) + (xy 206.379758 98.280453) (xy 206.215383 98.170621) (xy 206.032739 98.094968) (xy 205.838846 98.0564) (xy 205.641154 98.0564) + (xy 205.447261 98.094968) (xy 205.264617 98.170621) (xy 205.100242 98.280453) (xy 204.960453 98.420242) (xy 204.850621 98.584617) + (xy 204.774968 98.767261) (xy 204.7364 98.961154) (xy 204.2036 98.961154) (xy 204.165032 98.767261) (xy 204.089379 98.584617) + (xy 203.979547 98.420242) (xy 203.839758 98.280453) (xy 203.675383 98.170621) (xy 203.492739 98.094968) (xy 203.298846 98.0564) + (xy 203.101154 98.0564) (xy 202.907261 98.094968) (xy 202.724617 98.170621) (xy 202.560242 98.280453) (xy 202.420453 98.420242) + (xy 202.310621 98.584617) (xy 202.234968 98.767261) (xy 202.1964 98.961154) (xy 201.6636 98.961154) (xy 201.625032 98.767261) + (xy 201.549379 98.584617) (xy 201.439547 98.420242) (xy 201.299758 98.280453) (xy 201.135383 98.170621) (xy 200.952739 98.094968) + (xy 200.758846 98.0564) (xy 200.561154 98.0564) (xy 200.367261 98.094968) (xy 200.184617 98.170621) (xy 200.020242 98.280453) + (xy 199.880453 98.420242) (xy 199.770621 98.584617) (xy 199.694968 98.767261) (xy 199.6564 98.961154) (xy 122.441175 98.961154) + (xy 122.389603 98.939792) (xy 122.297138 98.9214) (xy 122.202862 98.9214) (xy 122.110397 98.939792) (xy 122.023298 98.97587) + (xy 121.94491 99.028247) (xy 121.878247 99.09491) (xy 121.82587 99.173298) (xy 121.789792 99.260397) (xy 121.7714 99.352862) + (xy 103.5546 99.352862) (xy 103.5546 98.652862) (xy 112.9714 98.652862) (xy 112.9714 98.747138) (xy 112.989792 98.839603) + (xy 113.02587 98.926702) (xy 113.078247 99.00509) (xy 113.14491 99.071753) (xy 113.223298 99.12413) (xy 113.310397 99.160208) + (xy 113.402862 99.1786) (xy 113.497138 99.1786) (xy 113.589603 99.160208) (xy 113.676702 99.12413) (xy 113.75509 99.071753) + (xy 113.821753 99.00509) (xy 113.87413 98.926702) (xy 113.910208 98.839603) (xy 113.9286 98.747138) (xy 113.9286 98.652862) + (xy 113.9714 98.652862) (xy 113.9714 98.747138) (xy 113.989792 98.839603) (xy 114.02587 98.926702) (xy 114.078247 99.00509) + (xy 114.14491 99.071753) (xy 114.223298 99.12413) (xy 114.310397 99.160208) (xy 114.402862 99.1786) (xy 114.497138 99.1786) + (xy 114.589603 99.160208) (xy 114.676702 99.12413) (xy 114.75509 99.071753) (xy 114.821753 99.00509) (xy 114.87413 98.926702) + (xy 114.910208 98.839603) (xy 114.9286 98.747138) (xy 114.9286 98.652862) (xy 114.910208 98.560397) (xy 114.87413 98.473298) + (xy 114.821753 98.39491) (xy 114.75509 98.328247) (xy 114.726939 98.309437) (xy 129.9004 98.309437) (xy 129.9004 98.413563) + (xy 129.920713 98.515687) (xy 129.96056 98.611886) (xy 130.018409 98.698463) (xy 130.092037 98.772091) (xy 130.178614 98.82994) + (xy 130.274813 98.869787) (xy 130.376937 98.8901) (xy 130.481063 98.8901) (xy 130.583187 98.869787) (xy 130.679386 98.82994) + (xy 130.765963 98.772091) (xy 130.839591 98.698463) (xy 130.89744 98.611886) (xy 130.937287 98.515687) (xy 130.9576 98.413563) + (xy 130.9576 98.309437) (xy 130.937287 98.207313) (xy 130.89744 98.111114) (xy 130.896087 98.109088) (xy 132.1499 98.109088) + (xy 132.1499 98.232912) (xy 132.174056 98.354356) (xy 132.221441 98.468754) (xy 132.290234 98.571709) (xy 132.377791 98.659266) + (xy 132.480746 98.728059) (xy 132.595144 98.775444) (xy 132.716588 98.7996) (xy 132.840412 98.7996) (xy 132.961856 98.775444) + (xy 133.076254 98.728059) (xy 133.179209 98.659266) (xy 133.266766 98.571709) (xy 133.335559 98.468754) (xy 133.382944 98.354356) + (xy 133.4071 98.232912) (xy 133.4071 98.109088) (xy 134.8169 98.109088) (xy 134.8169 98.232912) (xy 134.841056 98.354356) + (xy 134.888441 98.468754) (xy 134.957234 98.571709) (xy 135.044791 98.659266) (xy 135.147746 98.728059) (xy 135.262144 98.775444) + (xy 135.383588 98.7996) (xy 135.507412 98.7996) (xy 135.628856 98.775444) (xy 135.743254 98.728059) (xy 135.846209 98.659266) + (xy 135.933766 98.571709) (xy 136.002559 98.468754) (xy 136.049944 98.354356) (xy 136.0741 98.232912) (xy 136.0741 98.109088) + (xy 137.8014 98.109088) (xy 137.8014 98.232912) (xy 137.825556 98.354356) (xy 137.872941 98.468754) (xy 137.941734 98.571709) + (xy 138.029291 98.659266) (xy 138.132246 98.728059) (xy 138.246644 98.775444) (xy 138.368088 98.7996) (xy 138.491912 98.7996) + (xy 138.613356 98.775444) (xy 138.727754 98.728059) (xy 138.830709 98.659266) (xy 138.918266 98.571709) (xy 138.987059 98.468754) + (xy 139.034444 98.354356) (xy 139.0586 98.232912) (xy 139.0586 98.109088) (xy 139.034444 97.987644) (xy 138.987059 97.873246) + (xy 138.918266 97.770291) (xy 138.830709 97.682734) (xy 138.727754 97.613941) (xy 138.613356 97.566556) (xy 138.491912 97.5424) + (xy 138.368088 97.5424) (xy 138.246644 97.566556) (xy 138.132246 97.613941) (xy 138.029291 97.682734) (xy 137.941734 97.770291) + (xy 137.872941 97.873246) (xy 137.825556 97.987644) (xy 137.8014 98.109088) (xy 136.0741 98.109088) (xy 136.049944 97.987644) + (xy 136.002559 97.873246) (xy 135.933766 97.770291) (xy 135.846209 97.682734) (xy 135.743254 97.613941) (xy 135.628856 97.566556) + (xy 135.507412 97.5424) (xy 135.383588 97.5424) (xy 135.262144 97.566556) (xy 135.147746 97.613941) (xy 135.044791 97.682734) + (xy 134.957234 97.770291) (xy 134.888441 97.873246) (xy 134.841056 97.987644) (xy 134.8169 98.109088) (xy 133.4071 98.109088) + (xy 133.382944 97.987644) (xy 133.335559 97.873246) (xy 133.266766 97.770291) (xy 133.179209 97.682734) (xy 133.076254 97.613941) + (xy 132.961856 97.566556) (xy 132.840412 97.5424) (xy 132.716588 97.5424) (xy 132.595144 97.566556) (xy 132.480746 97.613941) + (xy 132.377791 97.682734) (xy 132.290234 97.770291) (xy 132.221441 97.873246) (xy 132.174056 97.987644) (xy 132.1499 98.109088) + (xy 130.896087 98.109088) (xy 130.839591 98.024537) (xy 130.765963 97.950909) (xy 130.679386 97.89306) (xy 130.583187 97.853213) + (xy 130.481063 97.8329) (xy 130.376937 97.8329) (xy 130.274813 97.853213) (xy 130.178614 97.89306) (xy 130.092037 97.950909) + (xy 130.018409 98.024537) (xy 129.96056 98.111114) (xy 129.920713 98.207313) (xy 129.9004 98.309437) (xy 114.726939 98.309437) + (xy 114.676702 98.27587) (xy 114.589603 98.239792) (xy 114.497138 98.2214) (xy 114.402862 98.2214) (xy 114.310397 98.239792) + (xy 114.223298 98.27587) (xy 114.14491 98.328247) (xy 114.078247 98.39491) (xy 114.02587 98.473298) (xy 113.989792 98.560397) + (xy 113.9714 98.652862) (xy 113.9286 98.652862) (xy 113.910208 98.560397) (xy 113.87413 98.473298) (xy 113.821753 98.39491) + (xy 113.75509 98.328247) (xy 113.676702 98.27587) (xy 113.589603 98.239792) (xy 113.497138 98.2214) (xy 113.402862 98.2214) + (xy 113.310397 98.239792) (xy 113.223298 98.27587) (xy 113.14491 98.328247) (xy 113.078247 98.39491) (xy 113.02587 98.473298) + (xy 112.989792 98.560397) (xy 112.9714 98.652862) (xy 103.5546 98.652862) (xy 103.5546 97.166437) (xy 131.0434 97.166437) + (xy 131.0434 97.270563) (xy 131.063713 97.372687) (xy 131.10356 97.468886) (xy 131.161409 97.555463) (xy 131.235037 97.629091) + (xy 131.321614 97.68694) (xy 131.417813 97.726787) (xy 131.519937 97.7471) (xy 131.624063 97.7471) (xy 131.726187 97.726787) + (xy 131.822386 97.68694) (xy 131.908963 97.629091) (xy 131.982591 97.555463) (xy 132.04044 97.468886) (xy 132.080287 97.372687) + (xy 132.1006 97.270563) (xy 132.1006 97.166437) (xy 132.080287 97.064313) (xy 132.04044 96.968114) (xy 131.982591 96.881537) + (xy 131.954916 96.853862) (xy 138.0784 96.853862) (xy 138.0784 96.948138) (xy 138.096792 97.040603) (xy 138.13287 97.127702) + (xy 138.185247 97.20609) (xy 138.25191 97.272753) (xy 138.330298 97.32513) (xy 138.417397 97.361208) (xy 138.509862 97.3796) + (xy 138.604138 97.3796) (xy 138.696603 97.361208) (xy 138.783702 97.32513) (xy 138.86209 97.272753) (xy 138.928753 97.20609) + (xy 138.98113 97.127702) (xy 139.017208 97.040603) (xy 139.0356 96.948138) (xy 139.0356 96.853862) (xy 139.017208 96.761397) + (xy 138.98113 96.674298) (xy 138.928753 96.59591) (xy 138.86209 96.529247) (xy 138.783702 96.47687) (xy 138.696603 96.440792) + (xy 138.604138 96.4224) (xy 138.509862 96.4224) (xy 138.417397 96.440792) (xy 138.330298 96.47687) (xy 138.25191 96.529247) + (xy 138.185247 96.59591) (xy 138.13287 96.674298) (xy 138.096792 96.761397) (xy 138.0784 96.853862) (xy 131.954916 96.853862) + (xy 131.908963 96.807909) (xy 131.822386 96.75006) (xy 131.726187 96.710213) (xy 131.624063 96.6899) (xy 131.519937 96.6899) + (xy 131.417813 96.710213) (xy 131.321614 96.75006) (xy 131.235037 96.807909) (xy 131.161409 96.881537) (xy 131.10356 96.968114) + (xy 131.063713 97.064313) (xy 131.0434 97.166437) (xy 103.5546 97.166437) (xy 103.5546 96.421154) (xy 199.6564 96.421154) + (xy 199.6564 96.618846) (xy 199.694968 96.812739) (xy 199.770621 96.995383) (xy 199.880453 97.159758) (xy 200.020242 97.299547) + (xy 200.184617 97.409379) (xy 200.367261 97.485032) (xy 200.561154 97.5236) (xy 200.758846 97.5236) (xy 200.952739 97.485032) + (xy 201.135383 97.409379) (xy 201.299758 97.299547) (xy 201.439547 97.159758) (xy 201.549379 96.995383) (xy 201.625032 96.812739) + (xy 201.6636 96.618846) (xy 201.6636 96.421154) (xy 202.1964 96.421154) (xy 202.1964 96.618846) (xy 202.234968 96.812739) + (xy 202.310621 96.995383) (xy 202.420453 97.159758) (xy 202.560242 97.299547) (xy 202.724617 97.409379) (xy 202.907261 97.485032) + (xy 203.101154 97.5236) (xy 203.298846 97.5236) (xy 203.492739 97.485032) (xy 203.675383 97.409379) (xy 203.839758 97.299547) + (xy 203.979547 97.159758) (xy 204.089379 96.995383) (xy 204.165032 96.812739) (xy 204.2036 96.618846) (xy 204.2036 96.421154) + (xy 204.7364 96.421154) (xy 204.7364 96.618846) (xy 204.774968 96.812739) (xy 204.850621 96.995383) (xy 204.960453 97.159758) + (xy 205.100242 97.299547) (xy 205.264617 97.409379) (xy 205.447261 97.485032) (xy 205.641154 97.5236) (xy 205.838846 97.5236) + (xy 206.032739 97.485032) (xy 206.215383 97.409379) (xy 206.379758 97.299547) (xy 206.519547 97.159758) (xy 206.629379 96.995383) + (xy 206.705032 96.812739) (xy 206.7436 96.618846) (xy 206.7436 96.421154) (xy 206.705032 96.227261) (xy 206.629379 96.044617) + (xy 206.519547 95.880242) (xy 206.379758 95.740453) (xy 206.215383 95.630621) (xy 206.032739 95.554968) (xy 205.838846 95.5164) + (xy 205.641154 95.5164) (xy 205.447261 95.554968) (xy 205.264617 95.630621) (xy 205.100242 95.740453) (xy 204.960453 95.880242) + (xy 204.850621 96.044617) (xy 204.774968 96.227261) (xy 204.7364 96.421154) (xy 204.2036 96.421154) (xy 204.165032 96.227261) + (xy 204.089379 96.044617) (xy 203.979547 95.880242) (xy 203.839758 95.740453) (xy 203.675383 95.630621) (xy 203.492739 95.554968) + (xy 203.298846 95.5164) (xy 203.101154 95.5164) (xy 202.907261 95.554968) (xy 202.724617 95.630621) (xy 202.560242 95.740453) + (xy 202.420453 95.880242) (xy 202.310621 96.044617) (xy 202.234968 96.227261) (xy 202.1964 96.421154) (xy 201.6636 96.421154) + (xy 201.625032 96.227261) (xy 201.549379 96.044617) (xy 201.439547 95.880242) (xy 201.299758 95.740453) (xy 201.135383 95.630621) + (xy 200.952739 95.554968) (xy 200.758846 95.5164) (xy 200.561154 95.5164) (xy 200.367261 95.554968) (xy 200.184617 95.630621) + (xy 200.020242 95.740453) (xy 199.880453 95.880242) (xy 199.770621 96.044617) (xy 199.694968 96.227261) (xy 199.6564 96.421154) + (xy 103.5546 96.421154) (xy 103.5546 95.583862) (xy 138.0784 95.583862) (xy 138.0784 95.678138) (xy 138.096792 95.770603) + (xy 138.13287 95.857702) (xy 138.185247 95.93609) (xy 138.25191 96.002753) (xy 138.330298 96.05513) (xy 138.417397 96.091208) + (xy 138.509862 96.1096) (xy 138.604138 96.1096) (xy 138.696603 96.091208) (xy 138.783702 96.05513) (xy 138.86209 96.002753) + (xy 138.928753 95.93609) (xy 138.98113 95.857702) (xy 139.017208 95.770603) (xy 139.020736 95.752862) (xy 180.9714 95.752862) + (xy 180.9714 95.847138) (xy 180.989792 95.939603) (xy 181.02587 96.026702) (xy 181.078247 96.10509) (xy 181.14491 96.171753) + (xy 181.223298 96.22413) (xy 181.310397 96.260208) (xy 181.402862 96.2786) (xy 181.497138 96.2786) (xy 181.589603 96.260208) + (xy 181.676702 96.22413) (xy 181.75509 96.171753) (xy 181.821753 96.10509) (xy 181.87413 96.026702) (xy 181.910208 95.939603) + (xy 181.9286 95.847138) (xy 181.9286 95.752862) (xy 183.1714 95.752862) (xy 183.1714 95.847138) (xy 183.189792 95.939603) + (xy 183.22587 96.026702) (xy 183.278247 96.10509) (xy 183.34491 96.171753) (xy 183.423298 96.22413) (xy 183.510397 96.260208) + (xy 183.602862 96.2786) (xy 183.697138 96.2786) (xy 183.789603 96.260208) (xy 183.876702 96.22413) (xy 183.95509 96.171753) + (xy 184.021753 96.10509) (xy 184.07413 96.026702) (xy 184.110208 95.939603) (xy 184.1286 95.847138) (xy 184.1286 95.752862) + (xy 189.4714 95.752862) (xy 189.4714 95.847138) (xy 189.489792 95.939603) (xy 189.52587 96.026702) (xy 189.578247 96.10509) + (xy 189.64491 96.171753) (xy 189.723298 96.22413) (xy 189.810397 96.260208) (xy 189.902862 96.2786) (xy 189.997138 96.2786) + (xy 190.089603 96.260208) (xy 190.176702 96.22413) (xy 190.25509 96.171753) (xy 190.321753 96.10509) (xy 190.37413 96.026702) + (xy 190.410208 95.939603) (xy 190.4286 95.847138) (xy 190.4286 95.752862) (xy 190.410208 95.660397) (xy 190.37413 95.573298) + (xy 190.321753 95.49491) (xy 190.25509 95.428247) (xy 190.176702 95.37587) (xy 190.089603 95.339792) (xy 189.997138 95.3214) + (xy 189.902862 95.3214) (xy 189.810397 95.339792) (xy 189.723298 95.37587) (xy 189.64491 95.428247) (xy 189.578247 95.49491) + (xy 189.52587 95.573298) (xy 189.489792 95.660397) (xy 189.4714 95.752862) (xy 184.1286 95.752862) (xy 184.110208 95.660397) + (xy 184.07413 95.573298) (xy 184.021753 95.49491) (xy 183.95509 95.428247) (xy 183.876702 95.37587) (xy 183.789603 95.339792) + (xy 183.697138 95.3214) (xy 183.602862 95.3214) (xy 183.510397 95.339792) (xy 183.423298 95.37587) (xy 183.34491 95.428247) + (xy 183.278247 95.49491) (xy 183.22587 95.573298) (xy 183.189792 95.660397) (xy 183.1714 95.752862) (xy 181.9286 95.752862) + (xy 181.910208 95.660397) (xy 181.87413 95.573298) (xy 181.821753 95.49491) (xy 181.75509 95.428247) (xy 181.676702 95.37587) + (xy 181.589603 95.339792) (xy 181.497138 95.3214) (xy 181.402862 95.3214) (xy 181.310397 95.339792) (xy 181.223298 95.37587) + (xy 181.14491 95.428247) (xy 181.078247 95.49491) (xy 181.02587 95.573298) (xy 180.989792 95.660397) (xy 180.9714 95.752862) + (xy 139.020736 95.752862) (xy 139.0356 95.678138) (xy 139.0356 95.583862) (xy 139.017208 95.491397) (xy 138.98113 95.404298) + (xy 138.928753 95.32591) (xy 138.86209 95.259247) (xy 138.783702 95.20687) (xy 138.696603 95.170792) (xy 138.606461 95.152862) + (xy 182.0714 95.152862) (xy 182.0714 95.247138) (xy 182.089792 95.339603) (xy 182.12587 95.426702) (xy 182.178247 95.50509) + (xy 182.24491 95.571753) (xy 182.323298 95.62413) (xy 182.410397 95.660208) (xy 182.502862 95.6786) (xy 182.597138 95.6786) + (xy 182.689603 95.660208) (xy 182.776702 95.62413) (xy 182.85509 95.571753) (xy 182.921753 95.50509) (xy 182.97413 95.426702) + (xy 183.010208 95.339603) (xy 183.0286 95.247138) (xy 183.0286 95.152862) (xy 190.5714 95.152862) (xy 190.5714 95.247138) + (xy 190.589792 95.339603) (xy 190.62587 95.426702) (xy 190.678247 95.50509) (xy 190.74491 95.571753) (xy 190.823298 95.62413) + (xy 190.910397 95.660208) (xy 191.002862 95.6786) (xy 191.097138 95.6786) (xy 191.189603 95.660208) (xy 191.276702 95.62413) + (xy 191.35509 95.571753) (xy 191.421753 95.50509) (xy 191.47413 95.426702) (xy 191.510208 95.339603) (xy 191.5286 95.247138) + (xy 191.5286 95.152862) (xy 191.510208 95.060397) (xy 191.47413 94.973298) (xy 191.421753 94.89491) (xy 191.35509 94.828247) + (xy 191.276702 94.77587) (xy 191.189603 94.739792) (xy 191.097138 94.7214) (xy 191.002862 94.7214) (xy 190.910397 94.739792) + (xy 190.823298 94.77587) (xy 190.74491 94.828247) (xy 190.678247 94.89491) (xy 190.62587 94.973298) (xy 190.589792 95.060397) + (xy 190.5714 95.152862) (xy 183.0286 95.152862) (xy 183.010208 95.060397) (xy 182.97413 94.973298) (xy 182.921753 94.89491) + (xy 182.85509 94.828247) (xy 182.776702 94.77587) (xy 182.689603 94.739792) (xy 182.597138 94.7214) (xy 182.502862 94.7214) + (xy 182.410397 94.739792) (xy 182.323298 94.77587) (xy 182.24491 94.828247) (xy 182.178247 94.89491) (xy 182.12587 94.973298) + (xy 182.089792 95.060397) (xy 182.0714 95.152862) (xy 138.606461 95.152862) (xy 138.604138 95.1524) (xy 138.509862 95.1524) + (xy 138.417397 95.170792) (xy 138.330298 95.20687) (xy 138.25191 95.259247) (xy 138.185247 95.32591) (xy 138.13287 95.404298) + (xy 138.096792 95.491397) (xy 138.0784 95.583862) (xy 103.5546 95.583862) (xy 103.5546 94.297937) (xy 182.8714 94.297937) + (xy 182.8714 94.402063) (xy 182.891713 94.504187) (xy 182.93156 94.600386) (xy 182.989409 94.686963) (xy 183.063037 94.760591) + (xy 183.149614 94.81844) (xy 183.245813 94.858287) (xy 183.347937 94.8786) (xy 183.452063 94.8786) (xy 183.554187 94.858287) + (xy 183.650386 94.81844) (xy 183.736963 94.760591) (xy 183.810591 94.686963) (xy 183.86844 94.600386) (xy 183.908287 94.504187) + (xy 183.9286 94.402063) (xy 183.9286 94.297937) (xy 191.3714 94.297937) (xy 191.3714 94.402063) (xy 191.391713 94.504187) + (xy 191.43156 94.600386) (xy 191.489409 94.686963) (xy 191.563037 94.760591) (xy 191.649614 94.81844) (xy 191.745813 94.858287) + (xy 191.847937 94.8786) (xy 191.952063 94.8786) (xy 192.054187 94.858287) (xy 192.150386 94.81844) (xy 192.236963 94.760591) + (xy 192.310591 94.686963) (xy 192.36844 94.600386) (xy 192.408287 94.504187) (xy 192.4286 94.402063) (xy 192.4286 94.297937) + (xy 192.408287 94.195813) (xy 192.36844 94.099614) (xy 192.310591 94.013037) (xy 192.236963 93.939409) (xy 192.150386 93.88156) + (xy 192.149406 93.881154) (xy 199.6564 93.881154) (xy 199.6564 94.078846) (xy 199.694968 94.272739) (xy 199.770621 94.455383) + (xy 199.880453 94.619758) (xy 200.020242 94.759547) (xy 200.184617 94.869379) (xy 200.367261 94.945032) (xy 200.561154 94.9836) + (xy 200.758846 94.9836) (xy 200.952739 94.945032) (xy 201.135383 94.869379) (xy 201.299758 94.759547) (xy 201.439547 94.619758) + (xy 201.549379 94.455383) (xy 201.625032 94.272739) (xy 201.6636 94.078846) (xy 201.6636 93.881154) (xy 202.1964 93.881154) + (xy 202.1964 94.078846) (xy 202.234968 94.272739) (xy 202.310621 94.455383) (xy 202.420453 94.619758) (xy 202.560242 94.759547) + (xy 202.724617 94.869379) (xy 202.907261 94.945032) (xy 203.101154 94.9836) (xy 203.298846 94.9836) (xy 203.492739 94.945032) + (xy 203.675383 94.869379) (xy 203.839758 94.759547) (xy 203.979547 94.619758) (xy 204.089379 94.455383) (xy 204.165032 94.272739) + (xy 204.2036 94.078846) (xy 204.2036 93.881154) (xy 204.7364 93.881154) (xy 204.7364 94.078846) (xy 204.774968 94.272739) + (xy 204.850621 94.455383) (xy 204.960453 94.619758) (xy 205.100242 94.759547) (xy 205.264617 94.869379) (xy 205.447261 94.945032) + (xy 205.641154 94.9836) (xy 205.838846 94.9836) (xy 206.032739 94.945032) (xy 206.215383 94.869379) (xy 206.379758 94.759547) + (xy 206.519547 94.619758) (xy 206.629379 94.455383) (xy 206.705032 94.272739) (xy 206.7436 94.078846) (xy 206.7436 93.881154) + (xy 206.705032 93.687261) (xy 206.629379 93.504617) (xy 206.519547 93.340242) (xy 206.379758 93.200453) (xy 206.215383 93.090621) + (xy 206.032739 93.014968) (xy 205.838846 92.9764) (xy 205.641154 92.9764) (xy 205.447261 93.014968) (xy 205.264617 93.090621) + (xy 205.100242 93.200453) (xy 204.960453 93.340242) (xy 204.850621 93.504617) (xy 204.774968 93.687261) (xy 204.7364 93.881154) + (xy 204.2036 93.881154) (xy 204.165032 93.687261) (xy 204.089379 93.504617) (xy 203.979547 93.340242) (xy 203.839758 93.200453) + (xy 203.675383 93.090621) (xy 203.492739 93.014968) (xy 203.298846 92.9764) (xy 203.101154 92.9764) (xy 202.907261 93.014968) + (xy 202.724617 93.090621) (xy 202.560242 93.200453) (xy 202.420453 93.340242) (xy 202.310621 93.504617) (xy 202.234968 93.687261) + (xy 202.1964 93.881154) (xy 201.6636 93.881154) (xy 201.625032 93.687261) (xy 201.549379 93.504617) (xy 201.439547 93.340242) + (xy 201.299758 93.200453) (xy 201.135383 93.090621) (xy 200.952739 93.014968) (xy 200.758846 92.9764) (xy 200.561154 92.9764) + (xy 200.367261 93.014968) (xy 200.184617 93.090621) (xy 200.020242 93.200453) (xy 199.880453 93.340242) (xy 199.770621 93.504617) + (xy 199.694968 93.687261) (xy 199.6564 93.881154) (xy 192.149406 93.881154) (xy 192.054187 93.841713) (xy 191.952063 93.8214) + (xy 191.847937 93.8214) (xy 191.745813 93.841713) (xy 191.649614 93.88156) (xy 191.563037 93.939409) (xy 191.489409 94.013037) + (xy 191.43156 94.099614) (xy 191.391713 94.195813) (xy 191.3714 94.297937) (xy 183.9286 94.297937) (xy 183.908287 94.195813) + (xy 183.86844 94.099614) (xy 183.810591 94.013037) (xy 183.736963 93.939409) (xy 183.650386 93.88156) (xy 183.554187 93.841713) + (xy 183.452063 93.8214) (xy 183.347937 93.8214) (xy 183.245813 93.841713) (xy 183.149614 93.88156) (xy 183.063037 93.939409) + (xy 182.989409 94.013037) (xy 182.93156 94.099614) (xy 182.891713 94.195813) (xy 182.8714 94.297937) (xy 103.5546 94.297937) + (xy 103.5546 93.043862) (xy 135.0304 93.043862) (xy 135.0304 93.138138) (xy 135.048792 93.230603) (xy 135.08487 93.317702) + (xy 135.137247 93.39609) (xy 135.20391 93.462753) (xy 135.282298 93.51513) (xy 135.369397 93.551208) (xy 135.461862 93.5696) + (xy 135.556138 93.5696) (xy 135.648603 93.551208) (xy 135.735702 93.51513) (xy 135.81409 93.462753) (xy 135.823981 93.452862) + (xy 181.9214 93.452862) (xy 181.9214 93.547138) (xy 181.939792 93.639603) (xy 181.97587 93.726702) (xy 182.028247 93.80509) + (xy 182.09491 93.871753) (xy 182.173298 93.92413) (xy 182.260397 93.960208) (xy 182.352862 93.9786) (xy 182.447138 93.9786) + (xy 182.539603 93.960208) (xy 182.626702 93.92413) (xy 182.70509 93.871753) (xy 182.771753 93.80509) (xy 182.82413 93.726702) + (xy 182.860208 93.639603) (xy 182.8786 93.547138) (xy 182.8786 93.452862) (xy 190.4214 93.452862) (xy 190.4214 93.547138) + (xy 190.439792 93.639603) (xy 190.47587 93.726702) (xy 190.528247 93.80509) (xy 190.59491 93.871753) (xy 190.673298 93.92413) + (xy 190.760397 93.960208) (xy 190.852862 93.9786) (xy 190.947138 93.9786) (xy 191.039603 93.960208) (xy 191.126702 93.92413) + (xy 191.20509 93.871753) (xy 191.271753 93.80509) (xy 191.32413 93.726702) (xy 191.360208 93.639603) (xy 191.3786 93.547138) + (xy 191.3786 93.452862) (xy 191.360208 93.360397) (xy 191.32413 93.273298) (xy 191.271753 93.19491) (xy 191.20509 93.128247) + (xy 191.126702 93.07587) (xy 191.039603 93.039792) (xy 190.947138 93.0214) (xy 190.852862 93.0214) (xy 190.760397 93.039792) + (xy 190.673298 93.07587) (xy 190.59491 93.128247) (xy 190.528247 93.19491) (xy 190.47587 93.273298) (xy 190.439792 93.360397) + (xy 190.4214 93.452862) (xy 182.8786 93.452862) (xy 182.860208 93.360397) (xy 182.82413 93.273298) (xy 182.771753 93.19491) + (xy 182.70509 93.128247) (xy 182.626702 93.07587) (xy 182.539603 93.039792) (xy 182.447138 93.0214) (xy 182.352862 93.0214) + (xy 182.260397 93.039792) (xy 182.173298 93.07587) (xy 182.09491 93.128247) (xy 182.028247 93.19491) (xy 181.97587 93.273298) + (xy 181.939792 93.360397) (xy 181.9214 93.452862) (xy 135.823981 93.452862) (xy 135.880753 93.39609) (xy 135.93313 93.317702) + (xy 135.969208 93.230603) (xy 135.9876 93.138138) (xy 135.9876 93.043862) (xy 135.969208 92.951397) (xy 135.93313 92.864298) + (xy 135.880753 92.78591) (xy 135.81409 92.719247) (xy 135.735702 92.66687) (xy 135.648603 92.630792) (xy 135.556138 92.6124) + (xy 135.461862 92.6124) (xy 135.369397 92.630792) (xy 135.282298 92.66687) (xy 135.20391 92.719247) (xy 135.137247 92.78591) + (xy 135.08487 92.864298) (xy 135.048792 92.951397) (xy 135.0304 93.043862) (xy 103.5546 93.043862) (xy 103.5546 92.502862) + (xy 191.6714 92.502862) (xy 191.6714 92.597138) (xy 191.689792 92.689603) (xy 191.72587 92.776702) (xy 191.778247 92.85509) + (xy 191.84491 92.921753) (xy 191.923298 92.97413) (xy 192.010397 93.010208) (xy 192.102862 93.0286) (xy 192.197138 93.0286) + (xy 192.289603 93.010208) (xy 192.376702 92.97413) (xy 192.45509 92.921753) (xy 192.521753 92.85509) (xy 192.57413 92.776702) + (xy 192.610208 92.689603) (xy 192.6286 92.597138) (xy 192.6286 92.502862) (xy 192.610208 92.410397) (xy 192.57413 92.323298) + (xy 192.521753 92.24491) (xy 192.45509 92.178247) (xy 192.376702 92.12587) (xy 192.289603 92.089792) (xy 192.197138 92.0714) + (xy 192.102862 92.0714) (xy 192.010397 92.089792) (xy 191.923298 92.12587) (xy 191.84491 92.178247) (xy 191.778247 92.24491) + (xy 191.72587 92.323298) (xy 191.689792 92.410397) (xy 191.6714 92.502862) (xy 103.5546 92.502862) (xy 103.5546 91.452862) + (xy 124.4214 91.452862) (xy 124.4214 91.547138) (xy 124.439792 91.639603) (xy 124.47587 91.726702) (xy 124.528247 91.80509) + (xy 124.59491 91.871753) (xy 124.673298 91.92413) (xy 124.760397 91.960208) (xy 124.852862 91.9786) (xy 124.947138 91.9786) + (xy 125.039603 91.960208) (xy 125.126702 91.92413) (xy 125.20509 91.871753) (xy 125.271753 91.80509) (xy 125.32413 91.726702) + (xy 125.360208 91.639603) (xy 125.3786 91.547138) (xy 125.3786 91.452862) (xy 128.5214 91.452862) (xy 128.5214 91.547138) + (xy 128.539792 91.639603) (xy 128.57587 91.726702) (xy 128.628247 91.80509) (xy 128.69491 91.871753) (xy 128.773298 91.92413) + (xy 128.860397 91.960208) (xy 128.952862 91.9786) (xy 129.047138 91.9786) (xy 129.139603 91.960208) (xy 129.226702 91.92413) + (xy 129.30509 91.871753) (xy 129.371753 91.80509) (xy 129.42413 91.726702) (xy 129.460208 91.639603) (xy 129.4786 91.547138) + (xy 129.4786 91.452862) (xy 129.477621 91.447937) (xy 132.1714 91.447937) (xy 132.1714 91.552063) (xy 132.191713 91.654187) + (xy 132.23156 91.750386) (xy 132.289409 91.836963) (xy 132.363037 91.910591) (xy 132.449614 91.96844) (xy 132.545813 92.008287) + (xy 132.647937 92.0286) (xy 132.752063 92.0286) (xy 132.854187 92.008287) (xy 132.950386 91.96844) (xy 133.036963 91.910591) + (xy 133.094692 91.852862) (xy 189.4714 91.852862) (xy 189.4714 91.947138) (xy 189.489792 92.039603) (xy 189.52587 92.126702) + (xy 189.578247 92.20509) (xy 189.64491 92.271753) (xy 189.723298 92.32413) (xy 189.810397 92.360208) (xy 189.902862 92.3786) + (xy 189.997138 92.3786) (xy 190.089603 92.360208) (xy 190.176702 92.32413) (xy 190.25509 92.271753) (xy 190.321753 92.20509) + (xy 190.37413 92.126702) (xy 190.410208 92.039603) (xy 190.4286 91.947138) (xy 190.4286 91.852862) (xy 190.410208 91.760397) + (xy 190.37413 91.673298) (xy 190.321753 91.59491) (xy 190.25509 91.528247) (xy 190.176702 91.47587) (xy 190.089603 91.439792) + (xy 189.997138 91.4214) (xy 189.902862 91.4214) (xy 189.810397 91.439792) (xy 189.723298 91.47587) (xy 189.64491 91.528247) + (xy 189.578247 91.59491) (xy 189.52587 91.673298) (xy 189.489792 91.760397) (xy 189.4714 91.852862) (xy 133.094692 91.852862) + (xy 133.110591 91.836963) (xy 133.16844 91.750386) (xy 133.208287 91.654187) (xy 133.2286 91.552063) (xy 133.2286 91.447937) + (xy 133.208287 91.345813) (xy 133.16844 91.249614) (xy 133.110591 91.163037) (xy 133.100416 91.152862) (xy 191.6714 91.152862) + (xy 191.6714 91.247138) (xy 191.689792 91.339603) (xy 191.72587 91.426702) (xy 191.778247 91.50509) (xy 191.84491 91.571753) + (xy 191.923298 91.62413) (xy 192.010397 91.660208) (xy 192.102862 91.6786) (xy 192.197138 91.6786) (xy 192.289603 91.660208) + (xy 192.376702 91.62413) (xy 192.45509 91.571753) (xy 192.521753 91.50509) (xy 192.57413 91.426702) (xy 192.609565 91.341154) + (xy 199.6564 91.341154) (xy 199.6564 91.538846) (xy 199.694968 91.732739) (xy 199.770621 91.915383) (xy 199.880453 92.079758) + (xy 200.020242 92.219547) (xy 200.184617 92.329379) (xy 200.367261 92.405032) (xy 200.561154 92.4436) (xy 200.758846 92.4436) + (xy 200.952739 92.405032) (xy 201.135383 92.329379) (xy 201.299758 92.219547) (xy 201.439547 92.079758) (xy 201.549379 91.915383) + (xy 201.625032 91.732739) (xy 201.6636 91.538846) (xy 201.6636 91.341154) (xy 202.1964 91.341154) (xy 202.1964 91.538846) + (xy 202.234968 91.732739) (xy 202.310621 91.915383) (xy 202.420453 92.079758) (xy 202.560242 92.219547) (xy 202.724617 92.329379) + (xy 202.907261 92.405032) (xy 203.101154 92.4436) (xy 203.298846 92.4436) (xy 203.492739 92.405032) (xy 203.675383 92.329379) + (xy 203.839758 92.219547) (xy 203.979547 92.079758) (xy 204.089379 91.915383) (xy 204.165032 91.732739) (xy 204.2036 91.538846) + (xy 204.2036 91.341154) (xy 204.7364 91.341154) (xy 204.7364 91.538846) (xy 204.774968 91.732739) (xy 204.850621 91.915383) + (xy 204.960453 92.079758) (xy 205.100242 92.219547) (xy 205.264617 92.329379) (xy 205.447261 92.405032) (xy 205.641154 92.4436) + (xy 205.838846 92.4436) (xy 206.032739 92.405032) (xy 206.215383 92.329379) (xy 206.379758 92.219547) (xy 206.519547 92.079758) + (xy 206.629379 91.915383) (xy 206.705032 91.732739) (xy 206.7436 91.538846) (xy 206.7436 91.341154) (xy 206.705032 91.147261) + (xy 206.629379 90.964617) (xy 206.519547 90.800242) (xy 206.379758 90.660453) (xy 206.215383 90.550621) (xy 206.032739 90.474968) + (xy 205.838846 90.4364) (xy 205.641154 90.4364) (xy 205.447261 90.474968) (xy 205.264617 90.550621) (xy 205.100242 90.660453) + (xy 204.960453 90.800242) (xy 204.850621 90.964617) (xy 204.774968 91.147261) (xy 204.7364 91.341154) (xy 204.2036 91.341154) + (xy 204.165032 91.147261) (xy 204.089379 90.964617) (xy 203.979547 90.800242) (xy 203.839758 90.660453) (xy 203.675383 90.550621) + (xy 203.492739 90.474968) (xy 203.298846 90.4364) (xy 203.101154 90.4364) (xy 202.907261 90.474968) (xy 202.724617 90.550621) + (xy 202.560242 90.660453) (xy 202.420453 90.800242) (xy 202.310621 90.964617) (xy 202.234968 91.147261) (xy 202.1964 91.341154) + (xy 201.6636 91.341154) (xy 201.625032 91.147261) (xy 201.549379 90.964617) (xy 201.439547 90.800242) (xy 201.299758 90.660453) + (xy 201.135383 90.550621) (xy 200.952739 90.474968) (xy 200.758846 90.4364) (xy 200.561154 90.4364) (xy 200.367261 90.474968) + (xy 200.184617 90.550621) (xy 200.020242 90.660453) (xy 199.880453 90.800242) (xy 199.770621 90.964617) (xy 199.694968 91.147261) + (xy 199.6564 91.341154) (xy 192.609565 91.341154) (xy 192.610208 91.339603) (xy 192.6286 91.247138) (xy 192.6286 91.152862) + (xy 192.610208 91.060397) (xy 192.57413 90.973298) (xy 192.521753 90.89491) (xy 192.45509 90.828247) (xy 192.376702 90.77587) + (xy 192.289603 90.739792) (xy 192.197138 90.7214) (xy 192.102862 90.7214) (xy 192.010397 90.739792) (xy 191.923298 90.77587) + (xy 191.84491 90.828247) (xy 191.778247 90.89491) (xy 191.72587 90.973298) (xy 191.689792 91.060397) (xy 191.6714 91.152862) + (xy 133.100416 91.152862) (xy 133.036963 91.089409) (xy 132.950386 91.03156) (xy 132.854187 90.991713) (xy 132.752063 90.9714) + (xy 132.647937 90.9714) (xy 132.545813 90.991713) (xy 132.449614 91.03156) (xy 132.363037 91.089409) (xy 132.289409 91.163037) + (xy 132.23156 91.249614) (xy 132.191713 91.345813) (xy 132.1714 91.447937) (xy 129.477621 91.447937) (xy 129.460208 91.360397) + (xy 129.42413 91.273298) (xy 129.371753 91.19491) (xy 129.30509 91.128247) (xy 129.226702 91.07587) (xy 129.139603 91.039792) + (xy 129.047138 91.0214) (xy 128.952862 91.0214) (xy 128.860397 91.039792) (xy 128.773298 91.07587) (xy 128.69491 91.128247) + (xy 128.628247 91.19491) (xy 128.57587 91.273298) (xy 128.539792 91.360397) (xy 128.5214 91.452862) (xy 125.3786 91.452862) + (xy 125.360208 91.360397) (xy 125.32413 91.273298) (xy 125.271753 91.19491) (xy 125.20509 91.128247) (xy 125.126702 91.07587) + (xy 125.039603 91.039792) (xy 124.947138 91.0214) (xy 124.852862 91.0214) (xy 124.760397 91.039792) (xy 124.673298 91.07587) + (xy 124.59491 91.128247) (xy 124.528247 91.19491) (xy 124.47587 91.273298) (xy 124.439792 91.360397) (xy 124.4214 91.452862) + (xy 103.5546 91.452862) (xy 103.5546 90.297937) (xy 127.0714 90.297937) (xy 127.0714 90.402063) (xy 127.091713 90.504187) + (xy 127.13156 90.600386) (xy 127.189409 90.686963) (xy 127.263037 90.760591) (xy 127.349614 90.81844) (xy 127.445813 90.858287) + (xy 127.547937 90.8786) (xy 127.652063 90.8786) (xy 127.754187 90.858287) (xy 127.850386 90.81844) (xy 127.936963 90.760591) + (xy 128.010591 90.686963) (xy 128.06844 90.600386) (xy 128.108287 90.504187) (xy 128.1286 90.402063) (xy 128.1286 90.297937) + (xy 131.1714 90.297937) (xy 131.1714 90.402063) (xy 131.191713 90.504187) (xy 131.23156 90.600386) (xy 131.289409 90.686963) + (xy 131.363037 90.760591) (xy 131.449614 90.81844) (xy 131.545813 90.858287) (xy 131.647937 90.8786) (xy 131.752063 90.8786) + (xy 131.854187 90.858287) (xy 131.950386 90.81844) (xy 132.036963 90.760591) (xy 132.110591 90.686963) (xy 132.16844 90.600386) + (xy 132.208287 90.504187) (xy 132.2286 90.402063) (xy 132.2286 90.297937) (xy 132.208287 90.195813) (xy 132.17807 90.122862) + (xy 137.9514 90.122862) (xy 137.9514 90.217138) (xy 137.969792 90.309603) (xy 138.00587 90.396702) (xy 138.058247 90.47509) + (xy 138.12491 90.541753) (xy 138.203298 90.59413) (xy 138.290397 90.630208) (xy 138.382862 90.6486) (xy 138.477138 90.6486) + (xy 138.569603 90.630208) (xy 138.656702 90.59413) (xy 138.73509 90.541753) (xy 138.801753 90.47509) (xy 138.85413 90.396702) + (xy 138.890208 90.309603) (xy 138.9086 90.217138) (xy 138.9086 90.122862) (xy 139.2214 90.122862) (xy 139.2214 90.217138) + (xy 139.239792 90.309603) (xy 139.27587 90.396702) (xy 139.328247 90.47509) (xy 139.39491 90.541753) (xy 139.473298 90.59413) + (xy 139.560397 90.630208) (xy 139.652862 90.6486) (xy 139.747138 90.6486) (xy 139.839603 90.630208) (xy 139.926702 90.59413) + (xy 140.00509 90.541753) (xy 140.071753 90.47509) (xy 140.12413 90.396702) (xy 140.160208 90.309603) (xy 140.1786 90.217138) + (xy 140.1786 90.122862) (xy 140.4914 90.122862) (xy 140.4914 90.217138) (xy 140.509792 90.309603) (xy 140.54587 90.396702) + (xy 140.598247 90.47509) (xy 140.66491 90.541753) (xy 140.743298 90.59413) (xy 140.830397 90.630208) (xy 140.922862 90.6486) + (xy 141.017138 90.6486) (xy 141.109603 90.630208) (xy 141.196702 90.59413) (xy 141.27509 90.541753) (xy 141.341753 90.47509) + (xy 141.39413 90.396702) (xy 141.430208 90.309603) (xy 141.4486 90.217138) (xy 141.4486 90.122862) (xy 141.7614 90.122862) + (xy 141.7614 90.217138) (xy 141.779792 90.309603) (xy 141.81587 90.396702) (xy 141.868247 90.47509) (xy 141.93491 90.541753) + (xy 142.013298 90.59413) (xy 142.100397 90.630208) (xy 142.192862 90.6486) (xy 142.287138 90.6486) (xy 142.379603 90.630208) + (xy 142.466702 90.59413) (xy 142.54509 90.541753) (xy 142.611753 90.47509) (xy 142.66413 90.396702) (xy 142.700208 90.309603) + (xy 142.7186 90.217138) (xy 142.7186 90.122862) (xy 143.6664 90.122862) (xy 143.6664 90.217138) (xy 143.684792 90.309603) + (xy 143.72087 90.396702) (xy 143.773247 90.47509) (xy 143.83991 90.541753) (xy 143.918298 90.59413) (xy 144.005397 90.630208) + (xy 144.097862 90.6486) (xy 144.192138 90.6486) (xy 144.284603 90.630208) (xy 144.371702 90.59413) (xy 144.45009 90.541753) + (xy 144.516753 90.47509) (xy 144.56913 90.396702) (xy 144.605208 90.309603) (xy 144.6236 90.217138) (xy 144.6236 90.122862) + (xy 144.9364 90.122862) (xy 144.9364 90.217138) (xy 144.954792 90.309603) (xy 144.99087 90.396702) (xy 145.043247 90.47509) + (xy 145.10991 90.541753) (xy 145.188298 90.59413) (xy 145.275397 90.630208) (xy 145.367862 90.6486) (xy 145.462138 90.6486) + (xy 145.554603 90.630208) (xy 145.641702 90.59413) (xy 145.72009 90.541753) (xy 145.786753 90.47509) (xy 145.83913 90.396702) + (xy 145.875208 90.309603) (xy 145.8936 90.217138) (xy 145.8936 90.122862) (xy 146.2064 90.122862) (xy 146.2064 90.217138) + (xy 146.224792 90.309603) (xy 146.26087 90.396702) (xy 146.313247 90.47509) (xy 146.37991 90.541753) (xy 146.458298 90.59413) + (xy 146.545397 90.630208) (xy 146.637862 90.6486) (xy 146.732138 90.6486) (xy 146.824603 90.630208) (xy 146.911702 90.59413) + (xy 146.99009 90.541753) (xy 147.056753 90.47509) (xy 147.10913 90.396702) (xy 147.145208 90.309603) (xy 147.1636 90.217138) + (xy 147.1636 90.122862) (xy 147.4764 90.122862) (xy 147.4764 90.217138) (xy 147.494792 90.309603) (xy 147.53087 90.396702) + (xy 147.583247 90.47509) (xy 147.64991 90.541753) (xy 147.728298 90.59413) (xy 147.815397 90.630208) (xy 147.907862 90.6486) + (xy 148.002138 90.6486) (xy 148.094603 90.630208) (xy 148.181702 90.59413) (xy 148.26009 90.541753) (xy 148.326753 90.47509) + (xy 148.37913 90.396702) (xy 148.415208 90.309603) (xy 148.4336 90.217138) (xy 148.4336 90.122862) (xy 148.7464 90.122862) + (xy 148.7464 90.217138) (xy 148.764792 90.309603) (xy 148.80087 90.396702) (xy 148.853247 90.47509) (xy 148.91991 90.541753) + (xy 148.998298 90.59413) (xy 149.085397 90.630208) (xy 149.177862 90.6486) (xy 149.272138 90.6486) (xy 149.364603 90.630208) + (xy 149.451702 90.59413) (xy 149.53009 90.541753) (xy 149.596753 90.47509) (xy 149.64913 90.396702) (xy 149.685208 90.309603) + (xy 149.7036 90.217138) (xy 149.7036 90.122862) (xy 150.0164 90.122862) (xy 150.0164 90.217138) (xy 150.034792 90.309603) + (xy 150.07087 90.396702) (xy 150.123247 90.47509) (xy 150.18991 90.541753) (xy 150.268298 90.59413) (xy 150.355397 90.630208) + (xy 150.447862 90.6486) (xy 150.542138 90.6486) (xy 150.634603 90.630208) (xy 150.721702 90.59413) (xy 150.80009 90.541753) + (xy 150.866753 90.47509) (xy 150.91913 90.396702) (xy 150.955208 90.309603) (xy 150.9736 90.217138) (xy 150.9736 90.122862) + (xy 151.2864 90.122862) (xy 151.2864 90.217138) (xy 151.304792 90.309603) (xy 151.34087 90.396702) (xy 151.393247 90.47509) + (xy 151.45991 90.541753) (xy 151.538298 90.59413) (xy 151.625397 90.630208) (xy 151.717862 90.6486) (xy 151.812138 90.6486) + (xy 151.904603 90.630208) (xy 151.991702 90.59413) (xy 152.053464 90.552862) (xy 189.4714 90.552862) (xy 189.4714 90.647138) + (xy 189.489792 90.739603) (xy 189.52587 90.826702) (xy 189.578247 90.90509) (xy 189.64491 90.971753) (xy 189.723298 91.02413) + (xy 189.810397 91.060208) (xy 189.902862 91.0786) (xy 189.997138 91.0786) (xy 190.089603 91.060208) (xy 190.176702 91.02413) + (xy 190.25509 90.971753) (xy 190.321753 90.90509) (xy 190.37413 90.826702) (xy 190.410208 90.739603) (xy 190.4286 90.647138) + (xy 190.4286 90.552862) (xy 190.410208 90.460397) (xy 190.37413 90.373298) (xy 190.321753 90.29491) (xy 190.25509 90.228247) + (xy 190.176702 90.17587) (xy 190.089603 90.139792) (xy 189.997138 90.1214) (xy 189.902862 90.1214) (xy 189.810397 90.139792) + (xy 189.723298 90.17587) (xy 189.64491 90.228247) (xy 189.578247 90.29491) (xy 189.52587 90.373298) (xy 189.489792 90.460397) + (xy 189.4714 90.552862) (xy 152.053464 90.552862) (xy 152.07009 90.541753) (xy 152.136753 90.47509) (xy 152.18913 90.396702) + (xy 152.225208 90.309603) (xy 152.2436 90.217138) (xy 152.2436 90.122862) (xy 152.225208 90.030397) (xy 152.18913 89.943298) + (xy 152.162112 89.902862) (xy 191.6714 89.902862) (xy 191.6714 89.997138) (xy 191.689792 90.089603) (xy 191.72587 90.176702) + (xy 191.778247 90.25509) (xy 191.84491 90.321753) (xy 191.923298 90.37413) (xy 192.010397 90.410208) (xy 192.102862 90.4286) + (xy 192.197138 90.4286) (xy 192.289603 90.410208) (xy 192.376702 90.37413) (xy 192.45509 90.321753) (xy 192.521753 90.25509) + (xy 192.57413 90.176702) (xy 192.610208 90.089603) (xy 192.6286 89.997138) (xy 192.6286 89.902862) (xy 192.610208 89.810397) + (xy 192.57413 89.723298) (xy 192.521753 89.64491) (xy 192.45509 89.578247) (xy 192.376702 89.52587) (xy 192.289603 89.489792) + (xy 192.197138 89.4714) (xy 192.102862 89.4714) (xy 192.010397 89.489792) (xy 191.923298 89.52587) (xy 191.84491 89.578247) + (xy 191.778247 89.64491) (xy 191.72587 89.723298) (xy 191.689792 89.810397) (xy 191.6714 89.902862) (xy 152.162112 89.902862) + (xy 152.136753 89.86491) (xy 152.07009 89.798247) (xy 151.991702 89.74587) (xy 151.904603 89.709792) (xy 151.812138 89.6914) + (xy 151.717862 89.6914) (xy 151.625397 89.709792) (xy 151.538298 89.74587) (xy 151.45991 89.798247) (xy 151.393247 89.86491) + (xy 151.34087 89.943298) (xy 151.304792 90.030397) (xy 151.2864 90.122862) (xy 150.9736 90.122862) (xy 150.955208 90.030397) + (xy 150.91913 89.943298) (xy 150.866753 89.86491) (xy 150.80009 89.798247) (xy 150.721702 89.74587) (xy 150.634603 89.709792) + (xy 150.542138 89.6914) (xy 150.447862 89.6914) (xy 150.355397 89.709792) (xy 150.268298 89.74587) (xy 150.18991 89.798247) + (xy 150.123247 89.86491) (xy 150.07087 89.943298) (xy 150.034792 90.030397) (xy 150.0164 90.122862) (xy 149.7036 90.122862) + (xy 149.685208 90.030397) (xy 149.64913 89.943298) (xy 149.596753 89.86491) (xy 149.53009 89.798247) (xy 149.451702 89.74587) + (xy 149.364603 89.709792) (xy 149.272138 89.6914) (xy 149.177862 89.6914) (xy 149.085397 89.709792) (xy 148.998298 89.74587) + (xy 148.91991 89.798247) (xy 148.853247 89.86491) (xy 148.80087 89.943298) (xy 148.764792 90.030397) (xy 148.7464 90.122862) + (xy 148.4336 90.122862) (xy 148.415208 90.030397) (xy 148.37913 89.943298) (xy 148.326753 89.86491) (xy 148.26009 89.798247) + (xy 148.181702 89.74587) (xy 148.094603 89.709792) (xy 148.002138 89.6914) (xy 147.907862 89.6914) (xy 147.815397 89.709792) + (xy 147.728298 89.74587) (xy 147.64991 89.798247) (xy 147.583247 89.86491) (xy 147.53087 89.943298) (xy 147.494792 90.030397) + (xy 147.4764 90.122862) (xy 147.1636 90.122862) (xy 147.145208 90.030397) (xy 147.10913 89.943298) (xy 147.056753 89.86491) + (xy 146.99009 89.798247) (xy 146.911702 89.74587) (xy 146.824603 89.709792) (xy 146.732138 89.6914) (xy 146.637862 89.6914) + (xy 146.545397 89.709792) (xy 146.458298 89.74587) (xy 146.37991 89.798247) (xy 146.313247 89.86491) (xy 146.26087 89.943298) + (xy 146.224792 90.030397) (xy 146.2064 90.122862) (xy 145.8936 90.122862) (xy 145.875208 90.030397) (xy 145.83913 89.943298) + (xy 145.786753 89.86491) (xy 145.72009 89.798247) (xy 145.641702 89.74587) (xy 145.554603 89.709792) (xy 145.462138 89.6914) + (xy 145.367862 89.6914) (xy 145.275397 89.709792) (xy 145.188298 89.74587) (xy 145.10991 89.798247) (xy 145.043247 89.86491) + (xy 144.99087 89.943298) (xy 144.954792 90.030397) (xy 144.9364 90.122862) (xy 144.6236 90.122862) (xy 144.605208 90.030397) + (xy 144.56913 89.943298) (xy 144.516753 89.86491) (xy 144.45009 89.798247) (xy 144.371702 89.74587) (xy 144.284603 89.709792) + (xy 144.192138 89.6914) (xy 144.097862 89.6914) (xy 144.005397 89.709792) (xy 143.918298 89.74587) (xy 143.83991 89.798247) + (xy 143.773247 89.86491) (xy 143.72087 89.943298) (xy 143.684792 90.030397) (xy 143.6664 90.122862) (xy 142.7186 90.122862) + (xy 142.700208 90.030397) (xy 142.66413 89.943298) (xy 142.611753 89.86491) (xy 142.54509 89.798247) (xy 142.466702 89.74587) + (xy 142.379603 89.709792) (xy 142.287138 89.6914) (xy 142.192862 89.6914) (xy 142.100397 89.709792) (xy 142.013298 89.74587) + (xy 141.93491 89.798247) (xy 141.868247 89.86491) (xy 141.81587 89.943298) (xy 141.779792 90.030397) (xy 141.7614 90.122862) + (xy 141.4486 90.122862) (xy 141.430208 90.030397) (xy 141.39413 89.943298) (xy 141.341753 89.86491) (xy 141.27509 89.798247) + (xy 141.196702 89.74587) (xy 141.109603 89.709792) (xy 141.017138 89.6914) (xy 140.922862 89.6914) (xy 140.830397 89.709792) + (xy 140.743298 89.74587) (xy 140.66491 89.798247) (xy 140.598247 89.86491) (xy 140.54587 89.943298) (xy 140.509792 90.030397) + (xy 140.4914 90.122862) (xy 140.1786 90.122862) (xy 140.160208 90.030397) (xy 140.12413 89.943298) (xy 140.071753 89.86491) + (xy 140.00509 89.798247) (xy 139.926702 89.74587) (xy 139.839603 89.709792) (xy 139.747138 89.6914) (xy 139.652862 89.6914) + (xy 139.560397 89.709792) (xy 139.473298 89.74587) (xy 139.39491 89.798247) (xy 139.328247 89.86491) (xy 139.27587 89.943298) + (xy 139.239792 90.030397) (xy 139.2214 90.122862) (xy 138.9086 90.122862) (xy 138.890208 90.030397) (xy 138.85413 89.943298) + (xy 138.801753 89.86491) (xy 138.73509 89.798247) (xy 138.656702 89.74587) (xy 138.569603 89.709792) (xy 138.477138 89.6914) + (xy 138.382862 89.6914) (xy 138.290397 89.709792) (xy 138.203298 89.74587) (xy 138.12491 89.798247) (xy 138.058247 89.86491) + (xy 138.00587 89.943298) (xy 137.969792 90.030397) (xy 137.9514 90.122862) (xy 132.17807 90.122862) (xy 132.16844 90.099614) + (xy 132.110591 90.013037) (xy 132.036963 89.939409) (xy 131.950386 89.88156) (xy 131.854187 89.841713) (xy 131.752063 89.8214) + (xy 131.647937 89.8214) (xy 131.545813 89.841713) (xy 131.449614 89.88156) (xy 131.363037 89.939409) (xy 131.289409 90.013037) + (xy 131.23156 90.099614) (xy 131.191713 90.195813) (xy 131.1714 90.297937) (xy 128.1286 90.297937) (xy 128.108287 90.195813) + (xy 128.06844 90.099614) (xy 128.010591 90.013037) (xy 127.936963 89.939409) (xy 127.850386 89.88156) (xy 127.754187 89.841713) + (xy 127.652063 89.8214) (xy 127.547937 89.8214) (xy 127.445813 89.841713) (xy 127.349614 89.88156) (xy 127.263037 89.939409) + (xy 127.189409 90.013037) (xy 127.13156 90.099614) (xy 127.091713 90.195813) (xy 127.0714 90.297937) (xy 103.5546 90.297937) + (xy 103.5546 89.347937) (xy 127.9214 89.347937) (xy 127.9214 89.452063) (xy 127.941713 89.554187) (xy 127.98156 89.650386) + (xy 128.039409 89.736963) (xy 128.113037 89.810591) (xy 128.199614 89.86844) (xy 128.295813 89.908287) (xy 128.397937 89.9286) + (xy 128.502063 89.9286) (xy 128.604187 89.908287) (xy 128.700386 89.86844) (xy 128.786963 89.810591) (xy 128.860591 89.736963) + (xy 128.91844 89.650386) (xy 128.958287 89.554187) (xy 128.9786 89.452063) (xy 128.9786 89.347937) (xy 132.0214 89.347937) + (xy 132.0214 89.452063) (xy 132.041713 89.554187) (xy 132.08156 89.650386) (xy 132.139409 89.736963) (xy 132.213037 89.810591) + (xy 132.299614 89.86844) (xy 132.395813 89.908287) (xy 132.497937 89.9286) (xy 132.602063 89.9286) (xy 132.704187 89.908287) + (xy 132.800386 89.86844) (xy 132.886963 89.810591) (xy 132.960591 89.736963) (xy 133.01844 89.650386) (xy 133.058287 89.554187) + (xy 133.0786 89.452063) (xy 133.0786 89.360862) (xy 152.5564 89.360862) (xy 152.5564 89.455138) (xy 152.574792 89.547603) + (xy 152.61087 89.634702) (xy 152.663247 89.71309) (xy 152.72991 89.779753) (xy 152.808298 89.83213) (xy 152.895397 89.868208) + (xy 152.987862 89.8866) (xy 153.082138 89.8866) (xy 153.174603 89.868208) (xy 153.261702 89.83213) (xy 153.34009 89.779753) + (xy 153.406753 89.71309) (xy 153.45913 89.634702) (xy 153.495208 89.547603) (xy 153.5136 89.455138) (xy 153.5136 89.360862) + (xy 153.495208 89.268397) (xy 153.488774 89.252862) (xy 189.4714 89.252862) (xy 189.4714 89.347138) (xy 189.489792 89.439603) + (xy 189.52587 89.526702) (xy 189.578247 89.60509) (xy 189.64491 89.671753) (xy 189.723298 89.72413) (xy 189.810397 89.760208) + (xy 189.902862 89.7786) (xy 189.997138 89.7786) (xy 190.089603 89.760208) (xy 190.176702 89.72413) (xy 190.25509 89.671753) + (xy 190.321753 89.60509) (xy 190.37413 89.526702) (xy 190.410208 89.439603) (xy 190.4286 89.347138) (xy 190.4286 89.252862) + (xy 190.410208 89.160397) (xy 190.37413 89.073298) (xy 190.321753 88.99491) (xy 190.25509 88.928247) (xy 190.176702 88.87587) + (xy 190.089603 88.839792) (xy 189.997138 88.8214) (xy 189.902862 88.8214) (xy 189.810397 88.839792) (xy 189.723298 88.87587) + (xy 189.64491 88.928247) (xy 189.578247 88.99491) (xy 189.52587 89.073298) (xy 189.489792 89.160397) (xy 189.4714 89.252862) + (xy 153.488774 89.252862) (xy 153.45913 89.181298) (xy 153.406753 89.10291) (xy 153.34009 89.036247) (xy 153.261702 88.98387) + (xy 153.174603 88.947792) (xy 153.082138 88.9294) (xy 152.987862 88.9294) (xy 152.895397 88.947792) (xy 152.808298 88.98387) + (xy 152.72991 89.036247) (xy 152.663247 89.10291) (xy 152.61087 89.181298) (xy 152.574792 89.268397) (xy 152.5564 89.360862) + (xy 133.0786 89.360862) (xy 133.0786 89.347937) (xy 133.058287 89.245813) (xy 133.01844 89.149614) (xy 132.960591 89.063037) + (xy 132.886963 88.989409) (xy 132.800386 88.93156) (xy 132.704187 88.891713) (xy 132.602063 88.8714) (xy 132.497937 88.8714) + (xy 132.395813 88.891713) (xy 132.299614 88.93156) (xy 132.213037 88.989409) (xy 132.139409 89.063037) (xy 132.08156 89.149614) + (xy 132.041713 89.245813) (xy 132.0214 89.347937) (xy 128.9786 89.347937) (xy 128.958287 89.245813) (xy 128.91844 89.149614) + (xy 128.860591 89.063037) (xy 128.786963 88.989409) (xy 128.700386 88.93156) (xy 128.604187 88.891713) (xy 128.502063 88.8714) + (xy 128.397937 88.8714) (xy 128.295813 88.891713) (xy 128.199614 88.93156) (xy 128.113037 88.989409) (xy 128.039409 89.063037) + (xy 127.98156 89.149614) (xy 127.941713 89.245813) (xy 127.9214 89.347937) (xy 103.5546 89.347937) (xy 103.5546 88.447937) + (xy 126.8714 88.447937) (xy 126.8714 88.552063) (xy 126.891713 88.654187) (xy 126.93156 88.750386) (xy 126.989409 88.836963) + (xy 127.063037 88.910591) (xy 127.149614 88.96844) (xy 127.245813 89.008287) (xy 127.347937 89.0286) (xy 127.452063 89.0286) + (xy 127.554187 89.008287) (xy 127.650386 88.96844) (xy 127.736963 88.910591) (xy 127.810591 88.836963) (xy 127.86844 88.750386) + (xy 127.908287 88.654187) (xy 127.9286 88.552063) (xy 127.9286 88.447937) (xy 130.9714 88.447937) (xy 130.9714 88.552063) + (xy 130.991713 88.654187) (xy 131.03156 88.750386) (xy 131.089409 88.836963) (xy 131.163037 88.910591) (xy 131.249614 88.96844) + (xy 131.345813 89.008287) (xy 131.447937 89.0286) (xy 131.552063 89.0286) (xy 131.654187 89.008287) (xy 131.750386 88.96844) + (xy 131.836963 88.910591) (xy 131.910591 88.836963) (xy 131.96844 88.750386) (xy 132.008287 88.654187) (xy 132.0286 88.552063) + (xy 132.0286 88.447937) (xy 132.008287 88.345813) (xy 132.007894 88.344862) (xy 152.8104 88.344862) (xy 152.8104 88.439138) + (xy 152.828792 88.531603) (xy 152.86487 88.618702) (xy 152.917247 88.69709) (xy 152.98391 88.763753) (xy 153.062298 88.81613) + (xy 153.149397 88.852208) (xy 153.241862 88.8706) (xy 153.336138 88.8706) (xy 153.428603 88.852208) (xy 153.515702 88.81613) + (xy 153.59409 88.763753) (xy 153.660753 88.69709) (xy 153.71313 88.618702) (xy 153.719691 88.602862) (xy 191.6714 88.602862) + (xy 191.6714 88.697138) (xy 191.689792 88.789603) (xy 191.72587 88.876702) (xy 191.778247 88.95509) (xy 191.84491 89.021753) + (xy 191.923298 89.07413) (xy 192.010397 89.110208) (xy 192.102862 89.1286) (xy 192.197138 89.1286) (xy 192.289603 89.110208) + (xy 192.376702 89.07413) (xy 192.45509 89.021753) (xy 192.521753 88.95509) (xy 192.57413 88.876702) (xy 192.605423 88.801154) + (xy 199.6564 88.801154) (xy 199.6564 88.998846) (xy 199.694968 89.192739) (xy 199.770621 89.375383) (xy 199.880453 89.539758) + (xy 200.020242 89.679547) (xy 200.184617 89.789379) (xy 200.367261 89.865032) (xy 200.561154 89.9036) (xy 200.758846 89.9036) + (xy 200.952739 89.865032) (xy 201.135383 89.789379) (xy 201.299758 89.679547) (xy 201.439547 89.539758) (xy 201.549379 89.375383) + (xy 201.625032 89.192739) (xy 201.6636 88.998846) (xy 201.6636 88.801154) (xy 202.1964 88.801154) (xy 202.1964 88.998846) + (xy 202.234968 89.192739) (xy 202.310621 89.375383) (xy 202.420453 89.539758) (xy 202.560242 89.679547) (xy 202.724617 89.789379) + (xy 202.907261 89.865032) (xy 203.101154 89.9036) (xy 203.298846 89.9036) (xy 203.492739 89.865032) (xy 203.675383 89.789379) + (xy 203.839758 89.679547) (xy 203.979547 89.539758) (xy 204.089379 89.375383) (xy 204.165032 89.192739) (xy 204.2036 88.998846) + (xy 204.2036 88.801154) (xy 204.7364 88.801154) (xy 204.7364 88.998846) (xy 204.774968 89.192739) (xy 204.850621 89.375383) + (xy 204.960453 89.539758) (xy 205.100242 89.679547) (xy 205.264617 89.789379) (xy 205.447261 89.865032) (xy 205.641154 89.9036) + (xy 205.838846 89.9036) (xy 206.032739 89.865032) (xy 206.215383 89.789379) (xy 206.379758 89.679547) (xy 206.519547 89.539758) + (xy 206.629379 89.375383) (xy 206.705032 89.192739) (xy 206.7436 88.998846) (xy 206.7436 88.801154) (xy 206.705032 88.607261) + (xy 206.629379 88.424617) (xy 206.519547 88.260242) (xy 206.379758 88.120453) (xy 206.215383 88.010621) (xy 206.032739 87.934968) + (xy 205.838846 87.8964) (xy 205.641154 87.8964) (xy 205.447261 87.934968) (xy 205.264617 88.010621) (xy 205.100242 88.120453) + (xy 204.960453 88.260242) (xy 204.850621 88.424617) (xy 204.774968 88.607261) (xy 204.7364 88.801154) (xy 204.2036 88.801154) + (xy 204.165032 88.607261) (xy 204.089379 88.424617) (xy 203.979547 88.260242) (xy 203.839758 88.120453) (xy 203.675383 88.010621) + (xy 203.492739 87.934968) (xy 203.298846 87.8964) (xy 203.101154 87.8964) (xy 202.907261 87.934968) (xy 202.724617 88.010621) + (xy 202.560242 88.120453) (xy 202.420453 88.260242) (xy 202.310621 88.424617) (xy 202.234968 88.607261) (xy 202.1964 88.801154) + (xy 201.6636 88.801154) (xy 201.625032 88.607261) (xy 201.549379 88.424617) (xy 201.439547 88.260242) (xy 201.299758 88.120453) + (xy 201.135383 88.010621) (xy 200.952739 87.934968) (xy 200.758846 87.8964) (xy 200.561154 87.8964) (xy 200.367261 87.934968) + (xy 200.184617 88.010621) (xy 200.020242 88.120453) (xy 199.880453 88.260242) (xy 199.770621 88.424617) (xy 199.694968 88.607261) + (xy 199.6564 88.801154) (xy 192.605423 88.801154) (xy 192.610208 88.789603) (xy 192.6286 88.697138) (xy 192.6286 88.602862) + (xy 192.610208 88.510397) (xy 192.57413 88.423298) (xy 192.521753 88.34491) (xy 192.45509 88.278247) (xy 192.376702 88.22587) + (xy 192.289603 88.189792) (xy 192.197138 88.1714) (xy 192.102862 88.1714) (xy 192.010397 88.189792) (xy 191.923298 88.22587) + (xy 191.84491 88.278247) (xy 191.778247 88.34491) (xy 191.72587 88.423298) (xy 191.689792 88.510397) (xy 191.6714 88.602862) + (xy 153.719691 88.602862) (xy 153.749208 88.531603) (xy 153.7676 88.439138) (xy 153.7676 88.344862) (xy 153.749208 88.252397) + (xy 153.71313 88.165298) (xy 153.660753 88.08691) (xy 153.59409 88.020247) (xy 153.515702 87.96787) (xy 153.428603 87.931792) + (xy 153.336138 87.9134) (xy 153.241862 87.9134) (xy 153.149397 87.931792) (xy 153.062298 87.96787) (xy 152.98391 88.020247) + (xy 152.917247 88.08691) (xy 152.86487 88.165298) (xy 152.828792 88.252397) (xy 152.8104 88.344862) (xy 132.007894 88.344862) + (xy 131.96844 88.249614) (xy 131.910591 88.163037) (xy 131.836963 88.089409) (xy 131.750386 88.03156) (xy 131.654187 87.991713) + (xy 131.552063 87.9714) (xy 131.447937 87.9714) (xy 131.345813 87.991713) (xy 131.249614 88.03156) (xy 131.163037 88.089409) + (xy 131.089409 88.163037) (xy 131.03156 88.249614) (xy 130.991713 88.345813) (xy 130.9714 88.447937) (xy 127.9286 88.447937) + (xy 127.908287 88.345813) (xy 127.86844 88.249614) (xy 127.810591 88.163037) (xy 127.736963 88.089409) (xy 127.650386 88.03156) + (xy 127.554187 87.991713) (xy 127.452063 87.9714) (xy 127.347937 87.9714) (xy 127.245813 87.991713) (xy 127.149614 88.03156) + (xy 127.063037 88.089409) (xy 126.989409 88.163037) (xy 126.93156 88.249614) (xy 126.891713 88.345813) (xy 126.8714 88.447937) + (xy 103.5546 88.447937) (xy 103.5546 87.455862) (xy 153.6994 87.455862) (xy 153.6994 87.550138) (xy 153.717792 87.642603) + (xy 153.75387 87.729702) (xy 153.806247 87.80809) (xy 153.87291 87.874753) (xy 153.951298 87.92713) (xy 154.038397 87.963208) + (xy 154.130862 87.9816) (xy 154.225138 87.9816) (xy 154.317603 87.963208) (xy 154.34258 87.952862) (xy 189.4714 87.952862) + (xy 189.4714 88.047138) (xy 189.489792 88.139603) (xy 189.52587 88.226702) (xy 189.578247 88.30509) (xy 189.64491 88.371753) + (xy 189.723298 88.42413) (xy 189.810397 88.460208) (xy 189.902862 88.4786) (xy 189.997138 88.4786) (xy 190.089603 88.460208) + (xy 190.176702 88.42413) (xy 190.25509 88.371753) (xy 190.321753 88.30509) (xy 190.37413 88.226702) (xy 190.410208 88.139603) + (xy 190.4286 88.047138) (xy 190.4286 87.952862) (xy 190.410208 87.860397) (xy 190.37413 87.773298) (xy 190.321753 87.69491) + (xy 190.25509 87.628247) (xy 190.176702 87.57587) (xy 190.089603 87.539792) (xy 189.997138 87.5214) (xy 189.902862 87.5214) + (xy 189.810397 87.539792) (xy 189.723298 87.57587) (xy 189.64491 87.628247) (xy 189.578247 87.69491) (xy 189.52587 87.773298) + (xy 189.489792 87.860397) (xy 189.4714 87.952862) (xy 154.34258 87.952862) (xy 154.404702 87.92713) (xy 154.48309 87.874753) + (xy 154.549753 87.80809) (xy 154.60213 87.729702) (xy 154.638208 87.642603) (xy 154.6566 87.550138) (xy 154.6566 87.455862) + (xy 154.638208 87.363397) (xy 154.613134 87.302862) (xy 192.4714 87.302862) (xy 192.4714 87.397138) (xy 192.489792 87.489603) + (xy 192.52587 87.576702) (xy 192.578247 87.65509) (xy 192.64491 87.721753) (xy 192.723298 87.77413) (xy 192.810397 87.810208) + (xy 192.902862 87.8286) (xy 192.997138 87.8286) (xy 193.089603 87.810208) (xy 193.176702 87.77413) (xy 193.25509 87.721753) + (xy 193.321753 87.65509) (xy 193.37413 87.576702) (xy 193.410208 87.489603) (xy 193.4286 87.397138) (xy 193.4286 87.302862) + (xy 193.410208 87.210397) (xy 193.37413 87.123298) (xy 193.321753 87.04491) (xy 193.25509 86.978247) (xy 193.176702 86.92587) + (xy 193.089603 86.889792) (xy 192.997138 86.8714) (xy 192.902862 86.8714) (xy 192.810397 86.889792) (xy 192.723298 86.92587) + (xy 192.64491 86.978247) (xy 192.578247 87.04491) (xy 192.52587 87.123298) (xy 192.489792 87.210397) (xy 192.4714 87.302862) + (xy 154.613134 87.302862) (xy 154.60213 87.276298) (xy 154.549753 87.19791) (xy 154.48309 87.131247) (xy 154.404702 87.07887) + (xy 154.317603 87.042792) (xy 154.225138 87.0244) (xy 154.130862 87.0244) (xy 154.038397 87.042792) (xy 153.951298 87.07887) + (xy 153.87291 87.131247) (xy 153.806247 87.19791) (xy 153.75387 87.276298) (xy 153.717792 87.363397) (xy 153.6994 87.455862) + (xy 103.5546 87.455862) (xy 103.5546 86.252434) (xy 111.6594 86.252434) (xy 111.6594 86.447566) (xy 111.697468 86.638947) + (xy 111.772142 86.819225) (xy 111.880551 86.981471) (xy 112.018529 87.119449) (xy 112.180775 87.227858) (xy 112.361053 87.302532) + (xy 112.552434 87.3406) (xy 112.747566 87.3406) (xy 112.938947 87.302532) (xy 113.119225 87.227858) (xy 113.281471 87.119449) + (xy 113.419449 86.981471) (xy 113.527858 86.819225) (xy 113.602532 86.638947) (xy 113.61687 86.566862) (xy 152.5564 86.566862) + (xy 152.5564 86.661138) (xy 152.574792 86.753603) (xy 152.61087 86.840702) (xy 152.663247 86.91909) (xy 152.72991 86.985753) + (xy 152.808298 87.03813) (xy 152.895397 87.074208) (xy 152.987862 87.0926) (xy 153.082138 87.0926) (xy 153.174603 87.074208) + (xy 153.261702 87.03813) (xy 153.34009 86.985753) (xy 153.406753 86.91909) (xy 153.45913 86.840702) (xy 153.495208 86.753603) + (xy 153.5136 86.661138) (xy 153.5136 86.652862) (xy 183.5714 86.652862) (xy 183.5714 86.747138) (xy 183.589792 86.839603) + (xy 183.62587 86.926702) (xy 183.678247 87.00509) (xy 183.74491 87.071753) (xy 183.823298 87.12413) (xy 183.910397 87.160208) + (xy 184.002862 87.1786) (xy 184.097138 87.1786) (xy 184.189603 87.160208) (xy 184.276702 87.12413) (xy 184.35509 87.071753) + (xy 184.421753 87.00509) (xy 184.47413 86.926702) (xy 184.510208 86.839603) (xy 184.5286 86.747138) (xy 184.5286 86.652862) + (xy 189.4714 86.652862) (xy 189.4714 86.747138) (xy 189.489792 86.839603) (xy 189.52587 86.926702) (xy 189.578247 87.00509) + (xy 189.64491 87.071753) (xy 189.723298 87.12413) (xy 189.810397 87.160208) (xy 189.902862 87.1786) (xy 189.997138 87.1786) + (xy 190.089603 87.160208) (xy 190.176702 87.12413) (xy 190.25509 87.071753) (xy 190.321753 87.00509) (xy 190.37413 86.926702) + (xy 190.410208 86.839603) (xy 190.4286 86.747138) (xy 190.4286 86.652862) (xy 191.6714 86.652862) (xy 191.6714 86.747138) + (xy 191.689792 86.839603) (xy 191.72587 86.926702) (xy 191.778247 87.00509) (xy 191.84491 87.071753) (xy 191.923298 87.12413) + (xy 192.010397 87.160208) (xy 192.102862 87.1786) (xy 192.197138 87.1786) (xy 192.289603 87.160208) (xy 192.376702 87.12413) + (xy 192.45509 87.071753) (xy 192.521753 87.00509) (xy 192.57413 86.926702) (xy 192.610208 86.839603) (xy 192.6286 86.747138) + (xy 192.6286 86.652862) (xy 192.610208 86.560397) (xy 192.57413 86.473298) (xy 192.521753 86.39491) (xy 192.45509 86.328247) + (xy 192.376702 86.27587) (xy 192.341175 86.261154) (xy 199.6564 86.261154) (xy 199.6564 86.458846) (xy 199.694968 86.652739) + (xy 199.770621 86.835383) (xy 199.880453 86.999758) (xy 200.020242 87.139547) (xy 200.184617 87.249379) (xy 200.367261 87.325032) + (xy 200.561154 87.3636) (xy 200.758846 87.3636) (xy 200.952739 87.325032) (xy 201.135383 87.249379) (xy 201.299758 87.139547) + (xy 201.439547 86.999758) (xy 201.549379 86.835383) (xy 201.625032 86.652739) (xy 201.6636 86.458846) (xy 201.6636 86.261154) + (xy 202.1964 86.261154) (xy 202.1964 86.458846) (xy 202.234968 86.652739) (xy 202.310621 86.835383) (xy 202.420453 86.999758) + (xy 202.560242 87.139547) (xy 202.724617 87.249379) (xy 202.907261 87.325032) (xy 203.101154 87.3636) (xy 203.298846 87.3636) + (xy 203.492739 87.325032) (xy 203.675383 87.249379) (xy 203.839758 87.139547) (xy 203.979547 86.999758) (xy 204.089379 86.835383) + (xy 204.165032 86.652739) (xy 204.2036 86.458846) (xy 204.2036 86.261154) (xy 204.7364 86.261154) (xy 204.7364 86.458846) + (xy 204.774968 86.652739) (xy 204.850621 86.835383) (xy 204.960453 86.999758) (xy 205.100242 87.139547) (xy 205.264617 87.249379) + (xy 205.447261 87.325032) (xy 205.641154 87.3636) (xy 205.838846 87.3636) (xy 206.032739 87.325032) (xy 206.215383 87.249379) + (xy 206.379758 87.139547) (xy 206.519547 86.999758) (xy 206.629379 86.835383) (xy 206.705032 86.652739) (xy 206.7436 86.458846) + (xy 206.7436 86.261154) (xy 206.705032 86.067261) (xy 206.629379 85.884617) (xy 206.519547 85.720242) (xy 206.379758 85.580453) + (xy 206.215383 85.470621) (xy 206.032739 85.394968) (xy 205.838846 85.3564) (xy 205.641154 85.3564) (xy 205.447261 85.394968) + (xy 205.264617 85.470621) (xy 205.100242 85.580453) (xy 204.960453 85.720242) (xy 204.850621 85.884617) (xy 204.774968 86.067261) + (xy 204.7364 86.261154) (xy 204.2036 86.261154) (xy 204.165032 86.067261) (xy 204.089379 85.884617) (xy 203.979547 85.720242) + (xy 203.839758 85.580453) (xy 203.675383 85.470621) (xy 203.492739 85.394968) (xy 203.298846 85.3564) (xy 203.101154 85.3564) + (xy 202.907261 85.394968) (xy 202.724617 85.470621) (xy 202.560242 85.580453) (xy 202.420453 85.720242) (xy 202.310621 85.884617) + (xy 202.234968 86.067261) (xy 202.1964 86.261154) (xy 201.6636 86.261154) (xy 201.625032 86.067261) (xy 201.549379 85.884617) + (xy 201.439547 85.720242) (xy 201.299758 85.580453) (xy 201.135383 85.470621) (xy 200.952739 85.394968) (xy 200.758846 85.3564) + (xy 200.561154 85.3564) (xy 200.367261 85.394968) (xy 200.184617 85.470621) (xy 200.020242 85.580453) (xy 199.880453 85.720242) + (xy 199.770621 85.884617) (xy 199.694968 86.067261) (xy 199.6564 86.261154) (xy 192.341175 86.261154) (xy 192.289603 86.239792) + (xy 192.197138 86.2214) (xy 192.102862 86.2214) (xy 192.010397 86.239792) (xy 191.923298 86.27587) (xy 191.84491 86.328247) + (xy 191.778247 86.39491) (xy 191.72587 86.473298) (xy 191.689792 86.560397) (xy 191.6714 86.652862) (xy 190.4286 86.652862) + (xy 190.410208 86.560397) (xy 190.37413 86.473298) (xy 190.321753 86.39491) (xy 190.25509 86.328247) (xy 190.176702 86.27587) + (xy 190.089603 86.239792) (xy 189.997138 86.2214) (xy 189.902862 86.2214) (xy 189.810397 86.239792) (xy 189.723298 86.27587) + (xy 189.64491 86.328247) (xy 189.578247 86.39491) (xy 189.52587 86.473298) (xy 189.489792 86.560397) (xy 189.4714 86.652862) + (xy 184.5286 86.652862) (xy 184.510208 86.560397) (xy 184.47413 86.473298) (xy 184.421753 86.39491) (xy 184.35509 86.328247) + (xy 184.276702 86.27587) (xy 184.189603 86.239792) (xy 184.097138 86.2214) (xy 184.002862 86.2214) (xy 183.910397 86.239792) + (xy 183.823298 86.27587) (xy 183.74491 86.328247) (xy 183.678247 86.39491) (xy 183.62587 86.473298) (xy 183.589792 86.560397) + (xy 183.5714 86.652862) (xy 153.5136 86.652862) (xy 153.5136 86.566862) (xy 153.495208 86.474397) (xy 153.45913 86.387298) + (xy 153.406753 86.30891) (xy 153.34009 86.242247) (xy 153.261702 86.18987) (xy 153.174603 86.153792) (xy 153.082138 86.1354) + (xy 152.987862 86.1354) (xy 152.895397 86.153792) (xy 152.808298 86.18987) (xy 152.72991 86.242247) (xy 152.663247 86.30891) + (xy 152.61087 86.387298) (xy 152.574792 86.474397) (xy 152.5564 86.566862) (xy 113.61687 86.566862) (xy 113.6406 86.447566) + (xy 113.6406 86.252434) (xy 113.602532 86.061053) (xy 113.527858 85.880775) (xy 113.419449 85.718529) (xy 113.281471 85.580551) + (xy 113.119225 85.472142) (xy 112.938947 85.397468) (xy 112.747566 85.3594) (xy 112.552434 85.3594) (xy 112.361053 85.397468) + (xy 112.180775 85.472142) (xy 112.018529 85.580551) (xy 111.880551 85.718529) (xy 111.772142 85.880775) (xy 111.697468 86.061053) + (xy 111.6594 86.252434) (xy 103.5546 86.252434) (xy 103.5546 85.278239) (xy 122.9714 85.278239) (xy 122.9714 85.421761) + (xy 122.9994 85.562525) (xy 123.054323 85.695121) (xy 123.13406 85.814455) (xy 123.235545 85.91594) (xy 123.354879 85.995677) + (xy 123.487475 86.0506) (xy 123.628239 86.0786) (xy 123.771761 86.0786) (xy 123.912525 86.0506) (xy 124.045121 85.995677) + (xy 124.164455 85.91594) (xy 124.26594 85.814455) (xy 124.314779 85.741362) (xy 153.3819 85.741362) (xy 153.3819 85.835638) + (xy 153.400292 85.928103) (xy 153.43637 86.015202) (xy 153.488747 86.09359) (xy 153.55541 86.160253) (xy 153.633798 86.21263) + (xy 153.720897 86.248708) (xy 153.813362 86.2671) (xy 153.907638 86.2671) (xy 154.000103 86.248708) (xy 154.087202 86.21263) + (xy 154.16559 86.160253) (xy 154.232253 86.09359) (xy 154.259466 86.052862) (xy 190.5714 86.052862) (xy 190.5714 86.147138) + (xy 190.589792 86.239603) (xy 190.62587 86.326702) (xy 190.678247 86.40509) (xy 190.74491 86.471753) (xy 190.823298 86.52413) + (xy 190.910397 86.560208) (xy 191.002862 86.5786) (xy 191.097138 86.5786) (xy 191.189603 86.560208) (xy 191.276702 86.52413) + (xy 191.35509 86.471753) (xy 191.421753 86.40509) (xy 191.47413 86.326702) (xy 191.510208 86.239603) (xy 191.5286 86.147138) + (xy 191.5286 86.052862) (xy 191.510208 85.960397) (xy 191.47413 85.873298) (xy 191.421753 85.79491) (xy 191.35509 85.728247) + (xy 191.276702 85.67587) (xy 191.189603 85.639792) (xy 191.097138 85.6214) (xy 191.002862 85.6214) (xy 190.910397 85.639792) + (xy 190.823298 85.67587) (xy 190.74491 85.728247) (xy 190.678247 85.79491) (xy 190.62587 85.873298) (xy 190.589792 85.960397) + (xy 190.5714 86.052862) (xy 154.259466 86.052862) (xy 154.28463 86.015202) (xy 154.320708 85.928103) (xy 154.3391 85.835638) + (xy 154.3391 85.741362) (xy 154.320708 85.648897) (xy 154.28463 85.561798) (xy 154.232253 85.48341) (xy 154.16559 85.416747) + (xy 154.087202 85.36437) (xy 154.000103 85.328292) (xy 153.907638 85.3099) (xy 153.813362 85.3099) (xy 153.720897 85.328292) + (xy 153.633798 85.36437) (xy 153.55541 85.416747) (xy 153.488747 85.48341) (xy 153.43637 85.561798) (xy 153.400292 85.648897) + (xy 153.3819 85.741362) (xy 124.314779 85.741362) (xy 124.345677 85.695121) (xy 124.4006 85.562525) (xy 124.4286 85.421761) + (xy 124.4286 85.278239) (xy 124.4006 85.137475) (xy 124.345677 85.004879) (xy 124.26594 84.885545) (xy 124.169257 84.788862) + (xy 152.8104 84.788862) (xy 152.8104 84.883138) (xy 152.828792 84.975603) (xy 152.86487 85.062702) (xy 152.917247 85.14109) + (xy 152.98391 85.207753) (xy 153.062298 85.26013) (xy 153.149397 85.296208) (xy 153.241862 85.3146) (xy 153.336138 85.3146) + (xy 153.428603 85.296208) (xy 153.515702 85.26013) (xy 153.59409 85.207753) (xy 153.603906 85.197937) (xy 191.3714 85.197937) + (xy 191.3714 85.302063) (xy 191.391713 85.404187) (xy 191.43156 85.500386) (xy 191.489409 85.586963) (xy 191.563037 85.660591) + (xy 191.649614 85.71844) (xy 191.745813 85.758287) (xy 191.847937 85.7786) (xy 191.952063 85.7786) (xy 192.054187 85.758287) + (xy 192.150386 85.71844) (xy 192.236963 85.660591) (xy 192.310591 85.586963) (xy 192.36844 85.500386) (xy 192.408287 85.404187) + (xy 192.4286 85.302063) (xy 192.4286 85.197937) (xy 192.408287 85.095813) (xy 192.36844 84.999614) (xy 192.310591 84.913037) + (xy 192.236963 84.839409) (xy 192.150386 84.78156) (xy 192.054187 84.741713) (xy 191.952063 84.7214) (xy 191.847937 84.7214) + (xy 191.745813 84.741713) (xy 191.649614 84.78156) (xy 191.563037 84.839409) (xy 191.489409 84.913037) (xy 191.43156 84.999614) + (xy 191.391713 85.095813) (xy 191.3714 85.197937) (xy 153.603906 85.197937) (xy 153.660753 85.14109) (xy 153.71313 85.062702) + (xy 153.749208 84.975603) (xy 153.7676 84.883138) (xy 153.7676 84.788862) (xy 153.749208 84.696397) (xy 153.71313 84.609298) + (xy 153.660753 84.53091) (xy 153.59409 84.464247) (xy 153.515702 84.41187) (xy 153.428603 84.375792) (xy 153.336138 84.3574) + (xy 153.241862 84.3574) (xy 153.149397 84.375792) (xy 153.062298 84.41187) (xy 152.98391 84.464247) (xy 152.917247 84.53091) + (xy 152.86487 84.609298) (xy 152.828792 84.696397) (xy 152.8104 84.788862) (xy 124.169257 84.788862) (xy 124.164455 84.78406) + (xy 124.045121 84.704323) (xy 123.912525 84.6494) (xy 123.771761 84.6214) (xy 123.628239 84.6214) (xy 123.487475 84.6494) + (xy 123.354879 84.704323) (xy 123.235545 84.78406) (xy 123.13406 84.885545) (xy 123.054323 85.004879) (xy 122.9994 85.137475) + (xy 122.9714 85.278239) (xy 103.5546 85.278239) (xy 103.5546 84.280862) (xy 154.0804 84.280862) (xy 154.0804 84.375138) + (xy 154.098792 84.467603) (xy 154.13487 84.554702) (xy 154.187247 84.63309) (xy 154.25391 84.699753) (xy 154.332298 84.75213) + (xy 154.419397 84.788208) (xy 154.511862 84.8066) (xy 154.606138 84.8066) (xy 154.698603 84.788208) (xy 154.785702 84.75213) + (xy 154.86409 84.699753) (xy 154.930753 84.63309) (xy 154.98313 84.554702) (xy 155.019208 84.467603) (xy 155.0376 84.375138) + (xy 155.0376 84.352862) (xy 190.4214 84.352862) (xy 190.4214 84.447138) (xy 190.439792 84.539603) (xy 190.47587 84.626702) + (xy 190.528247 84.70509) (xy 190.59491 84.771753) (xy 190.673298 84.82413) (xy 190.760397 84.860208) (xy 190.852862 84.8786) + (xy 190.947138 84.8786) (xy 191.039603 84.860208) (xy 191.126702 84.82413) (xy 191.20509 84.771753) (xy 191.271753 84.70509) + (xy 191.32413 84.626702) (xy 191.360208 84.539603) (xy 191.3786 84.447138) (xy 191.3786 84.352862) (xy 191.360208 84.260397) + (xy 191.32413 84.173298) (xy 191.271753 84.09491) (xy 191.20509 84.028247) (xy 191.126702 83.97587) (xy 191.039603 83.939792) + (xy 190.947138 83.9214) (xy 190.852862 83.9214) (xy 190.760397 83.939792) (xy 190.673298 83.97587) (xy 190.59491 84.028247) + (xy 190.528247 84.09491) (xy 190.47587 84.173298) (xy 190.439792 84.260397) (xy 190.4214 84.352862) (xy 155.0376 84.352862) + (xy 155.0376 84.280862) (xy 155.019208 84.188397) (xy 154.98313 84.101298) (xy 154.930753 84.02291) (xy 154.86409 83.956247) + (xy 154.785702 83.90387) (xy 154.698603 83.867792) (xy 154.606138 83.8494) (xy 154.511862 83.8494) (xy 154.419397 83.867792) + (xy 154.332298 83.90387) (xy 154.25391 83.956247) (xy 154.187247 84.02291) (xy 154.13487 84.101298) (xy 154.098792 84.188397) + (xy 154.0804 84.280862) (xy 103.5546 84.280862) (xy 103.5546 83.602862) (xy 180.9214 83.602862) (xy 180.9214 83.697138) + (xy 180.939792 83.789603) (xy 180.97587 83.876702) (xy 181.028247 83.95509) (xy 181.09491 84.021753) (xy 181.173298 84.07413) + (xy 181.260397 84.110208) (xy 181.352862 84.1286) (xy 181.447138 84.1286) (xy 181.539603 84.110208) (xy 181.626702 84.07413) + (xy 181.70509 84.021753) (xy 181.771753 83.95509) (xy 181.82413 83.876702) (xy 181.860208 83.789603) (xy 181.8786 83.697138) + (xy 181.8786 83.602862) (xy 181.860208 83.510397) (xy 181.82413 83.423298) (xy 181.810476 83.402862) (xy 189.4714 83.402862) + (xy 189.4714 83.497138) (xy 189.489792 83.589603) (xy 189.52587 83.676702) (xy 189.578247 83.75509) (xy 189.64491 83.821753) + (xy 189.723298 83.87413) (xy 189.810397 83.910208) (xy 189.902862 83.9286) (xy 189.997138 83.9286) (xy 190.089603 83.910208) + (xy 190.176702 83.87413) (xy 190.25509 83.821753) (xy 190.321753 83.75509) (xy 190.344428 83.721154) (xy 199.6564 83.721154) + (xy 199.6564 83.918846) (xy 199.694968 84.112739) (xy 199.770621 84.295383) (xy 199.880453 84.459758) (xy 200.020242 84.599547) + (xy 200.184617 84.709379) (xy 200.367261 84.785032) (xy 200.561154 84.8236) (xy 200.758846 84.8236) (xy 200.952739 84.785032) + (xy 201.135383 84.709379) (xy 201.299758 84.599547) (xy 201.439547 84.459758) (xy 201.549379 84.295383) (xy 201.625032 84.112739) + (xy 201.6636 83.918846) (xy 201.6636 83.721154) (xy 202.1964 83.721154) (xy 202.1964 83.918846) (xy 202.234968 84.112739) + (xy 202.310621 84.295383) (xy 202.420453 84.459758) (xy 202.560242 84.599547) (xy 202.724617 84.709379) (xy 202.907261 84.785032) + (xy 203.101154 84.8236) (xy 203.298846 84.8236) (xy 203.492739 84.785032) (xy 203.675383 84.709379) (xy 203.839758 84.599547) + (xy 203.979547 84.459758) (xy 204.089379 84.295383) (xy 204.165032 84.112739) (xy 204.2036 83.918846) (xy 204.2036 83.721154) + (xy 204.7364 83.721154) (xy 204.7364 83.918846) (xy 204.774968 84.112739) (xy 204.850621 84.295383) (xy 204.960453 84.459758) + (xy 205.100242 84.599547) (xy 205.264617 84.709379) (xy 205.447261 84.785032) (xy 205.641154 84.8236) (xy 205.838846 84.8236) + (xy 206.032739 84.785032) (xy 206.215383 84.709379) (xy 206.379758 84.599547) (xy 206.519547 84.459758) (xy 206.629379 84.295383) + (xy 206.705032 84.112739) (xy 206.7436 83.918846) (xy 206.7436 83.721154) (xy 206.705032 83.527261) (xy 206.629379 83.344617) + (xy 206.519547 83.180242) (xy 206.379758 83.040453) (xy 206.215383 82.930621) (xy 206.032739 82.854968) (xy 205.838846 82.8164) + (xy 205.641154 82.8164) (xy 205.447261 82.854968) (xy 205.264617 82.930621) (xy 205.100242 83.040453) (xy 204.960453 83.180242) + (xy 204.850621 83.344617) (xy 204.774968 83.527261) (xy 204.7364 83.721154) (xy 204.2036 83.721154) (xy 204.165032 83.527261) + (xy 204.089379 83.344617) (xy 203.979547 83.180242) (xy 203.839758 83.040453) (xy 203.675383 82.930621) (xy 203.492739 82.854968) + (xy 203.298846 82.8164) (xy 203.101154 82.8164) (xy 202.907261 82.854968) (xy 202.724617 82.930621) (xy 202.560242 83.040453) + (xy 202.420453 83.180242) (xy 202.310621 83.344617) (xy 202.234968 83.527261) (xy 202.1964 83.721154) (xy 201.6636 83.721154) + (xy 201.625032 83.527261) (xy 201.549379 83.344617) (xy 201.439547 83.180242) (xy 201.299758 83.040453) (xy 201.135383 82.930621) + (xy 200.952739 82.854968) (xy 200.758846 82.8164) (xy 200.561154 82.8164) (xy 200.367261 82.854968) (xy 200.184617 82.930621) + (xy 200.020242 83.040453) (xy 199.880453 83.180242) (xy 199.770621 83.344617) (xy 199.694968 83.527261) (xy 199.6564 83.721154) + (xy 190.344428 83.721154) (xy 190.37413 83.676702) (xy 190.410208 83.589603) (xy 190.4286 83.497138) (xy 190.4286 83.402862) + (xy 190.410208 83.310397) (xy 190.37413 83.223298) (xy 190.321753 83.14491) (xy 190.25509 83.078247) (xy 190.176702 83.02587) + (xy 190.089603 82.989792) (xy 189.997138 82.9714) (xy 189.902862 82.9714) (xy 189.810397 82.989792) (xy 189.723298 83.02587) + (xy 189.64491 83.078247) (xy 189.578247 83.14491) (xy 189.52587 83.223298) (xy 189.489792 83.310397) (xy 189.4714 83.402862) + (xy 181.810476 83.402862) (xy 181.771753 83.34491) (xy 181.70509 83.278247) (xy 181.626702 83.22587) (xy 181.539603 83.189792) + (xy 181.447138 83.1714) (xy 181.352862 83.1714) (xy 181.260397 83.189792) (xy 181.173298 83.22587) (xy 181.09491 83.278247) + (xy 181.028247 83.34491) (xy 180.97587 83.423298) (xy 180.939792 83.510397) (xy 180.9214 83.602862) (xy 103.5546 83.602862) + (xy 103.5546 82.452434) (xy 111.6594 82.452434) (xy 111.6594 82.647566) (xy 111.697468 82.838947) (xy 111.772142 83.019225) + (xy 111.880551 83.181471) (xy 112.018529 83.319449) (xy 112.180775 83.427858) (xy 112.361053 83.502532) (xy 112.552434 83.5406) + (xy 112.747566 83.5406) (xy 112.938947 83.502532) (xy 113.119225 83.427858) (xy 113.281471 83.319449) (xy 113.419449 83.181471) + (xy 113.527858 83.019225) (xy 113.602532 82.838947) (xy 113.619655 82.752862) (xy 178.0714 82.752862) (xy 178.0714 82.847138) + (xy 178.089792 82.939603) (xy 178.12587 83.026702) (xy 178.178247 83.10509) (xy 178.24491 83.171753) (xy 178.323298 83.22413) + (xy 178.410397 83.260208) (xy 178.502862 83.2786) (xy 178.597138 83.2786) (xy 178.689603 83.260208) (xy 178.776702 83.22413) + (xy 178.85509 83.171753) (xy 178.921753 83.10509) (xy 178.97413 83.026702) (xy 179.010208 82.939603) (xy 179.0286 82.847138) + (xy 179.0286 82.752862) (xy 192.4714 82.752862) (xy 192.4714 82.847138) (xy 192.489792 82.939603) (xy 192.52587 83.026702) + (xy 192.578247 83.10509) (xy 192.64491 83.171753) (xy 192.723298 83.22413) (xy 192.810397 83.260208) (xy 192.902862 83.2786) + (xy 192.997138 83.2786) (xy 193.089603 83.260208) (xy 193.176702 83.22413) (xy 193.25509 83.171753) (xy 193.321753 83.10509) + (xy 193.37413 83.026702) (xy 193.410208 82.939603) (xy 193.4286 82.847138) (xy 193.4286 82.752862) (xy 193.410208 82.660397) + (xy 193.37413 82.573298) (xy 193.321753 82.49491) (xy 193.25509 82.428247) (xy 193.176702 82.37587) (xy 193.089603 82.339792) + (xy 192.997138 82.3214) (xy 192.902862 82.3214) (xy 192.810397 82.339792) (xy 192.723298 82.37587) (xy 192.64491 82.428247) + (xy 192.578247 82.49491) (xy 192.52587 82.573298) (xy 192.489792 82.660397) (xy 192.4714 82.752862) (xy 179.0286 82.752862) + (xy 179.010208 82.660397) (xy 178.97413 82.573298) (xy 178.921753 82.49491) (xy 178.85509 82.428247) (xy 178.776702 82.37587) + (xy 178.689603 82.339792) (xy 178.597138 82.3214) (xy 178.502862 82.3214) (xy 178.410397 82.339792) (xy 178.323298 82.37587) + (xy 178.24491 82.428247) (xy 178.178247 82.49491) (xy 178.12587 82.573298) (xy 178.089792 82.660397) (xy 178.0714 82.752862) + (xy 113.619655 82.752862) (xy 113.6406 82.647566) (xy 113.6406 82.452434) (xy 113.602532 82.261053) (xy 113.537007 82.102862) + (xy 177.2714 82.102862) (xy 177.2714 82.197138) (xy 177.289792 82.289603) (xy 177.32587 82.376702) (xy 177.378247 82.45509) + (xy 177.44491 82.521753) (xy 177.523298 82.57413) (xy 177.610397 82.610208) (xy 177.702862 82.6286) (xy 177.797138 82.6286) + (xy 177.889603 82.610208) (xy 177.976702 82.57413) (xy 178.05509 82.521753) (xy 178.121753 82.45509) (xy 178.17413 82.376702) + (xy 178.210208 82.289603) (xy 178.2286 82.197138) (xy 178.2286 82.102862) (xy 191.6714 82.102862) (xy 191.6714 82.197138) + (xy 191.689792 82.289603) (xy 191.72587 82.376702) (xy 191.778247 82.45509) (xy 191.84491 82.521753) (xy 191.923298 82.57413) + (xy 192.010397 82.610208) (xy 192.102862 82.6286) (xy 192.197138 82.6286) (xy 192.289603 82.610208) (xy 192.376702 82.57413) + (xy 192.45509 82.521753) (xy 192.521753 82.45509) (xy 192.57413 82.376702) (xy 192.610208 82.289603) (xy 192.6286 82.197138) + (xy 192.6286 82.102862) (xy 192.610208 82.010397) (xy 192.57413 81.923298) (xy 192.521753 81.84491) (xy 192.45509 81.778247) + (xy 192.376702 81.72587) (xy 192.289603 81.689792) (xy 192.197138 81.6714) (xy 192.102862 81.6714) (xy 192.010397 81.689792) + (xy 191.923298 81.72587) (xy 191.84491 81.778247) (xy 191.778247 81.84491) (xy 191.72587 81.923298) (xy 191.689792 82.010397) + (xy 191.6714 82.102862) (xy 178.2286 82.102862) (xy 178.210208 82.010397) (xy 178.17413 81.923298) (xy 178.121753 81.84491) + (xy 178.05509 81.778247) (xy 177.976702 81.72587) (xy 177.889603 81.689792) (xy 177.797138 81.6714) (xy 177.702862 81.6714) + (xy 177.610397 81.689792) (xy 177.523298 81.72587) (xy 177.44491 81.778247) (xy 177.378247 81.84491) (xy 177.32587 81.923298) + (xy 177.289792 82.010397) (xy 177.2714 82.102862) (xy 113.537007 82.102862) (xy 113.527858 82.080775) (xy 113.419449 81.918529) + (xy 113.281471 81.780551) (xy 113.119225 81.672142) (xy 112.964872 81.608207) (xy 112.995121 81.595677) (xy 113.114455 81.51594) + (xy 113.177533 81.452862) (xy 178.0714 81.452862) (xy 178.0714 81.547138) (xy 178.089792 81.639603) (xy 178.12587 81.726702) + (xy 178.178247 81.80509) (xy 178.24491 81.871753) (xy 178.323298 81.92413) (xy 178.410397 81.960208) (xy 178.502862 81.9786) + (xy 178.597138 81.9786) (xy 178.689603 81.960208) (xy 178.776702 81.92413) (xy 178.85509 81.871753) (xy 178.921753 81.80509) + (xy 178.97413 81.726702) (xy 179.010208 81.639603) (xy 179.0286 81.547138) (xy 179.0286 81.452862) (xy 192.4714 81.452862) + (xy 192.4714 81.547138) (xy 192.489792 81.639603) (xy 192.52587 81.726702) (xy 192.578247 81.80509) (xy 192.64491 81.871753) + (xy 192.723298 81.92413) (xy 192.810397 81.960208) (xy 192.902862 81.9786) (xy 192.997138 81.9786) (xy 193.089603 81.960208) + (xy 193.176702 81.92413) (xy 193.25509 81.871753) (xy 193.321753 81.80509) (xy 193.37413 81.726702) (xy 193.410208 81.639603) + (xy 193.4286 81.547138) (xy 193.4286 81.452862) (xy 193.410208 81.360397) (xy 193.37413 81.273298) (xy 193.321753 81.19491) + (xy 193.307997 81.181154) (xy 199.6564 81.181154) (xy 199.6564 81.378846) (xy 199.694968 81.572739) (xy 199.770621 81.755383) + (xy 199.880453 81.919758) (xy 200.020242 82.059547) (xy 200.184617 82.169379) (xy 200.367261 82.245032) (xy 200.561154 82.2836) + (xy 200.758846 82.2836) (xy 200.952739 82.245032) (xy 201.135383 82.169379) (xy 201.299758 82.059547) (xy 201.439547 81.919758) + (xy 201.549379 81.755383) (xy 201.625032 81.572739) (xy 201.6636 81.378846) (xy 201.6636 81.181154) (xy 202.1964 81.181154) + (xy 202.1964 81.378846) (xy 202.234968 81.572739) (xy 202.310621 81.755383) (xy 202.420453 81.919758) (xy 202.560242 82.059547) + (xy 202.724617 82.169379) (xy 202.907261 82.245032) (xy 203.101154 82.2836) (xy 203.298846 82.2836) (xy 203.492739 82.245032) + (xy 203.675383 82.169379) (xy 203.839758 82.059547) (xy 203.979547 81.919758) (xy 204.089379 81.755383) (xy 204.165032 81.572739) + (xy 204.2036 81.378846) (xy 204.2036 81.181154) (xy 204.7364 81.181154) (xy 204.7364 81.378846) (xy 204.774968 81.572739) + (xy 204.850621 81.755383) (xy 204.960453 81.919758) (xy 205.100242 82.059547) (xy 205.264617 82.169379) (xy 205.447261 82.245032) + (xy 205.641154 82.2836) (xy 205.838846 82.2836) (xy 206.032739 82.245032) (xy 206.215383 82.169379) (xy 206.379758 82.059547) + (xy 206.519547 81.919758) (xy 206.629379 81.755383) (xy 206.705032 81.572739) (xy 206.7436 81.378846) (xy 206.7436 81.181154) + (xy 206.705032 80.987261) (xy 206.629379 80.804617) (xy 206.519547 80.640242) (xy 206.379758 80.500453) (xy 206.215383 80.390621) + (xy 206.032739 80.314968) (xy 205.838846 80.2764) (xy 205.641154 80.2764) (xy 205.447261 80.314968) (xy 205.264617 80.390621) + (xy 205.100242 80.500453) (xy 204.960453 80.640242) (xy 204.850621 80.804617) (xy 204.774968 80.987261) (xy 204.7364 81.181154) + (xy 204.2036 81.181154) (xy 204.165032 80.987261) (xy 204.089379 80.804617) (xy 203.979547 80.640242) (xy 203.839758 80.500453) + (xy 203.675383 80.390621) (xy 203.492739 80.314968) (xy 203.298846 80.2764) (xy 203.101154 80.2764) (xy 202.907261 80.314968) + (xy 202.724617 80.390621) (xy 202.560242 80.500453) (xy 202.420453 80.640242) (xy 202.310621 80.804617) (xy 202.234968 80.987261) + (xy 202.1964 81.181154) (xy 201.6636 81.181154) (xy 201.625032 80.987261) (xy 201.549379 80.804617) (xy 201.439547 80.640242) + (xy 201.299758 80.500453) (xy 201.135383 80.390621) (xy 200.952739 80.314968) (xy 200.758846 80.2764) (xy 200.561154 80.2764) + (xy 200.367261 80.314968) (xy 200.184617 80.390621) (xy 200.020242 80.500453) (xy 199.880453 80.640242) (xy 199.770621 80.804617) + (xy 199.694968 80.987261) (xy 199.6564 81.181154) (xy 193.307997 81.181154) (xy 193.25509 81.128247) (xy 193.176702 81.07587) + (xy 193.089603 81.039792) (xy 192.997138 81.0214) (xy 192.902862 81.0214) (xy 192.810397 81.039792) (xy 192.723298 81.07587) + (xy 192.64491 81.128247) (xy 192.578247 81.19491) (xy 192.52587 81.273298) (xy 192.489792 81.360397) (xy 192.4714 81.452862) + (xy 179.0286 81.452862) (xy 179.010208 81.360397) (xy 178.97413 81.273298) (xy 178.921753 81.19491) (xy 178.85509 81.128247) + (xy 178.776702 81.07587) (xy 178.689603 81.039792) (xy 178.597138 81.0214) (xy 178.502862 81.0214) (xy 178.410397 81.039792) + (xy 178.323298 81.07587) (xy 178.24491 81.128247) (xy 178.178247 81.19491) (xy 178.12587 81.273298) (xy 178.089792 81.360397) + (xy 178.0714 81.452862) (xy 113.177533 81.452862) (xy 113.21594 81.414455) (xy 113.295677 81.295121) (xy 113.3506 81.162525) + (xy 113.3786 81.021761) (xy 113.3786 80.878239) (xy 113.3506 80.737475) (xy 113.345376 80.724862) (xy 128.5534 80.724862) + (xy 128.5534 80.819138) (xy 128.571792 80.911603) (xy 128.60787 80.998702) (xy 128.660247 81.07709) (xy 128.72691 81.143753) + (xy 128.805298 81.19613) (xy 128.892397 81.232208) (xy 128.984862 81.2506) (xy 129.079138 81.2506) (xy 129.171603 81.232208) + (xy 129.258702 81.19613) (xy 129.33709 81.143753) (xy 129.403753 81.07709) (xy 129.45613 80.998702) (xy 129.492208 80.911603) + (xy 129.5106 80.819138) (xy 129.5106 80.724862) (xy 129.492208 80.632397) (xy 129.477903 80.597862) (xy 130.3314 80.597862) + (xy 130.3314 80.692138) (xy 130.349792 80.784603) (xy 130.38587 80.871702) (xy 130.438247 80.95009) (xy 130.50491 81.016753) + (xy 130.583298 81.06913) (xy 130.670397 81.105208) (xy 130.762862 81.1236) (xy 130.857138 81.1236) (xy 130.949603 81.105208) + (xy 131.036702 81.06913) (xy 131.11509 81.016753) (xy 131.181753 80.95009) (xy 131.23413 80.871702) (xy 131.270208 80.784603) + (xy 131.2886 80.692138) (xy 131.2886 80.597862) (xy 131.6014 80.597862) (xy 131.6014 80.692138) (xy 131.619792 80.784603) + (xy 131.65587 80.871702) (xy 131.708247 80.95009) (xy 131.77491 81.016753) (xy 131.853298 81.06913) (xy 131.940397 81.105208) + (xy 132.032862 81.1236) (xy 132.127138 81.1236) (xy 132.219603 81.105208) (xy 132.306702 81.06913) (xy 132.38509 81.016753) + (xy 132.451753 80.95009) (xy 132.50413 80.871702) (xy 132.540208 80.784603) (xy 132.5586 80.692138) (xy 132.5586 80.597862) + (xy 132.8714 80.597862) (xy 132.8714 80.692138) (xy 132.889792 80.784603) (xy 132.92587 80.871702) (xy 132.978247 80.95009) + (xy 133.04491 81.016753) (xy 133.123298 81.06913) (xy 133.210397 81.105208) (xy 133.302862 81.1236) (xy 133.397138 81.1236) + (xy 133.489603 81.105208) (xy 133.576702 81.06913) (xy 133.65509 81.016753) (xy 133.721753 80.95009) (xy 133.77413 80.871702) + (xy 133.810208 80.784603) (xy 133.8286 80.692138) (xy 133.8286 80.597862) (xy 134.1414 80.597862) (xy 134.1414 80.692138) + (xy 134.159792 80.784603) (xy 134.19587 80.871702) (xy 134.248247 80.95009) (xy 134.31491 81.016753) (xy 134.393298 81.06913) + (xy 134.480397 81.105208) (xy 134.572862 81.1236) (xy 134.667138 81.1236) (xy 134.759603 81.105208) (xy 134.846702 81.06913) + (xy 134.92509 81.016753) (xy 134.991753 80.95009) (xy 135.04413 80.871702) (xy 135.080208 80.784603) (xy 135.0986 80.692138) + (xy 135.0986 80.597862) (xy 135.4114 80.597862) (xy 135.4114 80.692138) (xy 135.429792 80.784603) (xy 135.46587 80.871702) + (xy 135.518247 80.95009) (xy 135.58491 81.016753) (xy 135.663298 81.06913) (xy 135.750397 81.105208) (xy 135.842862 81.1236) + (xy 135.937138 81.1236) (xy 136.029603 81.105208) (xy 136.116702 81.06913) (xy 136.19509 81.016753) (xy 136.261753 80.95009) + (xy 136.31413 80.871702) (xy 136.350208 80.784603) (xy 136.3686 80.692138) (xy 136.3686 80.597862) (xy 136.6814 80.597862) + (xy 136.6814 80.692138) (xy 136.699792 80.784603) (xy 136.73587 80.871702) (xy 136.788247 80.95009) (xy 136.85491 81.016753) + (xy 136.933298 81.06913) (xy 137.020397 81.105208) (xy 137.112862 81.1236) (xy 137.207138 81.1236) (xy 137.299603 81.105208) + (xy 137.386702 81.06913) (xy 137.46509 81.016753) (xy 137.531753 80.95009) (xy 137.58413 80.871702) (xy 137.620208 80.784603) + (xy 137.6386 80.692138) (xy 137.6386 80.597862) (xy 137.9514 80.597862) (xy 137.9514 80.692138) (xy 137.969792 80.784603) + (xy 138.00587 80.871702) (xy 138.058247 80.95009) (xy 138.12491 81.016753) (xy 138.203298 81.06913) (xy 138.290397 81.105208) + (xy 138.382862 81.1236) (xy 138.477138 81.1236) (xy 138.569603 81.105208) (xy 138.656702 81.06913) (xy 138.73509 81.016753) + (xy 138.801753 80.95009) (xy 138.85413 80.871702) (xy 138.890208 80.784603) (xy 138.9086 80.692138) (xy 138.9086 80.597862) + (xy 139.2214 80.597862) (xy 139.2214 80.692138) (xy 139.239792 80.784603) (xy 139.27587 80.871702) (xy 139.328247 80.95009) + (xy 139.39491 81.016753) (xy 139.473298 81.06913) (xy 139.560397 81.105208) (xy 139.652862 81.1236) (xy 139.747138 81.1236) + (xy 139.839603 81.105208) (xy 139.926702 81.06913) (xy 140.00509 81.016753) (xy 140.071753 80.95009) (xy 140.12413 80.871702) + (xy 140.160208 80.784603) (xy 140.17209 80.724862) (xy 153.9534 80.724862) (xy 153.9534 80.819138) (xy 153.971792 80.911603) + (xy 154.00787 80.998702) (xy 154.060247 81.07709) (xy 154.12691 81.143753) (xy 154.205298 81.19613) (xy 154.292397 81.232208) + (xy 154.384862 81.2506) (xy 154.479138 81.2506) (xy 154.571603 81.232208) (xy 154.658702 81.19613) (xy 154.73709 81.143753) + (xy 154.803753 81.07709) (xy 154.85613 80.998702) (xy 154.892208 80.911603) (xy 154.9106 80.819138) (xy 154.9106 80.724862) + (xy 154.892208 80.632397) (xy 154.877903 80.597862) (xy 155.7314 80.597862) (xy 155.7314 80.692138) (xy 155.749792 80.784603) + (xy 155.78587 80.871702) (xy 155.838247 80.95009) (xy 155.90491 81.016753) (xy 155.983298 81.06913) (xy 156.070397 81.105208) + (xy 156.162862 81.1236) (xy 156.257138 81.1236) (xy 156.349603 81.105208) (xy 156.436702 81.06913) (xy 156.51509 81.016753) + (xy 156.581753 80.95009) (xy 156.63413 80.871702) (xy 156.670208 80.784603) (xy 156.6886 80.692138) (xy 156.6886 80.597862) + (xy 157.0014 80.597862) (xy 157.0014 80.692138) (xy 157.019792 80.784603) (xy 157.05587 80.871702) (xy 157.108247 80.95009) + (xy 157.17491 81.016753) (xy 157.253298 81.06913) (xy 157.340397 81.105208) (xy 157.432862 81.1236) (xy 157.527138 81.1236) + (xy 157.619603 81.105208) (xy 157.706702 81.06913) (xy 157.78509 81.016753) (xy 157.851753 80.95009) (xy 157.90413 80.871702) + (xy 157.940208 80.784603) (xy 157.9586 80.692138) (xy 157.9586 80.597862) (xy 158.2714 80.597862) (xy 158.2714 80.692138) + (xy 158.289792 80.784603) (xy 158.32587 80.871702) (xy 158.378247 80.95009) (xy 158.44491 81.016753) (xy 158.523298 81.06913) + (xy 158.610397 81.105208) (xy 158.702862 81.1236) (xy 158.797138 81.1236) (xy 158.889603 81.105208) (xy 158.976702 81.06913) + (xy 159.05509 81.016753) (xy 159.121753 80.95009) (xy 159.17413 80.871702) (xy 159.210208 80.784603) (xy 159.2286 80.692138) + (xy 159.2286 80.597862) (xy 159.5414 80.597862) (xy 159.5414 80.692138) (xy 159.559792 80.784603) (xy 159.59587 80.871702) + (xy 159.648247 80.95009) (xy 159.71491 81.016753) (xy 159.793298 81.06913) (xy 159.880397 81.105208) (xy 159.972862 81.1236) + (xy 160.067138 81.1236) (xy 160.159603 81.105208) (xy 160.246702 81.06913) (xy 160.32509 81.016753) (xy 160.391753 80.95009) + (xy 160.44413 80.871702) (xy 160.480208 80.784603) (xy 160.4986 80.692138) (xy 160.4986 80.597862) (xy 160.8114 80.597862) + (xy 160.8114 80.692138) (xy 160.829792 80.784603) (xy 160.86587 80.871702) (xy 160.918247 80.95009) (xy 160.98491 81.016753) + (xy 161.063298 81.06913) (xy 161.150397 81.105208) (xy 161.242862 81.1236) (xy 161.337138 81.1236) (xy 161.429603 81.105208) + (xy 161.516702 81.06913) (xy 161.59509 81.016753) (xy 161.661753 80.95009) (xy 161.71413 80.871702) (xy 161.750208 80.784603) + (xy 161.7686 80.692138) (xy 161.7686 80.597862) (xy 162.0814 80.597862) (xy 162.0814 80.692138) (xy 162.099792 80.784603) + (xy 162.13587 80.871702) (xy 162.188247 80.95009) (xy 162.25491 81.016753) (xy 162.333298 81.06913) (xy 162.420397 81.105208) + (xy 162.512862 81.1236) (xy 162.607138 81.1236) (xy 162.699603 81.105208) (xy 162.786702 81.06913) (xy 162.86509 81.016753) + (xy 162.931753 80.95009) (xy 162.98413 80.871702) (xy 163.020208 80.784603) (xy 163.0386 80.692138) (xy 163.0386 80.597862) + (xy 163.3514 80.597862) (xy 163.3514 80.692138) (xy 163.369792 80.784603) (xy 163.40587 80.871702) (xy 163.458247 80.95009) + (xy 163.52491 81.016753) (xy 163.603298 81.06913) (xy 163.690397 81.105208) (xy 163.782862 81.1236) (xy 163.877138 81.1236) + (xy 163.969603 81.105208) (xy 164.056702 81.06913) (xy 164.13509 81.016753) (xy 164.201753 80.95009) (xy 164.25413 80.871702) + (xy 164.290208 80.784603) (xy 164.3086 80.692138) (xy 164.3086 80.597862) (xy 164.6214 80.597862) (xy 164.6214 80.692138) + (xy 164.639792 80.784603) (xy 164.67587 80.871702) (xy 164.728247 80.95009) (xy 164.79491 81.016753) (xy 164.873298 81.06913) + (xy 164.960397 81.105208) (xy 165.052862 81.1236) (xy 165.147138 81.1236) (xy 165.239603 81.105208) (xy 165.326702 81.06913) + (xy 165.40509 81.016753) (xy 165.471753 80.95009) (xy 165.52413 80.871702) (xy 165.552644 80.802862) (xy 177.2714 80.802862) + (xy 177.2714 80.897138) (xy 177.289792 80.989603) (xy 177.32587 81.076702) (xy 177.378247 81.15509) (xy 177.44491 81.221753) + (xy 177.523298 81.27413) (xy 177.610397 81.310208) (xy 177.702862 81.3286) (xy 177.797138 81.3286) (xy 177.889603 81.310208) + (xy 177.976702 81.27413) (xy 178.05509 81.221753) (xy 178.121753 81.15509) (xy 178.17413 81.076702) (xy 178.210208 80.989603) + (xy 178.2286 80.897138) (xy 178.2286 80.802862) (xy 191.6714 80.802862) (xy 191.6714 80.897138) (xy 191.689792 80.989603) + (xy 191.72587 81.076702) (xy 191.778247 81.15509) (xy 191.84491 81.221753) (xy 191.923298 81.27413) (xy 192.010397 81.310208) + (xy 192.102862 81.3286) (xy 192.197138 81.3286) (xy 192.289603 81.310208) (xy 192.376702 81.27413) (xy 192.45509 81.221753) + (xy 192.521753 81.15509) (xy 192.57413 81.076702) (xy 192.610208 80.989603) (xy 192.6286 80.897138) (xy 192.6286 80.802862) + (xy 192.610208 80.710397) (xy 192.57413 80.623298) (xy 192.521753 80.54491) (xy 192.45509 80.478247) (xy 192.376702 80.42587) + (xy 192.289603 80.389792) (xy 192.197138 80.3714) (xy 192.102862 80.3714) (xy 192.010397 80.389792) (xy 191.923298 80.42587) + (xy 191.84491 80.478247) (xy 191.778247 80.54491) (xy 191.72587 80.623298) (xy 191.689792 80.710397) (xy 191.6714 80.802862) + (xy 178.2286 80.802862) (xy 178.210208 80.710397) (xy 178.17413 80.623298) (xy 178.121753 80.54491) (xy 178.05509 80.478247) + (xy 177.976702 80.42587) (xy 177.889603 80.389792) (xy 177.797138 80.3714) (xy 177.702862 80.3714) (xy 177.610397 80.389792) + (xy 177.523298 80.42587) (xy 177.44491 80.478247) (xy 177.378247 80.54491) (xy 177.32587 80.623298) (xy 177.289792 80.710397) + (xy 177.2714 80.802862) (xy 165.552644 80.802862) (xy 165.560208 80.784603) (xy 165.5786 80.692138) (xy 165.5786 80.597862) + (xy 165.560208 80.505397) (xy 165.52413 80.418298) (xy 165.471753 80.33991) (xy 165.40509 80.273247) (xy 165.326702 80.22087) + (xy 165.239603 80.184792) (xy 165.147138 80.1664) (xy 165.052862 80.1664) (xy 164.960397 80.184792) (xy 164.873298 80.22087) + (xy 164.79491 80.273247) (xy 164.728247 80.33991) (xy 164.67587 80.418298) (xy 164.639792 80.505397) (xy 164.6214 80.597862) + (xy 164.3086 80.597862) (xy 164.290208 80.505397) (xy 164.25413 80.418298) (xy 164.201753 80.33991) (xy 164.13509 80.273247) + (xy 164.056702 80.22087) (xy 163.969603 80.184792) (xy 163.877138 80.1664) (xy 163.782862 80.1664) (xy 163.690397 80.184792) + (xy 163.603298 80.22087) (xy 163.52491 80.273247) (xy 163.458247 80.33991) (xy 163.40587 80.418298) (xy 163.369792 80.505397) + (xy 163.3514 80.597862) (xy 163.0386 80.597862) (xy 163.020208 80.505397) (xy 162.98413 80.418298) (xy 162.931753 80.33991) + (xy 162.86509 80.273247) (xy 162.786702 80.22087) (xy 162.699603 80.184792) (xy 162.607138 80.1664) (xy 162.512862 80.1664) + (xy 162.420397 80.184792) (xy 162.333298 80.22087) (xy 162.25491 80.273247) (xy 162.188247 80.33991) (xy 162.13587 80.418298) + (xy 162.099792 80.505397) (xy 162.0814 80.597862) (xy 161.7686 80.597862) (xy 161.750208 80.505397) (xy 161.71413 80.418298) + (xy 161.661753 80.33991) (xy 161.59509 80.273247) (xy 161.516702 80.22087) (xy 161.429603 80.184792) (xy 161.337138 80.1664) + (xy 161.242862 80.1664) (xy 161.150397 80.184792) (xy 161.063298 80.22087) (xy 160.98491 80.273247) (xy 160.918247 80.33991) + (xy 160.86587 80.418298) (xy 160.829792 80.505397) (xy 160.8114 80.597862) (xy 160.4986 80.597862) (xy 160.480208 80.505397) + (xy 160.44413 80.418298) (xy 160.391753 80.33991) (xy 160.32509 80.273247) (xy 160.246702 80.22087) (xy 160.159603 80.184792) + (xy 160.067138 80.1664) (xy 159.972862 80.1664) (xy 159.880397 80.184792) (xy 159.793298 80.22087) (xy 159.71491 80.273247) + (xy 159.648247 80.33991) (xy 159.59587 80.418298) (xy 159.559792 80.505397) (xy 159.5414 80.597862) (xy 159.2286 80.597862) + (xy 159.210208 80.505397) (xy 159.17413 80.418298) (xy 159.121753 80.33991) (xy 159.05509 80.273247) (xy 158.976702 80.22087) + (xy 158.889603 80.184792) (xy 158.797138 80.1664) (xy 158.702862 80.1664) (xy 158.610397 80.184792) (xy 158.523298 80.22087) + (xy 158.44491 80.273247) (xy 158.378247 80.33991) (xy 158.32587 80.418298) (xy 158.289792 80.505397) (xy 158.2714 80.597862) + (xy 157.9586 80.597862) (xy 157.940208 80.505397) (xy 157.90413 80.418298) (xy 157.851753 80.33991) (xy 157.78509 80.273247) + (xy 157.706702 80.22087) (xy 157.619603 80.184792) (xy 157.527138 80.1664) (xy 157.432862 80.1664) (xy 157.340397 80.184792) + (xy 157.253298 80.22087) (xy 157.17491 80.273247) (xy 157.108247 80.33991) (xy 157.05587 80.418298) (xy 157.019792 80.505397) + (xy 157.0014 80.597862) (xy 156.6886 80.597862) (xy 156.670208 80.505397) (xy 156.63413 80.418298) (xy 156.581753 80.33991) + (xy 156.51509 80.273247) (xy 156.436702 80.22087) (xy 156.349603 80.184792) (xy 156.257138 80.1664) (xy 156.162862 80.1664) + (xy 156.070397 80.184792) (xy 155.983298 80.22087) (xy 155.90491 80.273247) (xy 155.838247 80.33991) (xy 155.78587 80.418298) + (xy 155.749792 80.505397) (xy 155.7314 80.597862) (xy 154.877903 80.597862) (xy 154.85613 80.545298) (xy 154.803753 80.46691) + (xy 154.73709 80.400247) (xy 154.658702 80.34787) (xy 154.571603 80.311792) (xy 154.479138 80.2934) (xy 154.384862 80.2934) + (xy 154.292397 80.311792) (xy 154.205298 80.34787) (xy 154.12691 80.400247) (xy 154.060247 80.46691) (xy 154.00787 80.545298) + (xy 153.971792 80.632397) (xy 153.9534 80.724862) (xy 140.17209 80.724862) (xy 140.1786 80.692138) (xy 140.1786 80.597862) + (xy 140.160208 80.505397) (xy 140.12413 80.418298) (xy 140.071753 80.33991) (xy 140.00509 80.273247) (xy 139.926702 80.22087) + (xy 139.839603 80.184792) (xy 139.747138 80.1664) (xy 139.652862 80.1664) (xy 139.560397 80.184792) (xy 139.473298 80.22087) + (xy 139.39491 80.273247) (xy 139.328247 80.33991) (xy 139.27587 80.418298) (xy 139.239792 80.505397) (xy 139.2214 80.597862) + (xy 138.9086 80.597862) (xy 138.890208 80.505397) (xy 138.85413 80.418298) (xy 138.801753 80.33991) (xy 138.73509 80.273247) + (xy 138.656702 80.22087) (xy 138.569603 80.184792) (xy 138.477138 80.1664) (xy 138.382862 80.1664) (xy 138.290397 80.184792) + (xy 138.203298 80.22087) (xy 138.12491 80.273247) (xy 138.058247 80.33991) (xy 138.00587 80.418298) (xy 137.969792 80.505397) + (xy 137.9514 80.597862) (xy 137.6386 80.597862) (xy 137.620208 80.505397) (xy 137.58413 80.418298) (xy 137.531753 80.33991) + (xy 137.46509 80.273247) (xy 137.386702 80.22087) (xy 137.299603 80.184792) (xy 137.207138 80.1664) (xy 137.112862 80.1664) + (xy 137.020397 80.184792) (xy 136.933298 80.22087) (xy 136.85491 80.273247) (xy 136.788247 80.33991) (xy 136.73587 80.418298) + (xy 136.699792 80.505397) (xy 136.6814 80.597862) (xy 136.3686 80.597862) (xy 136.350208 80.505397) (xy 136.31413 80.418298) + (xy 136.261753 80.33991) (xy 136.19509 80.273247) (xy 136.116702 80.22087) (xy 136.029603 80.184792) (xy 135.937138 80.1664) + (xy 135.842862 80.1664) (xy 135.750397 80.184792) (xy 135.663298 80.22087) (xy 135.58491 80.273247) (xy 135.518247 80.33991) + (xy 135.46587 80.418298) (xy 135.429792 80.505397) (xy 135.4114 80.597862) (xy 135.0986 80.597862) (xy 135.080208 80.505397) + (xy 135.04413 80.418298) (xy 134.991753 80.33991) (xy 134.92509 80.273247) (xy 134.846702 80.22087) (xy 134.759603 80.184792) + (xy 134.667138 80.1664) (xy 134.572862 80.1664) (xy 134.480397 80.184792) (xy 134.393298 80.22087) (xy 134.31491 80.273247) + (xy 134.248247 80.33991) (xy 134.19587 80.418298) (xy 134.159792 80.505397) (xy 134.1414 80.597862) (xy 133.8286 80.597862) + (xy 133.810208 80.505397) (xy 133.77413 80.418298) (xy 133.721753 80.33991) (xy 133.65509 80.273247) (xy 133.576702 80.22087) + (xy 133.489603 80.184792) (xy 133.397138 80.1664) (xy 133.302862 80.1664) (xy 133.210397 80.184792) (xy 133.123298 80.22087) + (xy 133.04491 80.273247) (xy 132.978247 80.33991) (xy 132.92587 80.418298) (xy 132.889792 80.505397) (xy 132.8714 80.597862) + (xy 132.5586 80.597862) (xy 132.540208 80.505397) (xy 132.50413 80.418298) (xy 132.451753 80.33991) (xy 132.38509 80.273247) + (xy 132.306702 80.22087) (xy 132.219603 80.184792) (xy 132.127138 80.1664) (xy 132.032862 80.1664) (xy 131.940397 80.184792) + (xy 131.853298 80.22087) (xy 131.77491 80.273247) (xy 131.708247 80.33991) (xy 131.65587 80.418298) (xy 131.619792 80.505397) + (xy 131.6014 80.597862) (xy 131.2886 80.597862) (xy 131.270208 80.505397) (xy 131.23413 80.418298) (xy 131.181753 80.33991) + (xy 131.11509 80.273247) (xy 131.036702 80.22087) (xy 130.949603 80.184792) (xy 130.857138 80.1664) (xy 130.762862 80.1664) + (xy 130.670397 80.184792) (xy 130.583298 80.22087) (xy 130.50491 80.273247) (xy 130.438247 80.33991) (xy 130.38587 80.418298) + (xy 130.349792 80.505397) (xy 130.3314 80.597862) (xy 129.477903 80.597862) (xy 129.45613 80.545298) (xy 129.403753 80.46691) + (xy 129.33709 80.400247) (xy 129.258702 80.34787) (xy 129.171603 80.311792) (xy 129.079138 80.2934) (xy 128.984862 80.2934) + (xy 128.892397 80.311792) (xy 128.805298 80.34787) (xy 128.72691 80.400247) (xy 128.660247 80.46691) (xy 128.60787 80.545298) + (xy 128.571792 80.632397) (xy 128.5534 80.724862) (xy 113.345376 80.724862) (xy 113.295677 80.604879) (xy 113.21594 80.485545) + (xy 113.114455 80.38406) (xy 112.995121 80.304323) (xy 112.862525 80.2494) (xy 112.721761 80.2214) (xy 112.578239 80.2214) + (xy 112.437475 80.2494) (xy 112.304879 80.304323) (xy 112.185545 80.38406) (xy 112.08406 80.485545) (xy 112.004323 80.604879) + (xy 111.9494 80.737475) (xy 111.9214 80.878239) (xy 111.9214 81.021761) (xy 111.9494 81.162525) (xy 112.004323 81.295121) + (xy 112.08406 81.414455) (xy 112.185545 81.51594) (xy 112.304879 81.595677) (xy 112.335128 81.608207) (xy 112.180775 81.672142) + (xy 112.018529 81.780551) (xy 111.880551 81.918529) (xy 111.772142 82.080775) (xy 111.697468 82.261053) (xy 111.6594 82.452434) + (xy 103.5546 82.452434) (xy 103.5546 79.578239) (xy 110.6714 79.578239) (xy 110.6714 79.721761) (xy 110.6994 79.862525) + (xy 110.754323 79.995121) (xy 110.83406 80.114455) (xy 110.935545 80.21594) (xy 111.054879 80.295677) (xy 111.187475 80.3506) + (xy 111.328239 80.3786) (xy 111.471761 80.3786) (xy 111.612525 80.3506) (xy 111.745121 80.295677) (xy 111.864455 80.21594) + (xy 111.927533 80.152862) (xy 178.0714 80.152862) (xy 178.0714 80.247138) (xy 178.089792 80.339603) (xy 178.12587 80.426702) + (xy 178.178247 80.50509) (xy 178.24491 80.571753) (xy 178.323298 80.62413) (xy 178.410397 80.660208) (xy 178.502862 80.6786) + (xy 178.597138 80.6786) (xy 178.689603 80.660208) (xy 178.776702 80.62413) (xy 178.85509 80.571753) (xy 178.921753 80.50509) + (xy 178.97413 80.426702) (xy 179.010208 80.339603) (xy 179.0286 80.247138) (xy 179.0286 80.152862) (xy 192.4714 80.152862) + (xy 192.4714 80.247138) (xy 192.489792 80.339603) (xy 192.52587 80.426702) (xy 192.578247 80.50509) (xy 192.64491 80.571753) + (xy 192.723298 80.62413) (xy 192.810397 80.660208) (xy 192.902862 80.6786) (xy 192.997138 80.6786) (xy 193.089603 80.660208) + (xy 193.176702 80.62413) (xy 193.25509 80.571753) (xy 193.321753 80.50509) (xy 193.37413 80.426702) (xy 193.410208 80.339603) + (xy 193.4286 80.247138) (xy 193.4286 80.152862) (xy 193.410208 80.060397) (xy 193.37413 79.973298) (xy 193.321753 79.89491) + (xy 193.25509 79.828247) (xy 193.176702 79.77587) (xy 193.089603 79.739792) (xy 192.997138 79.7214) (xy 192.902862 79.7214) + (xy 192.810397 79.739792) (xy 192.723298 79.77587) (xy 192.64491 79.828247) (xy 192.578247 79.89491) (xy 192.52587 79.973298) + (xy 192.489792 80.060397) (xy 192.4714 80.152862) (xy 179.0286 80.152862) (xy 179.010208 80.060397) (xy 178.97413 79.973298) + (xy 178.921753 79.89491) (xy 178.85509 79.828247) (xy 178.776702 79.77587) (xy 178.689603 79.739792) (xy 178.597138 79.7214) + (xy 178.502862 79.7214) (xy 178.410397 79.739792) (xy 178.323298 79.77587) (xy 178.24491 79.828247) (xy 178.178247 79.89491) + (xy 178.12587 79.973298) (xy 178.089792 80.060397) (xy 178.0714 80.152862) (xy 111.927533 80.152862) (xy 111.96594 80.114455) + (xy 112.045677 79.995121) (xy 112.1006 79.862525) (xy 112.1286 79.721761) (xy 112.1286 79.578239) (xy 112.113607 79.502862) + (xy 177.2714 79.502862) (xy 177.2714 79.597138) (xy 177.289792 79.689603) (xy 177.32587 79.776702) (xy 177.378247 79.85509) + (xy 177.44491 79.921753) (xy 177.523298 79.97413) (xy 177.610397 80.010208) (xy 177.702862 80.0286) (xy 177.797138 80.0286) + (xy 177.889603 80.010208) (xy 177.976702 79.97413) (xy 178.05509 79.921753) (xy 178.121753 79.85509) (xy 178.17413 79.776702) + (xy 178.210208 79.689603) (xy 178.2286 79.597138) (xy 178.2286 79.502862) (xy 191.6714 79.502862) (xy 191.6714 79.597138) + (xy 191.689792 79.689603) (xy 191.72587 79.776702) (xy 191.778247 79.85509) (xy 191.84491 79.921753) (xy 191.923298 79.97413) + (xy 192.010397 80.010208) (xy 192.102862 80.0286) (xy 192.197138 80.0286) (xy 192.289603 80.010208) (xy 192.376702 79.97413) + (xy 192.45509 79.921753) (xy 192.521753 79.85509) (xy 192.57413 79.776702) (xy 192.610208 79.689603) (xy 192.6286 79.597138) + (xy 192.6286 79.502862) (xy 192.610208 79.410397) (xy 192.57413 79.323298) (xy 192.521753 79.24491) (xy 192.45509 79.178247) + (xy 192.376702 79.12587) (xy 192.289603 79.089792) (xy 192.197138 79.0714) (xy 192.102862 79.0714) (xy 192.010397 79.089792) + (xy 191.923298 79.12587) (xy 191.84491 79.178247) (xy 191.778247 79.24491) (xy 191.72587 79.323298) (xy 191.689792 79.410397) + (xy 191.6714 79.502862) (xy 178.2286 79.502862) (xy 178.210208 79.410397) (xy 178.17413 79.323298) (xy 178.121753 79.24491) + (xy 178.05509 79.178247) (xy 177.976702 79.12587) (xy 177.889603 79.089792) (xy 177.797138 79.0714) (xy 177.702862 79.0714) + (xy 177.610397 79.089792) (xy 177.523298 79.12587) (xy 177.44491 79.178247) (xy 177.378247 79.24491) (xy 177.32587 79.323298) + (xy 177.289792 79.410397) (xy 177.2714 79.502862) (xy 112.113607 79.502862) (xy 112.1006 79.437475) (xy 112.045677 79.304879) + (xy 111.96594 79.185545) (xy 111.864455 79.08406) (xy 111.745121 79.004323) (xy 111.612525 78.9494) (xy 111.471761 78.9214) + (xy 111.328239 78.9214) (xy 111.187475 78.9494) (xy 111.054879 79.004323) (xy 110.935545 79.08406) (xy 110.83406 79.185545) + (xy 110.754323 79.304879) (xy 110.6994 79.437475) (xy 110.6714 79.578239) (xy 103.5546 79.578239) (xy 103.5546 78.278239) + (xy 111.9214 78.278239) (xy 111.9214 78.421761) (xy 111.9494 78.562525) (xy 112.004323 78.695121) (xy 112.08406 78.814455) + (xy 112.185545 78.91594) (xy 112.304879 78.995677) (xy 112.437475 79.0506) (xy 112.578239 79.0786) (xy 112.721761 79.0786) + (xy 112.862525 79.0506) (xy 112.995121 78.995677) (xy 113.114455 78.91594) (xy 113.21594 78.814455) (xy 113.295677 78.695121) + (xy 113.296612 78.692862) (xy 129.6964 78.692862) (xy 129.6964 78.787138) (xy 129.714792 78.879603) (xy 129.75087 78.966702) + (xy 129.803247 79.04509) (xy 129.86991 79.111753) (xy 129.948298 79.16413) (xy 130.035397 79.200208) (xy 130.127862 79.2186) + (xy 130.222138 79.2186) (xy 130.314603 79.200208) (xy 130.401702 79.16413) (xy 130.48009 79.111753) (xy 130.546753 79.04509) + (xy 130.59913 78.966702) (xy 130.635208 78.879603) (xy 130.6536 78.787138) (xy 130.6536 78.692862) (xy 130.64097 78.629362) + (xy 155.1599 78.629362) (xy 155.1599 78.723638) (xy 155.178292 78.816103) (xy 155.21437 78.903202) (xy 155.266747 78.98159) + (xy 155.33341 79.048253) (xy 155.411798 79.10063) (xy 155.498897 79.136708) (xy 155.591362 79.1551) (xy 155.685638 79.1551) + (xy 155.778103 79.136708) (xy 155.865202 79.10063) (xy 155.94359 79.048253) (xy 156.010253 78.98159) (xy 156.06263 78.903202) + (xy 156.083481 78.852862) (xy 178.0714 78.852862) (xy 178.0714 78.947138) (xy 178.089792 79.039603) (xy 178.12587 79.126702) + (xy 178.178247 79.20509) (xy 178.24491 79.271753) (xy 178.323298 79.32413) (xy 178.410397 79.360208) (xy 178.502862 79.3786) + (xy 178.597138 79.3786) (xy 178.689603 79.360208) (xy 178.776702 79.32413) (xy 178.85509 79.271753) (xy 178.921753 79.20509) + (xy 178.97413 79.126702) (xy 179.010208 79.039603) (xy 179.0286 78.947138) (xy 179.0286 78.852862) (xy 192.4714 78.852862) + (xy 192.4714 78.947138) (xy 192.489792 79.039603) (xy 192.52587 79.126702) (xy 192.578247 79.20509) (xy 192.64491 79.271753) + (xy 192.723298 79.32413) (xy 192.810397 79.360208) (xy 192.902862 79.3786) (xy 192.997138 79.3786) (xy 193.089603 79.360208) + (xy 193.176702 79.32413) (xy 193.25509 79.271753) (xy 193.321753 79.20509) (xy 193.37413 79.126702) (xy 193.410208 79.039603) + (xy 193.4286 78.947138) (xy 193.4286 78.852862) (xy 193.410208 78.760397) (xy 193.37413 78.673298) (xy 193.352653 78.641154) + (xy 199.6564 78.641154) (xy 199.6564 78.838846) (xy 199.694968 79.032739) (xy 199.770621 79.215383) (xy 199.880453 79.379758) + (xy 200.020242 79.519547) (xy 200.184617 79.629379) (xy 200.367261 79.705032) (xy 200.561154 79.7436) (xy 200.758846 79.7436) + (xy 200.952739 79.705032) (xy 201.135383 79.629379) (xy 201.299758 79.519547) (xy 201.439547 79.379758) (xy 201.549379 79.215383) + (xy 201.625032 79.032739) (xy 201.6636 78.838846) (xy 201.6636 78.641154) (xy 202.1964 78.641154) (xy 202.1964 78.838846) + (xy 202.234968 79.032739) (xy 202.310621 79.215383) (xy 202.420453 79.379758) (xy 202.560242 79.519547) (xy 202.724617 79.629379) + (xy 202.907261 79.705032) (xy 203.101154 79.7436) (xy 203.298846 79.7436) (xy 203.492739 79.705032) (xy 203.675383 79.629379) + (xy 203.839758 79.519547) (xy 203.979547 79.379758) (xy 204.089379 79.215383) (xy 204.165032 79.032739) (xy 204.2036 78.838846) + (xy 204.2036 78.641154) (xy 204.7364 78.641154) (xy 204.7364 78.838846) (xy 204.774968 79.032739) (xy 204.850621 79.215383) + (xy 204.960453 79.379758) (xy 205.100242 79.519547) (xy 205.264617 79.629379) (xy 205.447261 79.705032) (xy 205.641154 79.7436) + (xy 205.838846 79.7436) (xy 206.032739 79.705032) (xy 206.215383 79.629379) (xy 206.379758 79.519547) (xy 206.519547 79.379758) + (xy 206.629379 79.215383) (xy 206.705032 79.032739) (xy 206.7436 78.838846) (xy 206.7436 78.641154) (xy 206.705032 78.447261) + (xy 206.629379 78.264617) (xy 206.519547 78.100242) (xy 206.379758 77.960453) (xy 206.215383 77.850621) (xy 206.032739 77.774968) + (xy 205.838846 77.7364) (xy 205.641154 77.7364) (xy 205.447261 77.774968) (xy 205.264617 77.850621) (xy 205.100242 77.960453) + (xy 204.960453 78.100242) (xy 204.850621 78.264617) (xy 204.774968 78.447261) (xy 204.7364 78.641154) (xy 204.2036 78.641154) + (xy 204.165032 78.447261) (xy 204.089379 78.264617) (xy 203.979547 78.100242) (xy 203.839758 77.960453) (xy 203.675383 77.850621) + (xy 203.492739 77.774968) (xy 203.298846 77.7364) (xy 203.101154 77.7364) (xy 202.907261 77.774968) (xy 202.724617 77.850621) + (xy 202.560242 77.960453) (xy 202.420453 78.100242) (xy 202.310621 78.264617) (xy 202.234968 78.447261) (xy 202.1964 78.641154) + (xy 201.6636 78.641154) (xy 201.625032 78.447261) (xy 201.549379 78.264617) (xy 201.439547 78.100242) (xy 201.299758 77.960453) + (xy 201.135383 77.850621) (xy 200.952739 77.774968) (xy 200.758846 77.7364) (xy 200.561154 77.7364) (xy 200.367261 77.774968) + (xy 200.184617 77.850621) (xy 200.020242 77.960453) (xy 199.880453 78.100242) (xy 199.770621 78.264617) (xy 199.694968 78.447261) + (xy 199.6564 78.641154) (xy 193.352653 78.641154) (xy 193.321753 78.59491) (xy 193.25509 78.528247) (xy 193.176702 78.47587) + (xy 193.089603 78.439792) (xy 192.997138 78.4214) (xy 192.902862 78.4214) (xy 192.810397 78.439792) (xy 192.723298 78.47587) + (xy 192.64491 78.528247) (xy 192.578247 78.59491) (xy 192.52587 78.673298) (xy 192.489792 78.760397) (xy 192.4714 78.852862) + (xy 179.0286 78.852862) (xy 179.010208 78.760397) (xy 178.97413 78.673298) (xy 178.921753 78.59491) (xy 178.85509 78.528247) + (xy 178.776702 78.47587) (xy 178.689603 78.439792) (xy 178.597138 78.4214) (xy 178.502862 78.4214) (xy 178.410397 78.439792) + (xy 178.323298 78.47587) (xy 178.24491 78.528247) (xy 178.178247 78.59491) (xy 178.12587 78.673298) (xy 178.089792 78.760397) + (xy 178.0714 78.852862) (xy 156.083481 78.852862) (xy 156.098708 78.816103) (xy 156.1171 78.723638) (xy 156.1171 78.629362) + (xy 156.098708 78.536897) (xy 156.06263 78.449798) (xy 156.010253 78.37141) (xy 155.94359 78.304747) (xy 155.865202 78.25237) + (xy 155.778103 78.216292) (xy 155.710585 78.202862) (xy 177.2714 78.202862) (xy 177.2714 78.297138) (xy 177.289792 78.389603) + (xy 177.32587 78.476702) (xy 177.378247 78.55509) (xy 177.44491 78.621753) (xy 177.523298 78.67413) (xy 177.610397 78.710208) + (xy 177.702862 78.7286) (xy 177.797138 78.7286) (xy 177.889603 78.710208) (xy 177.976702 78.67413) (xy 178.05509 78.621753) + (xy 178.121753 78.55509) (xy 178.17413 78.476702) (xy 178.210208 78.389603) (xy 178.2286 78.297138) (xy 178.2286 78.202862) + (xy 191.6714 78.202862) (xy 191.6714 78.297138) (xy 191.689792 78.389603) (xy 191.72587 78.476702) (xy 191.778247 78.55509) + (xy 191.84491 78.621753) (xy 191.923298 78.67413) (xy 192.010397 78.710208) (xy 192.102862 78.7286) (xy 192.197138 78.7286) + (xy 192.289603 78.710208) (xy 192.376702 78.67413) (xy 192.45509 78.621753) (xy 192.521753 78.55509) (xy 192.57413 78.476702) + (xy 192.610208 78.389603) (xy 192.6286 78.297138) (xy 192.6286 78.202862) (xy 192.610208 78.110397) (xy 192.57413 78.023298) + (xy 192.521753 77.94491) (xy 192.45509 77.878247) (xy 192.376702 77.82587) (xy 192.289603 77.789792) (xy 192.197138 77.7714) + (xy 192.102862 77.7714) (xy 192.010397 77.789792) (xy 191.923298 77.82587) (xy 191.84491 77.878247) (xy 191.778247 77.94491) + (xy 191.72587 78.023298) (xy 191.689792 78.110397) (xy 191.6714 78.202862) (xy 178.2286 78.202862) (xy 178.210208 78.110397) + (xy 178.17413 78.023298) (xy 178.121753 77.94491) (xy 178.05509 77.878247) (xy 177.976702 77.82587) (xy 177.889603 77.789792) + (xy 177.797138 77.7714) (xy 177.702862 77.7714) (xy 177.610397 77.789792) (xy 177.523298 77.82587) (xy 177.44491 77.878247) + (xy 177.378247 77.94491) (xy 177.32587 78.023298) (xy 177.289792 78.110397) (xy 177.2714 78.202862) (xy 155.710585 78.202862) + (xy 155.685638 78.1979) (xy 155.591362 78.1979) (xy 155.498897 78.216292) (xy 155.411798 78.25237) (xy 155.33341 78.304747) + (xy 155.266747 78.37141) (xy 155.21437 78.449798) (xy 155.178292 78.536897) (xy 155.1599 78.629362) (xy 130.64097 78.629362) + (xy 130.635208 78.600397) (xy 130.59913 78.513298) (xy 130.546753 78.43491) (xy 130.48009 78.368247) (xy 130.401702 78.31587) + (xy 130.314603 78.279792) (xy 130.222138 78.2614) (xy 130.127862 78.2614) (xy 130.035397 78.279792) (xy 129.948298 78.31587) + (xy 129.86991 78.368247) (xy 129.803247 78.43491) (xy 129.75087 78.513298) (xy 129.714792 78.600397) (xy 129.6964 78.692862) + (xy 113.296612 78.692862) (xy 113.3506 78.562525) (xy 113.3786 78.421761) (xy 113.3786 78.278239) (xy 113.3506 78.137475) + (xy 113.295677 78.004879) (xy 113.21594 77.885545) (xy 113.114455 77.78406) (xy 112.995121 77.704323) (xy 112.862525 77.6494) + (xy 112.721761 77.6214) (xy 112.578239 77.6214) (xy 112.437475 77.6494) (xy 112.304879 77.704323) (xy 112.185545 77.78406) + (xy 112.08406 77.885545) (xy 112.004323 78.004879) (xy 111.9494 78.137475) (xy 111.9214 78.278239) (xy 103.5546 78.278239) + (xy 103.5546 77.422862) (xy 129.6964 77.422862) (xy 129.6964 77.517138) (xy 129.714792 77.609603) (xy 129.75087 77.696702) + (xy 129.803247 77.77509) (xy 129.86991 77.841753) (xy 129.948298 77.89413) (xy 130.035397 77.930208) (xy 130.127862 77.9486) + (xy 130.222138 77.9486) (xy 130.314603 77.930208) (xy 130.401702 77.89413) (xy 130.48009 77.841753) (xy 130.546753 77.77509) + (xy 130.59913 77.696702) (xy 130.63365 77.613362) (xy 155.1599 77.613362) (xy 155.1599 77.707638) (xy 155.178292 77.800103) + (xy 155.21437 77.887202) (xy 155.266747 77.96559) (xy 155.33341 78.032253) (xy 155.411798 78.08463) (xy 155.498897 78.120708) + (xy 155.591362 78.1391) (xy 155.685638 78.1391) (xy 155.778103 78.120708) (xy 155.865202 78.08463) (xy 155.94359 78.032253) + (xy 156.010253 77.96559) (xy 156.06263 77.887202) (xy 156.098708 77.800103) (xy 156.1171 77.707638) (xy 156.1171 77.613362) + (xy 156.105067 77.552862) (xy 183.1714 77.552862) (xy 183.1714 77.647138) (xy 183.189792 77.739603) (xy 183.22587 77.826702) + (xy 183.278247 77.90509) (xy 183.34491 77.971753) (xy 183.423298 78.02413) (xy 183.510397 78.060208) (xy 183.602862 78.0786) + (xy 183.697138 78.0786) (xy 183.789603 78.060208) (xy 183.876702 78.02413) (xy 183.95509 77.971753) (xy 184.021753 77.90509) + (xy 184.07413 77.826702) (xy 184.110208 77.739603) (xy 184.1286 77.647138) (xy 184.1286 77.552862) (xy 189.4714 77.552862) + (xy 189.4714 77.647138) (xy 189.489792 77.739603) (xy 189.52587 77.826702) (xy 189.578247 77.90509) (xy 189.64491 77.971753) + (xy 189.723298 78.02413) (xy 189.810397 78.060208) (xy 189.902862 78.0786) (xy 189.997138 78.0786) (xy 190.089603 78.060208) + (xy 190.176702 78.02413) (xy 190.25509 77.971753) (xy 190.321753 77.90509) (xy 190.37413 77.826702) (xy 190.410208 77.739603) + (xy 190.4286 77.647138) (xy 190.4286 77.552862) (xy 190.410208 77.460397) (xy 190.37413 77.373298) (xy 190.321753 77.29491) + (xy 190.25509 77.228247) (xy 190.176702 77.17587) (xy 190.089603 77.139792) (xy 189.997138 77.1214) (xy 189.902862 77.1214) + (xy 189.810397 77.139792) (xy 189.723298 77.17587) (xy 189.64491 77.228247) (xy 189.578247 77.29491) (xy 189.52587 77.373298) + (xy 189.489792 77.460397) (xy 189.4714 77.552862) (xy 184.1286 77.552862) (xy 184.110208 77.460397) (xy 184.07413 77.373298) + (xy 184.021753 77.29491) (xy 183.95509 77.228247) (xy 183.876702 77.17587) (xy 183.789603 77.139792) (xy 183.697138 77.1214) + (xy 183.602862 77.1214) (xy 183.510397 77.139792) (xy 183.423298 77.17587) (xy 183.34491 77.228247) (xy 183.278247 77.29491) + (xy 183.22587 77.373298) (xy 183.189792 77.460397) (xy 183.1714 77.552862) (xy 156.105067 77.552862) (xy 156.098708 77.520897) + (xy 156.06263 77.433798) (xy 156.010253 77.35541) (xy 155.94359 77.288747) (xy 155.865202 77.23637) (xy 155.778103 77.200292) + (xy 155.685638 77.1819) (xy 155.591362 77.1819) (xy 155.498897 77.200292) (xy 155.411798 77.23637) (xy 155.33341 77.288747) + (xy 155.266747 77.35541) (xy 155.21437 77.433798) (xy 155.178292 77.520897) (xy 155.1599 77.613362) (xy 130.63365 77.613362) + (xy 130.635208 77.609603) (xy 130.6536 77.517138) (xy 130.6536 77.422862) (xy 130.635208 77.330397) (xy 130.59913 77.243298) + (xy 130.546753 77.16491) (xy 130.48009 77.098247) (xy 130.401702 77.04587) (xy 130.314603 77.009792) (xy 130.222138 76.9914) + (xy 130.127862 76.9914) (xy 130.035397 77.009792) (xy 129.948298 77.04587) (xy 129.86991 77.098247) (xy 129.803247 77.16491) + (xy 129.75087 77.243298) (xy 129.714792 77.330397) (xy 129.6964 77.422862) (xy 103.5546 77.422862) (xy 103.5546 76.952862) + (xy 182.0714 76.952862) (xy 182.0714 77.047138) (xy 182.089792 77.139603) (xy 182.12587 77.226702) (xy 182.178247 77.30509) + (xy 182.24491 77.371753) (xy 182.323298 77.42413) (xy 182.410397 77.460208) (xy 182.502862 77.4786) (xy 182.597138 77.4786) + (xy 182.689603 77.460208) (xy 182.776702 77.42413) (xy 182.85509 77.371753) (xy 182.921753 77.30509) (xy 182.97413 77.226702) + (xy 183.010208 77.139603) (xy 183.0286 77.047138) (xy 183.0286 76.952862) (xy 190.5714 76.952862) (xy 190.5714 77.047138) + (xy 190.589792 77.139603) (xy 190.62587 77.226702) (xy 190.678247 77.30509) (xy 190.74491 77.371753) (xy 190.823298 77.42413) + (xy 190.910397 77.460208) (xy 191.002862 77.4786) (xy 191.097138 77.4786) (xy 191.189603 77.460208) (xy 191.276702 77.42413) + (xy 191.35509 77.371753) (xy 191.421753 77.30509) (xy 191.47413 77.226702) (xy 191.510208 77.139603) (xy 191.5286 77.047138) + (xy 191.5286 76.952862) (xy 191.510208 76.860397) (xy 191.47413 76.773298) (xy 191.421753 76.69491) (xy 191.35509 76.628247) + (xy 191.276702 76.57587) (xy 191.189603 76.539792) (xy 191.097138 76.5214) (xy 191.002862 76.5214) (xy 190.910397 76.539792) + (xy 190.823298 76.57587) (xy 190.74491 76.628247) (xy 190.678247 76.69491) (xy 190.62587 76.773298) (xy 190.589792 76.860397) + (xy 190.5714 76.952862) (xy 183.0286 76.952862) (xy 183.010208 76.860397) (xy 182.97413 76.773298) (xy 182.921753 76.69491) + (xy 182.85509 76.628247) (xy 182.776702 76.57587) (xy 182.689603 76.539792) (xy 182.597138 76.5214) (xy 182.502862 76.5214) + (xy 182.410397 76.539792) (xy 182.323298 76.57587) (xy 182.24491 76.628247) (xy 182.178247 76.69491) (xy 182.12587 76.773298) + (xy 182.089792 76.860397) (xy 182.0714 76.952862) (xy 103.5546 76.952862) (xy 103.5546 76.152862) (xy 129.6964 76.152862) + (xy 129.6964 76.247138) (xy 129.714792 76.339603) (xy 129.75087 76.426702) (xy 129.803247 76.50509) (xy 129.86991 76.571753) + (xy 129.948298 76.62413) (xy 130.035397 76.660208) (xy 130.127862 76.6786) (xy 130.222138 76.6786) (xy 130.314603 76.660208) + (xy 130.401702 76.62413) (xy 130.48009 76.571753) (xy 130.546753 76.50509) (xy 130.59913 76.426702) (xy 130.635208 76.339603) + (xy 130.6536 76.247138) (xy 130.6536 76.152862) (xy 130.635208 76.060397) (xy 130.59913 75.973298) (xy 130.591823 75.962362) + (xy 155.0964 75.962362) (xy 155.0964 76.056638) (xy 155.114792 76.149103) (xy 155.15087 76.236202) (xy 155.203247 76.31459) + (xy 155.26991 76.381253) (xy 155.348298 76.43363) (xy 155.435397 76.469708) (xy 155.527862 76.4881) (xy 155.622138 76.4881) + (xy 155.714603 76.469708) (xy 155.801702 76.43363) (xy 155.88009 76.381253) (xy 155.946753 76.31459) (xy 155.99913 76.236202) + (xy 156.035208 76.149103) (xy 156.045385 76.097937) (xy 182.8714 76.097937) (xy 182.8714 76.202063) (xy 182.891713 76.304187) + (xy 182.93156 76.400386) (xy 182.989409 76.486963) (xy 183.063037 76.560591) (xy 183.149614 76.61844) (xy 183.245813 76.658287) + (xy 183.347937 76.6786) (xy 183.452063 76.6786) (xy 183.554187 76.658287) (xy 183.650386 76.61844) (xy 183.736963 76.560591) + (xy 183.810591 76.486963) (xy 183.86844 76.400386) (xy 183.908287 76.304187) (xy 183.918495 76.252862) (xy 183.9214 76.252862) + (xy 183.9214 76.347138) (xy 183.939792 76.439603) (xy 183.97587 76.526702) (xy 184.028247 76.60509) (xy 184.09491 76.671753) + (xy 184.173298 76.72413) (xy 184.260397 76.760208) (xy 184.352862 76.7786) (xy 184.447138 76.7786) (xy 184.539603 76.760208) + (xy 184.626702 76.72413) (xy 184.70509 76.671753) (xy 184.771753 76.60509) (xy 184.82413 76.526702) (xy 184.860208 76.439603) + (xy 184.8786 76.347138) (xy 184.8786 76.252862) (xy 184.860208 76.160397) (xy 184.834336 76.097937) (xy 191.3714 76.097937) + (xy 191.3714 76.202063) (xy 191.391713 76.304187) (xy 191.43156 76.400386) (xy 191.489409 76.486963) (xy 191.563037 76.560591) + (xy 191.649614 76.61844) (xy 191.745813 76.658287) (xy 191.847937 76.6786) (xy 191.952063 76.6786) (xy 192.054187 76.658287) + (xy 192.150386 76.61844) (xy 192.236963 76.560591) (xy 192.310591 76.486963) (xy 192.36844 76.400386) (xy 192.408287 76.304187) + (xy 192.4286 76.202063) (xy 192.4286 76.101154) (xy 199.6564 76.101154) (xy 199.6564 76.298846) (xy 199.694968 76.492739) + (xy 199.770621 76.675383) (xy 199.880453 76.839758) (xy 200.020242 76.979547) (xy 200.184617 77.089379) (xy 200.367261 77.165032) + (xy 200.561154 77.2036) (xy 200.758846 77.2036) (xy 200.952739 77.165032) (xy 201.135383 77.089379) (xy 201.299758 76.979547) + (xy 201.439547 76.839758) (xy 201.549379 76.675383) (xy 201.625032 76.492739) (xy 201.6636 76.298846) (xy 201.6636 76.101154) + (xy 202.1964 76.101154) (xy 202.1964 76.298846) (xy 202.234968 76.492739) (xy 202.310621 76.675383) (xy 202.420453 76.839758) + (xy 202.560242 76.979547) (xy 202.724617 77.089379) (xy 202.907261 77.165032) (xy 203.101154 77.2036) (xy 203.298846 77.2036) + (xy 203.492739 77.165032) (xy 203.675383 77.089379) (xy 203.839758 76.979547) (xy 203.979547 76.839758) (xy 204.089379 76.675383) + (xy 204.165032 76.492739) (xy 204.2036 76.298846) (xy 204.2036 76.101154) (xy 204.7364 76.101154) (xy 204.7364 76.298846) + (xy 204.774968 76.492739) (xy 204.850621 76.675383) (xy 204.960453 76.839758) (xy 205.100242 76.979547) (xy 205.264617 77.089379) + (xy 205.447261 77.165032) (xy 205.641154 77.2036) (xy 205.838846 77.2036) (xy 206.032739 77.165032) (xy 206.215383 77.089379) + (xy 206.379758 76.979547) (xy 206.519547 76.839758) (xy 206.629379 76.675383) (xy 206.705032 76.492739) (xy 206.7436 76.298846) + (xy 206.7436 76.101154) (xy 206.705032 75.907261) (xy 206.629379 75.724617) (xy 206.519547 75.560242) (xy 206.379758 75.420453) + (xy 206.215383 75.310621) (xy 206.032739 75.234968) (xy 205.838846 75.1964) (xy 205.641154 75.1964) (xy 205.447261 75.234968) + (xy 205.264617 75.310621) (xy 205.100242 75.420453) (xy 204.960453 75.560242) (xy 204.850621 75.724617) (xy 204.774968 75.907261) + (xy 204.7364 76.101154) (xy 204.2036 76.101154) (xy 204.165032 75.907261) (xy 204.089379 75.724617) (xy 203.979547 75.560242) + (xy 203.839758 75.420453) (xy 203.675383 75.310621) (xy 203.492739 75.234968) (xy 203.298846 75.1964) (xy 203.101154 75.1964) + (xy 202.907261 75.234968) (xy 202.724617 75.310621) (xy 202.560242 75.420453) (xy 202.420453 75.560242) (xy 202.310621 75.724617) + (xy 202.234968 75.907261) (xy 202.1964 76.101154) (xy 201.6636 76.101154) (xy 201.625032 75.907261) (xy 201.549379 75.724617) + (xy 201.439547 75.560242) (xy 201.299758 75.420453) (xy 201.135383 75.310621) (xy 200.952739 75.234968) (xy 200.758846 75.1964) + (xy 200.561154 75.1964) (xy 200.367261 75.234968) (xy 200.184617 75.310621) (xy 200.020242 75.420453) (xy 199.880453 75.560242) + (xy 199.770621 75.724617) (xy 199.694968 75.907261) (xy 199.6564 76.101154) (xy 192.4286 76.101154) (xy 192.4286 76.097937) + (xy 192.408287 75.995813) (xy 192.36844 75.899614) (xy 192.310591 75.813037) (xy 192.236963 75.739409) (xy 192.150386 75.68156) + (xy 192.054187 75.641713) (xy 191.952063 75.6214) (xy 191.847937 75.6214) (xy 191.745813 75.641713) (xy 191.649614 75.68156) + (xy 191.563037 75.739409) (xy 191.489409 75.813037) (xy 191.43156 75.899614) (xy 191.391713 75.995813) (xy 191.3714 76.097937) + (xy 184.834336 76.097937) (xy 184.82413 76.073298) (xy 184.771753 75.99491) (xy 184.70509 75.928247) (xy 184.626702 75.87587) + (xy 184.539603 75.839792) (xy 184.447138 75.8214) (xy 184.352862 75.8214) (xy 184.260397 75.839792) (xy 184.173298 75.87587) + (xy 184.09491 75.928247) (xy 184.028247 75.99491) (xy 183.97587 76.073298) (xy 183.939792 76.160397) (xy 183.9214 76.252862) + (xy 183.918495 76.252862) (xy 183.9286 76.202063) (xy 183.9286 76.097937) (xy 183.908287 75.995813) (xy 183.86844 75.899614) + (xy 183.810591 75.813037) (xy 183.736963 75.739409) (xy 183.650386 75.68156) (xy 183.554187 75.641713) (xy 183.452063 75.6214) + (xy 183.347937 75.6214) (xy 183.245813 75.641713) (xy 183.149614 75.68156) (xy 183.063037 75.739409) (xy 182.989409 75.813037) + (xy 182.93156 75.899614) (xy 182.891713 75.995813) (xy 182.8714 76.097937) (xy 156.045385 76.097937) (xy 156.0536 76.056638) + (xy 156.0536 75.962362) (xy 156.035208 75.869897) (xy 155.99913 75.782798) (xy 155.946753 75.70441) (xy 155.88009 75.637747) + (xy 155.801702 75.58537) (xy 155.714603 75.549292) (xy 155.622138 75.5309) (xy 155.527862 75.5309) (xy 155.435397 75.549292) + (xy 155.348298 75.58537) (xy 155.26991 75.637747) (xy 155.203247 75.70441) (xy 155.15087 75.782798) (xy 155.114792 75.869897) + (xy 155.0964 75.962362) (xy 130.591823 75.962362) (xy 130.546753 75.89491) (xy 130.48009 75.828247) (xy 130.401702 75.77587) + (xy 130.314603 75.739792) (xy 130.222138 75.7214) (xy 130.127862 75.7214) (xy 130.035397 75.739792) (xy 129.948298 75.77587) + (xy 129.86991 75.828247) (xy 129.803247 75.89491) (xy 129.75087 75.973298) (xy 129.714792 76.060397) (xy 129.6964 76.152862) + (xy 103.5546 76.152862) (xy 103.5546 75.068437) (xy 122.2804 75.068437) (xy 122.2804 75.172563) (xy 122.300713 75.274687) + (xy 122.34056 75.370886) (xy 122.398409 75.457463) (xy 122.472037 75.531091) (xy 122.558614 75.58894) (xy 122.654813 75.628787) + (xy 122.756937 75.6491) (xy 122.861063 75.6491) (xy 122.963187 75.628787) (xy 123.059386 75.58894) (xy 123.145963 75.531091) + (xy 123.219591 75.457463) (xy 123.27744 75.370886) (xy 123.317287 75.274687) (xy 123.3376 75.172563) (xy 123.3376 75.068437) + (xy 123.317287 74.966313) (xy 123.280681 74.877937) (xy 124.5664 74.877937) (xy 124.5664 74.982063) (xy 124.586713 75.084187) + (xy 124.62656 75.180386) (xy 124.684409 75.266963) (xy 124.758037 75.340591) (xy 124.844614 75.39844) (xy 124.940813 75.438287) + (xy 125.042937 75.4586) (xy 125.147063 75.4586) (xy 125.249187 75.438287) (xy 125.345386 75.39844) (xy 125.431963 75.340591) + (xy 125.505591 75.266963) (xy 125.56344 75.180386) (xy 125.603287 75.084187) (xy 125.6236 74.982063) (xy 125.6236 74.877937) + (xy 127.3604 74.877937) (xy 127.3604 74.982063) (xy 127.380713 75.084187) (xy 127.42056 75.180386) (xy 127.478409 75.266963) + (xy 127.552037 75.340591) (xy 127.638614 75.39844) (xy 127.734813 75.438287) (xy 127.836937 75.4586) (xy 127.941063 75.4586) + (xy 128.043187 75.438287) (xy 128.139386 75.39844) (xy 128.225963 75.340591) (xy 128.299591 75.266963) (xy 128.35744 75.180386) + (xy 128.397287 75.084187) (xy 128.4176 74.982063) (xy 128.4176 74.877937) (xy 129.7099 74.877937) (xy 129.7099 74.982063) + (xy 129.730213 75.084187) (xy 129.77006 75.180386) (xy 129.827909 75.266963) (xy 129.901537 75.340591) (xy 129.988114 75.39844) + (xy 130.084313 75.438287) (xy 130.186437 75.4586) (xy 130.290563 75.4586) (xy 130.392687 75.438287) (xy 130.488886 75.39844) + (xy 130.575463 75.340591) (xy 130.649091 75.266963) (xy 130.70694 75.180386) (xy 130.746787 75.084187) (xy 130.749919 75.068437) + (xy 147.6804 75.068437) (xy 147.6804 75.172563) (xy 147.700713 75.274687) (xy 147.74056 75.370886) (xy 147.798409 75.457463) + (xy 147.872037 75.531091) (xy 147.958614 75.58894) (xy 148.054813 75.628787) (xy 148.156937 75.6491) (xy 148.261063 75.6491) + (xy 148.363187 75.628787) (xy 148.459386 75.58894) (xy 148.545963 75.531091) (xy 148.619591 75.457463) (xy 148.67744 75.370886) + (xy 148.717287 75.274687) (xy 148.7376 75.172563) (xy 148.7376 75.068437) (xy 148.717287 74.966313) (xy 148.680681 74.877937) + (xy 149.9664 74.877937) (xy 149.9664 74.982063) (xy 149.986713 75.084187) (xy 150.02656 75.180386) (xy 150.084409 75.266963) + (xy 150.158037 75.340591) (xy 150.244614 75.39844) (xy 150.340813 75.438287) (xy 150.442937 75.4586) (xy 150.547063 75.4586) + (xy 150.649187 75.438287) (xy 150.745386 75.39844) (xy 150.831963 75.340591) (xy 150.905591 75.266963) (xy 150.96344 75.180386) + (xy 151.003287 75.084187) (xy 151.0236 74.982063) (xy 151.0236 74.877937) (xy 152.7604 74.877937) (xy 152.7604 74.982063) + (xy 152.780713 75.084187) (xy 152.82056 75.180386) (xy 152.878409 75.266963) (xy 152.952037 75.340591) (xy 153.038614 75.39844) + (xy 153.134813 75.438287) (xy 153.236937 75.4586) (xy 153.341063 75.4586) (xy 153.443187 75.438287) (xy 153.539386 75.39844) + (xy 153.625963 75.340591) (xy 153.699591 75.266963) (xy 153.75744 75.180386) (xy 153.797287 75.084187) (xy 153.8176 74.982063) + (xy 153.8176 74.877937) (xy 155.1099 74.877937) (xy 155.1099 74.982063) (xy 155.130213 75.084187) (xy 155.17006 75.180386) + (xy 155.227909 75.266963) (xy 155.301537 75.340591) (xy 155.388114 75.39844) (xy 155.484313 75.438287) (xy 155.586437 75.4586) + (xy 155.690563 75.4586) (xy 155.792687 75.438287) (xy 155.888886 75.39844) (xy 155.975463 75.340591) (xy 156.049091 75.266963) + (xy 156.058513 75.252862) (xy 182.1214 75.252862) (xy 182.1214 75.347138) (xy 182.139792 75.439603) (xy 182.17587 75.526702) + (xy 182.228247 75.60509) (xy 182.29491 75.671753) (xy 182.373298 75.72413) (xy 182.460397 75.760208) (xy 182.552862 75.7786) + (xy 182.647138 75.7786) (xy 182.739603 75.760208) (xy 182.826702 75.72413) (xy 182.90509 75.671753) (xy 182.971753 75.60509) + (xy 183.02413 75.526702) (xy 183.060208 75.439603) (xy 183.0786 75.347138) (xy 183.0786 75.252862) (xy 190.4214 75.252862) + (xy 190.4214 75.347138) (xy 190.439792 75.439603) (xy 190.47587 75.526702) (xy 190.528247 75.60509) (xy 190.59491 75.671753) + (xy 190.673298 75.72413) (xy 190.760397 75.760208) (xy 190.852862 75.7786) (xy 190.947138 75.7786) (xy 191.039603 75.760208) + (xy 191.126702 75.72413) (xy 191.20509 75.671753) (xy 191.271753 75.60509) (xy 191.32413 75.526702) (xy 191.360208 75.439603) + (xy 191.3786 75.347138) (xy 191.3786 75.252862) (xy 191.360208 75.160397) (xy 191.32413 75.073298) (xy 191.271753 74.99491) + (xy 191.20509 74.928247) (xy 191.126702 74.87587) (xy 191.039603 74.839792) (xy 190.947138 74.8214) (xy 190.852862 74.8214) + (xy 190.760397 74.839792) (xy 190.673298 74.87587) (xy 190.59491 74.928247) (xy 190.528247 74.99491) (xy 190.47587 75.073298) + (xy 190.439792 75.160397) (xy 190.4214 75.252862) (xy 183.0786 75.252862) (xy 183.060208 75.160397) (xy 183.02413 75.073298) + (xy 182.971753 74.99491) (xy 182.90509 74.928247) (xy 182.826702 74.87587) (xy 182.739603 74.839792) (xy 182.647138 74.8214) + (xy 182.552862 74.8214) (xy 182.460397 74.839792) (xy 182.373298 74.87587) (xy 182.29491 74.928247) (xy 182.228247 74.99491) + (xy 182.17587 75.073298) (xy 182.139792 75.160397) (xy 182.1214 75.252862) (xy 156.058513 75.252862) (xy 156.10694 75.180386) + (xy 156.146787 75.084187) (xy 156.1671 74.982063) (xy 156.1671 74.877937) (xy 156.146787 74.775813) (xy 156.10694 74.679614) + (xy 156.049091 74.593037) (xy 155.975463 74.519409) (xy 155.888886 74.46156) (xy 155.792687 74.421713) (xy 155.690563 74.4014) + (xy 155.586437 74.4014) (xy 155.484313 74.421713) (xy 155.388114 74.46156) (xy 155.301537 74.519409) (xy 155.227909 74.593037) + (xy 155.17006 74.679614) (xy 155.130213 74.775813) (xy 155.1099 74.877937) (xy 153.8176 74.877937) (xy 153.797287 74.775813) + (xy 153.75744 74.679614) (xy 153.699591 74.593037) (xy 153.625963 74.519409) (xy 153.539386 74.46156) (xy 153.443187 74.421713) + (xy 153.341063 74.4014) (xy 153.236937 74.4014) (xy 153.134813 74.421713) (xy 153.038614 74.46156) (xy 152.952037 74.519409) + (xy 152.878409 74.593037) (xy 152.82056 74.679614) (xy 152.780713 74.775813) (xy 152.7604 74.877937) (xy 151.0236 74.877937) + (xy 151.003287 74.775813) (xy 150.96344 74.679614) (xy 150.905591 74.593037) (xy 150.831963 74.519409) (xy 150.745386 74.46156) + (xy 150.649187 74.421713) (xy 150.547063 74.4014) (xy 150.442937 74.4014) (xy 150.340813 74.421713) (xy 150.244614 74.46156) + (xy 150.158037 74.519409) (xy 150.084409 74.593037) (xy 150.02656 74.679614) (xy 149.986713 74.775813) (xy 149.9664 74.877937) + (xy 148.680681 74.877937) (xy 148.67744 74.870114) (xy 148.619591 74.783537) (xy 148.545963 74.709909) (xy 148.459386 74.65206) + (xy 148.363187 74.612213) (xy 148.261063 74.5919) (xy 148.156937 74.5919) (xy 148.054813 74.612213) (xy 147.958614 74.65206) + (xy 147.872037 74.709909) (xy 147.798409 74.783537) (xy 147.74056 74.870114) (xy 147.700713 74.966313) (xy 147.6804 75.068437) + (xy 130.749919 75.068437) (xy 130.7671 74.982063) (xy 130.7671 74.877937) (xy 130.746787 74.775813) (xy 130.70694 74.679614) + (xy 130.649091 74.593037) (xy 130.575463 74.519409) (xy 130.488886 74.46156) (xy 130.392687 74.421713) (xy 130.290563 74.4014) + (xy 130.186437 74.4014) (xy 130.084313 74.421713) (xy 129.988114 74.46156) (xy 129.901537 74.519409) (xy 129.827909 74.593037) + (xy 129.77006 74.679614) (xy 129.730213 74.775813) (xy 129.7099 74.877937) (xy 128.4176 74.877937) (xy 128.397287 74.775813) + (xy 128.35744 74.679614) (xy 128.299591 74.593037) (xy 128.225963 74.519409) (xy 128.139386 74.46156) (xy 128.043187 74.421713) + (xy 127.941063 74.4014) (xy 127.836937 74.4014) (xy 127.734813 74.421713) (xy 127.638614 74.46156) (xy 127.552037 74.519409) + (xy 127.478409 74.593037) (xy 127.42056 74.679614) (xy 127.380713 74.775813) (xy 127.3604 74.877937) (xy 125.6236 74.877937) + (xy 125.603287 74.775813) (xy 125.56344 74.679614) (xy 125.505591 74.593037) (xy 125.431963 74.519409) (xy 125.345386 74.46156) + (xy 125.249187 74.421713) (xy 125.147063 74.4014) (xy 125.042937 74.4014) (xy 124.940813 74.421713) (xy 124.844614 74.46156) + (xy 124.758037 74.519409) (xy 124.684409 74.593037) (xy 124.62656 74.679614) (xy 124.586713 74.775813) (xy 124.5664 74.877937) + (xy 123.280681 74.877937) (xy 123.27744 74.870114) (xy 123.219591 74.783537) (xy 123.145963 74.709909) (xy 123.059386 74.65206) + (xy 122.963187 74.612213) (xy 122.861063 74.5919) (xy 122.756937 74.5919) (xy 122.654813 74.612213) (xy 122.558614 74.65206) + (xy 122.472037 74.709909) (xy 122.398409 74.783537) (xy 122.34056 74.870114) (xy 122.300713 74.966313) (xy 122.2804 75.068437) + (xy 103.5546 75.068437) (xy 103.5546 73.852088) (xy 117.0369 73.852088) (xy 117.0369 73.975912) (xy 117.061056 74.097356) + (xy 117.108441 74.211754) (xy 117.177234 74.314709) (xy 117.264791 74.402266) (xy 117.367746 74.471059) (xy 117.482144 74.518444) + (xy 117.603588 74.5426) (xy 117.727412 74.5426) (xy 117.848856 74.518444) (xy 117.963254 74.471059) (xy 118.066209 74.402266) + (xy 118.153766 74.314709) (xy 118.222559 74.211754) (xy 118.269944 74.097356) (xy 118.2941 73.975912) (xy 118.2941 73.925437) + (xy 123.4234 73.925437) (xy 123.4234 74.029563) (xy 123.443713 74.131687) (xy 123.48356 74.227886) (xy 123.541409 74.314463) + (xy 123.615037 74.388091) (xy 123.701614 74.44594) (xy 123.797813 74.485787) (xy 123.899937 74.5061) (xy 124.004063 74.5061) + (xy 124.106187 74.485787) (xy 124.202386 74.44594) (xy 124.288963 74.388091) (xy 124.362591 74.314463) (xy 124.370342 74.302862) + (xy 189.4714 74.302862) (xy 189.4714 74.397138) (xy 189.489792 74.489603) (xy 189.52587 74.576702) (xy 189.578247 74.65509) + (xy 189.64491 74.721753) (xy 189.723298 74.77413) (xy 189.810397 74.810208) (xy 189.902862 74.8286) (xy 189.997138 74.8286) + (xy 190.089603 74.810208) (xy 190.176702 74.77413) (xy 190.25509 74.721753) (xy 190.321753 74.65509) (xy 190.37413 74.576702) + (xy 190.410208 74.489603) (xy 190.4286 74.397138) (xy 190.4286 74.302862) (xy 190.410208 74.210397) (xy 190.37413 74.123298) + (xy 190.321753 74.04491) (xy 190.25509 73.978247) (xy 190.176702 73.92587) (xy 190.089603 73.889792) (xy 189.997138 73.8714) + (xy 189.902862 73.8714) (xy 189.810397 73.889792) (xy 189.723298 73.92587) (xy 189.64491 73.978247) (xy 189.578247 74.04491) + (xy 189.52587 74.123298) (xy 189.489792 74.210397) (xy 189.4714 74.302862) (xy 124.370342 74.302862) (xy 124.42044 74.227886) + (xy 124.460287 74.131687) (xy 124.4806 74.029563) (xy 124.4806 73.925437) (xy 124.460287 73.823313) (xy 124.42044 73.727114) + (xy 124.362591 73.640537) (xy 124.334916 73.612862) (xy 129.6964 73.612862) (xy 129.6964 73.707138) (xy 129.714792 73.799603) + (xy 129.75087 73.886702) (xy 129.803247 73.96509) (xy 129.86991 74.031753) (xy 129.948298 74.08413) (xy 130.035397 74.120208) + (xy 130.127862 74.1386) (xy 130.222138 74.1386) (xy 130.314603 74.120208) (xy 130.401702 74.08413) (xy 130.48009 74.031753) + (xy 130.546753 73.96509) (xy 130.59913 73.886702) (xy 130.635208 73.799603) (xy 130.6536 73.707138) (xy 130.6536 73.612862) + (xy 130.635208 73.520397) (xy 130.634055 73.517612) (xy 152.27065 73.517612) (xy 152.27065 73.611888) (xy 152.289042 73.704353) + (xy 152.32512 73.791452) (xy 152.377497 73.86984) (xy 152.44416 73.936503) (xy 152.522548 73.98888) (xy 152.609647 74.024958) + (xy 152.702112 74.04335) (xy 152.796388 74.04335) (xy 152.888853 74.024958) (xy 152.975952 73.98888) (xy 153.05434 73.936503) + (xy 153.121003 73.86984) (xy 153.17338 73.791452) (xy 153.209458 73.704353) (xy 153.219699 73.652862) (xy 173.7214 73.652862) + (xy 173.7214 73.747138) (xy 173.739792 73.839603) (xy 173.77587 73.926702) (xy 173.828247 74.00509) (xy 173.89491 74.071753) + (xy 173.973298 74.12413) (xy 174.060397 74.160208) (xy 174.152862 74.1786) (xy 174.247138 74.1786) (xy 174.339603 74.160208) + (xy 174.426702 74.12413) (xy 174.50509 74.071753) (xy 174.571753 74.00509) (xy 174.62413 73.926702) (xy 174.660208 73.839603) + (xy 174.6786 73.747138) (xy 174.6786 73.652862) (xy 178.0714 73.652862) (xy 178.0714 73.747138) (xy 178.089792 73.839603) + (xy 178.12587 73.926702) (xy 178.178247 74.00509) (xy 178.24491 74.071753) (xy 178.323298 74.12413) (xy 178.410397 74.160208) + (xy 178.502862 74.1786) (xy 178.597138 74.1786) (xy 178.689603 74.160208) (xy 178.776702 74.12413) (xy 178.85509 74.071753) + (xy 178.921753 74.00509) (xy 178.97413 73.926702) (xy 179.010208 73.839603) (xy 179.0286 73.747138) (xy 179.0286 73.652862) + (xy 192.4714 73.652862) (xy 192.4714 73.747138) (xy 192.489792 73.839603) (xy 192.52587 73.926702) (xy 192.578247 74.00509) + (xy 192.64491 74.071753) (xy 192.723298 74.12413) (xy 192.810397 74.160208) (xy 192.902862 74.1786) (xy 192.997138 74.1786) + (xy 193.089603 74.160208) (xy 193.176702 74.12413) (xy 193.25509 74.071753) (xy 193.321753 74.00509) (xy 193.37413 73.926702) + (xy 193.410208 73.839603) (xy 193.4286 73.747138) (xy 193.4286 73.652862) (xy 193.410359 73.561154) (xy 199.6564 73.561154) + (xy 199.6564 73.758846) (xy 199.694968 73.952739) (xy 199.770621 74.135383) (xy 199.880453 74.299758) (xy 200.020242 74.439547) + (xy 200.184617 74.549379) (xy 200.367261 74.625032) (xy 200.561154 74.6636) (xy 200.758846 74.6636) (xy 200.952739 74.625032) + (xy 201.135383 74.549379) (xy 201.299758 74.439547) (xy 201.439547 74.299758) (xy 201.549379 74.135383) (xy 201.625032 73.952739) + (xy 201.6636 73.758846) (xy 201.6636 73.561154) (xy 202.1964 73.561154) (xy 202.1964 73.758846) (xy 202.234968 73.952739) + (xy 202.310621 74.135383) (xy 202.420453 74.299758) (xy 202.560242 74.439547) (xy 202.724617 74.549379) (xy 202.907261 74.625032) + (xy 203.101154 74.6636) (xy 203.298846 74.6636) (xy 203.492739 74.625032) (xy 203.675383 74.549379) (xy 203.839758 74.439547) + (xy 203.979547 74.299758) (xy 204.089379 74.135383) (xy 204.165032 73.952739) (xy 204.2036 73.758846) (xy 204.2036 73.561154) + (xy 204.7364 73.561154) (xy 204.7364 73.758846) (xy 204.774968 73.952739) (xy 204.850621 74.135383) (xy 204.960453 74.299758) + (xy 205.100242 74.439547) (xy 205.264617 74.549379) (xy 205.447261 74.625032) (xy 205.641154 74.6636) (xy 205.838846 74.6636) + (xy 206.032739 74.625032) (xy 206.215383 74.549379) (xy 206.379758 74.439547) (xy 206.519547 74.299758) (xy 206.629379 74.135383) + (xy 206.705032 73.952739) (xy 206.7436 73.758846) (xy 206.7436 73.561154) (xy 206.705032 73.367261) (xy 206.629379 73.184617) + (xy 206.519547 73.020242) (xy 206.379758 72.880453) (xy 206.215383 72.770621) (xy 206.032739 72.694968) (xy 205.838846 72.6564) + (xy 205.641154 72.6564) (xy 205.447261 72.694968) (xy 205.264617 72.770621) (xy 205.100242 72.880453) (xy 204.960453 73.020242) + (xy 204.850621 73.184617) (xy 204.774968 73.367261) (xy 204.7364 73.561154) (xy 204.2036 73.561154) (xy 204.165032 73.367261) + (xy 204.089379 73.184617) (xy 203.979547 73.020242) (xy 203.839758 72.880453) (xy 203.675383 72.770621) (xy 203.492739 72.694968) + (xy 203.298846 72.6564) (xy 203.101154 72.6564) (xy 202.907261 72.694968) (xy 202.724617 72.770621) (xy 202.560242 72.880453) + (xy 202.420453 73.020242) (xy 202.310621 73.184617) (xy 202.234968 73.367261) (xy 202.1964 73.561154) (xy 201.6636 73.561154) + (xy 201.625032 73.367261) (xy 201.549379 73.184617) (xy 201.439547 73.020242) (xy 201.299758 72.880453) (xy 201.135383 72.770621) + (xy 200.952739 72.694968) (xy 200.758846 72.6564) (xy 200.561154 72.6564) (xy 200.367261 72.694968) (xy 200.184617 72.770621) + (xy 200.020242 72.880453) (xy 199.880453 73.020242) (xy 199.770621 73.184617) (xy 199.694968 73.367261) (xy 199.6564 73.561154) + (xy 193.410359 73.561154) (xy 193.410208 73.560397) (xy 193.37413 73.473298) (xy 193.321753 73.39491) (xy 193.25509 73.328247) + (xy 193.176702 73.27587) (xy 193.089603 73.239792) (xy 192.997138 73.2214) (xy 192.902862 73.2214) (xy 192.810397 73.239792) + (xy 192.723298 73.27587) (xy 192.64491 73.328247) (xy 192.578247 73.39491) (xy 192.52587 73.473298) (xy 192.489792 73.560397) + (xy 192.4714 73.652862) (xy 179.0286 73.652862) (xy 179.010208 73.560397) (xy 178.97413 73.473298) (xy 178.921753 73.39491) + (xy 178.85509 73.328247) (xy 178.776702 73.27587) (xy 178.689603 73.239792) (xy 178.597138 73.2214) (xy 178.502862 73.2214) + (xy 178.410397 73.239792) (xy 178.323298 73.27587) (xy 178.24491 73.328247) (xy 178.178247 73.39491) (xy 178.12587 73.473298) + (xy 178.089792 73.560397) (xy 178.0714 73.652862) (xy 174.6786 73.652862) (xy 174.660208 73.560397) (xy 174.62413 73.473298) + (xy 174.571753 73.39491) (xy 174.50509 73.328247) (xy 174.426702 73.27587) (xy 174.339603 73.239792) (xy 174.247138 73.2214) + (xy 174.152862 73.2214) (xy 174.060397 73.239792) (xy 173.973298 73.27587) (xy 173.89491 73.328247) (xy 173.828247 73.39491) + (xy 173.77587 73.473298) (xy 173.739792 73.560397) (xy 173.7214 73.652862) (xy 153.219699 73.652862) (xy 153.22785 73.611888) + (xy 153.22785 73.517612) (xy 153.209458 73.425147) (xy 153.17338 73.338048) (xy 153.121003 73.25966) (xy 153.05434 73.192997) + (xy 152.975952 73.14062) (xy 152.888853 73.104542) (xy 152.796388 73.08615) (xy 152.702112 73.08615) (xy 152.609647 73.104542) + (xy 152.522548 73.14062) (xy 152.44416 73.192997) (xy 152.377497 73.25966) (xy 152.32512 73.338048) (xy 152.289042 73.425147) + (xy 152.27065 73.517612) (xy 130.634055 73.517612) (xy 130.59913 73.433298) (xy 130.546753 73.35491) (xy 130.48009 73.288247) + (xy 130.401702 73.23587) (xy 130.314603 73.199792) (xy 130.222138 73.1814) (xy 130.127862 73.1814) (xy 130.035397 73.199792) + (xy 129.948298 73.23587) (xy 129.86991 73.288247) (xy 129.803247 73.35491) (xy 129.75087 73.433298) (xy 129.714792 73.520397) + (xy 129.6964 73.612862) (xy 124.334916 73.612862) (xy 124.288963 73.566909) (xy 124.202386 73.50906) (xy 124.106187 73.469213) + (xy 124.004063 73.4489) (xy 123.899937 73.4489) (xy 123.797813 73.469213) (xy 123.701614 73.50906) (xy 123.615037 73.566909) + (xy 123.541409 73.640537) (xy 123.48356 73.727114) (xy 123.443713 73.823313) (xy 123.4234 73.925437) (xy 118.2941 73.925437) + (xy 118.2941 73.852088) (xy 118.269944 73.730644) (xy 118.222559 73.616246) (xy 118.153766 73.513291) (xy 118.066209 73.425734) + (xy 117.963254 73.356941) (xy 117.848856 73.309556) (xy 117.727412 73.2854) (xy 117.603588 73.2854) (xy 117.482144 73.309556) + (xy 117.367746 73.356941) (xy 117.264791 73.425734) (xy 117.177234 73.513291) (xy 117.108441 73.616246) (xy 117.061056 73.730644) + (xy 117.0369 73.852088) (xy 103.5546 73.852088) (xy 103.5546 73.002862) (xy 172.9214 73.002862) (xy 172.9214 73.097138) + (xy 172.939792 73.189603) (xy 172.97587 73.276702) (xy 173.028247 73.35509) (xy 173.09491 73.421753) (xy 173.173298 73.47413) + (xy 173.260397 73.510208) (xy 173.352862 73.5286) (xy 173.447138 73.5286) (xy 173.539603 73.510208) (xy 173.626702 73.47413) + (xy 173.70509 73.421753) (xy 173.771753 73.35509) (xy 173.82413 73.276702) (xy 173.860208 73.189603) (xy 173.8786 73.097138) + (xy 173.8786 73.002862) (xy 177.2714 73.002862) (xy 177.2714 73.097138) (xy 177.289792 73.189603) (xy 177.32587 73.276702) + (xy 177.378247 73.35509) (xy 177.44491 73.421753) (xy 177.523298 73.47413) (xy 177.610397 73.510208) (xy 177.702862 73.5286) + (xy 177.797138 73.5286) (xy 177.889603 73.510208) (xy 177.976702 73.47413) (xy 178.05509 73.421753) (xy 178.121753 73.35509) + (xy 178.17413 73.276702) (xy 178.210208 73.189603) (xy 178.2286 73.097138) (xy 178.2286 73.002862) (xy 191.6714 73.002862) + (xy 191.6714 73.097138) (xy 191.689792 73.189603) (xy 191.72587 73.276702) (xy 191.778247 73.35509) (xy 191.84491 73.421753) + (xy 191.923298 73.47413) (xy 192.010397 73.510208) (xy 192.102862 73.5286) (xy 192.197138 73.5286) (xy 192.289603 73.510208) + (xy 192.376702 73.47413) (xy 192.45509 73.421753) (xy 192.521753 73.35509) (xy 192.57413 73.276702) (xy 192.610208 73.189603) + (xy 192.6286 73.097138) (xy 192.6286 73.002862) (xy 192.610208 72.910397) (xy 192.57413 72.823298) (xy 192.521753 72.74491) + (xy 192.45509 72.678247) (xy 192.376702 72.62587) (xy 192.289603 72.589792) (xy 192.197138 72.5714) (xy 192.102862 72.5714) + (xy 192.010397 72.589792) (xy 191.923298 72.62587) (xy 191.84491 72.678247) (xy 191.778247 72.74491) (xy 191.72587 72.823298) + (xy 191.689792 72.910397) (xy 191.6714 73.002862) (xy 178.2286 73.002862) (xy 178.210208 72.910397) (xy 178.17413 72.823298) + (xy 178.121753 72.74491) (xy 178.05509 72.678247) (xy 177.976702 72.62587) (xy 177.889603 72.589792) (xy 177.797138 72.5714) + (xy 177.702862 72.5714) (xy 177.610397 72.589792) (xy 177.523298 72.62587) (xy 177.44491 72.678247) (xy 177.378247 72.74491) + (xy 177.32587 72.823298) (xy 177.289792 72.910397) (xy 177.2714 73.002862) (xy 173.8786 73.002862) (xy 173.860208 72.910397) + (xy 173.82413 72.823298) (xy 173.771753 72.74491) (xy 173.70509 72.678247) (xy 173.626702 72.62587) (xy 173.539603 72.589792) + (xy 173.447138 72.5714) (xy 173.352862 72.5714) (xy 173.260397 72.589792) (xy 173.173298 72.62587) (xy 173.09491 72.678247) + (xy 173.028247 72.74491) (xy 172.97587 72.823298) (xy 172.939792 72.910397) (xy 172.9214 73.002862) (xy 103.5546 73.002862) + (xy 103.5546 72.352862) (xy 173.7214 72.352862) (xy 173.7214 72.447138) (xy 173.739792 72.539603) (xy 173.77587 72.626702) + (xy 173.828247 72.70509) (xy 173.89491 72.771753) (xy 173.973298 72.82413) (xy 174.060397 72.860208) (xy 174.152862 72.8786) + (xy 174.247138 72.8786) (xy 174.339603 72.860208) (xy 174.426702 72.82413) (xy 174.50509 72.771753) (xy 174.571753 72.70509) + (xy 174.62413 72.626702) (xy 174.660208 72.539603) (xy 174.6786 72.447138) (xy 174.6786 72.352862) (xy 178.0714 72.352862) + (xy 178.0714 72.447138) (xy 178.089792 72.539603) (xy 178.12587 72.626702) (xy 178.178247 72.70509) (xy 178.24491 72.771753) + (xy 178.323298 72.82413) (xy 178.410397 72.860208) (xy 178.502862 72.8786) (xy 178.597138 72.8786) (xy 178.689603 72.860208) + (xy 178.776702 72.82413) (xy 178.85509 72.771753) (xy 178.921753 72.70509) (xy 178.97413 72.626702) (xy 179.010208 72.539603) + (xy 179.0286 72.447138) (xy 179.0286 72.352862) (xy 192.4714 72.352862) (xy 192.4714 72.447138) (xy 192.489792 72.539603) + (xy 192.52587 72.626702) (xy 192.578247 72.70509) (xy 192.64491 72.771753) (xy 192.723298 72.82413) (xy 192.810397 72.860208) + (xy 192.902862 72.8786) (xy 192.997138 72.8786) (xy 193.089603 72.860208) (xy 193.176702 72.82413) (xy 193.25509 72.771753) + (xy 193.321753 72.70509) (xy 193.37413 72.626702) (xy 193.410208 72.539603) (xy 193.4286 72.447138) (xy 193.4286 72.352862) + (xy 193.410208 72.260397) (xy 193.37413 72.173298) (xy 193.321753 72.09491) (xy 193.25509 72.028247) (xy 193.176702 71.97587) + (xy 193.089603 71.939792) (xy 192.997138 71.9214) (xy 192.902862 71.9214) (xy 192.810397 71.939792) (xy 192.723298 71.97587) + (xy 192.64491 72.028247) (xy 192.578247 72.09491) (xy 192.52587 72.173298) (xy 192.489792 72.260397) (xy 192.4714 72.352862) + (xy 179.0286 72.352862) (xy 179.010208 72.260397) (xy 178.97413 72.173298) (xy 178.921753 72.09491) (xy 178.85509 72.028247) + (xy 178.776702 71.97587) (xy 178.689603 71.939792) (xy 178.597138 71.9214) (xy 178.502862 71.9214) (xy 178.410397 71.939792) + (xy 178.323298 71.97587) (xy 178.24491 72.028247) (xy 178.178247 72.09491) (xy 178.12587 72.173298) (xy 178.089792 72.260397) + (xy 178.0714 72.352862) (xy 174.6786 72.352862) (xy 174.660208 72.260397) (xy 174.62413 72.173298) (xy 174.571753 72.09491) + (xy 174.50509 72.028247) (xy 174.426702 71.97587) (xy 174.339603 71.939792) (xy 174.247138 71.9214) (xy 174.152862 71.9214) + (xy 174.060397 71.939792) (xy 173.973298 71.97587) (xy 173.89491 72.028247) (xy 173.828247 72.09491) (xy 173.77587 72.173298) + (xy 173.739792 72.260397) (xy 173.7214 72.352862) (xy 103.5546 72.352862) (xy 103.5546 71.580862) (xy 128.5534 71.580862) + (xy 128.5534 71.675138) (xy 128.571792 71.767603) (xy 128.60787 71.854702) (xy 128.660247 71.93309) (xy 128.72691 71.999753) + (xy 128.805298 72.05213) (xy 128.892397 72.088208) (xy 128.984862 72.1066) (xy 129.079138 72.1066) (xy 129.171603 72.088208) + (xy 129.258702 72.05213) (xy 129.33709 71.999753) (xy 129.403753 71.93309) (xy 129.45613 71.854702) (xy 129.492208 71.767603) + (xy 129.50409 71.707862) (xy 130.3314 71.707862) (xy 130.3314 71.802138) (xy 130.349792 71.894603) (xy 130.38587 71.981702) + (xy 130.438247 72.06009) (xy 130.50491 72.126753) (xy 130.583298 72.17913) (xy 130.670397 72.215208) (xy 130.762862 72.2336) + (xy 130.857138 72.2336) (xy 130.949603 72.215208) (xy 131.036702 72.17913) (xy 131.11509 72.126753) (xy 131.181753 72.06009) + (xy 131.23413 71.981702) (xy 131.270208 71.894603) (xy 131.2886 71.802138) (xy 131.2886 71.707862) (xy 131.6014 71.707862) + (xy 131.6014 71.802138) (xy 131.619792 71.894603) (xy 131.65587 71.981702) (xy 131.708247 72.06009) (xy 131.77491 72.126753) + (xy 131.853298 72.17913) (xy 131.940397 72.215208) (xy 132.032862 72.2336) (xy 132.127138 72.2336) (xy 132.219603 72.215208) + (xy 132.306702 72.17913) (xy 132.38509 72.126753) (xy 132.451753 72.06009) (xy 132.50413 71.981702) (xy 132.540208 71.894603) + (xy 132.5586 71.802138) (xy 132.5586 71.707862) (xy 132.8714 71.707862) (xy 132.8714 71.802138) (xy 132.889792 71.894603) + (xy 132.92587 71.981702) (xy 132.978247 72.06009) (xy 133.04491 72.126753) (xy 133.123298 72.17913) (xy 133.210397 72.215208) + (xy 133.302862 72.2336) (xy 133.397138 72.2336) (xy 133.489603 72.215208) (xy 133.576702 72.17913) (xy 133.65509 72.126753) + (xy 133.721753 72.06009) (xy 133.77413 71.981702) (xy 133.810208 71.894603) (xy 133.8286 71.802138) (xy 133.8286 71.707862) + (xy 134.1414 71.707862) (xy 134.1414 71.802138) (xy 134.159792 71.894603) (xy 134.19587 71.981702) (xy 134.248247 72.06009) + (xy 134.31491 72.126753) (xy 134.393298 72.17913) (xy 134.480397 72.215208) (xy 134.572862 72.2336) (xy 134.667138 72.2336) + (xy 134.759603 72.215208) (xy 134.846702 72.17913) (xy 134.92509 72.126753) (xy 134.991753 72.06009) (xy 135.04413 71.981702) + (xy 135.080208 71.894603) (xy 135.0986 71.802138) (xy 135.0986 71.707862) (xy 135.4114 71.707862) (xy 135.4114 71.802138) + (xy 135.429792 71.894603) (xy 135.46587 71.981702) (xy 135.518247 72.06009) (xy 135.58491 72.126753) (xy 135.663298 72.17913) + (xy 135.750397 72.215208) (xy 135.842862 72.2336) (xy 135.937138 72.2336) (xy 136.029603 72.215208) (xy 136.116702 72.17913) + (xy 136.19509 72.126753) (xy 136.261753 72.06009) (xy 136.31413 71.981702) (xy 136.350208 71.894603) (xy 136.3686 71.802138) + (xy 136.3686 71.707862) (xy 136.6814 71.707862) (xy 136.6814 71.802138) (xy 136.699792 71.894603) (xy 136.73587 71.981702) + (xy 136.788247 72.06009) (xy 136.85491 72.126753) (xy 136.933298 72.17913) (xy 137.020397 72.215208) (xy 137.112862 72.2336) + (xy 137.207138 72.2336) (xy 137.299603 72.215208) (xy 137.386702 72.17913) (xy 137.46509 72.126753) (xy 137.531753 72.06009) + (xy 137.58413 71.981702) (xy 137.620208 71.894603) (xy 137.6386 71.802138) (xy 137.6386 71.707862) (xy 137.9514 71.707862) + (xy 137.9514 71.802138) (xy 137.969792 71.894603) (xy 138.00587 71.981702) (xy 138.058247 72.06009) (xy 138.12491 72.126753) + (xy 138.203298 72.17913) (xy 138.290397 72.215208) (xy 138.382862 72.2336) (xy 138.477138 72.2336) (xy 138.569603 72.215208) + (xy 138.656702 72.17913) (xy 138.73509 72.126753) (xy 138.801753 72.06009) (xy 138.85413 71.981702) (xy 138.890208 71.894603) + (xy 138.9086 71.802138) (xy 138.9086 71.707862) (xy 139.2214 71.707862) (xy 139.2214 71.802138) (xy 139.239792 71.894603) + (xy 139.27587 71.981702) (xy 139.328247 72.06009) (xy 139.39491 72.126753) (xy 139.473298 72.17913) (xy 139.560397 72.215208) + (xy 139.652862 72.2336) (xy 139.747138 72.2336) (xy 139.839603 72.215208) (xy 139.926702 72.17913) (xy 140.00509 72.126753) + (xy 140.071753 72.06009) (xy 140.12413 71.981702) (xy 140.160208 71.894603) (xy 140.1786 71.802138) (xy 140.1786 71.707862) + (xy 140.160208 71.615397) (xy 140.145903 71.580862) (xy 153.9534 71.580862) (xy 153.9534 71.675138) (xy 153.971792 71.767603) + (xy 154.00787 71.854702) (xy 154.060247 71.93309) (xy 154.12691 71.999753) (xy 154.205298 72.05213) (xy 154.292397 72.088208) + (xy 154.384862 72.1066) (xy 154.479138 72.1066) (xy 154.571603 72.088208) (xy 154.658702 72.05213) (xy 154.73709 71.999753) + (xy 154.803753 71.93309) (xy 154.85613 71.854702) (xy 154.892208 71.767603) (xy 154.90409 71.707862) (xy 155.7314 71.707862) + (xy 155.7314 71.802138) (xy 155.749792 71.894603) (xy 155.78587 71.981702) (xy 155.838247 72.06009) (xy 155.90491 72.126753) + (xy 155.983298 72.17913) (xy 156.070397 72.215208) (xy 156.162862 72.2336) (xy 156.257138 72.2336) (xy 156.349603 72.215208) + (xy 156.436702 72.17913) (xy 156.51509 72.126753) (xy 156.581753 72.06009) (xy 156.63413 71.981702) (xy 156.670208 71.894603) + (xy 156.6886 71.802138) (xy 156.6886 71.707862) (xy 157.0014 71.707862) (xy 157.0014 71.802138) (xy 157.019792 71.894603) + (xy 157.05587 71.981702) (xy 157.108247 72.06009) (xy 157.17491 72.126753) (xy 157.253298 72.17913) (xy 157.340397 72.215208) + (xy 157.432862 72.2336) (xy 157.527138 72.2336) (xy 157.619603 72.215208) (xy 157.706702 72.17913) (xy 157.78509 72.126753) + (xy 157.851753 72.06009) (xy 157.90413 71.981702) (xy 157.940208 71.894603) (xy 157.9586 71.802138) (xy 157.9586 71.707862) + (xy 158.2714 71.707862) (xy 158.2714 71.802138) (xy 158.289792 71.894603) (xy 158.32587 71.981702) (xy 158.378247 72.06009) + (xy 158.44491 72.126753) (xy 158.523298 72.17913) (xy 158.610397 72.215208) (xy 158.702862 72.2336) (xy 158.797138 72.2336) + (xy 158.889603 72.215208) (xy 158.976702 72.17913) (xy 159.05509 72.126753) (xy 159.121753 72.06009) (xy 159.17413 71.981702) + (xy 159.210208 71.894603) (xy 159.2286 71.802138) (xy 159.2286 71.707862) (xy 159.5414 71.707862) (xy 159.5414 71.802138) + (xy 159.559792 71.894603) (xy 159.59587 71.981702) (xy 159.648247 72.06009) (xy 159.71491 72.126753) (xy 159.793298 72.17913) + (xy 159.880397 72.215208) (xy 159.972862 72.2336) (xy 160.067138 72.2336) (xy 160.159603 72.215208) (xy 160.246702 72.17913) + (xy 160.32509 72.126753) (xy 160.391753 72.06009) (xy 160.44413 71.981702) (xy 160.480208 71.894603) (xy 160.4986 71.802138) + (xy 160.4986 71.707862) (xy 160.8114 71.707862) (xy 160.8114 71.802138) (xy 160.829792 71.894603) (xy 160.86587 71.981702) + (xy 160.918247 72.06009) (xy 160.98491 72.126753) (xy 161.063298 72.17913) (xy 161.150397 72.215208) (xy 161.242862 72.2336) + (xy 161.337138 72.2336) (xy 161.429603 72.215208) (xy 161.516702 72.17913) (xy 161.59509 72.126753) (xy 161.661753 72.06009) + (xy 161.71413 71.981702) (xy 161.750208 71.894603) (xy 161.7686 71.802138) (xy 161.7686 71.707862) (xy 162.1449 71.707862) + (xy 162.1449 71.802138) (xy 162.163292 71.894603) (xy 162.19937 71.981702) (xy 162.251747 72.06009) (xy 162.31841 72.126753) + (xy 162.396798 72.17913) (xy 162.483897 72.215208) (xy 162.576362 72.2336) (xy 162.670638 72.2336) (xy 162.763103 72.215208) + (xy 162.850202 72.17913) (xy 162.92859 72.126753) (xy 162.995253 72.06009) (xy 163.04763 71.981702) (xy 163.083708 71.894603) + (xy 163.1021 71.802138) (xy 163.1021 71.707862) (xy 163.3514 71.707862) (xy 163.3514 71.802138) (xy 163.369792 71.894603) + (xy 163.40587 71.981702) (xy 163.458247 72.06009) (xy 163.52491 72.126753) (xy 163.603298 72.17913) (xy 163.690397 72.215208) + (xy 163.782862 72.2336) (xy 163.877138 72.2336) (xy 163.969603 72.215208) (xy 164.056702 72.17913) (xy 164.13509 72.126753) + (xy 164.201753 72.06009) (xy 164.25413 71.981702) (xy 164.290208 71.894603) (xy 164.3086 71.802138) (xy 164.3086 71.707862) + (xy 164.6214 71.707862) (xy 164.6214 71.802138) (xy 164.639792 71.894603) (xy 164.67587 71.981702) (xy 164.728247 72.06009) + (xy 164.79491 72.126753) (xy 164.873298 72.17913) (xy 164.960397 72.215208) (xy 165.052862 72.2336) (xy 165.147138 72.2336) + (xy 165.239603 72.215208) (xy 165.326702 72.17913) (xy 165.40509 72.126753) (xy 165.471753 72.06009) (xy 165.52413 71.981702) + (xy 165.560208 71.894603) (xy 165.5786 71.802138) (xy 165.5786 71.707862) (xy 165.577606 71.702862) (xy 172.9214 71.702862) + (xy 172.9214 71.797138) (xy 172.939792 71.889603) (xy 172.97587 71.976702) (xy 173.028247 72.05509) (xy 173.09491 72.121753) + (xy 173.173298 72.17413) (xy 173.260397 72.210208) (xy 173.352862 72.2286) (xy 173.447138 72.2286) (xy 173.539603 72.210208) + (xy 173.626702 72.17413) (xy 173.70509 72.121753) (xy 173.771753 72.05509) (xy 173.82413 71.976702) (xy 173.860208 71.889603) + (xy 173.8786 71.797138) (xy 173.8786 71.702862) (xy 177.2714 71.702862) (xy 177.2714 71.797138) (xy 177.289792 71.889603) + (xy 177.32587 71.976702) (xy 177.378247 72.05509) (xy 177.44491 72.121753) (xy 177.523298 72.17413) (xy 177.610397 72.210208) + (xy 177.702862 72.2286) (xy 177.797138 72.2286) (xy 177.889603 72.210208) (xy 177.976702 72.17413) (xy 178.05509 72.121753) + (xy 178.121753 72.05509) (xy 178.17413 71.976702) (xy 178.210208 71.889603) (xy 178.2286 71.797138) (xy 178.2286 71.702862) + (xy 191.6714 71.702862) (xy 191.6714 71.797138) (xy 191.689792 71.889603) (xy 191.72587 71.976702) (xy 191.778247 72.05509) + (xy 191.84491 72.121753) (xy 191.923298 72.17413) (xy 192.010397 72.210208) (xy 192.102862 72.2286) (xy 192.197138 72.2286) + (xy 192.289603 72.210208) (xy 192.376702 72.17413) (xy 192.45509 72.121753) (xy 192.521753 72.05509) (xy 192.57413 71.976702) + (xy 192.610208 71.889603) (xy 192.6286 71.797138) (xy 192.6286 71.702862) (xy 192.610208 71.610397) (xy 192.57413 71.523298) + (xy 192.521753 71.44491) (xy 192.45509 71.378247) (xy 192.376702 71.32587) (xy 192.289603 71.289792) (xy 192.197138 71.2714) + (xy 192.102862 71.2714) (xy 192.010397 71.289792) (xy 191.923298 71.32587) (xy 191.84491 71.378247) (xy 191.778247 71.44491) + (xy 191.72587 71.523298) (xy 191.689792 71.610397) (xy 191.6714 71.702862) (xy 178.2286 71.702862) (xy 178.210208 71.610397) + (xy 178.17413 71.523298) (xy 178.121753 71.44491) (xy 178.05509 71.378247) (xy 177.976702 71.32587) (xy 177.889603 71.289792) + (xy 177.797138 71.2714) (xy 177.702862 71.2714) (xy 177.610397 71.289792) (xy 177.523298 71.32587) (xy 177.44491 71.378247) + (xy 177.378247 71.44491) (xy 177.32587 71.523298) (xy 177.289792 71.610397) (xy 177.2714 71.702862) (xy 173.8786 71.702862) + (xy 173.860208 71.610397) (xy 173.82413 71.523298) (xy 173.771753 71.44491) (xy 173.70509 71.378247) (xy 173.626702 71.32587) + (xy 173.539603 71.289792) (xy 173.447138 71.2714) (xy 173.352862 71.2714) (xy 173.260397 71.289792) (xy 173.173298 71.32587) + (xy 173.09491 71.378247) (xy 173.028247 71.44491) (xy 172.97587 71.523298) (xy 172.939792 71.610397) (xy 172.9214 71.702862) + (xy 165.577606 71.702862) (xy 165.560208 71.615397) (xy 165.52413 71.528298) (xy 165.471753 71.44991) (xy 165.40509 71.383247) + (xy 165.326702 71.33087) (xy 165.239603 71.294792) (xy 165.147138 71.2764) (xy 165.052862 71.2764) (xy 164.960397 71.294792) + (xy 164.873298 71.33087) (xy 164.79491 71.383247) (xy 164.728247 71.44991) (xy 164.67587 71.528298) (xy 164.639792 71.615397) + (xy 164.6214 71.707862) (xy 164.3086 71.707862) (xy 164.290208 71.615397) (xy 164.25413 71.528298) (xy 164.201753 71.44991) + (xy 164.13509 71.383247) (xy 164.056702 71.33087) (xy 163.969603 71.294792) (xy 163.877138 71.2764) (xy 163.782862 71.2764) + (xy 163.690397 71.294792) (xy 163.603298 71.33087) (xy 163.52491 71.383247) (xy 163.458247 71.44991) (xy 163.40587 71.528298) + (xy 163.369792 71.615397) (xy 163.3514 71.707862) (xy 163.1021 71.707862) (xy 163.083708 71.615397) (xy 163.04763 71.528298) + (xy 162.995253 71.44991) (xy 162.92859 71.383247) (xy 162.850202 71.33087) (xy 162.763103 71.294792) (xy 162.670638 71.2764) + (xy 162.576362 71.2764) (xy 162.483897 71.294792) (xy 162.396798 71.33087) (xy 162.31841 71.383247) (xy 162.251747 71.44991) + (xy 162.19937 71.528298) (xy 162.163292 71.615397) (xy 162.1449 71.707862) (xy 161.7686 71.707862) (xy 161.750208 71.615397) + (xy 161.71413 71.528298) (xy 161.661753 71.44991) (xy 161.59509 71.383247) (xy 161.516702 71.33087) (xy 161.429603 71.294792) + (xy 161.337138 71.2764) (xy 161.242862 71.2764) (xy 161.150397 71.294792) (xy 161.063298 71.33087) (xy 160.98491 71.383247) + (xy 160.918247 71.44991) (xy 160.86587 71.528298) (xy 160.829792 71.615397) (xy 160.8114 71.707862) (xy 160.4986 71.707862) + (xy 160.480208 71.615397) (xy 160.44413 71.528298) (xy 160.391753 71.44991) (xy 160.32509 71.383247) (xy 160.246702 71.33087) + (xy 160.159603 71.294792) (xy 160.067138 71.2764) (xy 159.972862 71.2764) (xy 159.880397 71.294792) (xy 159.793298 71.33087) + (xy 159.71491 71.383247) (xy 159.648247 71.44991) (xy 159.59587 71.528298) (xy 159.559792 71.615397) (xy 159.5414 71.707862) + (xy 159.2286 71.707862) (xy 159.210208 71.615397) (xy 159.17413 71.528298) (xy 159.121753 71.44991) (xy 159.05509 71.383247) + (xy 158.976702 71.33087) (xy 158.889603 71.294792) (xy 158.797138 71.2764) (xy 158.702862 71.2764) (xy 158.610397 71.294792) + (xy 158.523298 71.33087) (xy 158.44491 71.383247) (xy 158.378247 71.44991) (xy 158.32587 71.528298) (xy 158.289792 71.615397) + (xy 158.2714 71.707862) (xy 157.9586 71.707862) (xy 157.940208 71.615397) (xy 157.90413 71.528298) (xy 157.851753 71.44991) + (xy 157.78509 71.383247) (xy 157.706702 71.33087) (xy 157.619603 71.294792) (xy 157.527138 71.2764) (xy 157.432862 71.2764) + (xy 157.340397 71.294792) (xy 157.253298 71.33087) (xy 157.17491 71.383247) (xy 157.108247 71.44991) (xy 157.05587 71.528298) + (xy 157.019792 71.615397) (xy 157.0014 71.707862) (xy 156.6886 71.707862) (xy 156.670208 71.615397) (xy 156.63413 71.528298) + (xy 156.581753 71.44991) (xy 156.51509 71.383247) (xy 156.436702 71.33087) (xy 156.349603 71.294792) (xy 156.257138 71.2764) + (xy 156.162862 71.2764) (xy 156.070397 71.294792) (xy 155.983298 71.33087) (xy 155.90491 71.383247) (xy 155.838247 71.44991) + (xy 155.78587 71.528298) (xy 155.749792 71.615397) (xy 155.7314 71.707862) (xy 154.90409 71.707862) (xy 154.9106 71.675138) + (xy 154.9106 71.580862) (xy 154.892208 71.488397) (xy 154.85613 71.401298) (xy 154.803753 71.32291) (xy 154.73709 71.256247) + (xy 154.658702 71.20387) (xy 154.571603 71.167792) (xy 154.479138 71.1494) (xy 154.384862 71.1494) (xy 154.292397 71.167792) + (xy 154.205298 71.20387) (xy 154.12691 71.256247) (xy 154.060247 71.32291) (xy 154.00787 71.401298) (xy 153.971792 71.488397) + (xy 153.9534 71.580862) (xy 140.145903 71.580862) (xy 140.12413 71.528298) (xy 140.071753 71.44991) (xy 140.00509 71.383247) + (xy 139.926702 71.33087) (xy 139.839603 71.294792) (xy 139.747138 71.2764) (xy 139.652862 71.2764) (xy 139.560397 71.294792) + (xy 139.473298 71.33087) (xy 139.39491 71.383247) (xy 139.328247 71.44991) (xy 139.27587 71.528298) (xy 139.239792 71.615397) + (xy 139.2214 71.707862) (xy 138.9086 71.707862) (xy 138.890208 71.615397) (xy 138.85413 71.528298) (xy 138.801753 71.44991) + (xy 138.73509 71.383247) (xy 138.656702 71.33087) (xy 138.569603 71.294792) (xy 138.477138 71.2764) (xy 138.382862 71.2764) + (xy 138.290397 71.294792) (xy 138.203298 71.33087) (xy 138.12491 71.383247) (xy 138.058247 71.44991) (xy 138.00587 71.528298) + (xy 137.969792 71.615397) (xy 137.9514 71.707862) (xy 137.6386 71.707862) (xy 137.620208 71.615397) (xy 137.58413 71.528298) + (xy 137.531753 71.44991) (xy 137.46509 71.383247) (xy 137.386702 71.33087) (xy 137.299603 71.294792) (xy 137.207138 71.2764) + (xy 137.112862 71.2764) (xy 137.020397 71.294792) (xy 136.933298 71.33087) (xy 136.85491 71.383247) (xy 136.788247 71.44991) + (xy 136.73587 71.528298) (xy 136.699792 71.615397) (xy 136.6814 71.707862) (xy 136.3686 71.707862) (xy 136.350208 71.615397) + (xy 136.31413 71.528298) (xy 136.261753 71.44991) (xy 136.19509 71.383247) (xy 136.116702 71.33087) (xy 136.029603 71.294792) + (xy 135.937138 71.2764) (xy 135.842862 71.2764) (xy 135.750397 71.294792) (xy 135.663298 71.33087) (xy 135.58491 71.383247) + (xy 135.518247 71.44991) (xy 135.46587 71.528298) (xy 135.429792 71.615397) (xy 135.4114 71.707862) (xy 135.0986 71.707862) + (xy 135.080208 71.615397) (xy 135.04413 71.528298) (xy 134.991753 71.44991) (xy 134.92509 71.383247) (xy 134.846702 71.33087) + (xy 134.759603 71.294792) (xy 134.667138 71.2764) (xy 134.572862 71.2764) (xy 134.480397 71.294792) (xy 134.393298 71.33087) + (xy 134.31491 71.383247) (xy 134.248247 71.44991) (xy 134.19587 71.528298) (xy 134.159792 71.615397) (xy 134.1414 71.707862) + (xy 133.8286 71.707862) (xy 133.810208 71.615397) (xy 133.77413 71.528298) (xy 133.721753 71.44991) (xy 133.65509 71.383247) + (xy 133.576702 71.33087) (xy 133.489603 71.294792) (xy 133.397138 71.2764) (xy 133.302862 71.2764) (xy 133.210397 71.294792) + (xy 133.123298 71.33087) (xy 133.04491 71.383247) (xy 132.978247 71.44991) (xy 132.92587 71.528298) (xy 132.889792 71.615397) + (xy 132.8714 71.707862) (xy 132.5586 71.707862) (xy 132.540208 71.615397) (xy 132.50413 71.528298) (xy 132.451753 71.44991) + (xy 132.38509 71.383247) (xy 132.306702 71.33087) (xy 132.219603 71.294792) (xy 132.127138 71.2764) (xy 132.032862 71.2764) + (xy 131.940397 71.294792) (xy 131.853298 71.33087) (xy 131.77491 71.383247) (xy 131.708247 71.44991) (xy 131.65587 71.528298) + (xy 131.619792 71.615397) (xy 131.6014 71.707862) (xy 131.2886 71.707862) (xy 131.270208 71.615397) (xy 131.23413 71.528298) + (xy 131.181753 71.44991) (xy 131.11509 71.383247) (xy 131.036702 71.33087) (xy 130.949603 71.294792) (xy 130.857138 71.2764) + (xy 130.762862 71.2764) (xy 130.670397 71.294792) (xy 130.583298 71.33087) (xy 130.50491 71.383247) (xy 130.438247 71.44991) + (xy 130.38587 71.528298) (xy 130.349792 71.615397) (xy 130.3314 71.707862) (xy 129.50409 71.707862) (xy 129.5106 71.675138) + (xy 129.5106 71.580862) (xy 129.492208 71.488397) (xy 129.45613 71.401298) (xy 129.403753 71.32291) (xy 129.33709 71.256247) + (xy 129.258702 71.20387) (xy 129.171603 71.167792) (xy 129.079138 71.1494) (xy 128.984862 71.1494) (xy 128.892397 71.167792) + (xy 128.805298 71.20387) (xy 128.72691 71.256247) (xy 128.660247 71.32291) (xy 128.60787 71.401298) (xy 128.571792 71.488397) + (xy 128.5534 71.580862) (xy 103.5546 71.580862) (xy 103.5546 71.002862) (xy 127.1214 71.002862) (xy 127.1214 71.097138) + (xy 127.139792 71.189603) (xy 127.17587 71.276702) (xy 127.228247 71.35509) (xy 127.29491 71.421753) (xy 127.373298 71.47413) + (xy 127.460397 71.510208) (xy 127.552862 71.5286) (xy 127.647138 71.5286) (xy 127.739603 71.510208) (xy 127.826702 71.47413) + (xy 127.90509 71.421753) (xy 127.971753 71.35509) (xy 128.02413 71.276702) (xy 128.060208 71.189603) (xy 128.0786 71.097138) + (xy 128.0786 71.052862) (xy 173.7214 71.052862) (xy 173.7214 71.147138) (xy 173.739792 71.239603) (xy 173.77587 71.326702) + (xy 173.828247 71.40509) (xy 173.89491 71.471753) (xy 173.973298 71.52413) (xy 174.060397 71.560208) (xy 174.152862 71.5786) + (xy 174.247138 71.5786) (xy 174.339603 71.560208) (xy 174.426702 71.52413) (xy 174.50509 71.471753) (xy 174.571753 71.40509) + (xy 174.62413 71.326702) (xy 174.660208 71.239603) (xy 174.6786 71.147138) (xy 174.6786 71.052862) (xy 178.0714 71.052862) + (xy 178.0714 71.147138) (xy 178.089792 71.239603) (xy 178.12587 71.326702) (xy 178.178247 71.40509) (xy 178.24491 71.471753) + (xy 178.323298 71.52413) (xy 178.410397 71.560208) (xy 178.502862 71.5786) (xy 178.597138 71.5786) (xy 178.689603 71.560208) + (xy 178.776702 71.52413) (xy 178.85509 71.471753) (xy 178.921753 71.40509) (xy 178.97413 71.326702) (xy 179.010208 71.239603) + (xy 179.0286 71.147138) (xy 179.0286 71.052862) (xy 192.4714 71.052862) (xy 192.4714 71.147138) (xy 192.489792 71.239603) + (xy 192.52587 71.326702) (xy 192.578247 71.40509) (xy 192.64491 71.471753) (xy 192.723298 71.52413) (xy 192.810397 71.560208) + (xy 192.902862 71.5786) (xy 192.997138 71.5786) (xy 193.089603 71.560208) (xy 193.176702 71.52413) (xy 193.25509 71.471753) + (xy 193.321753 71.40509) (xy 193.37413 71.326702) (xy 193.410208 71.239603) (xy 193.4286 71.147138) (xy 193.4286 71.052862) + (xy 193.422294 71.021154) (xy 199.6564 71.021154) (xy 199.6564 71.218846) (xy 199.694968 71.412739) (xy 199.770621 71.595383) + (xy 199.880453 71.759758) (xy 200.020242 71.899547) (xy 200.184617 72.009379) (xy 200.367261 72.085032) (xy 200.561154 72.1236) + (xy 200.758846 72.1236) (xy 200.952739 72.085032) (xy 201.135383 72.009379) (xy 201.299758 71.899547) (xy 201.439547 71.759758) + (xy 201.549379 71.595383) (xy 201.625032 71.412739) (xy 201.6636 71.218846) (xy 201.6636 71.021154) (xy 202.1964 71.021154) + (xy 202.1964 71.218846) (xy 202.234968 71.412739) (xy 202.310621 71.595383) (xy 202.420453 71.759758) (xy 202.560242 71.899547) + (xy 202.724617 72.009379) (xy 202.907261 72.085032) (xy 203.101154 72.1236) (xy 203.298846 72.1236) (xy 203.492739 72.085032) + (xy 203.675383 72.009379) (xy 203.839758 71.899547) (xy 203.979547 71.759758) (xy 204.089379 71.595383) (xy 204.165032 71.412739) + (xy 204.2036 71.218846) (xy 204.2036 71.021154) (xy 204.7364 71.021154) (xy 204.7364 71.218846) (xy 204.774968 71.412739) + (xy 204.850621 71.595383) (xy 204.960453 71.759758) (xy 205.100242 71.899547) (xy 205.264617 72.009379) (xy 205.447261 72.085032) + (xy 205.641154 72.1236) (xy 205.838846 72.1236) (xy 206.032739 72.085032) (xy 206.215383 72.009379) (xy 206.379758 71.899547) + (xy 206.519547 71.759758) (xy 206.629379 71.595383) (xy 206.705032 71.412739) (xy 206.7436 71.218846) (xy 206.7436 71.021154) + (xy 206.705032 70.827261) (xy 206.629379 70.644617) (xy 206.519547 70.480242) (xy 206.379758 70.340453) (xy 206.215383 70.230621) + (xy 206.032739 70.154968) (xy 205.838846 70.1164) (xy 205.641154 70.1164) (xy 205.447261 70.154968) (xy 205.264617 70.230621) + (xy 205.100242 70.340453) (xy 204.960453 70.480242) (xy 204.850621 70.644617) (xy 204.774968 70.827261) (xy 204.7364 71.021154) + (xy 204.2036 71.021154) (xy 204.165032 70.827261) (xy 204.089379 70.644617) (xy 203.979547 70.480242) (xy 203.839758 70.340453) + (xy 203.675383 70.230621) (xy 203.492739 70.154968) (xy 203.298846 70.1164) (xy 203.101154 70.1164) (xy 202.907261 70.154968) + (xy 202.724617 70.230621) (xy 202.560242 70.340453) (xy 202.420453 70.480242) (xy 202.310621 70.644617) (xy 202.234968 70.827261) + (xy 202.1964 71.021154) (xy 201.6636 71.021154) (xy 201.625032 70.827261) (xy 201.549379 70.644617) (xy 201.439547 70.480242) + (xy 201.299758 70.340453) (xy 201.135383 70.230621) (xy 200.952739 70.154968) (xy 200.758846 70.1164) (xy 200.561154 70.1164) + (xy 200.367261 70.154968) (xy 200.184617 70.230621) (xy 200.020242 70.340453) (xy 199.880453 70.480242) (xy 199.770621 70.644617) + (xy 199.694968 70.827261) (xy 199.6564 71.021154) (xy 193.422294 71.021154) (xy 193.410208 70.960397) (xy 193.37413 70.873298) + (xy 193.321753 70.79491) (xy 193.25509 70.728247) (xy 193.176702 70.67587) (xy 193.089603 70.639792) (xy 192.997138 70.6214) + (xy 192.902862 70.6214) (xy 192.810397 70.639792) (xy 192.723298 70.67587) (xy 192.64491 70.728247) (xy 192.578247 70.79491) + (xy 192.52587 70.873298) (xy 192.489792 70.960397) (xy 192.4714 71.052862) (xy 179.0286 71.052862) (xy 179.010208 70.960397) + (xy 178.97413 70.873298) (xy 178.921753 70.79491) (xy 178.85509 70.728247) (xy 178.776702 70.67587) (xy 178.689603 70.639792) + (xy 178.597138 70.6214) (xy 178.502862 70.6214) (xy 178.410397 70.639792) (xy 178.323298 70.67587) (xy 178.24491 70.728247) + (xy 178.178247 70.79491) (xy 178.12587 70.873298) (xy 178.089792 70.960397) (xy 178.0714 71.052862) (xy 174.6786 71.052862) + (xy 174.660208 70.960397) (xy 174.62413 70.873298) (xy 174.571753 70.79491) (xy 174.50509 70.728247) (xy 174.426702 70.67587) + (xy 174.339603 70.639792) (xy 174.247138 70.6214) (xy 174.152862 70.6214) (xy 174.060397 70.639792) (xy 173.973298 70.67587) + (xy 173.89491 70.728247) (xy 173.828247 70.79491) (xy 173.77587 70.873298) (xy 173.739792 70.960397) (xy 173.7214 71.052862) + (xy 128.0786 71.052862) (xy 128.0786 71.002862) (xy 128.060208 70.910397) (xy 128.02413 70.823298) (xy 127.971753 70.74491) + (xy 127.90509 70.678247) (xy 127.826702 70.62587) (xy 127.739603 70.589792) (xy 127.647138 70.5714) (xy 127.552862 70.5714) + (xy 127.460397 70.589792) (xy 127.373298 70.62587) (xy 127.29491 70.678247) (xy 127.228247 70.74491) (xy 127.17587 70.823298) + (xy 127.139792 70.910397) (xy 127.1214 71.002862) (xy 103.5546 71.002862) (xy 103.5546 70.402862) (xy 172.9214 70.402862) + (xy 172.9214 70.497138) (xy 172.939792 70.589603) (xy 172.97587 70.676702) (xy 173.028247 70.75509) (xy 173.09491 70.821753) + (xy 173.173298 70.87413) (xy 173.260397 70.910208) (xy 173.352862 70.9286) (xy 173.447138 70.9286) (xy 173.539603 70.910208) + (xy 173.626702 70.87413) (xy 173.70509 70.821753) (xy 173.771753 70.75509) (xy 173.82413 70.676702) (xy 173.860208 70.589603) + (xy 173.8786 70.497138) (xy 173.8786 70.402862) (xy 177.2714 70.402862) (xy 177.2714 70.497138) (xy 177.289792 70.589603) + (xy 177.32587 70.676702) (xy 177.378247 70.75509) (xy 177.44491 70.821753) (xy 177.523298 70.87413) (xy 177.610397 70.910208) + (xy 177.702862 70.9286) (xy 177.797138 70.9286) (xy 177.889603 70.910208) (xy 177.976702 70.87413) (xy 178.05509 70.821753) + (xy 178.121753 70.75509) (xy 178.17413 70.676702) (xy 178.210208 70.589603) (xy 178.2286 70.497138) (xy 178.2286 70.402862) + (xy 191.6714 70.402862) (xy 191.6714 70.497138) (xy 191.689792 70.589603) (xy 191.72587 70.676702) (xy 191.778247 70.75509) + (xy 191.84491 70.821753) (xy 191.923298 70.87413) (xy 192.010397 70.910208) (xy 192.102862 70.9286) (xy 192.197138 70.9286) + (xy 192.289603 70.910208) (xy 192.376702 70.87413) (xy 192.45509 70.821753) (xy 192.521753 70.75509) (xy 192.57413 70.676702) + (xy 192.610208 70.589603) (xy 192.6286 70.497138) (xy 192.6286 70.402862) (xy 192.610208 70.310397) (xy 192.57413 70.223298) + (xy 192.521753 70.14491) (xy 192.45509 70.078247) (xy 192.376702 70.02587) (xy 192.289603 69.989792) (xy 192.197138 69.9714) + (xy 192.102862 69.9714) (xy 192.010397 69.989792) (xy 191.923298 70.02587) (xy 191.84491 70.078247) (xy 191.778247 70.14491) + (xy 191.72587 70.223298) (xy 191.689792 70.310397) (xy 191.6714 70.402862) (xy 178.2286 70.402862) (xy 178.210208 70.310397) + (xy 178.17413 70.223298) (xy 178.121753 70.14491) (xy 178.05509 70.078247) (xy 177.976702 70.02587) (xy 177.889603 69.989792) + (xy 177.797138 69.9714) (xy 177.702862 69.9714) (xy 177.610397 69.989792) (xy 177.523298 70.02587) (xy 177.44491 70.078247) + (xy 177.378247 70.14491) (xy 177.32587 70.223298) (xy 177.289792 70.310397) (xy 177.2714 70.402862) (xy 173.8786 70.402862) + (xy 173.860208 70.310397) (xy 173.82413 70.223298) (xy 173.771753 70.14491) (xy 173.70509 70.078247) (xy 173.626702 70.02587) + (xy 173.539603 69.989792) (xy 173.447138 69.9714) (xy 173.352862 69.9714) (xy 173.260397 69.989792) (xy 173.173298 70.02587) + (xy 173.09491 70.078247) (xy 173.028247 70.14491) (xy 172.97587 70.223298) (xy 172.939792 70.310397) (xy 172.9214 70.402862) + (xy 103.5546 70.402862) (xy 103.5546 69.752862) (xy 173.7214 69.752862) (xy 173.7214 69.847138) (xy 173.739792 69.939603) + (xy 173.77587 70.026702) (xy 173.828247 70.10509) (xy 173.89491 70.171753) (xy 173.973298 70.22413) (xy 174.060397 70.260208) + (xy 174.152862 70.2786) (xy 174.247138 70.2786) (xy 174.339603 70.260208) (xy 174.426702 70.22413) (xy 174.50509 70.171753) + (xy 174.571753 70.10509) (xy 174.62413 70.026702) (xy 174.660208 69.939603) (xy 174.6786 69.847138) (xy 174.6786 69.752862) + (xy 178.0714 69.752862) (xy 178.0714 69.847138) (xy 178.089792 69.939603) (xy 178.12587 70.026702) (xy 178.178247 70.10509) + (xy 178.24491 70.171753) (xy 178.323298 70.22413) (xy 178.410397 70.260208) (xy 178.502862 70.2786) (xy 178.597138 70.2786) + (xy 178.689603 70.260208) (xy 178.776702 70.22413) (xy 178.85509 70.171753) (xy 178.921753 70.10509) (xy 178.97413 70.026702) + (xy 179.010208 69.939603) (xy 179.0286 69.847138) (xy 179.0286 69.752862) (xy 192.4714 69.752862) (xy 192.4714 69.847138) + (xy 192.489792 69.939603) (xy 192.52587 70.026702) (xy 192.578247 70.10509) (xy 192.64491 70.171753) (xy 192.723298 70.22413) + (xy 192.810397 70.260208) (xy 192.902862 70.2786) (xy 192.997138 70.2786) (xy 193.089603 70.260208) (xy 193.176702 70.22413) + (xy 193.25509 70.171753) (xy 193.321753 70.10509) (xy 193.37413 70.026702) (xy 193.410208 69.939603) (xy 193.4286 69.847138) + (xy 193.4286 69.752862) (xy 193.410208 69.660397) (xy 193.37413 69.573298) (xy 193.321753 69.49491) (xy 193.25509 69.428247) + (xy 193.176702 69.37587) (xy 193.089603 69.339792) (xy 192.997138 69.3214) (xy 192.902862 69.3214) (xy 192.810397 69.339792) + (xy 192.723298 69.37587) (xy 192.64491 69.428247) (xy 192.578247 69.49491) (xy 192.52587 69.573298) (xy 192.489792 69.660397) + (xy 192.4714 69.752862) (xy 179.0286 69.752862) (xy 179.010208 69.660397) (xy 178.97413 69.573298) (xy 178.921753 69.49491) + (xy 178.85509 69.428247) (xy 178.776702 69.37587) (xy 178.689603 69.339792) (xy 178.597138 69.3214) (xy 178.502862 69.3214) + (xy 178.410397 69.339792) (xy 178.323298 69.37587) (xy 178.24491 69.428247) (xy 178.178247 69.49491) (xy 178.12587 69.573298) + (xy 178.089792 69.660397) (xy 178.0714 69.752862) (xy 174.6786 69.752862) (xy 174.660208 69.660397) (xy 174.62413 69.573298) + (xy 174.571753 69.49491) (xy 174.50509 69.428247) (xy 174.426702 69.37587) (xy 174.339603 69.339792) (xy 174.247138 69.3214) + (xy 174.152862 69.3214) (xy 174.060397 69.339792) (xy 173.973298 69.37587) (xy 173.89491 69.428247) (xy 173.828247 69.49491) + (xy 173.77587 69.573298) (xy 173.739792 69.660397) (xy 173.7214 69.752862) (xy 103.5546 69.752862) (xy 103.5546 68.602434) + (xy 112.4094 68.602434) (xy 112.4094 68.797566) (xy 112.447468 68.988947) (xy 112.522142 69.169225) (xy 112.630551 69.331471) + (xy 112.768529 69.469449) (xy 112.930775 69.577858) (xy 113.111053 69.652532) (xy 113.302434 69.6906) (xy 113.497566 69.6906) + (xy 113.688947 69.652532) (xy 113.869225 69.577858) (xy 114.031471 69.469449) (xy 114.169449 69.331471) (xy 114.277858 69.169225) + (xy 114.305346 69.102862) (xy 172.9214 69.102862) (xy 172.9214 69.197138) (xy 172.939792 69.289603) (xy 172.97587 69.376702) + (xy 173.028247 69.45509) (xy 173.09491 69.521753) (xy 173.173298 69.57413) (xy 173.260397 69.610208) (xy 173.352862 69.6286) + (xy 173.447138 69.6286) (xy 173.539603 69.610208) (xy 173.626702 69.57413) (xy 173.70509 69.521753) (xy 173.771753 69.45509) + (xy 173.82413 69.376702) (xy 173.860208 69.289603) (xy 173.8786 69.197138) (xy 173.8786 69.102862) (xy 177.2714 69.102862) + (xy 177.2714 69.197138) (xy 177.289792 69.289603) (xy 177.32587 69.376702) (xy 177.378247 69.45509) (xy 177.44491 69.521753) + (xy 177.523298 69.57413) (xy 177.610397 69.610208) (xy 177.702862 69.6286) (xy 177.797138 69.6286) (xy 177.889603 69.610208) + (xy 177.976702 69.57413) (xy 178.05509 69.521753) (xy 178.121753 69.45509) (xy 178.17413 69.376702) (xy 178.210208 69.289603) + (xy 178.2286 69.197138) (xy 178.2286 69.102862) (xy 191.6714 69.102862) (xy 191.6714 69.197138) (xy 191.689792 69.289603) + (xy 191.72587 69.376702) (xy 191.778247 69.45509) (xy 191.84491 69.521753) (xy 191.923298 69.57413) (xy 192.010397 69.610208) + (xy 192.102862 69.6286) (xy 192.197138 69.6286) (xy 192.289603 69.610208) (xy 192.376702 69.57413) (xy 192.45509 69.521753) + (xy 192.521753 69.45509) (xy 192.57413 69.376702) (xy 192.610208 69.289603) (xy 192.6286 69.197138) (xy 192.6286 69.102862) + (xy 192.610208 69.010397) (xy 192.57413 68.923298) (xy 192.521753 68.84491) (xy 192.45509 68.778247) (xy 192.376702 68.72587) + (xy 192.289603 68.689792) (xy 192.197138 68.6714) (xy 192.102862 68.6714) (xy 192.010397 68.689792) (xy 191.923298 68.72587) + (xy 191.84491 68.778247) (xy 191.778247 68.84491) (xy 191.72587 68.923298) (xy 191.689792 69.010397) (xy 191.6714 69.102862) + (xy 178.2286 69.102862) (xy 178.210208 69.010397) (xy 178.17413 68.923298) (xy 178.121753 68.84491) (xy 178.05509 68.778247) + (xy 177.976702 68.72587) (xy 177.889603 68.689792) (xy 177.797138 68.6714) (xy 177.702862 68.6714) (xy 177.610397 68.689792) + (xy 177.523298 68.72587) (xy 177.44491 68.778247) (xy 177.378247 68.84491) (xy 177.32587 68.923298) (xy 177.289792 69.010397) + (xy 177.2714 69.102862) (xy 173.8786 69.102862) (xy 173.860208 69.010397) (xy 173.82413 68.923298) (xy 173.771753 68.84491) + (xy 173.70509 68.778247) (xy 173.626702 68.72587) (xy 173.539603 68.689792) (xy 173.447138 68.6714) (xy 173.352862 68.6714) + (xy 173.260397 68.689792) (xy 173.173298 68.72587) (xy 173.09491 68.778247) (xy 173.028247 68.84491) (xy 172.97587 68.923298) + (xy 172.939792 69.010397) (xy 172.9214 69.102862) (xy 114.305346 69.102862) (xy 114.352532 68.988947) (xy 114.3906 68.797566) + (xy 114.3906 68.602434) (xy 114.360849 68.452862) (xy 183.1714 68.452862) (xy 183.1714 68.547138) (xy 183.189792 68.639603) + (xy 183.22587 68.726702) (xy 183.278247 68.80509) (xy 183.34491 68.871753) (xy 183.423298 68.92413) (xy 183.510397 68.960208) + (xy 183.602862 68.9786) (xy 183.697138 68.9786) (xy 183.789603 68.960208) (xy 183.876702 68.92413) (xy 183.95509 68.871753) + (xy 184.021753 68.80509) (xy 184.07413 68.726702) (xy 184.110208 68.639603) (xy 184.1286 68.547138) (xy 184.1286 68.452862) + (xy 189.4714 68.452862) (xy 189.4714 68.547138) (xy 189.489792 68.639603) (xy 189.52587 68.726702) (xy 189.578247 68.80509) + (xy 189.64491 68.871753) (xy 189.723298 68.92413) (xy 189.810397 68.960208) (xy 189.902862 68.9786) (xy 189.997138 68.9786) + (xy 190.089603 68.960208) (xy 190.176702 68.92413) (xy 190.25509 68.871753) (xy 190.321753 68.80509) (xy 190.37413 68.726702) + (xy 190.410208 68.639603) (xy 190.4286 68.547138) (xy 190.4286 68.481154) (xy 199.6564 68.481154) (xy 199.6564 68.678846) + (xy 199.694968 68.872739) (xy 199.770621 69.055383) (xy 199.880453 69.219758) (xy 200.020242 69.359547) (xy 200.184617 69.469379) + (xy 200.367261 69.545032) (xy 200.561154 69.5836) (xy 200.758846 69.5836) (xy 200.952739 69.545032) (xy 201.135383 69.469379) + (xy 201.299758 69.359547) (xy 201.439547 69.219758) (xy 201.549379 69.055383) (xy 201.625032 68.872739) (xy 201.6636 68.678846) + (xy 201.6636 68.481154) (xy 202.1964 68.481154) (xy 202.1964 68.678846) (xy 202.234968 68.872739) (xy 202.310621 69.055383) + (xy 202.420453 69.219758) (xy 202.560242 69.359547) (xy 202.724617 69.469379) (xy 202.907261 69.545032) (xy 203.101154 69.5836) + (xy 203.298846 69.5836) (xy 203.492739 69.545032) (xy 203.675383 69.469379) (xy 203.839758 69.359547) (xy 203.979547 69.219758) + (xy 204.089379 69.055383) (xy 204.165032 68.872739) (xy 204.2036 68.678846) (xy 204.2036 68.481154) (xy 204.7364 68.481154) + (xy 204.7364 68.678846) (xy 204.774968 68.872739) (xy 204.850621 69.055383) (xy 204.960453 69.219758) (xy 205.100242 69.359547) + (xy 205.264617 69.469379) (xy 205.447261 69.545032) (xy 205.641154 69.5836) (xy 205.838846 69.5836) (xy 206.032739 69.545032) + (xy 206.215383 69.469379) (xy 206.379758 69.359547) (xy 206.519547 69.219758) (xy 206.629379 69.055383) (xy 206.705032 68.872739) + (xy 206.7436 68.678846) (xy 206.7436 68.481154) (xy 206.705032 68.287261) (xy 206.629379 68.104617) (xy 206.519547 67.940242) + (xy 206.379758 67.800453) (xy 206.215383 67.690621) (xy 206.032739 67.614968) (xy 205.838846 67.5764) (xy 205.641154 67.5764) + (xy 205.447261 67.614968) (xy 205.264617 67.690621) (xy 205.100242 67.800453) (xy 204.960453 67.940242) (xy 204.850621 68.104617) + (xy 204.774968 68.287261) (xy 204.7364 68.481154) (xy 204.2036 68.481154) (xy 204.165032 68.287261) (xy 204.089379 68.104617) + (xy 203.979547 67.940242) (xy 203.839758 67.800453) (xy 203.675383 67.690621) (xy 203.492739 67.614968) (xy 203.298846 67.5764) + (xy 203.101154 67.5764) (xy 202.907261 67.614968) (xy 202.724617 67.690621) (xy 202.560242 67.800453) (xy 202.420453 67.940242) + (xy 202.310621 68.104617) (xy 202.234968 68.287261) (xy 202.1964 68.481154) (xy 201.6636 68.481154) (xy 201.625032 68.287261) + (xy 201.549379 68.104617) (xy 201.439547 67.940242) (xy 201.299758 67.800453) (xy 201.135383 67.690621) (xy 200.952739 67.614968) + (xy 200.758846 67.5764) (xy 200.561154 67.5764) (xy 200.367261 67.614968) (xy 200.184617 67.690621) (xy 200.020242 67.800453) + (xy 199.880453 67.940242) (xy 199.770621 68.104617) (xy 199.694968 68.287261) (xy 199.6564 68.481154) (xy 190.4286 68.481154) + (xy 190.4286 68.452862) (xy 190.410208 68.360397) (xy 190.37413 68.273298) (xy 190.321753 68.19491) (xy 190.25509 68.128247) + (xy 190.176702 68.07587) (xy 190.089603 68.039792) (xy 189.997138 68.0214) (xy 189.902862 68.0214) (xy 189.810397 68.039792) + (xy 189.723298 68.07587) (xy 189.64491 68.128247) (xy 189.578247 68.19491) (xy 189.52587 68.273298) (xy 189.489792 68.360397) + (xy 189.4714 68.452862) (xy 184.1286 68.452862) (xy 184.110208 68.360397) (xy 184.07413 68.273298) (xy 184.021753 68.19491) + (xy 183.95509 68.128247) (xy 183.876702 68.07587) (xy 183.789603 68.039792) (xy 183.697138 68.0214) (xy 183.602862 68.0214) + (xy 183.510397 68.039792) (xy 183.423298 68.07587) (xy 183.34491 68.128247) (xy 183.278247 68.19491) (xy 183.22587 68.273298) + (xy 183.189792 68.360397) (xy 183.1714 68.452862) (xy 114.360849 68.452862) (xy 114.352532 68.411053) (xy 114.277858 68.230775) + (xy 114.169449 68.068529) (xy 114.031471 67.930551) (xy 113.915201 67.852862) (xy 182.0714 67.852862) (xy 182.0714 67.947138) + (xy 182.089792 68.039603) (xy 182.12587 68.126702) (xy 182.178247 68.20509) (xy 182.24491 68.271753) (xy 182.323298 68.32413) + (xy 182.410397 68.360208) (xy 182.502862 68.3786) (xy 182.597138 68.3786) (xy 182.689603 68.360208) (xy 182.776702 68.32413) + (xy 182.85509 68.271753) (xy 182.921753 68.20509) (xy 182.97413 68.126702) (xy 183.010208 68.039603) (xy 183.0286 67.947138) + (xy 183.0286 67.852862) (xy 190.5714 67.852862) (xy 190.5714 67.947138) (xy 190.589792 68.039603) (xy 190.62587 68.126702) + (xy 190.678247 68.20509) (xy 190.74491 68.271753) (xy 190.823298 68.32413) (xy 190.910397 68.360208) (xy 191.002862 68.3786) + (xy 191.097138 68.3786) (xy 191.189603 68.360208) (xy 191.276702 68.32413) (xy 191.35509 68.271753) (xy 191.421753 68.20509) + (xy 191.47413 68.126702) (xy 191.510208 68.039603) (xy 191.5286 67.947138) (xy 191.5286 67.852862) (xy 191.510208 67.760397) + (xy 191.47413 67.673298) (xy 191.421753 67.59491) (xy 191.35509 67.528247) (xy 191.276702 67.47587) (xy 191.189603 67.439792) + (xy 191.097138 67.4214) (xy 191.002862 67.4214) (xy 190.910397 67.439792) (xy 190.823298 67.47587) (xy 190.74491 67.528247) + (xy 190.678247 67.59491) (xy 190.62587 67.673298) (xy 190.589792 67.760397) (xy 190.5714 67.852862) (xy 183.0286 67.852862) + (xy 183.010208 67.760397) (xy 182.97413 67.673298) (xy 182.921753 67.59491) (xy 182.85509 67.528247) (xy 182.776702 67.47587) + (xy 182.689603 67.439792) (xy 182.597138 67.4214) (xy 182.502862 67.4214) (xy 182.410397 67.439792) (xy 182.323298 67.47587) + (xy 182.24491 67.528247) (xy 182.178247 67.59491) (xy 182.12587 67.673298) (xy 182.089792 67.760397) (xy 182.0714 67.852862) + (xy 113.915201 67.852862) (xy 113.869225 67.822142) (xy 113.688947 67.747468) (xy 113.497566 67.7094) (xy 113.302434 67.7094) + (xy 113.111053 67.747468) (xy 112.930775 67.822142) (xy 112.768529 67.930551) (xy 112.630551 68.068529) (xy 112.522142 68.230775) + (xy 112.447468 68.411053) (xy 112.4094 68.602434) (xy 103.5546 68.602434) (xy 103.5546 65.952434) (xy 115.0594 65.952434) + (xy 115.0594 66.147566) (xy 115.097468 66.338947) (xy 115.172142 66.519225) (xy 115.280551 66.681471) (xy 115.418529 66.819449) + (xy 115.580775 66.927858) (xy 115.761053 67.002532) (xy 115.952434 67.0406) (xy 116.147566 67.0406) (xy 116.338947 67.002532) + (xy 116.35004 66.997937) (xy 182.8714 66.997937) (xy 182.8714 67.102063) (xy 182.891713 67.204187) (xy 182.93156 67.300386) + (xy 182.989409 67.386963) (xy 183.063037 67.460591) (xy 183.149614 67.51844) (xy 183.245813 67.558287) (xy 183.347937 67.5786) + (xy 183.452063 67.5786) (xy 183.554187 67.558287) (xy 183.650386 67.51844) (xy 183.736963 67.460591) (xy 183.810591 67.386963) + (xy 183.86844 67.300386) (xy 183.908287 67.204187) (xy 183.90855 67.202862) (xy 184.2714 67.202862) (xy 184.2714 67.297138) + (xy 184.289792 67.389603) (xy 184.32587 67.476702) (xy 184.378247 67.55509) (xy 184.44491 67.621753) (xy 184.523298 67.67413) + (xy 184.610397 67.710208) (xy 184.702862 67.7286) (xy 184.797138 67.7286) (xy 184.889603 67.710208) (xy 184.976702 67.67413) + (xy 185.05509 67.621753) (xy 185.121753 67.55509) (xy 185.17413 67.476702) (xy 185.210208 67.389603) (xy 185.2286 67.297138) + (xy 185.2286 67.202862) (xy 185.210208 67.110397) (xy 185.17413 67.023298) (xy 185.157185 66.997937) (xy 191.3714 66.997937) + (xy 191.3714 67.102063) (xy 191.391713 67.204187) (xy 191.43156 67.300386) (xy 191.489409 67.386963) (xy 191.563037 67.460591) + (xy 191.649614 67.51844) (xy 191.745813 67.558287) (xy 191.847937 67.5786) (xy 191.952063 67.5786) (xy 192.054187 67.558287) + (xy 192.150386 67.51844) (xy 192.236963 67.460591) (xy 192.310591 67.386963) (xy 192.36844 67.300386) (xy 192.408287 67.204187) + (xy 192.4286 67.102063) (xy 192.4286 66.997937) (xy 192.408287 66.895813) (xy 192.36844 66.799614) (xy 192.310591 66.713037) + (xy 192.236963 66.639409) (xy 192.150386 66.58156) (xy 192.054187 66.541713) (xy 191.952063 66.5214) (xy 191.847937 66.5214) + (xy 191.745813 66.541713) (xy 191.649614 66.58156) (xy 191.563037 66.639409) (xy 191.489409 66.713037) (xy 191.43156 66.799614) + (xy 191.391713 66.895813) (xy 191.3714 66.997937) (xy 185.157185 66.997937) (xy 185.121753 66.94491) (xy 185.05509 66.878247) + (xy 184.976702 66.82587) (xy 184.889603 66.789792) (xy 184.797138 66.7714) (xy 184.702862 66.7714) (xy 184.610397 66.789792) + (xy 184.523298 66.82587) (xy 184.44491 66.878247) (xy 184.378247 66.94491) (xy 184.32587 67.023298) (xy 184.289792 67.110397) + (xy 184.2714 67.202862) (xy 183.90855 67.202862) (xy 183.9286 67.102063) (xy 183.9286 66.997937) (xy 183.908287 66.895813) + (xy 183.86844 66.799614) (xy 183.810591 66.713037) (xy 183.736963 66.639409) (xy 183.650386 66.58156) (xy 183.554187 66.541713) + (xy 183.452063 66.5214) (xy 183.347937 66.5214) (xy 183.245813 66.541713) (xy 183.149614 66.58156) (xy 183.063037 66.639409) + (xy 182.989409 66.713037) (xy 182.93156 66.799614) (xy 182.891713 66.895813) (xy 182.8714 66.997937) (xy 116.35004 66.997937) + (xy 116.519225 66.927858) (xy 116.681471 66.819449) (xy 116.819449 66.681471) (xy 116.927858 66.519225) (xy 117.002532 66.338947) + (xy 117.039546 66.152862) (xy 181.9214 66.152862) (xy 181.9214 66.247138) (xy 181.939792 66.339603) (xy 181.97587 66.426702) + (xy 182.028247 66.50509) (xy 182.09491 66.571753) (xy 182.173298 66.62413) (xy 182.260397 66.660208) (xy 182.352862 66.6786) + (xy 182.447138 66.6786) (xy 182.539603 66.660208) (xy 182.626702 66.62413) (xy 182.70509 66.571753) (xy 182.771753 66.50509) + (xy 182.82413 66.426702) (xy 182.860208 66.339603) (xy 182.8786 66.247138) (xy 182.8786 66.152862) (xy 190.4214 66.152862) + (xy 190.4214 66.247138) (xy 190.439792 66.339603) (xy 190.47587 66.426702) (xy 190.528247 66.50509) (xy 190.59491 66.571753) + (xy 190.673298 66.62413) (xy 190.760397 66.660208) (xy 190.852862 66.6786) (xy 190.947138 66.6786) (xy 191.039603 66.660208) + (xy 191.126702 66.62413) (xy 191.20509 66.571753) (xy 191.271753 66.50509) (xy 191.32413 66.426702) (xy 191.360208 66.339603) + (xy 191.3786 66.247138) (xy 191.3786 66.152862) (xy 191.360208 66.060397) (xy 191.32413 65.973298) (xy 191.302653 65.941154) + (xy 199.6564 65.941154) (xy 199.6564 66.138846) (xy 199.694968 66.332739) (xy 199.770621 66.515383) (xy 199.880453 66.679758) + (xy 200.020242 66.819547) (xy 200.184617 66.929379) (xy 200.367261 67.005032) (xy 200.561154 67.0436) (xy 200.758846 67.0436) + (xy 200.952739 67.005032) (xy 201.135383 66.929379) (xy 201.299758 66.819547) (xy 201.439547 66.679758) (xy 201.549379 66.515383) + (xy 201.625032 66.332739) (xy 201.6636 66.138846) (xy 201.6636 65.941154) (xy 202.1964 65.941154) (xy 202.1964 66.138846) + (xy 202.234968 66.332739) (xy 202.310621 66.515383) (xy 202.420453 66.679758) (xy 202.560242 66.819547) (xy 202.724617 66.929379) + (xy 202.907261 67.005032) (xy 203.101154 67.0436) (xy 203.298846 67.0436) (xy 203.492739 67.005032) (xy 203.675383 66.929379) + (xy 203.839758 66.819547) (xy 203.979547 66.679758) (xy 204.089379 66.515383) (xy 204.165032 66.332739) (xy 204.2036 66.138846) + (xy 204.2036 65.941154) (xy 204.7364 65.941154) (xy 204.7364 66.138846) (xy 204.774968 66.332739) (xy 204.850621 66.515383) + (xy 204.960453 66.679758) (xy 205.100242 66.819547) (xy 205.264617 66.929379) (xy 205.447261 67.005032) (xy 205.641154 67.0436) + (xy 205.838846 67.0436) (xy 206.032739 67.005032) (xy 206.215383 66.929379) (xy 206.379758 66.819547) (xy 206.519547 66.679758) + (xy 206.629379 66.515383) (xy 206.705032 66.332739) (xy 206.7436 66.138846) (xy 206.7436 65.941154) (xy 206.705032 65.747261) + (xy 206.629379 65.564617) (xy 206.519547 65.400242) (xy 206.379758 65.260453) (xy 206.215383 65.150621) (xy 206.032739 65.074968) + (xy 205.838846 65.0364) (xy 205.641154 65.0364) (xy 205.447261 65.074968) (xy 205.264617 65.150621) (xy 205.100242 65.260453) + (xy 204.960453 65.400242) (xy 204.850621 65.564617) (xy 204.774968 65.747261) (xy 204.7364 65.941154) (xy 204.2036 65.941154) + (xy 204.165032 65.747261) (xy 204.089379 65.564617) (xy 203.979547 65.400242) (xy 203.839758 65.260453) (xy 203.675383 65.150621) + (xy 203.492739 65.074968) (xy 203.298846 65.0364) (xy 203.101154 65.0364) (xy 202.907261 65.074968) (xy 202.724617 65.150621) + (xy 202.560242 65.260453) (xy 202.420453 65.400242) (xy 202.310621 65.564617) (xy 202.234968 65.747261) (xy 202.1964 65.941154) + (xy 201.6636 65.941154) (xy 201.625032 65.747261) (xy 201.549379 65.564617) (xy 201.439547 65.400242) (xy 201.299758 65.260453) + (xy 201.135383 65.150621) (xy 200.952739 65.074968) (xy 200.758846 65.0364) (xy 200.561154 65.0364) (xy 200.367261 65.074968) + (xy 200.184617 65.150621) (xy 200.020242 65.260453) (xy 199.880453 65.400242) (xy 199.770621 65.564617) (xy 199.694968 65.747261) + (xy 199.6564 65.941154) (xy 191.302653 65.941154) (xy 191.271753 65.89491) (xy 191.20509 65.828247) (xy 191.126702 65.77587) + (xy 191.039603 65.739792) (xy 190.947138 65.7214) (xy 190.852862 65.7214) (xy 190.760397 65.739792) (xy 190.673298 65.77587) + (xy 190.59491 65.828247) (xy 190.528247 65.89491) (xy 190.47587 65.973298) (xy 190.439792 66.060397) (xy 190.4214 66.152862) + (xy 182.8786 66.152862) (xy 182.860208 66.060397) (xy 182.82413 65.973298) (xy 182.771753 65.89491) (xy 182.70509 65.828247) + (xy 182.626702 65.77587) (xy 182.539603 65.739792) (xy 182.447138 65.7214) (xy 182.352862 65.7214) (xy 182.260397 65.739792) + (xy 182.173298 65.77587) (xy 182.09491 65.828247) (xy 182.028247 65.89491) (xy 181.97587 65.973298) (xy 181.939792 66.060397) + (xy 181.9214 66.152862) (xy 117.039546 66.152862) (xy 117.0406 66.147566) (xy 117.0406 65.952434) (xy 117.002532 65.761053) + (xy 116.927858 65.580775) (xy 116.819449 65.418529) (xy 116.681471 65.280551) (xy 116.565201 65.202862) (xy 191.6714 65.202862) + (xy 191.6714 65.297138) (xy 191.689792 65.389603) (xy 191.72587 65.476702) (xy 191.778247 65.55509) (xy 191.84491 65.621753) + (xy 191.923298 65.67413) (xy 192.010397 65.710208) (xy 192.102862 65.7286) (xy 192.197138 65.7286) (xy 192.289603 65.710208) + (xy 192.376702 65.67413) (xy 192.45509 65.621753) (xy 192.521753 65.55509) (xy 192.57413 65.476702) (xy 192.610208 65.389603) + (xy 192.6286 65.297138) (xy 192.6286 65.202862) (xy 192.610208 65.110397) (xy 192.57413 65.023298) (xy 192.521753 64.94491) + (xy 192.45509 64.878247) (xy 192.376702 64.82587) (xy 192.289603 64.789792) (xy 192.197138 64.7714) (xy 192.102862 64.7714) + (xy 192.010397 64.789792) (xy 191.923298 64.82587) (xy 191.84491 64.878247) (xy 191.778247 64.94491) (xy 191.72587 65.023298) + (xy 191.689792 65.110397) (xy 191.6714 65.202862) (xy 116.565201 65.202862) (xy 116.519225 65.172142) (xy 116.338947 65.097468) + (xy 116.147566 65.0594) (xy 115.952434 65.0594) (xy 115.761053 65.097468) (xy 115.580775 65.172142) (xy 115.418529 65.280551) + (xy 115.280551 65.418529) (xy 115.172142 65.580775) (xy 115.097468 65.761053) (xy 115.0594 65.952434) (xy 103.5546 65.952434) + (xy 103.5546 64.087862) (xy 164.6214 64.087862) (xy 164.6214 64.182138) (xy 164.639792 64.274603) (xy 164.67587 64.361702) + (xy 164.728247 64.44009) (xy 164.79491 64.506753) (xy 164.873298 64.55913) (xy 164.960397 64.595208) (xy 165.052862 64.6136) + (xy 165.147138 64.6136) (xy 165.239603 64.595208) (xy 165.326702 64.55913) (xy 165.336082 64.552862) (xy 189.4714 64.552862) + (xy 189.4714 64.647138) (xy 189.489792 64.739603) (xy 189.52587 64.826702) (xy 189.578247 64.90509) (xy 189.64491 64.971753) + (xy 189.723298 65.02413) (xy 189.810397 65.060208) (xy 189.902862 65.0786) (xy 189.997138 65.0786) (xy 190.089603 65.060208) + (xy 190.176702 65.02413) (xy 190.25509 64.971753) (xy 190.321753 64.90509) (xy 190.37413 64.826702) (xy 190.410208 64.739603) + (xy 190.4286 64.647138) (xy 190.4286 64.552862) (xy 190.410208 64.460397) (xy 190.37413 64.373298) (xy 190.321753 64.29491) + (xy 190.25509 64.228247) (xy 190.176702 64.17587) (xy 190.089603 64.139792) (xy 189.997138 64.1214) (xy 189.902862 64.1214) + (xy 189.810397 64.139792) (xy 189.723298 64.17587) (xy 189.64491 64.228247) (xy 189.578247 64.29491) (xy 189.52587 64.373298) + (xy 189.489792 64.460397) (xy 189.4714 64.552862) (xy 165.336082 64.552862) (xy 165.40509 64.506753) (xy 165.471753 64.44009) + (xy 165.52413 64.361702) (xy 165.560208 64.274603) (xy 165.5786 64.182138) (xy 165.5786 64.087862) (xy 165.560208 63.995397) + (xy 165.52413 63.908298) (xy 165.520498 63.902862) (xy 191.6714 63.902862) (xy 191.6714 63.997138) (xy 191.689792 64.089603) + (xy 191.72587 64.176702) (xy 191.778247 64.25509) (xy 191.84491 64.321753) (xy 191.923298 64.37413) (xy 192.010397 64.410208) + (xy 192.102862 64.4286) (xy 192.197138 64.4286) (xy 192.289603 64.410208) (xy 192.376702 64.37413) (xy 192.45509 64.321753) + (xy 192.521753 64.25509) (xy 192.57413 64.176702) (xy 192.610208 64.089603) (xy 192.6286 63.997138) (xy 192.6286 63.902862) + (xy 192.610208 63.810397) (xy 192.57413 63.723298) (xy 192.521753 63.64491) (xy 192.45509 63.578247) (xy 192.376702 63.52587) + (xy 192.289603 63.489792) (xy 192.197138 63.4714) (xy 192.102862 63.4714) (xy 192.010397 63.489792) (xy 191.923298 63.52587) + (xy 191.84491 63.578247) (xy 191.778247 63.64491) (xy 191.72587 63.723298) (xy 191.689792 63.810397) (xy 191.6714 63.902862) + (xy 165.520498 63.902862) (xy 165.471753 63.82991) (xy 165.40509 63.763247) (xy 165.326702 63.71087) (xy 165.239603 63.674792) + (xy 165.147138 63.6564) (xy 165.052862 63.6564) (xy 164.960397 63.674792) (xy 164.873298 63.71087) (xy 164.79491 63.763247) + (xy 164.728247 63.82991) (xy 164.67587 63.908298) (xy 164.639792 63.995397) (xy 164.6214 64.087862) (xy 103.5546 64.087862) + (xy 103.5546 63.198862) (xy 163.9864 63.198862) (xy 163.9864 63.293138) (xy 164.004792 63.385603) (xy 164.04087 63.472702) + (xy 164.093247 63.55109) (xy 164.15991 63.617753) (xy 164.238298 63.67013) (xy 164.325397 63.706208) (xy 164.417862 63.7246) + (xy 164.512138 63.7246) (xy 164.604603 63.706208) (xy 164.691702 63.67013) (xy 164.77009 63.617753) (xy 164.836753 63.55109) + (xy 164.88913 63.472702) (xy 164.925208 63.385603) (xy 164.9436 63.293138) (xy 164.9436 63.252862) (xy 189.4714 63.252862) + (xy 189.4714 63.347138) (xy 189.489792 63.439603) (xy 189.52587 63.526702) (xy 189.578247 63.60509) (xy 189.64491 63.671753) + (xy 189.723298 63.72413) (xy 189.810397 63.760208) (xy 189.902862 63.7786) (xy 189.997138 63.7786) (xy 190.089603 63.760208) + (xy 190.176702 63.72413) (xy 190.25509 63.671753) (xy 190.321753 63.60509) (xy 190.37413 63.526702) (xy 190.410208 63.439603) + (xy 190.417855 63.401154) (xy 199.6564 63.401154) (xy 199.6564 63.598846) (xy 199.694968 63.792739) (xy 199.770621 63.975383) + (xy 199.880453 64.139758) (xy 200.020242 64.279547) (xy 200.184617 64.389379) (xy 200.367261 64.465032) (xy 200.561154 64.5036) + (xy 200.758846 64.5036) (xy 200.952739 64.465032) (xy 201.135383 64.389379) (xy 201.299758 64.279547) (xy 201.439547 64.139758) + (xy 201.549379 63.975383) (xy 201.625032 63.792739) (xy 201.6636 63.598846) (xy 201.6636 63.401154) (xy 202.1964 63.401154) + (xy 202.1964 63.598846) (xy 202.234968 63.792739) (xy 202.310621 63.975383) (xy 202.420453 64.139758) (xy 202.560242 64.279547) + (xy 202.724617 64.389379) (xy 202.907261 64.465032) (xy 203.101154 64.5036) (xy 203.298846 64.5036) (xy 203.492739 64.465032) + (xy 203.675383 64.389379) (xy 203.839758 64.279547) (xy 203.979547 64.139758) (xy 204.089379 63.975383) (xy 204.165032 63.792739) + (xy 204.2036 63.598846) (xy 204.2036 63.401154) (xy 204.7364 63.401154) (xy 204.7364 63.598846) (xy 204.774968 63.792739) + (xy 204.850621 63.975383) (xy 204.960453 64.139758) (xy 205.100242 64.279547) (xy 205.264617 64.389379) (xy 205.447261 64.465032) + (xy 205.641154 64.5036) (xy 205.838846 64.5036) (xy 206.032739 64.465032) (xy 206.215383 64.389379) (xy 206.379758 64.279547) + (xy 206.519547 64.139758) (xy 206.629379 63.975383) (xy 206.705032 63.792739) (xy 206.7436 63.598846) (xy 206.7436 63.401154) + (xy 206.705032 63.207261) (xy 206.629379 63.024617) (xy 206.519547 62.860242) (xy 206.379758 62.720453) (xy 206.215383 62.610621) + (xy 206.032739 62.534968) (xy 205.838846 62.4964) (xy 205.641154 62.4964) (xy 205.447261 62.534968) (xy 205.264617 62.610621) + (xy 205.100242 62.720453) (xy 204.960453 62.860242) (xy 204.850621 63.024617) (xy 204.774968 63.207261) (xy 204.7364 63.401154) + (xy 204.2036 63.401154) (xy 204.165032 63.207261) (xy 204.089379 63.024617) (xy 203.979547 62.860242) (xy 203.839758 62.720453) + (xy 203.675383 62.610621) (xy 203.492739 62.534968) (xy 203.298846 62.4964) (xy 203.101154 62.4964) (xy 202.907261 62.534968) + (xy 202.724617 62.610621) (xy 202.560242 62.720453) (xy 202.420453 62.860242) (xy 202.310621 63.024617) (xy 202.234968 63.207261) + (xy 202.1964 63.401154) (xy 201.6636 63.401154) (xy 201.625032 63.207261) (xy 201.549379 63.024617) (xy 201.439547 62.860242) + (xy 201.299758 62.720453) (xy 201.135383 62.610621) (xy 200.952739 62.534968) (xy 200.758846 62.4964) (xy 200.561154 62.4964) + (xy 200.367261 62.534968) (xy 200.184617 62.610621) (xy 200.020242 62.720453) (xy 199.880453 62.860242) (xy 199.770621 63.024617) + (xy 199.694968 63.207261) (xy 199.6564 63.401154) (xy 190.417855 63.401154) (xy 190.4286 63.347138) (xy 190.4286 63.252862) + (xy 190.410208 63.160397) (xy 190.37413 63.073298) (xy 190.321753 62.99491) (xy 190.25509 62.928247) (xy 190.176702 62.87587) + (xy 190.089603 62.839792) (xy 189.997138 62.8214) (xy 189.902862 62.8214) (xy 189.810397 62.839792) (xy 189.723298 62.87587) + (xy 189.64491 62.928247) (xy 189.578247 62.99491) (xy 189.52587 63.073298) (xy 189.489792 63.160397) (xy 189.4714 63.252862) + (xy 164.9436 63.252862) (xy 164.9436 63.198862) (xy 164.925208 63.106397) (xy 164.88913 63.019298) (xy 164.836753 62.94091) + (xy 164.77009 62.874247) (xy 164.691702 62.82187) (xy 164.604603 62.785792) (xy 164.512138 62.7674) (xy 164.417862 62.7674) + (xy 164.325397 62.785792) (xy 164.238298 62.82187) (xy 164.15991 62.874247) (xy 164.093247 62.94091) (xy 164.04087 63.019298) + (xy 164.004792 63.106397) (xy 163.9864 63.198862) (xy 103.5546 63.198862) (xy 103.5546 62.602862) (xy 191.6714 62.602862) + (xy 191.6714 62.697138) (xy 191.689792 62.789603) (xy 191.72587 62.876702) (xy 191.778247 62.95509) (xy 191.84491 63.021753) + (xy 191.923298 63.07413) (xy 192.010397 63.110208) (xy 192.102862 63.1286) (xy 192.197138 63.1286) (xy 192.289603 63.110208) + (xy 192.376702 63.07413) (xy 192.45509 63.021753) (xy 192.521753 62.95509) (xy 192.57413 62.876702) (xy 192.610208 62.789603) + (xy 192.6286 62.697138) (xy 192.6286 62.602862) (xy 192.610208 62.510397) (xy 192.57413 62.423298) (xy 192.521753 62.34491) + (xy 192.45509 62.278247) (xy 192.376702 62.22587) (xy 192.289603 62.189792) (xy 192.197138 62.1714) (xy 192.102862 62.1714) + (xy 192.010397 62.189792) (xy 191.923298 62.22587) (xy 191.84491 62.278247) (xy 191.778247 62.34491) (xy 191.72587 62.423298) + (xy 191.689792 62.510397) (xy 191.6714 62.602862) (xy 103.5546 62.602862) (xy 103.5546 61.952862) (xy 189.4714 61.952862) + (xy 189.4714 62.047138) (xy 189.489792 62.139603) (xy 189.52587 62.226702) (xy 189.578247 62.30509) (xy 189.64491 62.371753) + (xy 189.723298 62.42413) (xy 189.810397 62.460208) (xy 189.902862 62.4786) (xy 189.997138 62.4786) (xy 190.089603 62.460208) + (xy 190.176702 62.42413) (xy 190.25509 62.371753) (xy 190.321753 62.30509) (xy 190.37413 62.226702) (xy 190.410208 62.139603) + (xy 190.4286 62.047138) (xy 190.4286 61.952862) (xy 190.410208 61.860397) (xy 190.37413 61.773298) (xy 190.321753 61.69491) + (xy 190.25509 61.628247) (xy 190.176702 61.57587) (xy 190.089603 61.539792) (xy 189.997138 61.5214) (xy 189.902862 61.5214) + (xy 189.810397 61.539792) (xy 189.723298 61.57587) (xy 189.64491 61.628247) (xy 189.578247 61.69491) (xy 189.52587 61.773298) + (xy 189.489792 61.860397) (xy 189.4714 61.952862) (xy 103.5546 61.952862) (xy 103.5546 61.302862) (xy 191.6714 61.302862) + (xy 191.6714 61.397138) (xy 191.689792 61.489603) (xy 191.72587 61.576702) (xy 191.778247 61.65509) (xy 191.84491 61.721753) + (xy 191.923298 61.77413) (xy 192.010397 61.810208) (xy 192.102862 61.8286) (xy 192.197138 61.8286) (xy 192.289603 61.810208) + (xy 192.376702 61.77413) (xy 192.45509 61.721753) (xy 192.521753 61.65509) (xy 192.57413 61.576702) (xy 192.610208 61.489603) + (xy 192.6286 61.397138) (xy 192.6286 61.302862) (xy 192.610208 61.210397) (xy 192.57413 61.123298) (xy 192.521753 61.04491) + (xy 192.45509 60.978247) (xy 192.376702 60.92587) (xy 192.289603 60.889792) (xy 192.197138 60.8714) (xy 192.102862 60.8714) + (xy 192.010397 60.889792) (xy 191.923298 60.92587) (xy 191.84491 60.978247) (xy 191.778247 61.04491) (xy 191.72587 61.123298) + (xy 191.689792 61.210397) (xy 191.6714 61.302862) (xy 103.5546 61.302862) (xy 103.5546 60.652862) (xy 189.4714 60.652862) + (xy 189.4714 60.747138) (xy 189.489792 60.839603) (xy 189.52587 60.926702) (xy 189.578247 61.00509) (xy 189.64491 61.071753) + (xy 189.723298 61.12413) (xy 189.810397 61.160208) (xy 189.902862 61.1786) (xy 189.997138 61.1786) (xy 190.089603 61.160208) + (xy 190.176702 61.12413) (xy 190.25509 61.071753) (xy 190.321753 61.00509) (xy 190.37413 60.926702) (xy 190.401281 60.861154) + (xy 199.6564 60.861154) (xy 199.6564 61.058846) (xy 199.694968 61.252739) (xy 199.770621 61.435383) (xy 199.880453 61.599758) + (xy 200.020242 61.739547) (xy 200.184617 61.849379) (xy 200.367261 61.925032) (xy 200.561154 61.9636) (xy 200.758846 61.9636) + (xy 200.952739 61.925032) (xy 201.135383 61.849379) (xy 201.299758 61.739547) (xy 201.439547 61.599758) (xy 201.549379 61.435383) + (xy 201.625032 61.252739) (xy 201.6636 61.058846) (xy 201.6636 60.861154) (xy 202.1964 60.861154) (xy 202.1964 61.058846) + (xy 202.234968 61.252739) (xy 202.310621 61.435383) (xy 202.420453 61.599758) (xy 202.560242 61.739547) (xy 202.724617 61.849379) + (xy 202.907261 61.925032) (xy 203.101154 61.9636) (xy 203.298846 61.9636) (xy 203.492739 61.925032) (xy 203.675383 61.849379) + (xy 203.839758 61.739547) (xy 203.979547 61.599758) (xy 204.089379 61.435383) (xy 204.165032 61.252739) (xy 204.2036 61.058846) + (xy 204.2036 60.861154) (xy 204.7364 60.861154) (xy 204.7364 61.058846) (xy 204.774968 61.252739) (xy 204.850621 61.435383) + (xy 204.960453 61.599758) (xy 205.100242 61.739547) (xy 205.264617 61.849379) (xy 205.447261 61.925032) (xy 205.641154 61.9636) + (xy 205.838846 61.9636) (xy 206.032739 61.925032) (xy 206.215383 61.849379) (xy 206.379758 61.739547) (xy 206.519547 61.599758) + (xy 206.629379 61.435383) (xy 206.705032 61.252739) (xy 206.7436 61.058846) (xy 206.7436 60.861154) (xy 206.705032 60.667261) + (xy 206.629379 60.484617) (xy 206.519547 60.320242) (xy 206.379758 60.180453) (xy 206.215383 60.070621) (xy 206.032739 59.994968) + (xy 205.838846 59.9564) (xy 205.641154 59.9564) (xy 205.447261 59.994968) (xy 205.264617 60.070621) (xy 205.100242 60.180453) + (xy 204.960453 60.320242) (xy 204.850621 60.484617) (xy 204.774968 60.667261) (xy 204.7364 60.861154) (xy 204.2036 60.861154) + (xy 204.165032 60.667261) (xy 204.089379 60.484617) (xy 203.979547 60.320242) (xy 203.839758 60.180453) (xy 203.675383 60.070621) + (xy 203.492739 59.994968) (xy 203.298846 59.9564) (xy 203.101154 59.9564) (xy 202.907261 59.994968) (xy 202.724617 60.070621) + (xy 202.560242 60.180453) (xy 202.420453 60.320242) (xy 202.310621 60.484617) (xy 202.234968 60.667261) (xy 202.1964 60.861154) + (xy 201.6636 60.861154) (xy 201.625032 60.667261) (xy 201.549379 60.484617) (xy 201.439547 60.320242) (xy 201.299758 60.180453) + (xy 201.135383 60.070621) (xy 200.952739 59.994968) (xy 200.758846 59.9564) (xy 200.561154 59.9564) (xy 200.367261 59.994968) + (xy 200.184617 60.070621) (xy 200.020242 60.180453) (xy 199.880453 60.320242) (xy 199.770621 60.484617) (xy 199.694968 60.667261) + (xy 199.6564 60.861154) (xy 190.401281 60.861154) (xy 190.410208 60.839603) (xy 190.4286 60.747138) (xy 190.4286 60.652862) + (xy 190.410208 60.560397) (xy 190.37413 60.473298) (xy 190.321753 60.39491) (xy 190.25509 60.328247) (xy 190.176702 60.27587) + (xy 190.089603 60.239792) (xy 189.997138 60.2214) (xy 189.902862 60.2214) (xy 189.810397 60.239792) (xy 189.723298 60.27587) + (xy 189.64491 60.328247) (xy 189.578247 60.39491) (xy 189.52587 60.473298) (xy 189.489792 60.560397) (xy 189.4714 60.652862) + (xy 103.5546 60.652862) (xy 103.5546 60.002862) (xy 192.4714 60.002862) (xy 192.4714 60.097138) (xy 192.489792 60.189603) + (xy 192.52587 60.276702) (xy 192.578247 60.35509) (xy 192.64491 60.421753) (xy 192.723298 60.47413) (xy 192.810397 60.510208) + (xy 192.902862 60.5286) (xy 192.997138 60.5286) (xy 193.089603 60.510208) (xy 193.176702 60.47413) (xy 193.25509 60.421753) + (xy 193.321753 60.35509) (xy 193.37413 60.276702) (xy 193.410208 60.189603) (xy 193.4286 60.097138) (xy 193.4286 60.002862) + (xy 193.410208 59.910397) (xy 193.37413 59.823298) (xy 193.321753 59.74491) (xy 193.25509 59.678247) (xy 193.176702 59.62587) + (xy 193.089603 59.589792) (xy 192.997138 59.5714) (xy 192.902862 59.5714) (xy 192.810397 59.589792) (xy 192.723298 59.62587) + (xy 192.64491 59.678247) (xy 192.578247 59.74491) (xy 192.52587 59.823298) (xy 192.489792 59.910397) (xy 192.4714 60.002862) + (xy 103.5546 60.002862) (xy 103.5546 59.388862) (xy 128.4264 59.388862) (xy 128.4264 59.483138) (xy 128.444792 59.575603) + (xy 128.48087 59.662702) (xy 128.533247 59.74109) (xy 128.59991 59.807753) (xy 128.678298 59.86013) (xy 128.765397 59.896208) + (xy 128.857862 59.9146) (xy 128.952138 59.9146) (xy 129.044603 59.896208) (xy 129.131702 59.86013) (xy 129.21009 59.807753) + (xy 129.276753 59.74109) (xy 129.32913 59.662702) (xy 129.365208 59.575603) (xy 129.3836 59.483138) (xy 129.3836 59.388862) + (xy 129.6964 59.388862) (xy 129.6964 59.483138) (xy 129.714792 59.575603) (xy 129.75087 59.662702) (xy 129.803247 59.74109) + (xy 129.86991 59.807753) (xy 129.948298 59.86013) (xy 130.035397 59.896208) (xy 130.127862 59.9146) (xy 130.222138 59.9146) + (xy 130.314603 59.896208) (xy 130.401702 59.86013) (xy 130.48009 59.807753) (xy 130.546753 59.74109) (xy 130.59913 59.662702) + (xy 130.635208 59.575603) (xy 130.6536 59.483138) (xy 130.6536 59.388862) (xy 130.9664 59.388862) (xy 130.9664 59.483138) + (xy 130.984792 59.575603) (xy 131.02087 59.662702) (xy 131.073247 59.74109) (xy 131.13991 59.807753) (xy 131.218298 59.86013) + (xy 131.305397 59.896208) (xy 131.397862 59.9146) (xy 131.492138 59.9146) (xy 131.584603 59.896208) (xy 131.671702 59.86013) + (xy 131.75009 59.807753) (xy 131.816753 59.74109) (xy 131.86913 59.662702) (xy 131.905208 59.575603) (xy 131.9236 59.483138) + (xy 131.9236 59.388862) (xy 132.2364 59.388862) (xy 132.2364 59.483138) (xy 132.254792 59.575603) (xy 132.29087 59.662702) + (xy 132.343247 59.74109) (xy 132.40991 59.807753) (xy 132.488298 59.86013) (xy 132.575397 59.896208) (xy 132.667862 59.9146) + (xy 132.762138 59.9146) (xy 132.854603 59.896208) (xy 132.941702 59.86013) (xy 133.02009 59.807753) (xy 133.086753 59.74109) + (xy 133.13913 59.662702) (xy 133.175208 59.575603) (xy 133.1936 59.483138) (xy 133.1936 59.388862) (xy 133.5064 59.388862) + (xy 133.5064 59.483138) (xy 133.524792 59.575603) (xy 133.56087 59.662702) (xy 133.613247 59.74109) (xy 133.67991 59.807753) + (xy 133.758298 59.86013) (xy 133.845397 59.896208) (xy 133.937862 59.9146) (xy 134.032138 59.9146) (xy 134.124603 59.896208) + (xy 134.211702 59.86013) (xy 134.29009 59.807753) (xy 134.356753 59.74109) (xy 134.40913 59.662702) (xy 134.445208 59.575603) + (xy 134.4636 59.483138) (xy 134.4636 59.388862) (xy 134.7764 59.388862) (xy 134.7764 59.483138) (xy 134.794792 59.575603) + (xy 134.83087 59.662702) (xy 134.883247 59.74109) (xy 134.94991 59.807753) (xy 135.028298 59.86013) (xy 135.115397 59.896208) + (xy 135.207862 59.9146) (xy 135.302138 59.9146) (xy 135.394603 59.896208) (xy 135.481702 59.86013) (xy 135.56009 59.807753) + (xy 135.626753 59.74109) (xy 135.67913 59.662702) (xy 135.715208 59.575603) (xy 135.7336 59.483138) (xy 135.7336 59.388862) + (xy 136.1734 59.388862) (xy 136.1734 59.483138) (xy 136.191792 59.575603) (xy 136.22787 59.662702) (xy 136.280247 59.74109) + (xy 136.34691 59.807753) (xy 136.425298 59.86013) (xy 136.512397 59.896208) (xy 136.604862 59.9146) (xy 136.699138 59.9146) + (xy 136.791603 59.896208) (xy 136.878702 59.86013) (xy 136.95709 59.807753) (xy 137.023753 59.74109) (xy 137.07613 59.662702) + (xy 137.112208 59.575603) (xy 137.1306 59.483138) (xy 137.1306 59.388862) (xy 137.3164 59.388862) (xy 137.3164 59.483138) + (xy 137.334792 59.575603) (xy 137.37087 59.662702) (xy 137.423247 59.74109) (xy 137.48991 59.807753) (xy 137.568298 59.86013) + (xy 137.655397 59.896208) (xy 137.747862 59.9146) (xy 137.842138 59.9146) (xy 137.934603 59.896208) (xy 138.021702 59.86013) + (xy 138.10009 59.807753) (xy 138.166753 59.74109) (xy 138.21913 59.662702) (xy 138.255208 59.575603) (xy 138.2736 59.483138) + (xy 138.2736 59.388862) (xy 153.8264 59.388862) (xy 153.8264 59.483138) (xy 153.844792 59.575603) (xy 153.88087 59.662702) + (xy 153.933247 59.74109) (xy 153.99991 59.807753) (xy 154.078298 59.86013) (xy 154.165397 59.896208) (xy 154.257862 59.9146) + (xy 154.352138 59.9146) (xy 154.444603 59.896208) (xy 154.531702 59.86013) (xy 154.61009 59.807753) (xy 154.676753 59.74109) + (xy 154.72913 59.662702) (xy 154.765208 59.575603) (xy 154.7836 59.483138) (xy 154.7836 59.388862) (xy 155.0964 59.388862) + (xy 155.0964 59.483138) (xy 155.114792 59.575603) (xy 155.15087 59.662702) (xy 155.203247 59.74109) (xy 155.26991 59.807753) + (xy 155.348298 59.86013) (xy 155.435397 59.896208) (xy 155.527862 59.9146) (xy 155.622138 59.9146) (xy 155.714603 59.896208) + (xy 155.801702 59.86013) (xy 155.88009 59.807753) (xy 155.946753 59.74109) (xy 155.99913 59.662702) (xy 156.035208 59.575603) + (xy 156.0536 59.483138) (xy 156.0536 59.388862) (xy 156.3664 59.388862) (xy 156.3664 59.483138) (xy 156.384792 59.575603) + (xy 156.42087 59.662702) (xy 156.473247 59.74109) (xy 156.53991 59.807753) (xy 156.618298 59.86013) (xy 156.705397 59.896208) + (xy 156.797862 59.9146) (xy 156.892138 59.9146) (xy 156.984603 59.896208) (xy 157.071702 59.86013) (xy 157.15009 59.807753) + (xy 157.216753 59.74109) (xy 157.26913 59.662702) (xy 157.305208 59.575603) (xy 157.3236 59.483138) (xy 157.3236 59.388862) + (xy 157.6364 59.388862) (xy 157.6364 59.483138) (xy 157.654792 59.575603) (xy 157.69087 59.662702) (xy 157.743247 59.74109) + (xy 157.80991 59.807753) (xy 157.888298 59.86013) (xy 157.975397 59.896208) (xy 158.067862 59.9146) (xy 158.162138 59.9146) + (xy 158.254603 59.896208) (xy 158.341702 59.86013) (xy 158.42009 59.807753) (xy 158.486753 59.74109) (xy 158.53913 59.662702) + (xy 158.575208 59.575603) (xy 158.5936 59.483138) (xy 158.5936 59.388862) (xy 158.9064 59.388862) (xy 158.9064 59.483138) + (xy 158.924792 59.575603) (xy 158.96087 59.662702) (xy 159.013247 59.74109) (xy 159.07991 59.807753) (xy 159.158298 59.86013) + (xy 159.245397 59.896208) (xy 159.337862 59.9146) (xy 159.432138 59.9146) (xy 159.524603 59.896208) (xy 159.611702 59.86013) + (xy 159.69009 59.807753) (xy 159.756753 59.74109) (xy 159.80913 59.662702) (xy 159.845208 59.575603) (xy 159.8636 59.483138) + (xy 159.8636 59.388862) (xy 160.1764 59.388862) (xy 160.1764 59.483138) (xy 160.194792 59.575603) (xy 160.23087 59.662702) + (xy 160.283247 59.74109) (xy 160.34991 59.807753) (xy 160.428298 59.86013) (xy 160.515397 59.896208) (xy 160.607862 59.9146) + (xy 160.702138 59.9146) (xy 160.794603 59.896208) (xy 160.881702 59.86013) (xy 160.96009 59.807753) (xy 161.026753 59.74109) + (xy 161.07913 59.662702) (xy 161.115208 59.575603) (xy 161.1336 59.483138) (xy 161.1336 59.388862) (xy 161.4464 59.388862) + (xy 161.4464 59.483138) (xy 161.464792 59.575603) (xy 161.50087 59.662702) (xy 161.553247 59.74109) (xy 161.61991 59.807753) + (xy 161.698298 59.86013) (xy 161.785397 59.896208) (xy 161.877862 59.9146) (xy 161.972138 59.9146) (xy 162.064603 59.896208) + (xy 162.151702 59.86013) (xy 162.23009 59.807753) (xy 162.296753 59.74109) (xy 162.34913 59.662702) (xy 162.385208 59.575603) + (xy 162.4036 59.483138) (xy 162.4036 59.388862) (xy 165.2564 59.388862) (xy 165.2564 59.483138) (xy 165.274792 59.575603) + (xy 165.31087 59.662702) (xy 165.363247 59.74109) (xy 165.42991 59.807753) (xy 165.508298 59.86013) (xy 165.595397 59.896208) + (xy 165.687862 59.9146) (xy 165.782138 59.9146) (xy 165.874603 59.896208) (xy 165.961702 59.86013) (xy 166.04009 59.807753) + (xy 166.106753 59.74109) (xy 166.15913 59.662702) (xy 166.195208 59.575603) (xy 166.2136 59.483138) (xy 166.2136 59.388862) + (xy 166.5264 59.388862) (xy 166.5264 59.483138) (xy 166.544792 59.575603) (xy 166.58087 59.662702) (xy 166.633247 59.74109) + (xy 166.69991 59.807753) (xy 166.778298 59.86013) (xy 166.865397 59.896208) (xy 166.957862 59.9146) (xy 167.052138 59.9146) + (xy 167.144603 59.896208) (xy 167.231702 59.86013) (xy 167.31009 59.807753) (xy 167.376753 59.74109) (xy 167.42913 59.662702) + (xy 167.465208 59.575603) (xy 167.4836 59.483138) (xy 167.4836 59.388862) (xy 167.7964 59.388862) (xy 167.7964 59.483138) + (xy 167.814792 59.575603) (xy 167.85087 59.662702) (xy 167.903247 59.74109) (xy 167.96991 59.807753) (xy 168.048298 59.86013) + (xy 168.135397 59.896208) (xy 168.227862 59.9146) (xy 168.322138 59.9146) (xy 168.414603 59.896208) (xy 168.501702 59.86013) + (xy 168.58009 59.807753) (xy 168.646753 59.74109) (xy 168.69913 59.662702) (xy 168.735208 59.575603) (xy 168.7536 59.483138) + (xy 168.7536 59.388862) (xy 168.74644 59.352862) (xy 185.7714 59.352862) (xy 185.7714 59.447138) (xy 185.789792 59.539603) + (xy 185.82587 59.626702) (xy 185.878247 59.70509) (xy 185.94491 59.771753) (xy 186.023298 59.82413) (xy 186.110397 59.860208) + (xy 186.202862 59.8786) (xy 186.297138 59.8786) (xy 186.389603 59.860208) (xy 186.476702 59.82413) (xy 186.55509 59.771753) + (xy 186.621753 59.70509) (xy 186.67413 59.626702) (xy 186.710208 59.539603) (xy 186.7286 59.447138) (xy 186.7286 59.352862) + (xy 189.4714 59.352862) (xy 189.4714 59.447138) (xy 189.489792 59.539603) (xy 189.52587 59.626702) (xy 189.578247 59.70509) + (xy 189.64491 59.771753) (xy 189.723298 59.82413) (xy 189.810397 59.860208) (xy 189.902862 59.8786) (xy 189.997138 59.8786) + (xy 190.089603 59.860208) (xy 190.176702 59.82413) (xy 190.25509 59.771753) (xy 190.321753 59.70509) (xy 190.37413 59.626702) + (xy 190.410208 59.539603) (xy 190.4286 59.447138) (xy 190.4286 59.352862) (xy 191.6714 59.352862) (xy 191.6714 59.447138) + (xy 191.689792 59.539603) (xy 191.72587 59.626702) (xy 191.778247 59.70509) (xy 191.84491 59.771753) (xy 191.923298 59.82413) + (xy 192.010397 59.860208) (xy 192.102862 59.8786) (xy 192.197138 59.8786) (xy 192.289603 59.860208) (xy 192.376702 59.82413) + (xy 192.45509 59.771753) (xy 192.521753 59.70509) (xy 192.57413 59.626702) (xy 192.610208 59.539603) (xy 192.6286 59.447138) + (xy 192.6286 59.352862) (xy 192.610208 59.260397) (xy 192.57413 59.173298) (xy 192.521753 59.09491) (xy 192.45509 59.028247) + (xy 192.376702 58.97587) (xy 192.289603 58.939792) (xy 192.197138 58.9214) (xy 192.102862 58.9214) (xy 192.010397 58.939792) + (xy 191.923298 58.97587) (xy 191.84491 59.028247) (xy 191.778247 59.09491) (xy 191.72587 59.173298) (xy 191.689792 59.260397) + (xy 191.6714 59.352862) (xy 190.4286 59.352862) (xy 190.410208 59.260397) (xy 190.37413 59.173298) (xy 190.321753 59.09491) + (xy 190.25509 59.028247) (xy 190.176702 58.97587) (xy 190.089603 58.939792) (xy 189.997138 58.9214) (xy 189.902862 58.9214) + (xy 189.810397 58.939792) (xy 189.723298 58.97587) (xy 189.64491 59.028247) (xy 189.578247 59.09491) (xy 189.52587 59.173298) + (xy 189.489792 59.260397) (xy 189.4714 59.352862) (xy 186.7286 59.352862) (xy 186.710208 59.260397) (xy 186.67413 59.173298) + (xy 186.621753 59.09491) (xy 186.55509 59.028247) (xy 186.476702 58.97587) (xy 186.389603 58.939792) (xy 186.297138 58.9214) + (xy 186.202862 58.9214) (xy 186.110397 58.939792) (xy 186.023298 58.97587) (xy 185.94491 59.028247) (xy 185.878247 59.09491) + (xy 185.82587 59.173298) (xy 185.789792 59.260397) (xy 185.7714 59.352862) (xy 168.74644 59.352862) (xy 168.735208 59.296397) + (xy 168.69913 59.209298) (xy 168.646753 59.13091) (xy 168.58009 59.064247) (xy 168.501702 59.01187) (xy 168.414603 58.975792) + (xy 168.322138 58.9574) (xy 168.227862 58.9574) (xy 168.135397 58.975792) (xy 168.048298 59.01187) (xy 167.96991 59.064247) + (xy 167.903247 59.13091) (xy 167.85087 59.209298) (xy 167.814792 59.296397) (xy 167.7964 59.388862) (xy 167.4836 59.388862) + (xy 167.465208 59.296397) (xy 167.42913 59.209298) (xy 167.376753 59.13091) (xy 167.31009 59.064247) (xy 167.231702 59.01187) + (xy 167.144603 58.975792) (xy 167.052138 58.9574) (xy 166.957862 58.9574) (xy 166.865397 58.975792) (xy 166.778298 59.01187) + (xy 166.69991 59.064247) (xy 166.633247 59.13091) (xy 166.58087 59.209298) (xy 166.544792 59.296397) (xy 166.5264 59.388862) + (xy 166.2136 59.388862) (xy 166.195208 59.296397) (xy 166.15913 59.209298) (xy 166.106753 59.13091) (xy 166.04009 59.064247) + (xy 165.961702 59.01187) (xy 165.874603 58.975792) (xy 165.782138 58.9574) (xy 165.687862 58.9574) (xy 165.595397 58.975792) + (xy 165.508298 59.01187) (xy 165.42991 59.064247) (xy 165.363247 59.13091) (xy 165.31087 59.209298) (xy 165.274792 59.296397) + (xy 165.2564 59.388862) (xy 162.4036 59.388862) (xy 162.385208 59.296397) (xy 162.34913 59.209298) (xy 162.296753 59.13091) + (xy 162.23009 59.064247) (xy 162.151702 59.01187) (xy 162.064603 58.975792) (xy 161.972138 58.9574) (xy 161.877862 58.9574) + (xy 161.785397 58.975792) (xy 161.698298 59.01187) (xy 161.61991 59.064247) (xy 161.553247 59.13091) (xy 161.50087 59.209298) + (xy 161.464792 59.296397) (xy 161.4464 59.388862) (xy 161.1336 59.388862) (xy 161.115208 59.296397) (xy 161.07913 59.209298) + (xy 161.026753 59.13091) (xy 160.96009 59.064247) (xy 160.881702 59.01187) (xy 160.794603 58.975792) (xy 160.702138 58.9574) + (xy 160.607862 58.9574) (xy 160.515397 58.975792) (xy 160.428298 59.01187) (xy 160.34991 59.064247) (xy 160.283247 59.13091) + (xy 160.23087 59.209298) (xy 160.194792 59.296397) (xy 160.1764 59.388862) (xy 159.8636 59.388862) (xy 159.845208 59.296397) + (xy 159.80913 59.209298) (xy 159.756753 59.13091) (xy 159.69009 59.064247) (xy 159.611702 59.01187) (xy 159.524603 58.975792) + (xy 159.432138 58.9574) (xy 159.337862 58.9574) (xy 159.245397 58.975792) (xy 159.158298 59.01187) (xy 159.07991 59.064247) + (xy 159.013247 59.13091) (xy 158.96087 59.209298) (xy 158.924792 59.296397) (xy 158.9064 59.388862) (xy 158.5936 59.388862) + (xy 158.575208 59.296397) (xy 158.53913 59.209298) (xy 158.486753 59.13091) (xy 158.42009 59.064247) (xy 158.341702 59.01187) + (xy 158.254603 58.975792) (xy 158.162138 58.9574) (xy 158.067862 58.9574) (xy 157.975397 58.975792) (xy 157.888298 59.01187) + (xy 157.80991 59.064247) (xy 157.743247 59.13091) (xy 157.69087 59.209298) (xy 157.654792 59.296397) (xy 157.6364 59.388862) + (xy 157.3236 59.388862) (xy 157.305208 59.296397) (xy 157.26913 59.209298) (xy 157.216753 59.13091) (xy 157.15009 59.064247) + (xy 157.071702 59.01187) (xy 156.984603 58.975792) (xy 156.892138 58.9574) (xy 156.797862 58.9574) (xy 156.705397 58.975792) + (xy 156.618298 59.01187) (xy 156.53991 59.064247) (xy 156.473247 59.13091) (xy 156.42087 59.209298) (xy 156.384792 59.296397) + (xy 156.3664 59.388862) (xy 156.0536 59.388862) (xy 156.035208 59.296397) (xy 155.99913 59.209298) (xy 155.946753 59.13091) + (xy 155.88009 59.064247) (xy 155.801702 59.01187) (xy 155.714603 58.975792) (xy 155.622138 58.9574) (xy 155.527862 58.9574) + (xy 155.435397 58.975792) (xy 155.348298 59.01187) (xy 155.26991 59.064247) (xy 155.203247 59.13091) (xy 155.15087 59.209298) + (xy 155.114792 59.296397) (xy 155.0964 59.388862) (xy 154.7836 59.388862) (xy 154.765208 59.296397) (xy 154.72913 59.209298) + (xy 154.676753 59.13091) (xy 154.61009 59.064247) (xy 154.531702 59.01187) (xy 154.444603 58.975792) (xy 154.352138 58.9574) + (xy 154.257862 58.9574) (xy 154.165397 58.975792) (xy 154.078298 59.01187) (xy 153.99991 59.064247) (xy 153.933247 59.13091) + (xy 153.88087 59.209298) (xy 153.844792 59.296397) (xy 153.8264 59.388862) (xy 138.2736 59.388862) (xy 138.255208 59.296397) + (xy 138.21913 59.209298) (xy 138.166753 59.13091) (xy 138.10009 59.064247) (xy 138.021702 59.01187) (xy 137.934603 58.975792) + (xy 137.842138 58.9574) (xy 137.747862 58.9574) (xy 137.655397 58.975792) (xy 137.568298 59.01187) (xy 137.48991 59.064247) + (xy 137.423247 59.13091) (xy 137.37087 59.209298) (xy 137.334792 59.296397) (xy 137.3164 59.388862) (xy 137.1306 59.388862) + (xy 137.112208 59.296397) (xy 137.07613 59.209298) (xy 137.023753 59.13091) (xy 136.95709 59.064247) (xy 136.878702 59.01187) + (xy 136.791603 58.975792) (xy 136.699138 58.9574) (xy 136.604862 58.9574) (xy 136.512397 58.975792) (xy 136.425298 59.01187) + (xy 136.34691 59.064247) (xy 136.280247 59.13091) (xy 136.22787 59.209298) (xy 136.191792 59.296397) (xy 136.1734 59.388862) + (xy 135.7336 59.388862) (xy 135.715208 59.296397) (xy 135.67913 59.209298) (xy 135.626753 59.13091) (xy 135.56009 59.064247) + (xy 135.481702 59.01187) (xy 135.394603 58.975792) (xy 135.302138 58.9574) (xy 135.207862 58.9574) (xy 135.115397 58.975792) + (xy 135.028298 59.01187) (xy 134.94991 59.064247) (xy 134.883247 59.13091) (xy 134.83087 59.209298) (xy 134.794792 59.296397) + (xy 134.7764 59.388862) (xy 134.4636 59.388862) (xy 134.445208 59.296397) (xy 134.40913 59.209298) (xy 134.356753 59.13091) + (xy 134.29009 59.064247) (xy 134.211702 59.01187) (xy 134.124603 58.975792) (xy 134.032138 58.9574) (xy 133.937862 58.9574) + (xy 133.845397 58.975792) (xy 133.758298 59.01187) (xy 133.67991 59.064247) (xy 133.613247 59.13091) (xy 133.56087 59.209298) + (xy 133.524792 59.296397) (xy 133.5064 59.388862) (xy 133.1936 59.388862) (xy 133.175208 59.296397) (xy 133.13913 59.209298) + (xy 133.086753 59.13091) (xy 133.02009 59.064247) (xy 132.941702 59.01187) (xy 132.854603 58.975792) (xy 132.762138 58.9574) + (xy 132.667862 58.9574) (xy 132.575397 58.975792) (xy 132.488298 59.01187) (xy 132.40991 59.064247) (xy 132.343247 59.13091) + (xy 132.29087 59.209298) (xy 132.254792 59.296397) (xy 132.2364 59.388862) (xy 131.9236 59.388862) (xy 131.905208 59.296397) + (xy 131.86913 59.209298) (xy 131.816753 59.13091) (xy 131.75009 59.064247) (xy 131.671702 59.01187) (xy 131.584603 58.975792) + (xy 131.492138 58.9574) (xy 131.397862 58.9574) (xy 131.305397 58.975792) (xy 131.218298 59.01187) (xy 131.13991 59.064247) + (xy 131.073247 59.13091) (xy 131.02087 59.209298) (xy 130.984792 59.296397) (xy 130.9664 59.388862) (xy 130.6536 59.388862) + (xy 130.635208 59.296397) (xy 130.59913 59.209298) (xy 130.546753 59.13091) (xy 130.48009 59.064247) (xy 130.401702 59.01187) + (xy 130.314603 58.975792) (xy 130.222138 58.9574) (xy 130.127862 58.9574) (xy 130.035397 58.975792) (xy 129.948298 59.01187) + (xy 129.86991 59.064247) (xy 129.803247 59.13091) (xy 129.75087 59.209298) (xy 129.714792 59.296397) (xy 129.6964 59.388862) + (xy 129.3836 59.388862) (xy 129.365208 59.296397) (xy 129.32913 59.209298) (xy 129.276753 59.13091) (xy 129.21009 59.064247) + (xy 129.131702 59.01187) (xy 129.044603 58.975792) (xy 128.952138 58.9574) (xy 128.857862 58.9574) (xy 128.765397 58.975792) + (xy 128.678298 59.01187) (xy 128.59991 59.064247) (xy 128.533247 59.13091) (xy 128.48087 59.209298) (xy 128.444792 59.296397) + (xy 128.4264 59.388862) (xy 103.5546 59.388862) (xy 103.5546 58.752862) (xy 190.5714 58.752862) (xy 190.5714 58.847138) + (xy 190.589792 58.939603) (xy 190.62587 59.026702) (xy 190.678247 59.10509) (xy 190.74491 59.171753) (xy 190.823298 59.22413) + (xy 190.910397 59.260208) (xy 191.002862 59.2786) (xy 191.097138 59.2786) (xy 191.189603 59.260208) (xy 191.276702 59.22413) + (xy 191.35509 59.171753) (xy 191.421753 59.10509) (xy 191.47413 59.026702) (xy 191.510208 58.939603) (xy 191.5286 58.847138) + (xy 191.5286 58.752862) (xy 191.510208 58.660397) (xy 191.47413 58.573298) (xy 191.421753 58.49491) (xy 191.35509 58.428247) + (xy 191.276702 58.37587) (xy 191.189603 58.339792) (xy 191.097138 58.3214) (xy 191.002862 58.3214) (xy 190.910397 58.339792) + (xy 190.823298 58.37587) (xy 190.74491 58.428247) (xy 190.678247 58.49491) (xy 190.62587 58.573298) (xy 190.589792 58.660397) + (xy 190.5714 58.752862) (xy 103.5546 58.752862) (xy 103.5546 57.864862) (xy 162.7164 57.864862) (xy 162.7164 57.959138) + (xy 162.734792 58.051603) (xy 162.77087 58.138702) (xy 162.823247 58.21709) (xy 162.88991 58.283753) (xy 162.968298 58.33613) + (xy 163.055397 58.372208) (xy 163.147862 58.3906) (xy 163.242138 58.3906) (xy 163.334603 58.372208) (xy 163.421702 58.33613) + (xy 163.50009 58.283753) (xy 163.566753 58.21709) (xy 163.61913 58.138702) (xy 163.655208 58.051603) (xy 163.6736 57.959138) + (xy 163.6736 57.897937) (xy 191.3714 57.897937) (xy 191.3714 58.002063) (xy 191.391713 58.104187) (xy 191.43156 58.200386) + (xy 191.489409 58.286963) (xy 191.563037 58.360591) (xy 191.649614 58.41844) (xy 191.745813 58.458287) (xy 191.847937 58.4786) + (xy 191.952063 58.4786) (xy 192.054187 58.458287) (xy 192.150386 58.41844) (xy 192.236963 58.360591) (xy 192.2764 58.321154) + (xy 199.6564 58.321154) (xy 199.6564 58.518846) (xy 199.694968 58.712739) (xy 199.770621 58.895383) (xy 199.880453 59.059758) + (xy 200.020242 59.199547) (xy 200.184617 59.309379) (xy 200.367261 59.385032) (xy 200.561154 59.4236) (xy 200.758846 59.4236) + (xy 200.952739 59.385032) (xy 201.135383 59.309379) (xy 201.299758 59.199547) (xy 201.356473 59.142832) (xy 202.581537 59.142832) + (xy 202.668539 59.277049) (xy 202.845953 59.364265) (xy 203.036972 59.415192) (xy 203.234257 59.427875) (xy 203.430225 59.401826) + (xy 203.617346 59.338046) (xy 203.731461 59.277049) (xy 203.818463 59.142832) (xy 203.2 58.524369) (xy 202.581537 59.142832) + (xy 201.356473 59.142832) (xy 201.439547 59.059758) (xy 201.549379 58.895383) (xy 201.625032 58.712739) (xy 201.6636 58.518846) + (xy 201.6636 58.454257) (xy 202.192125 58.454257) (xy 202.218174 58.650225) (xy 202.281954 58.837346) (xy 202.342951 58.951461) + (xy 202.477168 59.038463) (xy 203.095631 58.42) (xy 203.304369 58.42) (xy 203.922832 59.038463) (xy 204.057049 58.951461) + (xy 204.144265 58.774047) (xy 204.195192 58.583028) (xy 204.207875 58.385743) (xy 204.19929 58.321154) (xy 204.7364 58.321154) + (xy 204.7364 58.518846) (xy 204.774968 58.712739) (xy 204.850621 58.895383) (xy 204.960453 59.059758) (xy 205.100242 59.199547) + (xy 205.264617 59.309379) (xy 205.447261 59.385032) (xy 205.641154 59.4236) (xy 205.838846 59.4236) (xy 206.032739 59.385032) + (xy 206.215383 59.309379) (xy 206.379758 59.199547) (xy 206.519547 59.059758) (xy 206.629379 58.895383) (xy 206.705032 58.712739) + (xy 206.7436 58.518846) (xy 206.7436 58.321154) (xy 206.705032 58.127261) (xy 206.629379 57.944617) (xy 206.519547 57.780242) + (xy 206.379758 57.640453) (xy 206.215383 57.530621) (xy 206.032739 57.454968) (xy 205.838846 57.4164) (xy 205.641154 57.4164) + (xy 205.447261 57.454968) (xy 205.264617 57.530621) (xy 205.100242 57.640453) (xy 204.960453 57.780242) (xy 204.850621 57.944617) + (xy 204.774968 58.127261) (xy 204.7364 58.321154) (xy 204.19929 58.321154) (xy 204.181826 58.189775) (xy 204.118046 58.002654) + (xy 204.057049 57.888539) (xy 203.922832 57.801537) (xy 203.304369 58.42) (xy 203.095631 58.42) (xy 202.477168 57.801537) + (xy 202.342951 57.888539) (xy 202.255735 58.065953) (xy 202.204808 58.256972) (xy 202.192125 58.454257) (xy 201.6636 58.454257) + (xy 201.6636 58.321154) (xy 201.625032 58.127261) (xy 201.549379 57.944617) (xy 201.439547 57.780242) (xy 201.356473 57.697168) + (xy 202.581537 57.697168) (xy 203.2 58.315631) (xy 203.818463 57.697168) (xy 203.731461 57.562951) (xy 203.554047 57.475735) + (xy 203.363028 57.424808) (xy 203.165743 57.412125) (xy 202.969775 57.438174) (xy 202.782654 57.501954) (xy 202.668539 57.562951) + (xy 202.581537 57.697168) (xy 201.356473 57.697168) (xy 201.299758 57.640453) (xy 201.135383 57.530621) (xy 200.952739 57.454968) + (xy 200.758846 57.4164) (xy 200.561154 57.4164) (xy 200.367261 57.454968) (xy 200.184617 57.530621) (xy 200.020242 57.640453) + (xy 199.880453 57.780242) (xy 199.770621 57.944617) (xy 199.694968 58.127261) (xy 199.6564 58.321154) (xy 192.2764 58.321154) + (xy 192.310591 58.286963) (xy 192.36844 58.200386) (xy 192.408287 58.104187) (xy 192.4286 58.002063) (xy 192.4286 57.897937) + (xy 192.408287 57.795813) (xy 192.36844 57.699614) (xy 192.310591 57.613037) (xy 192.236963 57.539409) (xy 192.150386 57.48156) + (xy 192.054187 57.441713) (xy 191.952063 57.4214) (xy 191.847937 57.4214) (xy 191.745813 57.441713) (xy 191.649614 57.48156) + (xy 191.563037 57.539409) (xy 191.489409 57.613037) (xy 191.43156 57.699614) (xy 191.391713 57.795813) (xy 191.3714 57.897937) + (xy 163.6736 57.897937) (xy 163.6736 57.864862) (xy 163.655208 57.772397) (xy 163.61913 57.685298) (xy 163.566753 57.60691) + (xy 163.50009 57.540247) (xy 163.421702 57.48787) (xy 163.334603 57.451792) (xy 163.242138 57.4334) (xy 163.147862 57.4334) + (xy 163.055397 57.451792) (xy 162.968298 57.48787) (xy 162.88991 57.540247) (xy 162.823247 57.60691) (xy 162.77087 57.685298) + (xy 162.734792 57.772397) (xy 162.7164 57.864862) (xy 103.5546 57.864862) (xy 103.5546 56.907437) (xy 127.1064 56.907437) + (xy 127.1064 57.011563) (xy 127.126713 57.113687) (xy 127.16656 57.209886) (xy 127.224409 57.296463) (xy 127.298037 57.370091) + (xy 127.384614 57.42794) (xy 127.480813 57.467787) (xy 127.582937 57.4881) (xy 127.687063 57.4881) (xy 127.789187 57.467787) + (xy 127.885386 57.42794) (xy 127.971963 57.370091) (xy 128.045591 57.296463) (xy 128.10344 57.209886) (xy 128.143287 57.113687) + (xy 128.1636 57.011563) (xy 128.1636 56.907437) (xy 128.15195 56.848862) (xy 128.4264 56.848862) (xy 128.4264 56.943138) + (xy 128.444792 57.035603) (xy 128.48087 57.122702) (xy 128.533247 57.20109) (xy 128.59991 57.267753) (xy 128.678298 57.32013) + (xy 128.765397 57.356208) (xy 128.857862 57.3746) (xy 128.952138 57.3746) (xy 129.044603 57.356208) (xy 129.131702 57.32013) + (xy 129.21009 57.267753) (xy 129.276753 57.20109) (xy 129.32913 57.122702) (xy 129.365208 57.035603) (xy 129.3836 56.943138) + (xy 129.3836 56.848862) (xy 129.6964 56.848862) (xy 129.6964 56.943138) (xy 129.714792 57.035603) (xy 129.75087 57.122702) + (xy 129.803247 57.20109) (xy 129.86991 57.267753) (xy 129.948298 57.32013) (xy 130.035397 57.356208) (xy 130.127862 57.3746) + (xy 130.222138 57.3746) (xy 130.314603 57.356208) (xy 130.401702 57.32013) (xy 130.48009 57.267753) (xy 130.546753 57.20109) + (xy 130.59913 57.122702) (xy 130.635208 57.035603) (xy 130.6536 56.943138) (xy 130.6536 56.848862) (xy 130.9664 56.848862) + (xy 130.9664 56.943138) (xy 130.984792 57.035603) (xy 131.02087 57.122702) (xy 131.073247 57.20109) (xy 131.13991 57.267753) + (xy 131.218298 57.32013) (xy 131.305397 57.356208) (xy 131.397862 57.3746) (xy 131.492138 57.3746) (xy 131.584603 57.356208) + (xy 131.671702 57.32013) (xy 131.75009 57.267753) (xy 131.816753 57.20109) (xy 131.86913 57.122702) (xy 131.905208 57.035603) + (xy 131.9236 56.943138) (xy 131.9236 56.848862) (xy 132.2364 56.848862) (xy 132.2364 56.943138) (xy 132.254792 57.035603) + (xy 132.29087 57.122702) (xy 132.343247 57.20109) (xy 132.40991 57.267753) (xy 132.488298 57.32013) (xy 132.575397 57.356208) + (xy 132.667862 57.3746) (xy 132.762138 57.3746) (xy 132.854603 57.356208) (xy 132.941702 57.32013) (xy 133.02009 57.267753) + (xy 133.086753 57.20109) (xy 133.13913 57.122702) (xy 133.175208 57.035603) (xy 133.1936 56.943138) (xy 133.1936 56.848862) + (xy 133.5064 56.848862) (xy 133.5064 56.943138) (xy 133.524792 57.035603) (xy 133.56087 57.122702) (xy 133.613247 57.20109) + (xy 133.67991 57.267753) (xy 133.758298 57.32013) (xy 133.845397 57.356208) (xy 133.937862 57.3746) (xy 134.032138 57.3746) + (xy 134.124603 57.356208) (xy 134.211702 57.32013) (xy 134.29009 57.267753) (xy 134.356753 57.20109) (xy 134.40913 57.122702) + (xy 134.445208 57.035603) (xy 134.4636 56.943138) (xy 134.4636 56.848862) (xy 134.7764 56.848862) (xy 134.7764 56.943138) + (xy 134.794792 57.035603) (xy 134.83087 57.122702) (xy 134.883247 57.20109) (xy 134.94991 57.267753) (xy 135.028298 57.32013) + (xy 135.115397 57.356208) (xy 135.207862 57.3746) (xy 135.302138 57.3746) (xy 135.394603 57.356208) (xy 135.481702 57.32013) + (xy 135.56009 57.267753) (xy 135.626753 57.20109) (xy 135.67913 57.122702) (xy 135.715208 57.035603) (xy 135.7336 56.943138) + (xy 135.7336 56.848862) (xy 136.0464 56.848862) (xy 136.0464 56.943138) (xy 136.064792 57.035603) (xy 136.10087 57.122702) + (xy 136.153247 57.20109) (xy 136.21991 57.267753) (xy 136.298298 57.32013) (xy 136.385397 57.356208) (xy 136.477862 57.3746) + (xy 136.572138 57.3746) (xy 136.664603 57.356208) (xy 136.751702 57.32013) (xy 136.83009 57.267753) (xy 136.896753 57.20109) + (xy 136.94913 57.122702) (xy 136.985208 57.035603) (xy 137.0036 56.943138) (xy 137.0036 56.907437) (xy 143.6164 56.907437) + (xy 143.6164 57.011563) (xy 143.636713 57.113687) (xy 143.67656 57.209886) (xy 143.734409 57.296463) (xy 143.808037 57.370091) + (xy 143.894614 57.42794) (xy 143.990813 57.467787) (xy 144.092937 57.4881) (xy 144.197063 57.4881) (xy 144.299187 57.467787) + (xy 144.395386 57.42794) (xy 144.481963 57.370091) (xy 144.555591 57.296463) (xy 144.61344 57.209886) (xy 144.653287 57.113687) + (xy 144.6736 57.011563) (xy 144.6736 56.907437) (xy 152.5064 56.907437) (xy 152.5064 57.011563) (xy 152.526713 57.113687) + (xy 152.56656 57.209886) (xy 152.624409 57.296463) (xy 152.698037 57.370091) (xy 152.784614 57.42794) (xy 152.880813 57.467787) + (xy 152.982937 57.4881) (xy 153.087063 57.4881) (xy 153.189187 57.467787) (xy 153.285386 57.42794) (xy 153.371963 57.370091) + (xy 153.445591 57.296463) (xy 153.50344 57.209886) (xy 153.543287 57.113687) (xy 153.5636 57.011563) (xy 153.5636 56.907437) + (xy 153.55195 56.848862) (xy 153.8264 56.848862) (xy 153.8264 56.943138) (xy 153.844792 57.035603) (xy 153.88087 57.122702) + (xy 153.933247 57.20109) (xy 153.99991 57.267753) (xy 154.078298 57.32013) (xy 154.165397 57.356208) (xy 154.257862 57.3746) + (xy 154.352138 57.3746) (xy 154.444603 57.356208) (xy 154.531702 57.32013) (xy 154.61009 57.267753) (xy 154.676753 57.20109) + (xy 154.72913 57.122702) (xy 154.765208 57.035603) (xy 154.7836 56.943138) (xy 154.7836 56.848862) (xy 155.0964 56.848862) + (xy 155.0964 56.943138) (xy 155.114792 57.035603) (xy 155.15087 57.122702) (xy 155.203247 57.20109) (xy 155.26991 57.267753) + (xy 155.348298 57.32013) (xy 155.435397 57.356208) (xy 155.527862 57.3746) (xy 155.622138 57.3746) (xy 155.714603 57.356208) + (xy 155.801702 57.32013) (xy 155.88009 57.267753) (xy 155.946753 57.20109) (xy 155.99913 57.122702) (xy 156.035208 57.035603) + (xy 156.0536 56.943138) (xy 156.0536 56.848862) (xy 156.3664 56.848862) (xy 156.3664 56.943138) (xy 156.384792 57.035603) + (xy 156.42087 57.122702) (xy 156.473247 57.20109) (xy 156.53991 57.267753) (xy 156.618298 57.32013) (xy 156.705397 57.356208) + (xy 156.797862 57.3746) (xy 156.892138 57.3746) (xy 156.984603 57.356208) (xy 157.071702 57.32013) (xy 157.15009 57.267753) + (xy 157.216753 57.20109) (xy 157.26913 57.122702) (xy 157.305208 57.035603) (xy 157.3236 56.943138) (xy 157.3236 56.848862) + (xy 157.6364 56.848862) (xy 157.6364 56.943138) (xy 157.654792 57.035603) (xy 157.69087 57.122702) (xy 157.743247 57.20109) + (xy 157.80991 57.267753) (xy 157.888298 57.32013) (xy 157.975397 57.356208) (xy 158.067862 57.3746) (xy 158.162138 57.3746) + (xy 158.254603 57.356208) (xy 158.341702 57.32013) (xy 158.42009 57.267753) (xy 158.486753 57.20109) (xy 158.53913 57.122702) + (xy 158.575208 57.035603) (xy 158.5936 56.943138) (xy 158.5936 56.848862) (xy 158.9064 56.848862) (xy 158.9064 56.943138) + (xy 158.924792 57.035603) (xy 158.96087 57.122702) (xy 159.013247 57.20109) (xy 159.07991 57.267753) (xy 159.158298 57.32013) + (xy 159.245397 57.356208) (xy 159.337862 57.3746) (xy 159.432138 57.3746) (xy 159.524603 57.356208) (xy 159.611702 57.32013) + (xy 159.69009 57.267753) (xy 159.756753 57.20109) (xy 159.80913 57.122702) (xy 159.845208 57.035603) (xy 159.8636 56.943138) + (xy 159.8636 56.848862) (xy 160.1764 56.848862) (xy 160.1764 56.943138) (xy 160.194792 57.035603) (xy 160.23087 57.122702) + (xy 160.283247 57.20109) (xy 160.34991 57.267753) (xy 160.428298 57.32013) (xy 160.515397 57.356208) (xy 160.607862 57.3746) + (xy 160.702138 57.3746) (xy 160.794603 57.356208) (xy 160.881702 57.32013) (xy 160.96009 57.267753) (xy 161.026753 57.20109) + (xy 161.07913 57.122702) (xy 161.115208 57.035603) (xy 161.1336 56.943138) (xy 161.1336 56.848862) (xy 165.2564 56.848862) + (xy 165.2564 56.943138) (xy 165.274792 57.035603) (xy 165.31087 57.122702) (xy 165.363247 57.20109) (xy 165.42991 57.267753) + (xy 165.508298 57.32013) (xy 165.595397 57.356208) (xy 165.687862 57.3746) (xy 165.782138 57.3746) (xy 165.874603 57.356208) + (xy 165.961702 57.32013) (xy 166.04009 57.267753) (xy 166.106753 57.20109) (xy 166.15913 57.122702) (xy 166.195208 57.035603) + (xy 166.2136 56.943138) (xy 166.2136 56.848862) (xy 166.5264 56.848862) (xy 166.5264 56.943138) (xy 166.544792 57.035603) + (xy 166.58087 57.122702) (xy 166.633247 57.20109) (xy 166.69991 57.267753) (xy 166.778298 57.32013) (xy 166.865397 57.356208) + (xy 166.957862 57.3746) (xy 167.052138 57.3746) (xy 167.144603 57.356208) (xy 167.231702 57.32013) (xy 167.31009 57.267753) + (xy 167.376753 57.20109) (xy 167.42913 57.122702) (xy 167.465208 57.035603) (xy 167.4836 56.943138) (xy 167.4836 56.848862) + (xy 167.7964 56.848862) (xy 167.7964 56.943138) (xy 167.814792 57.035603) (xy 167.85087 57.122702) (xy 167.903247 57.20109) + (xy 167.96991 57.267753) (xy 168.048298 57.32013) (xy 168.135397 57.356208) (xy 168.227862 57.3746) (xy 168.322138 57.3746) + (xy 168.414603 57.356208) (xy 168.501702 57.32013) (xy 168.58009 57.267753) (xy 168.646753 57.20109) (xy 168.69913 57.122702) + (xy 168.735208 57.035603) (xy 168.7536 56.943138) (xy 168.7536 56.907437) (xy 169.0164 56.907437) (xy 169.0164 57.011563) + (xy 169.036713 57.113687) (xy 169.07656 57.209886) (xy 169.134409 57.296463) (xy 169.208037 57.370091) (xy 169.294614 57.42794) + (xy 169.390813 57.467787) (xy 169.492937 57.4881) (xy 169.597063 57.4881) (xy 169.699187 57.467787) (xy 169.795386 57.42794) + (xy 169.881963 57.370091) (xy 169.955591 57.296463) (xy 170.01344 57.209886) (xy 170.053287 57.113687) (xy 170.065385 57.052862) + (xy 190.4214 57.052862) (xy 190.4214 57.147138) (xy 190.439792 57.239603) (xy 190.47587 57.326702) (xy 190.528247 57.40509) + (xy 190.59491 57.471753) (xy 190.673298 57.52413) (xy 190.760397 57.560208) (xy 190.852862 57.5786) (xy 190.947138 57.5786) + (xy 191.039603 57.560208) (xy 191.126702 57.52413) (xy 191.20509 57.471753) (xy 191.271753 57.40509) (xy 191.32413 57.326702) + (xy 191.360208 57.239603) (xy 191.3786 57.147138) (xy 191.3786 57.052862) (xy 191.360208 56.960397) (xy 191.32413 56.873298) + (xy 191.271753 56.79491) (xy 191.20509 56.728247) (xy 191.126702 56.67587) (xy 191.039603 56.639792) (xy 190.947138 56.6214) + (xy 190.852862 56.6214) (xy 190.760397 56.639792) (xy 190.673298 56.67587) (xy 190.59491 56.728247) (xy 190.528247 56.79491) + (xy 190.47587 56.873298) (xy 190.439792 56.960397) (xy 190.4214 57.052862) (xy 170.065385 57.052862) (xy 170.0736 57.011563) + (xy 170.0736 56.907437) (xy 170.053287 56.805313) (xy 170.01344 56.709114) (xy 169.955591 56.622537) (xy 169.935886 56.602832) + (xy 200.041537 56.602832) (xy 200.128539 56.737049) (xy 200.305953 56.824265) (xy 200.496972 56.875192) (xy 200.694257 56.887875) + (xy 200.890225 56.861826) (xy 201.077346 56.798046) (xy 201.191461 56.737049) (xy 201.278463 56.602832) (xy 200.66 55.984369) + (xy 200.041537 56.602832) (xy 169.935886 56.602832) (xy 169.881963 56.548909) (xy 169.795386 56.49106) (xy 169.699187 56.451213) + (xy 169.597063 56.4309) (xy 169.492937 56.4309) (xy 169.390813 56.451213) (xy 169.294614 56.49106) (xy 169.208037 56.548909) + (xy 169.134409 56.622537) (xy 169.07656 56.709114) (xy 169.036713 56.805313) (xy 169.0164 56.907437) (xy 168.7536 56.907437) + (xy 168.7536 56.848862) (xy 168.735208 56.756397) (xy 168.69913 56.669298) (xy 168.646753 56.59091) (xy 168.58009 56.524247) + (xy 168.501702 56.47187) (xy 168.414603 56.435792) (xy 168.322138 56.4174) (xy 168.227862 56.4174) (xy 168.135397 56.435792) + (xy 168.048298 56.47187) (xy 167.96991 56.524247) (xy 167.903247 56.59091) (xy 167.85087 56.669298) (xy 167.814792 56.756397) + (xy 167.7964 56.848862) (xy 167.4836 56.848862) (xy 167.465208 56.756397) (xy 167.42913 56.669298) (xy 167.376753 56.59091) + (xy 167.31009 56.524247) (xy 167.231702 56.47187) (xy 167.144603 56.435792) (xy 167.052138 56.4174) (xy 166.957862 56.4174) + (xy 166.865397 56.435792) (xy 166.778298 56.47187) (xy 166.69991 56.524247) (xy 166.633247 56.59091) (xy 166.58087 56.669298) + (xy 166.544792 56.756397) (xy 166.5264 56.848862) (xy 166.2136 56.848862) (xy 166.195208 56.756397) (xy 166.15913 56.669298) + (xy 166.106753 56.59091) (xy 166.04009 56.524247) (xy 165.961702 56.47187) (xy 165.874603 56.435792) (xy 165.782138 56.4174) + (xy 165.687862 56.4174) (xy 165.595397 56.435792) (xy 165.508298 56.47187) (xy 165.42991 56.524247) (xy 165.363247 56.59091) + (xy 165.31087 56.669298) (xy 165.274792 56.756397) (xy 165.2564 56.848862) (xy 161.1336 56.848862) (xy 161.115208 56.756397) + (xy 161.07913 56.669298) (xy 161.026753 56.59091) (xy 160.96009 56.524247) (xy 160.881702 56.47187) (xy 160.794603 56.435792) + (xy 160.702138 56.4174) (xy 160.607862 56.4174) (xy 160.515397 56.435792) (xy 160.428298 56.47187) (xy 160.34991 56.524247) + (xy 160.283247 56.59091) (xy 160.23087 56.669298) (xy 160.194792 56.756397) (xy 160.1764 56.848862) (xy 159.8636 56.848862) + (xy 159.845208 56.756397) (xy 159.80913 56.669298) (xy 159.756753 56.59091) (xy 159.69009 56.524247) (xy 159.611702 56.47187) + (xy 159.524603 56.435792) (xy 159.432138 56.4174) (xy 159.337862 56.4174) (xy 159.245397 56.435792) (xy 159.158298 56.47187) + (xy 159.07991 56.524247) (xy 159.013247 56.59091) (xy 158.96087 56.669298) (xy 158.924792 56.756397) (xy 158.9064 56.848862) + (xy 158.5936 56.848862) (xy 158.575208 56.756397) (xy 158.53913 56.669298) (xy 158.486753 56.59091) (xy 158.42009 56.524247) + (xy 158.341702 56.47187) (xy 158.254603 56.435792) (xy 158.162138 56.4174) (xy 158.067862 56.4174) (xy 157.975397 56.435792) + (xy 157.888298 56.47187) (xy 157.80991 56.524247) (xy 157.743247 56.59091) (xy 157.69087 56.669298) (xy 157.654792 56.756397) + (xy 157.6364 56.848862) (xy 157.3236 56.848862) (xy 157.305208 56.756397) (xy 157.26913 56.669298) (xy 157.216753 56.59091) + (xy 157.15009 56.524247) (xy 157.071702 56.47187) (xy 156.984603 56.435792) (xy 156.892138 56.4174) (xy 156.797862 56.4174) + (xy 156.705397 56.435792) (xy 156.618298 56.47187) (xy 156.53991 56.524247) (xy 156.473247 56.59091) (xy 156.42087 56.669298) + (xy 156.384792 56.756397) (xy 156.3664 56.848862) (xy 156.0536 56.848862) (xy 156.035208 56.756397) (xy 155.99913 56.669298) + (xy 155.946753 56.59091) (xy 155.88009 56.524247) (xy 155.801702 56.47187) (xy 155.714603 56.435792) (xy 155.622138 56.4174) + (xy 155.527862 56.4174) (xy 155.435397 56.435792) (xy 155.348298 56.47187) (xy 155.26991 56.524247) (xy 155.203247 56.59091) + (xy 155.15087 56.669298) (xy 155.114792 56.756397) (xy 155.0964 56.848862) (xy 154.7836 56.848862) (xy 154.765208 56.756397) + (xy 154.72913 56.669298) (xy 154.676753 56.59091) (xy 154.61009 56.524247) (xy 154.531702 56.47187) (xy 154.444603 56.435792) + (xy 154.352138 56.4174) (xy 154.257862 56.4174) (xy 154.165397 56.435792) (xy 154.078298 56.47187) (xy 153.99991 56.524247) + (xy 153.933247 56.59091) (xy 153.88087 56.669298) (xy 153.844792 56.756397) (xy 153.8264 56.848862) (xy 153.55195 56.848862) + (xy 153.543287 56.805313) (xy 153.50344 56.709114) (xy 153.445591 56.622537) (xy 153.371963 56.548909) (xy 153.285386 56.49106) + (xy 153.189187 56.451213) (xy 153.087063 56.4309) (xy 152.982937 56.4309) (xy 152.880813 56.451213) (xy 152.784614 56.49106) + (xy 152.698037 56.548909) (xy 152.624409 56.622537) (xy 152.56656 56.709114) (xy 152.526713 56.805313) (xy 152.5064 56.907437) + (xy 144.6736 56.907437) (xy 144.653287 56.805313) (xy 144.61344 56.709114) (xy 144.555591 56.622537) (xy 144.481963 56.548909) + (xy 144.395386 56.49106) (xy 144.299187 56.451213) (xy 144.197063 56.4309) (xy 144.092937 56.4309) (xy 143.990813 56.451213) + (xy 143.894614 56.49106) (xy 143.808037 56.548909) (xy 143.734409 56.622537) (xy 143.67656 56.709114) (xy 143.636713 56.805313) + (xy 143.6164 56.907437) (xy 137.0036 56.907437) (xy 137.0036 56.848862) (xy 136.985208 56.756397) (xy 136.94913 56.669298) + (xy 136.896753 56.59091) (xy 136.83009 56.524247) (xy 136.751702 56.47187) (xy 136.664603 56.435792) (xy 136.572138 56.4174) + (xy 136.477862 56.4174) (xy 136.385397 56.435792) (xy 136.298298 56.47187) (xy 136.21991 56.524247) (xy 136.153247 56.59091) + (xy 136.10087 56.669298) (xy 136.064792 56.756397) (xy 136.0464 56.848862) (xy 135.7336 56.848862) (xy 135.715208 56.756397) + (xy 135.67913 56.669298) (xy 135.626753 56.59091) (xy 135.56009 56.524247) (xy 135.481702 56.47187) (xy 135.394603 56.435792) + (xy 135.302138 56.4174) (xy 135.207862 56.4174) (xy 135.115397 56.435792) (xy 135.028298 56.47187) (xy 134.94991 56.524247) + (xy 134.883247 56.59091) (xy 134.83087 56.669298) (xy 134.794792 56.756397) (xy 134.7764 56.848862) (xy 134.4636 56.848862) + (xy 134.445208 56.756397) (xy 134.40913 56.669298) (xy 134.356753 56.59091) (xy 134.29009 56.524247) (xy 134.211702 56.47187) + (xy 134.124603 56.435792) (xy 134.032138 56.4174) (xy 133.937862 56.4174) (xy 133.845397 56.435792) (xy 133.758298 56.47187) + (xy 133.67991 56.524247) (xy 133.613247 56.59091) (xy 133.56087 56.669298) (xy 133.524792 56.756397) (xy 133.5064 56.848862) + (xy 133.1936 56.848862) (xy 133.175208 56.756397) (xy 133.13913 56.669298) (xy 133.086753 56.59091) (xy 133.02009 56.524247) + (xy 132.941702 56.47187) (xy 132.854603 56.435792) (xy 132.762138 56.4174) (xy 132.667862 56.4174) (xy 132.575397 56.435792) + (xy 132.488298 56.47187) (xy 132.40991 56.524247) (xy 132.343247 56.59091) (xy 132.29087 56.669298) (xy 132.254792 56.756397) + (xy 132.2364 56.848862) (xy 131.9236 56.848862) (xy 131.905208 56.756397) (xy 131.86913 56.669298) (xy 131.816753 56.59091) + (xy 131.75009 56.524247) (xy 131.671702 56.47187) (xy 131.584603 56.435792) (xy 131.492138 56.4174) (xy 131.397862 56.4174) + (xy 131.305397 56.435792) (xy 131.218298 56.47187) (xy 131.13991 56.524247) (xy 131.073247 56.59091) (xy 131.02087 56.669298) + (xy 130.984792 56.756397) (xy 130.9664 56.848862) (xy 130.6536 56.848862) (xy 130.635208 56.756397) (xy 130.59913 56.669298) + (xy 130.546753 56.59091) (xy 130.48009 56.524247) (xy 130.401702 56.47187) (xy 130.314603 56.435792) (xy 130.222138 56.4174) + (xy 130.127862 56.4174) (xy 130.035397 56.435792) (xy 129.948298 56.47187) (xy 129.86991 56.524247) (xy 129.803247 56.59091) + (xy 129.75087 56.669298) (xy 129.714792 56.756397) (xy 129.6964 56.848862) (xy 129.3836 56.848862) (xy 129.365208 56.756397) + (xy 129.32913 56.669298) (xy 129.276753 56.59091) (xy 129.21009 56.524247) (xy 129.131702 56.47187) (xy 129.044603 56.435792) + (xy 128.952138 56.4174) (xy 128.857862 56.4174) (xy 128.765397 56.435792) (xy 128.678298 56.47187) (xy 128.59991 56.524247) + (xy 128.533247 56.59091) (xy 128.48087 56.669298) (xy 128.444792 56.756397) (xy 128.4264 56.848862) (xy 128.15195 56.848862) + (xy 128.143287 56.805313) (xy 128.10344 56.709114) (xy 128.045591 56.622537) (xy 127.971963 56.548909) (xy 127.885386 56.49106) + (xy 127.789187 56.451213) (xy 127.687063 56.4309) (xy 127.582937 56.4309) (xy 127.480813 56.451213) (xy 127.384614 56.49106) + (xy 127.298037 56.548909) (xy 127.224409 56.622537) (xy 127.16656 56.709114) (xy 127.126713 56.805313) (xy 127.1064 56.907437) + (xy 103.5546 56.907437) (xy 103.5546 54.905685) (xy 109.817899 54.905685) (xy 109.817899 55.184623) (xy 109.872317 55.458201) + (xy 109.979061 55.715905) (xy 110.134031 55.947833) (xy 110.33127 56.145072) (xy 110.563198 56.300042) (xy 110.820902 56.406786) + (xy 111.09448 56.461204) (xy 111.373418 56.461204) (xy 111.646996 56.406786) (xy 111.9047 56.300042) (xy 112.136628 56.145072) + (xy 112.333867 55.947833) (xy 112.356301 55.914257) (xy 199.652125 55.914257) (xy 199.678174 56.110225) (xy 199.741954 56.297346) + (xy 199.802951 56.411461) (xy 199.937168 56.498463) (xy 200.555631 55.88) (xy 200.764369 55.88) (xy 201.382832 56.498463) + (xy 201.517049 56.411461) (xy 201.604265 56.234047) (xy 201.655192 56.043028) (xy 201.667875 55.845743) (xy 201.65929 55.781154) + (xy 202.1964 55.781154) (xy 202.1964 55.978846) (xy 202.234968 56.172739) (xy 202.310621 56.355383) (xy 202.420453 56.519758) + (xy 202.560242 56.659547) (xy 202.724617 56.769379) (xy 202.907261 56.845032) (xy 203.101154 56.8836) (xy 203.298846 56.8836) + (xy 203.492739 56.845032) (xy 203.675383 56.769379) (xy 203.839758 56.659547) (xy 203.896473 56.602832) (xy 205.121537 56.602832) + (xy 205.208539 56.737049) (xy 205.385953 56.824265) (xy 205.576972 56.875192) (xy 205.774257 56.887875) (xy 205.970225 56.861826) + (xy 206.157346 56.798046) (xy 206.271461 56.737049) (xy 206.358463 56.602832) (xy 205.74 55.984369) (xy 205.121537 56.602832) + (xy 203.896473 56.602832) (xy 203.979547 56.519758) (xy 204.089379 56.355383) (xy 204.165032 56.172739) (xy 204.2036 55.978846) + (xy 204.2036 55.914257) (xy 204.732125 55.914257) (xy 204.758174 56.110225) (xy 204.821954 56.297346) (xy 204.882951 56.411461) + (xy 205.017168 56.498463) (xy 205.635631 55.88) (xy 205.844369 55.88) (xy 206.462832 56.498463) (xy 206.597049 56.411461) + (xy 206.684265 56.234047) (xy 206.735192 56.043028) (xy 206.747875 55.845743) (xy 206.721826 55.649775) (xy 206.658046 55.462654) + (xy 206.597049 55.348539) (xy 206.462832 55.261537) (xy 205.844369 55.88) (xy 205.635631 55.88) (xy 205.017168 55.261537) + (xy 204.882951 55.348539) (xy 204.795735 55.525953) (xy 204.744808 55.716972) (xy 204.732125 55.914257) (xy 204.2036 55.914257) + (xy 204.2036 55.781154) (xy 204.165032 55.587261) (xy 204.089379 55.404617) (xy 203.979547 55.240242) (xy 203.896473 55.157168) + (xy 205.121537 55.157168) (xy 205.74 55.775631) (xy 206.358463 55.157168) (xy 206.271461 55.022951) (xy 206.094047 54.935735) + (xy 205.903028 54.884808) (xy 205.705743 54.872125) (xy 205.509775 54.898174) (xy 205.322654 54.961954) (xy 205.208539 55.022951) + (xy 205.121537 55.157168) (xy 203.896473 55.157168) (xy 203.839758 55.100453) (xy 203.675383 54.990621) (xy 203.492739 54.914968) + (xy 203.298846 54.8764) (xy 203.101154 54.8764) (xy 202.907261 54.914968) (xy 202.724617 54.990621) (xy 202.560242 55.100453) + (xy 202.420453 55.240242) (xy 202.310621 55.404617) (xy 202.234968 55.587261) (xy 202.1964 55.781154) (xy 201.65929 55.781154) + (xy 201.641826 55.649775) (xy 201.578046 55.462654) (xy 201.517049 55.348539) (xy 201.382832 55.261537) (xy 200.764369 55.88) + (xy 200.555631 55.88) (xy 199.937168 55.261537) (xy 199.802951 55.348539) (xy 199.715735 55.525953) (xy 199.664808 55.716972) + (xy 199.652125 55.914257) (xy 112.356301 55.914257) (xy 112.488837 55.715905) (xy 112.595581 55.458201) (xy 112.649999 55.184623) + (xy 112.649999 55.183088) (xy 123.2599 55.183088) (xy 123.2599 55.306912) (xy 123.284056 55.428356) (xy 123.331441 55.542754) + (xy 123.400234 55.645709) (xy 123.487791 55.733266) (xy 123.590746 55.802059) (xy 123.705144 55.849444) (xy 123.826588 55.8736) + (xy 123.950412 55.8736) (xy 124.071856 55.849444) (xy 124.186254 55.802059) (xy 124.289209 55.733266) (xy 124.376766 55.645709) + (xy 124.445559 55.542754) (xy 124.492944 55.428356) (xy 124.5171 55.306912) (xy 124.5171 55.183088) (xy 124.492944 55.061644) + (xy 124.445559 54.947246) (xy 124.440008 54.938937) (xy 126.3444 54.938937) (xy 126.3444 55.043063) (xy 126.364713 55.145187) + (xy 126.40456 55.241386) (xy 126.462409 55.327963) (xy 126.536037 55.401591) (xy 126.622614 55.45944) (xy 126.718813 55.499287) + (xy 126.820937 55.5196) (xy 126.925063 55.5196) (xy 127.027187 55.499287) (xy 127.123386 55.45944) (xy 127.209963 55.401591) + (xy 127.283591 55.327963) (xy 127.34144 55.241386) (xy 127.381287 55.145187) (xy 127.4016 55.043063) (xy 127.4016 54.938937) + (xy 144.3784 54.938937) (xy 144.3784 55.043063) (xy 144.398713 55.145187) (xy 144.43856 55.241386) (xy 144.496409 55.327963) + (xy 144.570037 55.401591) (xy 144.656614 55.45944) (xy 144.752813 55.499287) (xy 144.854937 55.5196) (xy 144.959063 55.5196) + (xy 145.061187 55.499287) (xy 145.157386 55.45944) (xy 145.243963 55.401591) (xy 145.317591 55.327963) (xy 145.37544 55.241386) + (xy 145.415287 55.145187) (xy 145.418419 55.129437) (xy 147.2994 55.129437) (xy 147.2994 55.233563) (xy 147.319713 55.335687) + (xy 147.35956 55.431886) (xy 147.417409 55.518463) (xy 147.491037 55.592091) (xy 147.577614 55.64994) (xy 147.673813 55.689787) + (xy 147.775937 55.7101) (xy 147.880063 55.7101) (xy 147.982187 55.689787) (xy 148.078386 55.64994) (xy 148.164963 55.592091) + (xy 148.238591 55.518463) (xy 148.29644 55.431886) (xy 148.336287 55.335687) (xy 148.3566 55.233563) (xy 148.3566 55.129437) + (xy 148.8234 55.129437) (xy 148.8234 55.233563) (xy 148.843713 55.335687) (xy 148.88356 55.431886) (xy 148.941409 55.518463) + (xy 149.015037 55.592091) (xy 149.101614 55.64994) (xy 149.197813 55.689787) (xy 149.299937 55.7101) (xy 149.404063 55.7101) + (xy 149.506187 55.689787) (xy 149.602386 55.64994) (xy 149.688963 55.592091) (xy 149.762591 55.518463) (xy 149.82044 55.431886) + (xy 149.860287 55.335687) (xy 149.8806 55.233563) (xy 149.8806 55.129437) (xy 149.860287 55.027313) (xy 149.823681 54.938937) + (xy 151.7444 54.938937) (xy 151.7444 55.043063) (xy 151.764713 55.145187) (xy 151.80456 55.241386) (xy 151.862409 55.327963) + (xy 151.936037 55.401591) (xy 152.022614 55.45944) (xy 152.118813 55.499287) (xy 152.220937 55.5196) (xy 152.325063 55.5196) + (xy 152.427187 55.499287) (xy 152.523386 55.45944) (xy 152.609963 55.401591) (xy 152.683591 55.327963) (xy 152.74144 55.241386) + (xy 152.781287 55.145187) (xy 152.8016 55.043063) (xy 152.8016 54.938937) (xy 169.7784 54.938937) (xy 169.7784 55.043063) + (xy 169.798713 55.145187) (xy 169.83856 55.241386) (xy 169.896409 55.327963) (xy 169.970037 55.401591) (xy 170.056614 55.45944) + (xy 170.152813 55.499287) (xy 170.254937 55.5196) (xy 170.359063 55.5196) (xy 170.461187 55.499287) (xy 170.557386 55.45944) + (xy 170.643963 55.401591) (xy 170.717591 55.327963) (xy 170.77544 55.241386) (xy 170.815287 55.145187) (xy 170.818419 55.129437) + (xy 172.6994 55.129437) (xy 172.6994 55.233563) (xy 172.719713 55.335687) (xy 172.75956 55.431886) (xy 172.817409 55.518463) + (xy 172.891037 55.592091) (xy 172.977614 55.64994) (xy 173.073813 55.689787) (xy 173.175937 55.7101) (xy 173.280063 55.7101) + (xy 173.382187 55.689787) (xy 173.478386 55.64994) (xy 173.564963 55.592091) (xy 173.638591 55.518463) (xy 173.69644 55.431886) + (xy 173.736287 55.335687) (xy 173.7566 55.233563) (xy 173.7566 55.157168) (xy 200.041537 55.157168) (xy 200.66 55.775631) + (xy 201.278463 55.157168) (xy 201.191461 55.022951) (xy 201.014047 54.935735) (xy 200.823028 54.884808) (xy 200.625743 54.872125) + (xy 200.429775 54.898174) (xy 200.242654 54.961954) (xy 200.128539 55.022951) (xy 200.041537 55.157168) (xy 173.7566 55.157168) + (xy 173.7566 55.129437) (xy 173.736287 55.027313) (xy 173.69644 54.931114) (xy 173.638591 54.844537) (xy 173.564963 54.770909) + (xy 173.478386 54.71306) (xy 173.382187 54.673213) (xy 173.280063 54.6529) (xy 173.175937 54.6529) (xy 173.073813 54.673213) + (xy 172.977614 54.71306) (xy 172.891037 54.770909) (xy 172.817409 54.844537) (xy 172.75956 54.931114) (xy 172.719713 55.027313) + (xy 172.6994 55.129437) (xy 170.818419 55.129437) (xy 170.8356 55.043063) (xy 170.8356 54.938937) (xy 170.815287 54.836813) + (xy 170.77544 54.740614) (xy 170.717591 54.654037) (xy 170.643963 54.580409) (xy 170.557386 54.52256) (xy 170.461187 54.482713) + (xy 170.359063 54.4624) (xy 170.254937 54.4624) (xy 170.152813 54.482713) (xy 170.056614 54.52256) (xy 169.970037 54.580409) + (xy 169.896409 54.654037) (xy 169.83856 54.740614) (xy 169.798713 54.836813) (xy 169.7784 54.938937) (xy 152.8016 54.938937) + (xy 152.781287 54.836813) (xy 152.74144 54.740614) (xy 152.683591 54.654037) (xy 152.609963 54.580409) (xy 152.523386 54.52256) + (xy 152.427187 54.482713) (xy 152.325063 54.4624) (xy 152.220937 54.4624) (xy 152.118813 54.482713) (xy 152.022614 54.52256) + (xy 151.936037 54.580409) (xy 151.862409 54.654037) (xy 151.80456 54.740614) (xy 151.764713 54.836813) (xy 151.7444 54.938937) + (xy 149.823681 54.938937) (xy 149.82044 54.931114) (xy 149.762591 54.844537) (xy 149.688963 54.770909) (xy 149.602386 54.71306) + (xy 149.506187 54.673213) (xy 149.404063 54.6529) (xy 149.299937 54.6529) (xy 149.197813 54.673213) (xy 149.101614 54.71306) + (xy 149.015037 54.770909) (xy 148.941409 54.844537) (xy 148.88356 54.931114) (xy 148.843713 55.027313) (xy 148.8234 55.129437) + (xy 148.3566 55.129437) (xy 148.336287 55.027313) (xy 148.29644 54.931114) (xy 148.238591 54.844537) (xy 148.164963 54.770909) + (xy 148.078386 54.71306) (xy 147.982187 54.673213) (xy 147.880063 54.6529) (xy 147.775937 54.6529) (xy 147.673813 54.673213) + (xy 147.577614 54.71306) (xy 147.491037 54.770909) (xy 147.417409 54.844537) (xy 147.35956 54.931114) (xy 147.319713 55.027313) + (xy 147.2994 55.129437) (xy 145.418419 55.129437) (xy 145.4356 55.043063) (xy 145.4356 54.938937) (xy 145.415287 54.836813) + (xy 145.37544 54.740614) (xy 145.317591 54.654037) (xy 145.243963 54.580409) (xy 145.157386 54.52256) (xy 145.061187 54.482713) + (xy 144.959063 54.4624) (xy 144.854937 54.4624) (xy 144.752813 54.482713) (xy 144.656614 54.52256) (xy 144.570037 54.580409) + (xy 144.496409 54.654037) (xy 144.43856 54.740614) (xy 144.398713 54.836813) (xy 144.3784 54.938937) (xy 127.4016 54.938937) + (xy 127.381287 54.836813) (xy 127.34144 54.740614) (xy 127.283591 54.654037) (xy 127.209963 54.580409) (xy 127.123386 54.52256) + (xy 127.027187 54.482713) (xy 126.925063 54.4624) (xy 126.820937 54.4624) (xy 126.718813 54.482713) (xy 126.622614 54.52256) + (xy 126.536037 54.580409) (xy 126.462409 54.654037) (xy 126.40456 54.740614) (xy 126.364713 54.836813) (xy 126.3444 54.938937) + (xy 124.440008 54.938937) (xy 124.376766 54.844291) (xy 124.289209 54.756734) (xy 124.186254 54.687941) (xy 124.071856 54.640556) + (xy 123.950412 54.6164) (xy 123.826588 54.6164) (xy 123.705144 54.640556) (xy 123.590746 54.687941) (xy 123.487791 54.756734) + (xy 123.400234 54.844291) (xy 123.331441 54.947246) (xy 123.284056 55.061644) (xy 123.2599 55.183088) (xy 112.649999 55.183088) + (xy 112.649999 54.905685) (xy 112.595581 54.632107) (xy 112.488837 54.374403) (xy 112.333867 54.142475) (xy 112.177829 53.986437) + (xy 146.1564 53.986437) (xy 146.1564 54.090563) (xy 146.176713 54.192687) (xy 146.21656 54.288886) (xy 146.274409 54.375463) + (xy 146.348037 54.449091) (xy 146.434614 54.50694) (xy 146.530813 54.546787) (xy 146.632937 54.5671) (xy 146.737063 54.5671) + (xy 146.839187 54.546787) (xy 146.935386 54.50694) (xy 147.021963 54.449091) (xy 147.095591 54.375463) (xy 147.15344 54.288886) + (xy 147.193287 54.192687) (xy 147.2136 54.090563) (xy 147.2136 53.986437) (xy 149.9664 53.986437) (xy 149.9664 54.090563) + (xy 149.986713 54.192687) (xy 150.02656 54.288886) (xy 150.084409 54.375463) (xy 150.158037 54.449091) (xy 150.244614 54.50694) + (xy 150.340813 54.546787) (xy 150.442937 54.5671) (xy 150.547063 54.5671) (xy 150.649187 54.546787) (xy 150.745386 54.50694) + (xy 150.831963 54.449091) (xy 150.905591 54.375463) (xy 150.96344 54.288886) (xy 151.003287 54.192687) (xy 151.0236 54.090563) + (xy 151.0236 53.986437) (xy 171.5564 53.986437) (xy 171.5564 54.090563) (xy 171.576713 54.192687) (xy 171.61656 54.288886) + (xy 171.674409 54.375463) (xy 171.748037 54.449091) (xy 171.834614 54.50694) (xy 171.930813 54.546787) (xy 172.032937 54.5671) + (xy 172.137063 54.5671) (xy 172.239187 54.546787) (xy 172.335386 54.50694) (xy 172.421963 54.449091) (xy 172.495591 54.375463) + (xy 172.55344 54.288886) (xy 172.593287 54.192687) (xy 172.6136 54.090563) (xy 172.6136 53.986437) (xy 172.593287 53.884313) + (xy 172.55344 53.788114) (xy 172.495591 53.701537) (xy 172.421963 53.627909) (xy 172.335386 53.57006) (xy 172.239187 53.530213) + (xy 172.137063 53.5099) (xy 172.032937 53.5099) (xy 171.930813 53.530213) (xy 171.834614 53.57006) (xy 171.748037 53.627909) + (xy 171.674409 53.701537) (xy 171.61656 53.788114) (xy 171.576713 53.884313) (xy 171.5564 53.986437) (xy 151.0236 53.986437) + (xy 151.003287 53.884313) (xy 150.96344 53.788114) (xy 150.905591 53.701537) (xy 150.831963 53.627909) (xy 150.745386 53.57006) + (xy 150.649187 53.530213) (xy 150.547063 53.5099) (xy 150.442937 53.5099) (xy 150.340813 53.530213) (xy 150.244614 53.57006) + (xy 150.158037 53.627909) (xy 150.084409 53.701537) (xy 150.02656 53.788114) (xy 149.986713 53.884313) (xy 149.9664 53.986437) + (xy 147.2136 53.986437) (xy 147.193287 53.884313) (xy 147.15344 53.788114) (xy 147.095591 53.701537) (xy 147.021963 53.627909) + (xy 146.935386 53.57006) (xy 146.839187 53.530213) (xy 146.737063 53.5099) (xy 146.632937 53.5099) (xy 146.530813 53.530213) + (xy 146.434614 53.57006) (xy 146.348037 53.627909) (xy 146.274409 53.701537) (xy 146.21656 53.788114) (xy 146.176713 53.884313) + (xy 146.1564 53.986437) (xy 112.177829 53.986437) (xy 112.136628 53.945236) (xy 111.9047 53.790266) (xy 111.646996 53.683522) + (xy 111.373418 53.629104) (xy 111.09448 53.629104) (xy 110.820902 53.683522) (xy 110.563198 53.790266) (xy 110.33127 53.945236) + (xy 110.134031 54.142475) (xy 109.979061 54.374403) (xy 109.872317 54.632107) (xy 109.817899 54.905685) (xy 103.5546 54.905685) + (xy 103.5546 53.177804) (xy 108.713998 53.177804) (xy 108.713998 53.3204) (xy 108.741817 53.460256) (xy 108.796386 53.591997) + (xy 108.875608 53.710562) (xy 108.976438 53.811392) (xy 109.095003 53.890614) (xy 109.226744 53.945183) (xy 109.3666 53.973002) + (xy 109.509196 53.973002) (xy 109.649052 53.945183) (xy 109.780793 53.890614) (xy 109.899358 53.811392) (xy 110.000188 53.710562) + (xy 110.07941 53.591997) (xy 110.133979 53.460256) (xy 110.161798 53.3204) (xy 110.161798 53.177804) (xy 110.133979 53.037948) + (xy 110.07941 52.906207) (xy 110.000188 52.787642) (xy 109.899358 52.686812) (xy 109.780793 52.60759) (xy 109.649052 52.553021) + (xy 109.509196 52.525202) (xy 109.3666 52.525202) (xy 109.226744 52.553021) (xy 109.095003 52.60759) (xy 108.976438 52.686812) + (xy 108.875608 52.787642) (xy 108.796386 52.906207) (xy 108.741817 53.037948) (xy 108.713998 53.177804) (xy 103.5546 53.177804) + (xy 103.5546 51.313582) (xy 106.225796 51.313582) (xy 106.225796 51.59252) (xy 106.280214 51.866098) (xy 106.386958 52.123802) + (xy 106.541928 52.35573) (xy 106.739167 52.552969) (xy 106.971095 52.707939) (xy 107.228799 52.814683) (xy 107.502377 52.869101) + (xy 107.781315 52.869101) (xy 108.054893 52.814683) (xy 108.312597 52.707939) (xy 108.544525 52.552969) (xy 108.698557 52.398937) + (xy 111.7394 52.398937) (xy 111.7394 52.503063) (xy 111.759713 52.605187) (xy 111.79956 52.701386) (xy 111.857409 52.787963) + (xy 111.931037 52.861591) (xy 112.017614 52.91944) (xy 112.113813 52.959287) (xy 112.215937 52.9796) (xy 112.320063 52.9796) + (xy 112.36613 52.970437) (xy 127.1064 52.970437) (xy 127.1064 53.074563) (xy 127.126713 53.176687) (xy 127.16656 53.272886) + (xy 127.224409 53.359463) (xy 127.298037 53.433091) (xy 127.384614 53.49094) (xy 127.480813 53.530787) (xy 127.582937 53.5511) + (xy 127.687063 53.5511) (xy 127.789187 53.530787) (xy 127.885386 53.49094) (xy 127.971963 53.433091) (xy 128.045591 53.359463) + (xy 128.10344 53.272886) (xy 128.143287 53.176687) (xy 128.1636 53.074563) (xy 128.1636 52.970437) (xy 143.6164 52.970437) + (xy 143.6164 53.074563) (xy 143.636713 53.176687) (xy 143.67656 53.272886) (xy 143.734409 53.359463) (xy 143.808037 53.433091) + (xy 143.894614 53.49094) (xy 143.990813 53.530787) (xy 144.092937 53.5511) (xy 144.197063 53.5511) (xy 144.299187 53.530787) + (xy 144.395386 53.49094) (xy 144.481963 53.433091) (xy 144.555591 53.359463) (xy 144.61344 53.272886) (xy 144.653287 53.176687) + (xy 144.6736 53.074563) (xy 144.6736 52.970437) (xy 152.5064 52.970437) (xy 152.5064 53.074563) (xy 152.526713 53.176687) + (xy 152.56656 53.272886) (xy 152.624409 53.359463) (xy 152.698037 53.433091) (xy 152.784614 53.49094) (xy 152.880813 53.530787) + (xy 152.982937 53.5511) (xy 153.087063 53.5511) (xy 153.189187 53.530787) (xy 153.285386 53.49094) (xy 153.371963 53.433091) + (xy 153.445591 53.359463) (xy 153.50344 53.272886) (xy 153.543287 53.176687) (xy 153.5636 53.074563) (xy 153.5636 53.038862) + (xy 161.4464 53.038862) (xy 161.4464 53.133138) (xy 161.464792 53.225603) (xy 161.50087 53.312702) (xy 161.553247 53.39109) + (xy 161.61991 53.457753) (xy 161.698298 53.51013) (xy 161.785397 53.546208) (xy 161.877862 53.5646) (xy 161.972138 53.5646) + (xy 162.064603 53.546208) (xy 162.151702 53.51013) (xy 162.23009 53.457753) (xy 162.296753 53.39109) (xy 162.34913 53.312702) + (xy 162.385208 53.225603) (xy 162.4036 53.133138) (xy 162.4036 53.038862) (xy 162.7164 53.038862) (xy 162.7164 53.133138) + (xy 162.734792 53.225603) (xy 162.77087 53.312702) (xy 162.823247 53.39109) (xy 162.88991 53.457753) (xy 162.968298 53.51013) + (xy 163.055397 53.546208) (xy 163.147862 53.5646) (xy 163.242138 53.5646) (xy 163.334603 53.546208) (xy 163.421702 53.51013) + (xy 163.50009 53.457753) (xy 163.566753 53.39109) (xy 163.61913 53.312702) (xy 163.655208 53.225603) (xy 163.6736 53.133138) + (xy 163.6736 53.038862) (xy 163.65999 52.970437) (xy 169.0164 52.970437) (xy 169.0164 53.074563) (xy 169.036713 53.176687) + (xy 169.07656 53.272886) (xy 169.134409 53.359463) (xy 169.208037 53.433091) (xy 169.294614 53.49094) (xy 169.390813 53.530787) + (xy 169.492937 53.5511) (xy 169.597063 53.5511) (xy 169.699187 53.530787) (xy 169.795386 53.49094) (xy 169.881963 53.433091) + (xy 169.955591 53.359463) (xy 170.01344 53.272886) (xy 170.026583 53.241154) (xy 199.6564 53.241154) (xy 199.6564 53.438846) + (xy 199.694968 53.632739) (xy 199.770621 53.815383) (xy 199.880453 53.979758) (xy 200.020242 54.119547) (xy 200.184617 54.229379) + (xy 200.367261 54.305032) (xy 200.561154 54.3436) (xy 200.758846 54.3436) (xy 200.952739 54.305032) (xy 201.135383 54.229379) + (xy 201.299758 54.119547) (xy 201.439547 53.979758) (xy 201.549379 53.815383) (xy 201.625032 53.632739) (xy 201.6636 53.438846) + (xy 201.6636 53.241154) (xy 202.1964 53.241154) (xy 202.1964 53.438846) (xy 202.234968 53.632739) (xy 202.310621 53.815383) + (xy 202.420453 53.979758) (xy 202.560242 54.119547) (xy 202.724617 54.229379) (xy 202.907261 54.305032) (xy 203.101154 54.3436) + (xy 203.298846 54.3436) (xy 203.492739 54.305032) (xy 203.675383 54.229379) (xy 203.839758 54.119547) (xy 203.979547 53.979758) + (xy 204.089379 53.815383) (xy 204.165032 53.632739) (xy 204.2036 53.438846) (xy 204.2036 53.241154) (xy 204.7364 53.241154) + (xy 204.7364 53.438846) (xy 204.774968 53.632739) (xy 204.850621 53.815383) (xy 204.960453 53.979758) (xy 205.100242 54.119547) + (xy 205.264617 54.229379) (xy 205.447261 54.305032) (xy 205.641154 54.3436) (xy 205.838846 54.3436) (xy 206.032739 54.305032) + (xy 206.215383 54.229379) (xy 206.379758 54.119547) (xy 206.519547 53.979758) (xy 206.629379 53.815383) (xy 206.705032 53.632739) + (xy 206.7436 53.438846) (xy 206.7436 53.241154) (xy 206.705032 53.047261) (xy 206.629379 52.864617) (xy 206.519547 52.700242) + (xy 206.379758 52.560453) (xy 206.215383 52.450621) (xy 206.032739 52.374968) (xy 205.838846 52.3364) (xy 205.641154 52.3364) + (xy 205.447261 52.374968) (xy 205.264617 52.450621) (xy 205.100242 52.560453) (xy 204.960453 52.700242) (xy 204.850621 52.864617) + (xy 204.774968 53.047261) (xy 204.7364 53.241154) (xy 204.2036 53.241154) (xy 204.165032 53.047261) (xy 204.089379 52.864617) + (xy 203.979547 52.700242) (xy 203.839758 52.560453) (xy 203.675383 52.450621) (xy 203.492739 52.374968) (xy 203.298846 52.3364) + (xy 203.101154 52.3364) (xy 202.907261 52.374968) (xy 202.724617 52.450621) (xy 202.560242 52.560453) (xy 202.420453 52.700242) + (xy 202.310621 52.864617) (xy 202.234968 53.047261) (xy 202.1964 53.241154) (xy 201.6636 53.241154) (xy 201.625032 53.047261) + (xy 201.549379 52.864617) (xy 201.439547 52.700242) (xy 201.299758 52.560453) (xy 201.135383 52.450621) (xy 200.952739 52.374968) + (xy 200.758846 52.3364) (xy 200.561154 52.3364) (xy 200.367261 52.374968) (xy 200.184617 52.450621) (xy 200.020242 52.560453) + (xy 199.880453 52.700242) (xy 199.770621 52.864617) (xy 199.694968 53.047261) (xy 199.6564 53.241154) (xy 170.026583 53.241154) + (xy 170.053287 53.176687) (xy 170.0736 53.074563) (xy 170.0736 52.970437) (xy 170.053287 52.868313) (xy 170.01344 52.772114) + (xy 169.955591 52.685537) (xy 169.881963 52.611909) (xy 169.795386 52.55406) (xy 169.699187 52.514213) (xy 169.597063 52.4939) + (xy 169.492937 52.4939) (xy 169.390813 52.514213) (xy 169.294614 52.55406) (xy 169.208037 52.611909) (xy 169.134409 52.685537) + (xy 169.07656 52.772114) (xy 169.036713 52.868313) (xy 169.0164 52.970437) (xy 163.65999 52.970437) (xy 163.655208 52.946397) + (xy 163.61913 52.859298) (xy 163.566753 52.78091) (xy 163.50009 52.714247) (xy 163.421702 52.66187) (xy 163.334603 52.625792) + (xy 163.242138 52.6074) (xy 163.147862 52.6074) (xy 163.055397 52.625792) (xy 162.968298 52.66187) (xy 162.88991 52.714247) + (xy 162.823247 52.78091) (xy 162.77087 52.859298) (xy 162.734792 52.946397) (xy 162.7164 53.038862) (xy 162.4036 53.038862) + (xy 162.385208 52.946397) (xy 162.34913 52.859298) (xy 162.296753 52.78091) (xy 162.23009 52.714247) (xy 162.151702 52.66187) + (xy 162.064603 52.625792) (xy 161.972138 52.6074) (xy 161.877862 52.6074) (xy 161.785397 52.625792) (xy 161.698298 52.66187) + (xy 161.61991 52.714247) (xy 161.553247 52.78091) (xy 161.50087 52.859298) (xy 161.464792 52.946397) (xy 161.4464 53.038862) + (xy 153.5636 53.038862) (xy 153.5636 52.970437) (xy 153.543287 52.868313) (xy 153.50344 52.772114) (xy 153.445591 52.685537) + (xy 153.371963 52.611909) (xy 153.285386 52.55406) (xy 153.189187 52.514213) (xy 153.087063 52.4939) (xy 152.982937 52.4939) + (xy 152.880813 52.514213) (xy 152.784614 52.55406) (xy 152.698037 52.611909) (xy 152.624409 52.685537) (xy 152.56656 52.772114) + (xy 152.526713 52.868313) (xy 152.5064 52.970437) (xy 144.6736 52.970437) (xy 144.653287 52.868313) (xy 144.61344 52.772114) + (xy 144.555591 52.685537) (xy 144.481963 52.611909) (xy 144.395386 52.55406) (xy 144.299187 52.514213) (xy 144.197063 52.4939) + (xy 144.092937 52.4939) (xy 143.990813 52.514213) (xy 143.894614 52.55406) (xy 143.808037 52.611909) (xy 143.734409 52.685537) + (xy 143.67656 52.772114) (xy 143.636713 52.868313) (xy 143.6164 52.970437) (xy 128.1636 52.970437) (xy 128.143287 52.868313) + (xy 128.10344 52.772114) (xy 128.045591 52.685537) (xy 127.971963 52.611909) (xy 127.885386 52.55406) (xy 127.789187 52.514213) + (xy 127.687063 52.4939) (xy 127.582937 52.4939) (xy 127.480813 52.514213) (xy 127.384614 52.55406) (xy 127.298037 52.611909) + (xy 127.224409 52.685537) (xy 127.16656 52.772114) (xy 127.126713 52.868313) (xy 127.1064 52.970437) (xy 112.36613 52.970437) + (xy 112.422187 52.959287) (xy 112.518386 52.91944) (xy 112.604963 52.861591) (xy 112.678591 52.787963) (xy 112.73644 52.701386) + (xy 112.776287 52.605187) (xy 112.7966 52.503063) (xy 112.7966 52.398937) (xy 112.776287 52.296813) (xy 112.73644 52.200614) + (xy 112.678591 52.114037) (xy 112.604963 52.040409) (xy 112.518386 51.98256) (xy 112.422187 51.942713) (xy 112.320063 51.9224) + (xy 112.215937 51.9224) (xy 112.113813 51.942713) (xy 112.017614 51.98256) (xy 111.931037 52.040409) (xy 111.857409 52.114037) + (xy 111.79956 52.200614) (xy 111.759713 52.296813) (xy 111.7394 52.398937) (xy 108.698557 52.398937) (xy 108.741764 52.35573) + (xy 108.896734 52.123802) (xy 109.003478 51.866098) (xy 109.057896 51.59252) (xy 109.057896 51.313582) (xy 109.009517 51.070362) + (xy 113.9484 51.070362) (xy 113.9484 51.164638) (xy 113.966792 51.257103) (xy 114.00287 51.344202) (xy 114.055247 51.42259) + (xy 114.12191 51.489253) (xy 114.200298 51.54163) (xy 114.287397 51.577708) (xy 114.379862 51.5961) (xy 114.474138 51.5961) + (xy 114.566603 51.577708) (xy 114.653702 51.54163) (xy 114.73209 51.489253) (xy 114.798753 51.42259) (xy 114.85113 51.344202) + (xy 114.887208 51.257103) (xy 114.9056 51.164638) (xy 114.9056 51.070362) (xy 115.0279 51.070362) (xy 115.0279 51.164638) + (xy 115.046292 51.257103) (xy 115.08237 51.344202) (xy 115.134747 51.42259) (xy 115.20141 51.489253) (xy 115.279798 51.54163) + (xy 115.366897 51.577708) (xy 115.459362 51.5961) (xy 115.553638 51.5961) (xy 115.646103 51.577708) (xy 115.733202 51.54163) + (xy 115.81159 51.489253) (xy 115.878253 51.42259) (xy 115.93063 51.344202) (xy 115.966708 51.257103) (xy 115.9851 51.164638) + (xy 115.9851 51.070362) (xy 115.966708 50.977897) (xy 115.93063 50.890798) (xy 115.878253 50.81241) (xy 115.81159 50.745747) + (xy 115.733202 50.69337) (xy 115.646103 50.657292) (xy 115.553638 50.6389) (xy 115.459362 50.6389) (xy 115.366897 50.657292) + (xy 115.279798 50.69337) (xy 115.20141 50.745747) (xy 115.134747 50.81241) (xy 115.08237 50.890798) (xy 115.046292 50.977897) + (xy 115.0279 51.070362) (xy 114.9056 51.070362) (xy 114.887208 50.977897) (xy 114.85113 50.890798) (xy 114.798753 50.81241) + (xy 114.73209 50.745747) (xy 114.653702 50.69337) (xy 114.566603 50.657292) (xy 114.474138 50.6389) (xy 114.379862 50.6389) + (xy 114.287397 50.657292) (xy 114.200298 50.69337) (xy 114.12191 50.745747) (xy 114.055247 50.81241) (xy 114.00287 50.890798) + (xy 113.966792 50.977897) (xy 113.9484 51.070362) (xy 109.009517 51.070362) (xy 109.003478 51.040004) (xy 108.896734 50.7823) + (xy 108.741764 50.550372) (xy 108.544525 50.353133) (xy 108.312597 50.198163) (xy 108.054893 50.091419) (xy 107.781315 50.037001) + (xy 107.502377 50.037001) (xy 107.228799 50.091419) (xy 106.971095 50.198163) (xy 106.739167 50.353133) (xy 106.541928 50.550372) + (xy 106.386958 50.7823) (xy 106.280214 51.040004) (xy 106.225796 51.313582) (xy 103.5546 51.313582) (xy 103.5546 49.068518) + (xy 115.655065 49.068518) (xy 115.655065 49.347456) (xy 115.709483 49.621034) (xy 115.816227 49.878738) (xy 115.971197 50.110666) + (xy 116.168436 50.307905) (xy 116.400364 50.462875) (xy 116.658068 50.569619) (xy 116.931646 50.624037) (xy 117.210584 50.624037) + (xy 117.484162 50.569619) (xy 117.501682 50.562362) (xy 137.3799 50.562362) (xy 137.3799 50.656638) (xy 137.398292 50.749103) + (xy 137.43437 50.836202) (xy 137.486747 50.91459) (xy 137.55341 50.981253) (xy 137.631798 51.03363) (xy 137.718897 51.069708) + (xy 137.811362 51.0881) (xy 137.905638 51.0881) (xy 137.998103 51.069708) (xy 138.085202 51.03363) (xy 138.16359 50.981253) + (xy 138.230253 50.91459) (xy 138.28263 50.836202) (xy 138.318708 50.749103) (xy 138.328245 50.701154) (xy 199.6564 50.701154) + (xy 199.6564 50.898846) (xy 199.694968 51.092739) (xy 199.770621 51.275383) (xy 199.880453 51.439758) (xy 200.020242 51.579547) + (xy 200.184617 51.689379) (xy 200.367261 51.765032) (xy 200.561154 51.8036) (xy 200.758846 51.8036) (xy 200.952739 51.765032) + (xy 201.135383 51.689379) (xy 201.299758 51.579547) (xy 201.439547 51.439758) (xy 201.549379 51.275383) (xy 201.625032 51.092739) + (xy 201.6636 50.898846) (xy 201.6636 50.701154) (xy 202.1964 50.701154) (xy 202.1964 50.898846) (xy 202.234968 51.092739) + (xy 202.310621 51.275383) (xy 202.420453 51.439758) (xy 202.560242 51.579547) (xy 202.724617 51.689379) (xy 202.907261 51.765032) + (xy 203.101154 51.8036) (xy 203.298846 51.8036) (xy 203.492739 51.765032) (xy 203.675383 51.689379) (xy 203.839758 51.579547) + (xy 203.979547 51.439758) (xy 204.089379 51.275383) (xy 204.165032 51.092739) (xy 204.2036 50.898846) (xy 204.2036 50.701154) + (xy 204.7364 50.701154) (xy 204.7364 50.898846) (xy 204.774968 51.092739) (xy 204.850621 51.275383) (xy 204.960453 51.439758) + (xy 205.100242 51.579547) (xy 205.264617 51.689379) (xy 205.447261 51.765032) (xy 205.641154 51.8036) (xy 205.838846 51.8036) + (xy 206.032739 51.765032) (xy 206.215383 51.689379) (xy 206.379758 51.579547) (xy 206.519547 51.439758) (xy 206.629379 51.275383) + (xy 206.705032 51.092739) (xy 206.7436 50.898846) (xy 206.7436 50.701154) (xy 206.705032 50.507261) (xy 206.629379 50.324617) + (xy 206.519547 50.160242) (xy 206.379758 50.020453) (xy 206.215383 49.910621) (xy 206.032739 49.834968) (xy 205.838846 49.7964) + (xy 205.641154 49.7964) (xy 205.447261 49.834968) (xy 205.264617 49.910621) (xy 205.100242 50.020453) (xy 204.960453 50.160242) + (xy 204.850621 50.324617) (xy 204.774968 50.507261) (xy 204.7364 50.701154) (xy 204.2036 50.701154) (xy 204.165032 50.507261) + (xy 204.089379 50.324617) (xy 203.979547 50.160242) (xy 203.839758 50.020453) (xy 203.675383 49.910621) (xy 203.492739 49.834968) + (xy 203.298846 49.7964) (xy 203.101154 49.7964) (xy 202.907261 49.834968) (xy 202.724617 49.910621) (xy 202.560242 50.020453) + (xy 202.420453 50.160242) (xy 202.310621 50.324617) (xy 202.234968 50.507261) (xy 202.1964 50.701154) (xy 201.6636 50.701154) + (xy 201.625032 50.507261) (xy 201.549379 50.324617) (xy 201.439547 50.160242) (xy 201.299758 50.020453) (xy 201.135383 49.910621) + (xy 200.952739 49.834968) (xy 200.758846 49.7964) (xy 200.561154 49.7964) (xy 200.367261 49.834968) (xy 200.184617 49.910621) + (xy 200.020242 50.020453) (xy 199.880453 50.160242) (xy 199.770621 50.324617) (xy 199.694968 50.507261) (xy 199.6564 50.701154) + (xy 138.328245 50.701154) (xy 138.3371 50.656638) (xy 138.3371 50.562362) (xy 138.318708 50.469897) (xy 138.28263 50.382798) + (xy 138.230253 50.30441) (xy 138.16359 50.237747) (xy 138.085202 50.18537) (xy 137.998103 50.149292) (xy 137.905638 50.1309) + (xy 137.811362 50.1309) (xy 137.718897 50.149292) (xy 137.631798 50.18537) (xy 137.55341 50.237747) (xy 137.486747 50.30441) + (xy 137.43437 50.382798) (xy 137.398292 50.469897) (xy 137.3799 50.562362) (xy 117.501682 50.562362) (xy 117.741866 50.462875) + (xy 117.973794 50.307905) (xy 118.171033 50.110666) (xy 118.326003 49.878738) (xy 118.432747 49.621034) (xy 118.487165 49.347456) + (xy 118.487165 49.068518) (xy 118.432747 48.79494) (xy 118.326003 48.537236) (xy 118.171033 48.305308) (xy 117.973794 48.108069) + (xy 117.741866 47.953099) (xy 117.484162 47.846355) (xy 117.210584 47.791937) (xy 116.931646 47.791937) (xy 116.658068 47.846355) + (xy 116.400364 47.953099) (xy 116.168436 48.108069) (xy 115.971197 48.305308) (xy 115.816227 48.537236) (xy 115.709483 48.79494) + (xy 115.655065 49.068518) (xy 103.5546 49.068518) (xy 103.5546 47.133362) (xy 111.1544 47.133362) (xy 111.1544 47.227638) + (xy 111.172792 47.320103) (xy 111.20887 47.407202) (xy 111.261247 47.48559) (xy 111.32791 47.552253) (xy 111.406298 47.60463) + (xy 111.493397 47.640708) (xy 111.585862 47.6591) (xy 111.680138 47.6591) (xy 111.772603 47.640708) (xy 111.859702 47.60463) + (xy 111.93809 47.552253) (xy 112.004753 47.48559) (xy 112.05713 47.407202) (xy 112.093208 47.320103) (xy 112.1116 47.227638) + (xy 112.1116 47.133362) (xy 112.093208 47.040897) (xy 112.05713 46.953798) (xy 112.004753 46.87541) (xy 111.93809 46.808747) + (xy 111.859702 46.75637) (xy 111.772603 46.720292) (xy 111.680138 46.7019) (xy 111.585862 46.7019) (xy 111.493397 46.720292) + (xy 111.406298 46.75637) (xy 111.32791 46.808747) (xy 111.261247 46.87541) (xy 111.20887 46.953798) (xy 111.172792 47.040897) + (xy 111.1544 47.133362) (xy 103.5546 47.133362) (xy 103.5546 45.476416) (xy 112.062963 45.476416) (xy 112.062963 45.755354) + (xy 112.117381 46.028932) (xy 112.224125 46.286636) (xy 112.379095 46.518564) (xy 112.576334 46.715803) (xy 112.808262 46.870773) + (xy 113.065966 46.977517) (xy 113.339544 47.031935) (xy 113.618482 47.031935) (xy 113.89206 46.977517) (xy 114.149764 46.870773) + (xy 114.381692 46.715803) (xy 114.385475 46.71202) (xy 116.616623 46.71202) (xy 116.616623 46.854616) (xy 116.644442 46.994472) + (xy 116.699011 47.126213) (xy 116.778233 47.244778) (xy 116.879063 47.345608) (xy 116.997628 47.42483) (xy 117.129369 47.479399) + (xy 117.269225 47.507218) (xy 117.411821 47.507218) (xy 117.551677 47.479399) (xy 117.683418 47.42483) (xy 117.801983 47.345608) + (xy 117.902813 47.244778) (xy 117.982035 47.126213) (xy 118.036604 46.994472) (xy 118.064423 46.854616) (xy 118.064423 46.71202) + (xy 118.036604 46.572164) (xy 117.982035 46.440423) (xy 117.902813 46.321858) (xy 117.801983 46.221028) (xy 117.683418 46.141806) + (xy 117.551677 46.087237) (xy 117.411821 46.059418) (xy 117.269225 46.059418) (xy 117.129369 46.087237) (xy 116.997628 46.141806) + (xy 116.879063 46.221028) (xy 116.778233 46.321858) (xy 116.699011 46.440423) (xy 116.644442 46.572164) (xy 116.616623 46.71202) + (xy 114.385475 46.71202) (xy 114.578931 46.518564) (xy 114.733901 46.286636) (xy 114.840645 46.028932) (xy 114.895063 45.755354) + (xy 114.895063 45.476416) (xy 114.855035 45.275179) (xy 115.179782 45.275179) (xy 115.179782 45.417775) (xy 115.207601 45.557631) + (xy 115.26217 45.689372) (xy 115.341392 45.807937) (xy 115.442222 45.908767) (xy 115.560787 45.987989) (xy 115.692528 46.042558) + (xy 115.832384 46.070377) (xy 115.97498 46.070377) (xy 116.114836 46.042558) (xy 116.246577 45.987989) (xy 116.365142 45.908767) + (xy 116.465972 45.807937) (xy 116.545194 45.689372) (xy 116.599763 45.557631) (xy 116.627582 45.417775) (xy 116.627582 45.275179) + (xy 116.599763 45.135323) (xy 116.546666 45.007135) (xy 201.8464 45.007135) (xy 201.8464 45.332865) (xy 201.909947 45.652337) + (xy 202.034599 45.953273) (xy 202.215565 46.224109) (xy 202.445891 46.454435) (xy 202.716727 46.635401) (xy 203.017663 46.760053) + (xy 203.337135 46.8236) (xy 203.662865 46.8236) (xy 203.982337 46.760053) (xy 204.283273 46.635401) (xy 204.554109 46.454435) + (xy 204.784435 46.224109) (xy 204.965401 45.953273) (xy 205.090053 45.652337) (xy 205.1536 45.332865) (xy 205.1536 45.007135) + (xy 205.090053 44.687663) (xy 204.965401 44.386727) (xy 204.784435 44.115891) (xy 204.554109 43.885565) (xy 204.283273 43.704599) + (xy 203.982337 43.579947) (xy 203.662865 43.5164) (xy 203.337135 43.5164) (xy 203.017663 43.579947) (xy 202.716727 43.704599) + (xy 202.445891 43.885565) (xy 202.215565 44.115891) (xy 202.034599 44.386727) (xy 201.909947 44.687663) (xy 201.8464 45.007135) + (xy 116.546666 45.007135) (xy 116.545194 45.003582) (xy 116.465972 44.885017) (xy 116.365142 44.784187) (xy 116.246577 44.704965) + (xy 116.114836 44.650396) (xy 115.97498 44.622577) (xy 115.832384 44.622577) (xy 115.692528 44.650396) (xy 115.560787 44.704965) + (xy 115.442222 44.784187) (xy 115.341392 44.885017) (xy 115.26217 45.003582) (xy 115.207601 45.135323) (xy 115.179782 45.275179) + (xy 114.855035 45.275179) (xy 114.840645 45.202838) (xy 114.733901 44.945134) (xy 114.578931 44.713206) (xy 114.381692 44.515967) + (xy 114.149764 44.360997) (xy 113.89206 44.254253) (xy 113.618482 44.199835) (xy 113.339544 44.199835) (xy 113.065966 44.254253) + (xy 112.808262 44.360997) (xy 112.576334 44.515967) (xy 112.379095 44.713206) (xy 112.224125 44.945134) (xy 112.117381 45.202838) + (xy 112.062963 45.476416) (xy 103.5546 45.476416) (xy 103.5546 44.085362) (xy 114.5834 44.085362) (xy 114.5834 44.179638) + (xy 114.601792 44.272103) (xy 114.63787 44.359202) (xy 114.690247 44.43759) (xy 114.75691 44.504253) (xy 114.835298 44.55663) + (xy 114.922397 44.592708) (xy 115.014862 44.6111) (xy 115.109138 44.6111) (xy 115.201603 44.592708) (xy 115.288702 44.55663) + (xy 115.36709 44.504253) (xy 115.433753 44.43759) (xy 115.48613 44.359202) (xy 115.522208 44.272103) (xy 115.5406 44.179638) + (xy 115.5406 44.085362) (xy 115.522208 43.992897) (xy 115.48613 43.905798) (xy 115.433753 43.82741) (xy 115.36709 43.760747) + (xy 115.288702 43.70837) (xy 115.201603 43.672292) (xy 115.109138 43.6539) (xy 115.014862 43.6539) (xy 114.922397 43.672292) + (xy 114.835298 43.70837) (xy 114.75691 43.760747) (xy 114.690247 43.82741) (xy 114.63787 43.905798) (xy 114.601792 43.992897) + (xy 114.5834 44.085362) (xy 103.5546 44.085362) (xy 103.5546 42.432848) (xy 103.588945 42.082567) (xy 103.686359 41.759915) + (xy 103.844593 41.462321) (xy 104.057609 41.201137) (xy 104.317306 40.986298) (xy 104.61378 40.825995) (xy 104.935747 40.726329) + (xy 105.285201 40.6896) (xy 210.297152 40.6896) + ) + ) + ) + (zone (net 2) (net_name +5V) (layer In2.Cu) (tstamp 616E000E) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) + (fill yes (arc_segments 32) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 212.344 139.954) (xy 103.378 139.954) (xy 103.378 40.386) (xy 212.344 40.386) + ) + ) + (filled_polygon + (pts + (xy 210.647433 40.723945) (xy 210.970085 40.821359) (xy 211.267679 40.979593) (xy 211.528863 41.192609) (xy 211.743702 41.452306) + (xy 211.904005 41.74878) (xy 212.003671 42.070747) (xy 212.0404 42.420201) (xy 212.040401 137.907142) (xy 212.006055 138.257433) + (xy 211.90864 138.580087) (xy 211.750407 138.877678) (xy 211.537389 139.138865) (xy 211.277694 139.353702) (xy 210.981219 139.514006) + (xy 210.659249 139.613672) (xy 210.309799 139.6504) (xy 196.483848 139.6504) (xy 196.133567 139.616055) (xy 195.810913 139.51864) + (xy 195.513322 139.360407) (xy 195.252135 139.147389) (xy 195.037298 138.887694) (xy 194.876994 138.591219) (xy 194.777328 138.269249) + (xy 194.7406 137.919799) (xy 194.7406 137.800993) (xy 195.2404 137.800993) (xy 195.2404 138.043007) (xy 195.287614 138.280369) + (xy 195.380229 138.50396) (xy 195.514684 138.705187) (xy 195.685813 138.876316) (xy 195.88704 139.010771) (xy 196.110631 139.103386) + (xy 196.347993 139.1506) (xy 196.590007 139.1506) (xy 196.827369 139.103386) (xy 196.880539 139.081362) (xy 197.7684 139.081362) + (xy 197.7684 139.175638) (xy 197.786792 139.268103) (xy 197.82287 139.355202) (xy 197.875247 139.43359) (xy 197.94191 139.500253) + (xy 198.020298 139.55263) (xy 198.107397 139.588708) (xy 198.199862 139.6071) (xy 198.294138 139.6071) (xy 198.386603 139.588708) + (xy 198.473702 139.55263) (xy 198.55209 139.500253) (xy 198.618753 139.43359) (xy 198.67113 139.355202) (xy 198.707208 139.268103) + (xy 198.7256 139.175638) (xy 198.7256 139.081362) (xy 202.9119 139.081362) (xy 202.9119 139.175638) (xy 202.930292 139.268103) + (xy 202.96637 139.355202) (xy 203.018747 139.43359) (xy 203.08541 139.500253) (xy 203.163798 139.55263) (xy 203.250897 139.588708) + (xy 203.343362 139.6071) (xy 203.437638 139.6071) (xy 203.530103 139.588708) (xy 203.617202 139.55263) (xy 203.69559 139.500253) + (xy 203.762253 139.43359) (xy 203.81463 139.355202) (xy 203.850708 139.268103) (xy 203.8691 139.175638) (xy 203.8691 139.081362) + (xy 208.0554 139.081362) (xy 208.0554 139.175638) (xy 208.073792 139.268103) (xy 208.10987 139.355202) (xy 208.162247 139.43359) + (xy 208.22891 139.500253) (xy 208.307298 139.55263) (xy 208.394397 139.588708) (xy 208.486862 139.6071) (xy 208.581138 139.6071) + (xy 208.673603 139.588708) (xy 208.760702 139.55263) (xy 208.83909 139.500253) (xy 208.905753 139.43359) (xy 208.95813 139.355202) + (xy 208.994208 139.268103) (xy 209.0126 139.175638) (xy 209.0126 139.081362) (xy 208.994208 138.988897) (xy 208.95813 138.901798) + (xy 208.905753 138.82341) (xy 208.83909 138.756747) (xy 208.760702 138.70437) (xy 208.673603 138.668292) (xy 208.581138 138.6499) + (xy 208.486862 138.6499) (xy 208.394397 138.668292) (xy 208.307298 138.70437) (xy 208.22891 138.756747) (xy 208.162247 138.82341) + (xy 208.10987 138.901798) (xy 208.073792 138.988897) (xy 208.0554 139.081362) (xy 203.8691 139.081362) (xy 203.850708 138.988897) + (xy 203.81463 138.901798) (xy 203.762253 138.82341) (xy 203.69559 138.756747) (xy 203.617202 138.70437) (xy 203.530103 138.668292) + (xy 203.437638 138.6499) (xy 203.343362 138.6499) (xy 203.250897 138.668292) (xy 203.163798 138.70437) (xy 203.08541 138.756747) + (xy 203.018747 138.82341) (xy 202.96637 138.901798) (xy 202.930292 138.988897) (xy 202.9119 139.081362) (xy 198.7256 139.081362) + (xy 198.707208 138.988897) (xy 198.67113 138.901798) (xy 198.618753 138.82341) (xy 198.55209 138.756747) (xy 198.473702 138.70437) + (xy 198.386603 138.668292) (xy 198.294138 138.6499) (xy 198.199862 138.6499) (xy 198.107397 138.668292) (xy 198.020298 138.70437) + (xy 197.94191 138.756747) (xy 197.875247 138.82341) (xy 197.82287 138.901798) (xy 197.786792 138.988897) (xy 197.7684 139.081362) + (xy 196.880539 139.081362) (xy 197.05096 139.010771) (xy 197.252187 138.876316) (xy 197.423316 138.705187) (xy 197.557771 138.50396) + (xy 197.650386 138.280369) (xy 197.6976 138.043007) (xy 197.6976 137.800993) (xy 209.0834 137.800993) (xy 209.0834 138.043007) + (xy 209.130614 138.280369) (xy 209.223229 138.50396) (xy 209.357684 138.705187) (xy 209.528813 138.876316) (xy 209.73004 139.010771) + (xy 209.953631 139.103386) (xy 210.190993 139.1506) (xy 210.433007 139.1506) (xy 210.670369 139.103386) (xy 210.89396 139.010771) + (xy 211.095187 138.876316) (xy 211.266316 138.705187) (xy 211.400771 138.50396) (xy 211.493386 138.280369) (xy 211.5406 138.043007) + (xy 211.5406 137.800993) (xy 211.493386 137.563631) (xy 211.400771 137.34004) (xy 211.266316 137.138813) (xy 211.095187 136.967684) + (xy 210.89396 136.833229) (xy 210.670369 136.740614) (xy 210.433007 136.6934) (xy 210.190993 136.6934) (xy 209.953631 136.740614) + (xy 209.73004 136.833229) (xy 209.528813 136.967684) (xy 209.357684 137.138813) (xy 209.223229 137.34004) (xy 209.130614 137.563631) + (xy 209.0834 137.800993) (xy 197.6976 137.800993) (xy 197.650386 137.563631) (xy 197.557771 137.34004) (xy 197.423316 137.138813) + (xy 197.252187 136.967684) (xy 197.05096 136.833229) (xy 196.827369 136.740614) (xy 196.590007 136.6934) (xy 196.347993 136.6934) + (xy 196.110631 136.740614) (xy 195.88704 136.833229) (xy 195.685813 136.967684) (xy 195.514684 137.138813) (xy 195.380229 137.34004) + (xy 195.287614 137.563631) (xy 195.2404 137.800993) (xy 194.7406 137.800993) (xy 194.7406 136.477862) (xy 194.7839 136.477862) + (xy 194.7839 136.572138) (xy 194.802292 136.664603) (xy 194.83837 136.751702) (xy 194.890747 136.83009) (xy 194.95741 136.896753) + (xy 195.035798 136.94913) (xy 195.122897 136.985208) (xy 195.215362 137.0036) (xy 195.309638 137.0036) (xy 195.402103 136.985208) + (xy 195.489202 136.94913) (xy 195.56759 136.896753) (xy 195.634253 136.83009) (xy 195.68663 136.751702) (xy 195.722708 136.664603) + (xy 195.7411 136.572138) (xy 195.7411 136.477862) (xy 195.722708 136.385397) (xy 195.68663 136.298298) (xy 195.634253 136.21991) + (xy 195.56759 136.153247) (xy 195.489202 136.10087) (xy 195.402103 136.064792) (xy 195.309638 136.0464) (xy 195.215362 136.0464) + (xy 195.122897 136.064792) (xy 195.035798 136.10087) (xy 194.95741 136.153247) (xy 194.890747 136.21991) (xy 194.83837 136.298298) + (xy 194.802292 136.385397) (xy 194.7839 136.477862) (xy 194.7406 136.477862) (xy 194.7406 135.007135) (xy 201.8464 135.007135) + (xy 201.8464 135.332865) (xy 201.909947 135.652337) (xy 202.034599 135.953273) (xy 202.215565 136.224109) (xy 202.445891 136.454435) + (xy 202.716727 136.635401) (xy 203.017663 136.760053) (xy 203.337135 136.8236) (xy 203.662865 136.8236) (xy 203.982337 136.760053) + (xy 204.283273 136.635401) (xy 204.519047 136.477862) (xy 211.0399 136.477862) (xy 211.0399 136.572138) (xy 211.058292 136.664603) + (xy 211.09437 136.751702) (xy 211.146747 136.83009) (xy 211.21341 136.896753) (xy 211.291798 136.94913) (xy 211.378897 136.985208) + (xy 211.471362 137.0036) (xy 211.565638 137.0036) (xy 211.658103 136.985208) (xy 211.745202 136.94913) (xy 211.82359 136.896753) + (xy 211.890253 136.83009) (xy 211.94263 136.751702) (xy 211.978708 136.664603) (xy 211.9971 136.572138) (xy 211.9971 136.477862) + (xy 211.978708 136.385397) (xy 211.94263 136.298298) (xy 211.890253 136.21991) (xy 211.82359 136.153247) (xy 211.745202 136.10087) + (xy 211.658103 136.064792) (xy 211.565638 136.0464) (xy 211.471362 136.0464) (xy 211.378897 136.064792) (xy 211.291798 136.10087) + (xy 211.21341 136.153247) (xy 211.146747 136.21991) (xy 211.09437 136.298298) (xy 211.058292 136.385397) (xy 211.0399 136.477862) + (xy 204.519047 136.477862) (xy 204.554109 136.454435) (xy 204.784435 136.224109) (xy 204.965401 135.953273) (xy 205.090053 135.652337) + (xy 205.1536 135.332865) (xy 205.1536 135.007135) (xy 205.090053 134.687663) (xy 204.965401 134.386727) (xy 204.784435 134.115891) + (xy 204.554109 133.885565) (xy 204.283273 133.704599) (xy 203.982337 133.579947) (xy 203.662865 133.5164) (xy 203.337135 133.5164) + (xy 203.017663 133.579947) (xy 202.716727 133.704599) (xy 202.445891 133.885565) (xy 202.215565 134.115891) (xy 202.034599 134.386727) + (xy 201.909947 134.687663) (xy 201.8464 135.007135) (xy 194.7406 135.007135) (xy 194.7406 131.557096) (xy 194.739211 131.542998) + (xy 194.7393 131.530298) (xy 194.738887 131.526079) (xy 194.725411 131.397862) (xy 194.7839 131.397862) (xy 194.7839 131.492138) + (xy 194.802292 131.584603) (xy 194.83837 131.671702) (xy 194.890747 131.75009) (xy 194.95741 131.816753) (xy 195.035798 131.86913) + (xy 195.122897 131.905208) (xy 195.215362 131.9236) (xy 195.309638 131.9236) (xy 195.402103 131.905208) (xy 195.489202 131.86913) + (xy 195.56759 131.816753) (xy 195.634253 131.75009) (xy 195.68663 131.671702) (xy 195.722708 131.584603) (xy 195.7411 131.492138) + (xy 195.7411 131.397862) (xy 195.722708 131.305397) (xy 195.68663 131.218298) (xy 195.634253 131.13991) (xy 195.56759 131.073247) + (xy 195.489202 131.02087) (xy 195.402103 130.984792) (xy 195.309638 130.9664) (xy 195.215362 130.9664) (xy 195.122897 130.984792) + (xy 195.035798 131.02087) (xy 194.95741 131.073247) (xy 194.890747 131.13991) (xy 194.83837 131.218298) (xy 194.802292 131.305397) + (xy 194.7839 131.397862) (xy 194.725411 131.397862) (xy 194.697434 131.131676) (xy 194.691902 131.104725) (xy 194.686745 131.077692) + (xy 194.68552 131.073634) (xy 194.68552 131.073632) (xy 194.685519 131.07363) (xy 194.589321 130.762862) (xy 211.0399 130.762862) + (xy 211.0399 130.857138) (xy 211.058292 130.949603) (xy 211.09437 131.036702) (xy 211.146747 131.11509) (xy 211.21341 131.181753) + (xy 211.291798 131.23413) (xy 211.378897 131.270208) (xy 211.471362 131.2886) (xy 211.565638 131.2886) (xy 211.658103 131.270208) + (xy 211.745202 131.23413) (xy 211.82359 131.181753) (xy 211.890253 131.11509) (xy 211.94263 131.036702) (xy 211.978708 130.949603) + (xy 211.9971 130.857138) (xy 211.9971 130.762862) (xy 211.978708 130.670397) (xy 211.94263 130.583298) (xy 211.890253 130.50491) + (xy 211.82359 130.438247) (xy 211.745202 130.38587) (xy 211.658103 130.349792) (xy 211.565638 130.3314) (xy 211.471362 130.3314) + (xy 211.378897 130.349792) (xy 211.291798 130.38587) (xy 211.21341 130.438247) (xy 211.146747 130.50491) (xy 211.09437 130.583298) + (xy 211.058292 130.670397) (xy 211.0399 130.762862) (xy 194.589321 130.762862) (xy 194.568249 130.694791) (xy 194.55758 130.669411) + (xy 194.547277 130.643911) (xy 194.545287 130.640168) (xy 194.356665 130.29132) (xy 194.341271 130.268497) (xy 194.326211 130.245484) + (xy 194.323532 130.242199) (xy 194.323531 130.242197) (xy 194.323527 130.242193) (xy 194.070745 129.93663) (xy 194.051212 129.917233) + (xy 194.031967 129.897581) (xy 194.028701 129.894879) (xy 193.721376 129.644231) (xy 193.698458 129.629004) (xy 193.675752 129.613458) + (xy 193.672023 129.611441) (xy 193.351762 129.441154) (xy 199.6564 129.441154) (xy 199.6564 129.638846) (xy 199.694968 129.832739) + (xy 199.770621 130.015383) (xy 199.880453 130.179758) (xy 200.020242 130.319547) (xy 200.184617 130.429379) (xy 200.367261 130.505032) + (xy 200.561154 130.5436) (xy 200.758846 130.5436) (xy 200.952739 130.505032) (xy 201.135383 130.429379) (xy 201.299758 130.319547) + (xy 201.439547 130.179758) (xy 201.549379 130.015383) (xy 201.625032 129.832739) (xy 201.6636 129.638846) (xy 201.6636 129.441154) + (xy 202.1964 129.441154) (xy 202.1964 129.638846) (xy 202.234968 129.832739) (xy 202.310621 130.015383) (xy 202.420453 130.179758) + (xy 202.560242 130.319547) (xy 202.724617 130.429379) (xy 202.907261 130.505032) (xy 203.101154 130.5436) (xy 203.298846 130.5436) + (xy 203.492739 130.505032) (xy 203.675383 130.429379) (xy 203.839758 130.319547) (xy 203.979547 130.179758) (xy 204.089379 130.015383) + (xy 204.165032 129.832739) (xy 204.2036 129.638846) (xy 204.2036 129.441154) (xy 204.165032 129.247261) (xy 204.089379 129.064617) + (xy 204.056226 129.014999) (xy 204.735294 129.014999) (xy 204.735294 130.065001) (xy 204.744511 130.158587) (xy 204.771809 130.248576) + (xy 204.816139 130.331511) (xy 204.875796 130.404204) (xy 204.948489 130.463861) (xy 205.031424 130.508191) (xy 205.121413 130.535489) + (xy 205.214999 130.544706) (xy 206.265001 130.544706) (xy 206.358587 130.535489) (xy 206.448576 130.508191) (xy 206.531511 130.463861) + (xy 206.604204 130.404204) (xy 206.663861 130.331511) (xy 206.708191 130.248576) (xy 206.735489 130.158587) (xy 206.744706 130.065001) + (xy 206.744706 129.014999) (xy 206.735489 128.921413) (xy 206.708191 128.831424) (xy 206.663861 128.748489) (xy 206.604204 128.675796) + (xy 206.531511 128.616139) (xy 206.448576 128.571809) (xy 206.358587 128.544511) (xy 206.265001 128.535294) (xy 205.214999 128.535294) + (xy 205.121413 128.544511) (xy 205.031424 128.571809) (xy 204.948489 128.616139) (xy 204.875796 128.675796) (xy 204.816139 128.748489) + (xy 204.771809 128.831424) (xy 204.744511 128.921413) (xy 204.735294 129.014999) (xy 204.056226 129.014999) (xy 203.979547 128.900242) + (xy 203.839758 128.760453) (xy 203.675383 128.650621) (xy 203.492739 128.574968) (xy 203.298846 128.5364) (xy 203.101154 128.5364) + (xy 202.907261 128.574968) (xy 202.724617 128.650621) (xy 202.560242 128.760453) (xy 202.420453 128.900242) (xy 202.310621 129.064617) + (xy 202.234968 129.247261) (xy 202.1964 129.441154) (xy 201.6636 129.441154) (xy 201.625032 129.247261) (xy 201.549379 129.064617) + (xy 201.439547 128.900242) (xy 201.299758 128.760453) (xy 201.135383 128.650621) (xy 200.952739 128.574968) (xy 200.758846 128.5364) + (xy 200.561154 128.5364) (xy 200.367261 128.574968) (xy 200.184617 128.650621) (xy 200.020242 128.760453) (xy 199.880453 128.900242) + (xy 199.770621 129.064617) (xy 199.694968 129.247261) (xy 199.6564 129.441154) (xy 193.351762 129.441154) (xy 193.321867 129.425259) + (xy 193.296397 129.414761) (xy 193.271134 129.403934) (xy 193.267085 129.40268) (xy 192.887434 129.288057) (xy 192.860474 129.282719) + (xy 192.833526 129.276991) (xy 192.82931 129.276548) (xy 192.434626 129.237849) (xy 192.434616 129.237849) (xy 192.419904 129.2364) + (xy 105.297848 129.2364) (xy 104.947567 129.202055) (xy 104.624913 129.10464) (xy 104.327322 128.946407) (xy 104.066135 128.733389) + (xy 104.011514 128.667362) (xy 106.5824 128.667362) (xy 106.5824 128.761638) (xy 106.600792 128.854103) (xy 106.63687 128.941202) + (xy 106.689247 129.01959) (xy 106.75591 129.086253) (xy 106.834298 129.13863) (xy 106.921397 129.174708) (xy 107.013862 129.1931) + (xy 107.108138 129.1931) (xy 107.200603 129.174708) (xy 107.287702 129.13863) (xy 107.36609 129.086253) (xy 107.432753 129.01959) + (xy 107.48513 128.941202) (xy 107.521208 128.854103) (xy 107.5396 128.761638) (xy 107.5396 128.667362) (xy 111.6624 128.667362) + (xy 111.6624 128.761638) (xy 111.680792 128.854103) (xy 111.71687 128.941202) (xy 111.769247 129.01959) (xy 111.83591 129.086253) + (xy 111.914298 129.13863) (xy 112.001397 129.174708) (xy 112.093862 129.1931) (xy 112.188138 129.1931) (xy 112.280603 129.174708) + (xy 112.367702 129.13863) (xy 112.44609 129.086253) (xy 112.512753 129.01959) (xy 112.56513 128.941202) (xy 112.601208 128.854103) + (xy 112.6196 128.761638) (xy 112.6196 128.667362) (xy 112.601208 128.574897) (xy 112.56513 128.487798) (xy 112.512753 128.40941) + (xy 112.44609 128.342747) (xy 112.367702 128.29037) (xy 112.280603 128.254292) (xy 112.188138 128.2359) (xy 112.093862 128.2359) + (xy 112.001397 128.254292) (xy 111.914298 128.29037) (xy 111.83591 128.342747) (xy 111.769247 128.40941) (xy 111.71687 128.487798) + (xy 111.680792 128.574897) (xy 111.6624 128.667362) (xy 107.5396 128.667362) (xy 107.521208 128.574897) (xy 107.48513 128.487798) + (xy 107.432753 128.40941) (xy 107.36609 128.342747) (xy 107.287702 128.29037) (xy 107.200603 128.254292) (xy 107.108138 128.2359) + (xy 107.013862 128.2359) (xy 106.921397 128.254292) (xy 106.834298 128.29037) (xy 106.75591 128.342747) (xy 106.689247 128.40941) + (xy 106.63687 128.487798) (xy 106.600792 128.574897) (xy 106.5824 128.667362) (xy 104.011514 128.667362) (xy 103.851298 128.473694) + (xy 103.690994 128.177219) (xy 103.591328 127.855249) (xy 103.5546 127.505799) (xy 103.5546 127.386993) (xy 112.4364 127.386993) + (xy 112.4364 127.629007) (xy 112.483614 127.866369) (xy 112.576229 128.08996) (xy 112.710684 128.291187) (xy 112.881813 128.462316) + (xy 113.08304 128.596771) (xy 113.306631 128.689386) (xy 113.543993 128.7366) (xy 113.786007 128.7366) (xy 114.023369 128.689386) + (xy 114.076539 128.667362) (xy 116.7424 128.667362) (xy 116.7424 128.761638) (xy 116.760792 128.854103) (xy 116.79687 128.941202) + (xy 116.849247 129.01959) (xy 116.91591 129.086253) (xy 116.994298 129.13863) (xy 117.081397 129.174708) (xy 117.173862 129.1931) + (xy 117.268138 129.1931) (xy 117.360603 129.174708) (xy 117.447702 129.13863) (xy 117.52609 129.086253) (xy 117.592753 129.01959) + (xy 117.64513 128.941202) (xy 117.681208 128.854103) (xy 117.6996 128.761638) (xy 117.6996 128.667362) (xy 121.8224 128.667362) + (xy 121.8224 128.761638) (xy 121.840792 128.854103) (xy 121.87687 128.941202) (xy 121.929247 129.01959) (xy 121.99591 129.086253) + (xy 122.074298 129.13863) (xy 122.161397 129.174708) (xy 122.253862 129.1931) (xy 122.348138 129.1931) (xy 122.440603 129.174708) + (xy 122.527702 129.13863) (xy 122.60609 129.086253) (xy 122.672753 129.01959) (xy 122.72513 128.941202) (xy 122.761208 128.854103) + (xy 122.7796 128.761638) (xy 122.7796 128.667362) (xy 126.9024 128.667362) (xy 126.9024 128.761638) (xy 126.920792 128.854103) + (xy 126.95687 128.941202) (xy 127.009247 129.01959) (xy 127.07591 129.086253) (xy 127.154298 129.13863) (xy 127.241397 129.174708) + (xy 127.333862 129.1931) (xy 127.428138 129.1931) (xy 127.520603 129.174708) (xy 127.607702 129.13863) (xy 127.68609 129.086253) + (xy 127.752753 129.01959) (xy 127.80513 128.941202) (xy 127.841208 128.854103) (xy 127.8596 128.761638) (xy 127.8596 128.667362) + (xy 131.9824 128.667362) (xy 131.9824 128.761638) (xy 132.000792 128.854103) (xy 132.03687 128.941202) (xy 132.089247 129.01959) + (xy 132.15591 129.086253) (xy 132.234298 129.13863) (xy 132.321397 129.174708) (xy 132.413862 129.1931) (xy 132.508138 129.1931) + (xy 132.600603 129.174708) (xy 132.687702 129.13863) (xy 132.76609 129.086253) (xy 132.832753 129.01959) (xy 132.88513 128.941202) + (xy 132.921208 128.854103) (xy 132.9396 128.761638) (xy 132.9396 128.667362) (xy 137.0624 128.667362) (xy 137.0624 128.761638) + (xy 137.080792 128.854103) (xy 137.11687 128.941202) (xy 137.169247 129.01959) (xy 137.23591 129.086253) (xy 137.314298 129.13863) + (xy 137.401397 129.174708) (xy 137.493862 129.1931) (xy 137.588138 129.1931) (xy 137.680603 129.174708) (xy 137.767702 129.13863) + (xy 137.84609 129.086253) (xy 137.912753 129.01959) (xy 137.96513 128.941202) (xy 138.001208 128.854103) (xy 138.0196 128.761638) + (xy 138.0196 128.667362) (xy 142.1424 128.667362) (xy 142.1424 128.761638) (xy 142.160792 128.854103) (xy 142.19687 128.941202) + (xy 142.249247 129.01959) (xy 142.31591 129.086253) (xy 142.394298 129.13863) (xy 142.481397 129.174708) (xy 142.573862 129.1931) + (xy 142.668138 129.1931) (xy 142.760603 129.174708) (xy 142.847702 129.13863) (xy 142.92609 129.086253) (xy 142.992753 129.01959) + (xy 143.04513 128.941202) (xy 143.081208 128.854103) (xy 143.0996 128.761638) (xy 143.0996 128.667362) (xy 147.2224 128.667362) + (xy 147.2224 128.761638) (xy 147.240792 128.854103) (xy 147.27687 128.941202) (xy 147.329247 129.01959) (xy 147.39591 129.086253) + (xy 147.474298 129.13863) (xy 147.561397 129.174708) (xy 147.653862 129.1931) (xy 147.748138 129.1931) (xy 147.840603 129.174708) + (xy 147.927702 129.13863) (xy 148.00609 129.086253) (xy 148.072753 129.01959) (xy 148.12513 128.941202) (xy 148.161208 128.854103) + (xy 148.1796 128.761638) (xy 148.1796 128.667362) (xy 152.3024 128.667362) (xy 152.3024 128.761638) (xy 152.320792 128.854103) + (xy 152.35687 128.941202) (xy 152.409247 129.01959) (xy 152.47591 129.086253) (xy 152.554298 129.13863) (xy 152.641397 129.174708) + (xy 152.733862 129.1931) (xy 152.828138 129.1931) (xy 152.920603 129.174708) (xy 153.007702 129.13863) (xy 153.08609 129.086253) + (xy 153.152753 129.01959) (xy 153.20513 128.941202) (xy 153.241208 128.854103) (xy 153.2596 128.761638) (xy 153.2596 128.667362) + (xy 157.3824 128.667362) (xy 157.3824 128.761638) (xy 157.400792 128.854103) (xy 157.43687 128.941202) (xy 157.489247 129.01959) + (xy 157.55591 129.086253) (xy 157.634298 129.13863) (xy 157.721397 129.174708) (xy 157.813862 129.1931) (xy 157.908138 129.1931) + (xy 158.000603 129.174708) (xy 158.087702 129.13863) (xy 158.16609 129.086253) (xy 158.232753 129.01959) (xy 158.28513 128.941202) + (xy 158.321208 128.854103) (xy 158.3396 128.761638) (xy 158.3396 128.667362) (xy 162.4624 128.667362) (xy 162.4624 128.761638) + (xy 162.480792 128.854103) (xy 162.51687 128.941202) (xy 162.569247 129.01959) (xy 162.63591 129.086253) (xy 162.714298 129.13863) + (xy 162.801397 129.174708) (xy 162.893862 129.1931) (xy 162.988138 129.1931) (xy 163.080603 129.174708) (xy 163.167702 129.13863) + (xy 163.24609 129.086253) (xy 163.312753 129.01959) (xy 163.36513 128.941202) (xy 163.401208 128.854103) (xy 163.4196 128.761638) + (xy 163.4196 128.667362) (xy 167.5424 128.667362) (xy 167.5424 128.761638) (xy 167.560792 128.854103) (xy 167.59687 128.941202) + (xy 167.649247 129.01959) (xy 167.71591 129.086253) (xy 167.794298 129.13863) (xy 167.881397 129.174708) (xy 167.973862 129.1931) + (xy 168.068138 129.1931) (xy 168.160603 129.174708) (xy 168.247702 129.13863) (xy 168.32609 129.086253) (xy 168.392753 129.01959) + (xy 168.44513 128.941202) (xy 168.481208 128.854103) (xy 168.4996 128.761638) (xy 168.4996 128.667362) (xy 172.6224 128.667362) + (xy 172.6224 128.761638) (xy 172.640792 128.854103) (xy 172.67687 128.941202) (xy 172.729247 129.01959) (xy 172.79591 129.086253) + (xy 172.874298 129.13863) (xy 172.961397 129.174708) (xy 173.053862 129.1931) (xy 173.148138 129.1931) (xy 173.240603 129.174708) + (xy 173.327702 129.13863) (xy 173.40609 129.086253) (xy 173.472753 129.01959) (xy 173.52513 128.941202) (xy 173.561208 128.854103) + (xy 173.5796 128.761638) (xy 173.5796 128.667362) (xy 177.7024 128.667362) (xy 177.7024 128.761638) (xy 177.720792 128.854103) + (xy 177.75687 128.941202) (xy 177.809247 129.01959) (xy 177.87591 129.086253) (xy 177.954298 129.13863) (xy 178.041397 129.174708) + (xy 178.133862 129.1931) (xy 178.228138 129.1931) (xy 178.320603 129.174708) (xy 178.407702 129.13863) (xy 178.48609 129.086253) + (xy 178.552753 129.01959) (xy 178.60513 128.941202) (xy 178.641208 128.854103) (xy 178.6596 128.761638) (xy 178.6596 128.667362) + (xy 182.7824 128.667362) (xy 182.7824 128.761638) (xy 182.800792 128.854103) (xy 182.83687 128.941202) (xy 182.889247 129.01959) + (xy 182.95591 129.086253) (xy 183.034298 129.13863) (xy 183.121397 129.174708) (xy 183.213862 129.1931) (xy 183.308138 129.1931) + (xy 183.400603 129.174708) (xy 183.487702 129.13863) (xy 183.56609 129.086253) (xy 183.632753 129.01959) (xy 183.68513 128.941202) + (xy 183.721208 128.854103) (xy 183.7396 128.761638) (xy 183.7396 128.667362) (xy 187.8624 128.667362) (xy 187.8624 128.761638) + (xy 187.880792 128.854103) (xy 187.91687 128.941202) (xy 187.969247 129.01959) (xy 188.03591 129.086253) (xy 188.114298 129.13863) + (xy 188.201397 129.174708) (xy 188.293862 129.1931) (xy 188.388138 129.1931) (xy 188.480603 129.174708) (xy 188.567702 129.13863) + (xy 188.64609 129.086253) (xy 188.712753 129.01959) (xy 188.76513 128.941202) (xy 188.801208 128.854103) (xy 188.8196 128.761638) + (xy 188.8196 128.667362) (xy 192.9424 128.667362) (xy 192.9424 128.761638) (xy 192.960792 128.854103) (xy 192.99687 128.941202) + (xy 193.049247 129.01959) (xy 193.11591 129.086253) (xy 193.194298 129.13863) (xy 193.281397 129.174708) (xy 193.373862 129.1931) + (xy 193.468138 129.1931) (xy 193.560603 129.174708) (xy 193.647702 129.13863) (xy 193.72609 129.086253) (xy 193.792753 129.01959) + (xy 193.84513 128.941202) (xy 193.881208 128.854103) (xy 193.8996 128.761638) (xy 193.8996 128.667362) (xy 193.881208 128.574897) + (xy 193.84513 128.487798) (xy 193.792753 128.40941) (xy 193.72609 128.342747) (xy 193.647702 128.29037) (xy 193.560603 128.254292) + (xy 193.468138 128.2359) (xy 193.373862 128.2359) (xy 193.281397 128.254292) (xy 193.194298 128.29037) (xy 193.11591 128.342747) + (xy 193.049247 128.40941) (xy 192.99687 128.487798) (xy 192.960792 128.574897) (xy 192.9424 128.667362) (xy 188.8196 128.667362) + (xy 188.801208 128.574897) (xy 188.76513 128.487798) (xy 188.712753 128.40941) (xy 188.64609 128.342747) (xy 188.567702 128.29037) + (xy 188.480603 128.254292) (xy 188.388138 128.2359) (xy 188.293862 128.2359) (xy 188.201397 128.254292) (xy 188.114298 128.29037) + (xy 188.03591 128.342747) (xy 187.969247 128.40941) (xy 187.91687 128.487798) (xy 187.880792 128.574897) (xy 187.8624 128.667362) + (xy 183.7396 128.667362) (xy 183.721208 128.574897) (xy 183.68513 128.487798) (xy 183.632753 128.40941) (xy 183.56609 128.342747) + (xy 183.487702 128.29037) (xy 183.400603 128.254292) (xy 183.308138 128.2359) (xy 183.213862 128.2359) (xy 183.121397 128.254292) + (xy 183.034298 128.29037) (xy 182.95591 128.342747) (xy 182.889247 128.40941) (xy 182.83687 128.487798) (xy 182.800792 128.574897) + (xy 182.7824 128.667362) (xy 178.6596 128.667362) (xy 178.641208 128.574897) (xy 178.60513 128.487798) (xy 178.552753 128.40941) + (xy 178.48609 128.342747) (xy 178.407702 128.29037) (xy 178.320603 128.254292) (xy 178.228138 128.2359) (xy 178.133862 128.2359) + (xy 178.041397 128.254292) (xy 177.954298 128.29037) (xy 177.87591 128.342747) (xy 177.809247 128.40941) (xy 177.75687 128.487798) + (xy 177.720792 128.574897) (xy 177.7024 128.667362) (xy 173.5796 128.667362) (xy 173.561208 128.574897) (xy 173.52513 128.487798) + (xy 173.472753 128.40941) (xy 173.40609 128.342747) (xy 173.327702 128.29037) (xy 173.240603 128.254292) (xy 173.148138 128.2359) + (xy 173.053862 128.2359) (xy 172.961397 128.254292) (xy 172.874298 128.29037) (xy 172.79591 128.342747) (xy 172.729247 128.40941) + (xy 172.67687 128.487798) (xy 172.640792 128.574897) (xy 172.6224 128.667362) (xy 168.4996 128.667362) (xy 168.481208 128.574897) + (xy 168.44513 128.487798) (xy 168.392753 128.40941) (xy 168.32609 128.342747) (xy 168.247702 128.29037) (xy 168.160603 128.254292) + (xy 168.068138 128.2359) (xy 167.973862 128.2359) (xy 167.881397 128.254292) (xy 167.794298 128.29037) (xy 167.71591 128.342747) + (xy 167.649247 128.40941) (xy 167.59687 128.487798) (xy 167.560792 128.574897) (xy 167.5424 128.667362) (xy 163.4196 128.667362) + (xy 163.401208 128.574897) (xy 163.36513 128.487798) (xy 163.312753 128.40941) (xy 163.24609 128.342747) (xy 163.167702 128.29037) + (xy 163.080603 128.254292) (xy 162.988138 128.2359) (xy 162.893862 128.2359) (xy 162.801397 128.254292) (xy 162.714298 128.29037) + (xy 162.63591 128.342747) (xy 162.569247 128.40941) (xy 162.51687 128.487798) (xy 162.480792 128.574897) (xy 162.4624 128.667362) + (xy 158.3396 128.667362) (xy 158.321208 128.574897) (xy 158.28513 128.487798) (xy 158.232753 128.40941) (xy 158.16609 128.342747) + (xy 158.087702 128.29037) (xy 158.000603 128.254292) (xy 157.908138 128.2359) (xy 157.813862 128.2359) (xy 157.721397 128.254292) + (xy 157.634298 128.29037) (xy 157.55591 128.342747) (xy 157.489247 128.40941) (xy 157.43687 128.487798) (xy 157.400792 128.574897) + (xy 157.3824 128.667362) (xy 153.2596 128.667362) (xy 153.241208 128.574897) (xy 153.20513 128.487798) (xy 153.152753 128.40941) + (xy 153.08609 128.342747) (xy 153.007702 128.29037) (xy 152.920603 128.254292) (xy 152.828138 128.2359) (xy 152.733862 128.2359) + (xy 152.641397 128.254292) (xy 152.554298 128.29037) (xy 152.47591 128.342747) (xy 152.409247 128.40941) (xy 152.35687 128.487798) + (xy 152.320792 128.574897) (xy 152.3024 128.667362) (xy 148.1796 128.667362) (xy 148.161208 128.574897) (xy 148.12513 128.487798) + (xy 148.072753 128.40941) (xy 148.00609 128.342747) (xy 147.927702 128.29037) (xy 147.840603 128.254292) (xy 147.748138 128.2359) + (xy 147.653862 128.2359) (xy 147.561397 128.254292) (xy 147.474298 128.29037) (xy 147.39591 128.342747) (xy 147.329247 128.40941) + (xy 147.27687 128.487798) (xy 147.240792 128.574897) (xy 147.2224 128.667362) (xy 143.0996 128.667362) (xy 143.081208 128.574897) + (xy 143.04513 128.487798) (xy 142.992753 128.40941) (xy 142.92609 128.342747) (xy 142.847702 128.29037) (xy 142.760603 128.254292) + (xy 142.668138 128.2359) (xy 142.573862 128.2359) (xy 142.481397 128.254292) (xy 142.394298 128.29037) (xy 142.31591 128.342747) + (xy 142.249247 128.40941) (xy 142.19687 128.487798) (xy 142.160792 128.574897) (xy 142.1424 128.667362) (xy 138.0196 128.667362) + (xy 138.001208 128.574897) (xy 137.96513 128.487798) (xy 137.912753 128.40941) (xy 137.84609 128.342747) (xy 137.767702 128.29037) + (xy 137.680603 128.254292) (xy 137.588138 128.2359) (xy 137.493862 128.2359) (xy 137.401397 128.254292) (xy 137.314298 128.29037) + (xy 137.23591 128.342747) (xy 137.169247 128.40941) (xy 137.11687 128.487798) (xy 137.080792 128.574897) (xy 137.0624 128.667362) + (xy 132.9396 128.667362) (xy 132.921208 128.574897) (xy 132.88513 128.487798) (xy 132.832753 128.40941) (xy 132.76609 128.342747) + (xy 132.687702 128.29037) (xy 132.600603 128.254292) (xy 132.508138 128.2359) (xy 132.413862 128.2359) (xy 132.321397 128.254292) + (xy 132.234298 128.29037) (xy 132.15591 128.342747) (xy 132.089247 128.40941) (xy 132.03687 128.487798) (xy 132.000792 128.574897) + (xy 131.9824 128.667362) (xy 127.8596 128.667362) (xy 127.841208 128.574897) (xy 127.80513 128.487798) (xy 127.752753 128.40941) + (xy 127.68609 128.342747) (xy 127.607702 128.29037) (xy 127.520603 128.254292) (xy 127.428138 128.2359) (xy 127.333862 128.2359) + (xy 127.241397 128.254292) (xy 127.154298 128.29037) (xy 127.07591 128.342747) (xy 127.009247 128.40941) (xy 126.95687 128.487798) + (xy 126.920792 128.574897) (xy 126.9024 128.667362) (xy 122.7796 128.667362) (xy 122.761208 128.574897) (xy 122.72513 128.487798) + (xy 122.672753 128.40941) (xy 122.60609 128.342747) (xy 122.527702 128.29037) (xy 122.440603 128.254292) (xy 122.348138 128.2359) + (xy 122.253862 128.2359) (xy 122.161397 128.254292) (xy 122.074298 128.29037) (xy 121.99591 128.342747) (xy 121.929247 128.40941) + (xy 121.87687 128.487798) (xy 121.840792 128.574897) (xy 121.8224 128.667362) (xy 117.6996 128.667362) (xy 117.681208 128.574897) + (xy 117.64513 128.487798) (xy 117.592753 128.40941) (xy 117.52609 128.342747) (xy 117.447702 128.29037) (xy 117.360603 128.254292) + (xy 117.268138 128.2359) (xy 117.173862 128.2359) (xy 117.081397 128.254292) (xy 116.994298 128.29037) (xy 116.91591 128.342747) + (xy 116.849247 128.40941) (xy 116.79687 128.487798) (xy 116.760792 128.574897) (xy 116.7424 128.667362) (xy 114.076539 128.667362) + (xy 114.24696 128.596771) (xy 114.448187 128.462316) (xy 114.619316 128.291187) (xy 114.753771 128.08996) (xy 114.846386 127.866369) + (xy 114.8936 127.629007) (xy 114.8936 127.386993) (xy 114.846386 127.149631) (xy 114.753771 126.92604) (xy 114.737143 126.901154) + (xy 199.6564 126.901154) (xy 199.6564 127.098846) (xy 199.694968 127.292739) (xy 199.770621 127.475383) (xy 199.880453 127.639758) + (xy 200.020242 127.779547) (xy 200.184617 127.889379) (xy 200.367261 127.965032) (xy 200.561154 128.0036) (xy 200.758846 128.0036) + (xy 200.952739 127.965032) (xy 201.135383 127.889379) (xy 201.299758 127.779547) (xy 201.439547 127.639758) (xy 201.549379 127.475383) + (xy 201.625032 127.292739) (xy 201.6636 127.098846) (xy 201.6636 126.901154) (xy 202.1964 126.901154) (xy 202.1964 127.098846) + (xy 202.234968 127.292739) (xy 202.310621 127.475383) (xy 202.420453 127.639758) (xy 202.560242 127.779547) (xy 202.724617 127.889379) + (xy 202.907261 127.965032) (xy 203.101154 128.0036) (xy 203.298846 128.0036) (xy 203.492739 127.965032) (xy 203.675383 127.889379) + (xy 203.839758 127.779547) (xy 203.979547 127.639758) (xy 204.089379 127.475383) (xy 204.165032 127.292739) (xy 204.2036 127.098846) + (xy 204.2036 126.901154) (xy 204.7364 126.901154) (xy 204.7364 127.098846) (xy 204.774968 127.292739) (xy 204.850621 127.475383) + (xy 204.960453 127.639758) (xy 205.100242 127.779547) (xy 205.264617 127.889379) (xy 205.447261 127.965032) (xy 205.641154 128.0036) + (xy 205.838846 128.0036) (xy 206.032739 127.965032) (xy 206.215383 127.889379) (xy 206.379758 127.779547) (xy 206.519547 127.639758) + (xy 206.629379 127.475383) (xy 206.705032 127.292739) (xy 206.7436 127.098846) (xy 206.7436 126.901154) (xy 206.705032 126.707261) + (xy 206.629379 126.524617) (xy 206.519547 126.360242) (xy 206.379758 126.220453) (xy 206.215383 126.110621) (xy 206.032739 126.034968) + (xy 205.838846 125.9964) (xy 205.641154 125.9964) (xy 205.447261 126.034968) (xy 205.264617 126.110621) (xy 205.100242 126.220453) + (xy 204.960453 126.360242) (xy 204.850621 126.524617) (xy 204.774968 126.707261) (xy 204.7364 126.901154) (xy 204.2036 126.901154) + (xy 204.165032 126.707261) (xy 204.089379 126.524617) (xy 203.979547 126.360242) (xy 203.839758 126.220453) (xy 203.675383 126.110621) + (xy 203.492739 126.034968) (xy 203.298846 125.9964) (xy 203.101154 125.9964) (xy 202.907261 126.034968) (xy 202.724617 126.110621) + (xy 202.560242 126.220453) (xy 202.420453 126.360242) (xy 202.310621 126.524617) (xy 202.234968 126.707261) (xy 202.1964 126.901154) + (xy 201.6636 126.901154) (xy 201.625032 126.707261) (xy 201.549379 126.524617) (xy 201.439547 126.360242) (xy 201.299758 126.220453) + (xy 201.135383 126.110621) (xy 200.952739 126.034968) (xy 200.758846 125.9964) (xy 200.561154 125.9964) (xy 200.367261 126.034968) + (xy 200.184617 126.110621) (xy 200.020242 126.220453) (xy 199.880453 126.360242) (xy 199.770621 126.524617) (xy 199.694968 126.707261) + (xy 199.6564 126.901154) (xy 114.737143 126.901154) (xy 114.619316 126.724813) (xy 114.448187 126.553684) (xy 114.24696 126.419229) + (xy 114.023369 126.326614) (xy 113.786007 126.2794) (xy 113.543993 126.2794) (xy 113.306631 126.326614) (xy 113.08304 126.419229) + (xy 112.881813 126.553684) (xy 112.710684 126.724813) (xy 112.576229 126.92604) (xy 112.483614 127.149631) (xy 112.4364 127.386993) + (xy 103.5546 127.386993) (xy 103.5546 125.682862) (xy 103.5979 125.682862) (xy 103.5979 125.777138) (xy 103.616292 125.869603) + (xy 103.65237 125.956702) (xy 103.704747 126.03509) (xy 103.77141 126.101753) (xy 103.849798 126.15413) (xy 103.936897 126.190208) + (xy 104.029362 126.2086) (xy 104.123638 126.2086) (xy 104.216103 126.190208) (xy 104.303202 126.15413) (xy 104.38159 126.101753) + (xy 104.448253 126.03509) (xy 104.50063 125.956702) (xy 104.536708 125.869603) (xy 104.5551 125.777138) (xy 104.5551 125.682862) + (xy 104.536708 125.590397) (xy 104.50063 125.503298) (xy 104.448253 125.42491) (xy 104.38159 125.358247) (xy 104.303202 125.30587) + (xy 104.293526 125.301862) (xy 168.6854 125.301862) (xy 168.6854 125.396138) (xy 168.703792 125.488603) (xy 168.73987 125.575702) + (xy 168.792247 125.65409) (xy 168.85891 125.720753) (xy 168.937298 125.77313) (xy 169.024397 125.809208) (xy 169.116862 125.8276) + (xy 169.211138 125.8276) (xy 169.303603 125.809208) (xy 169.390702 125.77313) (xy 169.46909 125.720753) (xy 169.535753 125.65409) + (xy 169.58813 125.575702) (xy 169.624208 125.488603) (xy 169.6426 125.396138) (xy 169.6426 125.301862) (xy 170.3364 125.301862) + (xy 170.3364 125.396138) (xy 170.354792 125.488603) (xy 170.39087 125.575702) (xy 170.443247 125.65409) (xy 170.50991 125.720753) + (xy 170.588298 125.77313) (xy 170.675397 125.809208) (xy 170.767862 125.8276) (xy 170.862138 125.8276) (xy 170.954603 125.809208) + (xy 171.041702 125.77313) (xy 171.12009 125.720753) (xy 171.186753 125.65409) (xy 171.23913 125.575702) (xy 171.275208 125.488603) + (xy 171.2936 125.396138) (xy 171.2936 125.301862) (xy 171.9874 125.301862) (xy 171.9874 125.396138) (xy 172.005792 125.488603) + (xy 172.04187 125.575702) (xy 172.094247 125.65409) (xy 172.16091 125.720753) (xy 172.239298 125.77313) (xy 172.326397 125.809208) + (xy 172.418862 125.8276) (xy 172.513138 125.8276) (xy 172.605603 125.809208) (xy 172.692702 125.77313) (xy 172.77109 125.720753) + (xy 172.808981 125.682862) (xy 211.0399 125.682862) (xy 211.0399 125.777138) (xy 211.058292 125.869603) (xy 211.09437 125.956702) + (xy 211.146747 126.03509) (xy 211.21341 126.101753) (xy 211.291798 126.15413) (xy 211.378897 126.190208) (xy 211.471362 126.2086) + (xy 211.565638 126.2086) (xy 211.658103 126.190208) (xy 211.745202 126.15413) (xy 211.82359 126.101753) (xy 211.890253 126.03509) + (xy 211.94263 125.956702) (xy 211.978708 125.869603) (xy 211.9971 125.777138) (xy 211.9971 125.682862) (xy 211.978708 125.590397) + (xy 211.94263 125.503298) (xy 211.890253 125.42491) (xy 211.82359 125.358247) (xy 211.745202 125.30587) (xy 211.658103 125.269792) + (xy 211.565638 125.2514) (xy 211.471362 125.2514) (xy 211.378897 125.269792) (xy 211.291798 125.30587) (xy 211.21341 125.358247) + (xy 211.146747 125.42491) (xy 211.09437 125.503298) (xy 211.058292 125.590397) (xy 211.0399 125.682862) (xy 172.808981 125.682862) + (xy 172.837753 125.65409) (xy 172.89013 125.575702) (xy 172.926208 125.488603) (xy 172.9446 125.396138) (xy 172.9446 125.301862) + (xy 172.926208 125.209397) (xy 172.89013 125.122298) (xy 172.837753 125.04391) (xy 172.77109 124.977247) (xy 172.692702 124.92487) + (xy 172.605603 124.888792) (xy 172.513138 124.8704) (xy 172.418862 124.8704) (xy 172.326397 124.888792) (xy 172.239298 124.92487) + (xy 172.16091 124.977247) (xy 172.094247 125.04391) (xy 172.04187 125.122298) (xy 172.005792 125.209397) (xy 171.9874 125.301862) + (xy 171.2936 125.301862) (xy 171.275208 125.209397) (xy 171.23913 125.122298) (xy 171.186753 125.04391) (xy 171.12009 124.977247) + (xy 171.041702 124.92487) (xy 170.954603 124.888792) (xy 170.862138 124.8704) (xy 170.767862 124.8704) (xy 170.675397 124.888792) + (xy 170.588298 124.92487) (xy 170.50991 124.977247) (xy 170.443247 125.04391) (xy 170.39087 125.122298) (xy 170.354792 125.209397) + (xy 170.3364 125.301862) (xy 169.6426 125.301862) (xy 169.624208 125.209397) (xy 169.58813 125.122298) (xy 169.535753 125.04391) + (xy 169.46909 124.977247) (xy 169.390702 124.92487) (xy 169.303603 124.888792) (xy 169.211138 124.8704) (xy 169.116862 124.8704) + (xy 169.024397 124.888792) (xy 168.937298 124.92487) (xy 168.85891 124.977247) (xy 168.792247 125.04391) (xy 168.73987 125.122298) + (xy 168.703792 125.209397) (xy 168.6854 125.301862) (xy 104.293526 125.301862) (xy 104.216103 125.269792) (xy 104.123638 125.2514) + (xy 104.029362 125.2514) (xy 103.936897 125.269792) (xy 103.849798 125.30587) (xy 103.77141 125.358247) (xy 103.704747 125.42491) + (xy 103.65237 125.503298) (xy 103.616292 125.590397) (xy 103.5979 125.682862) (xy 103.5546 125.682862) (xy 103.5546 124.361154) + (xy 199.6564 124.361154) (xy 199.6564 124.558846) (xy 199.694968 124.752739) (xy 199.770621 124.935383) (xy 199.880453 125.099758) + (xy 200.020242 125.239547) (xy 200.184617 125.349379) (xy 200.367261 125.425032) (xy 200.561154 125.4636) (xy 200.758846 125.4636) + (xy 200.952739 125.425032) (xy 201.135383 125.349379) (xy 201.299758 125.239547) (xy 201.439547 125.099758) (xy 201.549379 124.935383) + (xy 201.625032 124.752739) (xy 201.6636 124.558846) (xy 201.6636 124.361154) (xy 202.1964 124.361154) (xy 202.1964 124.558846) + (xy 202.234968 124.752739) (xy 202.310621 124.935383) (xy 202.420453 125.099758) (xy 202.560242 125.239547) (xy 202.724617 125.349379) + (xy 202.907261 125.425032) (xy 203.101154 125.4636) (xy 203.298846 125.4636) (xy 203.492739 125.425032) (xy 203.675383 125.349379) + (xy 203.839758 125.239547) (xy 203.979547 125.099758) (xy 204.089379 124.935383) (xy 204.165032 124.752739) (xy 204.2036 124.558846) + (xy 204.2036 124.361154) (xy 204.7364 124.361154) (xy 204.7364 124.558846) (xy 204.774968 124.752739) (xy 204.850621 124.935383) + (xy 204.960453 125.099758) (xy 205.100242 125.239547) (xy 205.264617 125.349379) (xy 205.447261 125.425032) (xy 205.641154 125.4636) + (xy 205.838846 125.4636) (xy 206.032739 125.425032) (xy 206.215383 125.349379) (xy 206.379758 125.239547) (xy 206.519547 125.099758) + (xy 206.629379 124.935383) (xy 206.705032 124.752739) (xy 206.7436 124.558846) (xy 206.7436 124.361154) (xy 206.705032 124.167261) + (xy 206.629379 123.984617) (xy 206.519547 123.820242) (xy 206.379758 123.680453) (xy 206.215383 123.570621) (xy 206.032739 123.494968) + (xy 205.838846 123.4564) (xy 205.641154 123.4564) (xy 205.447261 123.494968) (xy 205.264617 123.570621) (xy 205.100242 123.680453) + (xy 204.960453 123.820242) (xy 204.850621 123.984617) (xy 204.774968 124.167261) (xy 204.7364 124.361154) (xy 204.2036 124.361154) + (xy 204.165032 124.167261) (xy 204.089379 123.984617) (xy 203.979547 123.820242) (xy 203.839758 123.680453) (xy 203.675383 123.570621) + (xy 203.492739 123.494968) (xy 203.298846 123.4564) (xy 203.101154 123.4564) (xy 202.907261 123.494968) (xy 202.724617 123.570621) + (xy 202.560242 123.680453) (xy 202.420453 123.820242) (xy 202.310621 123.984617) (xy 202.234968 124.167261) (xy 202.1964 124.361154) + (xy 201.6636 124.361154) (xy 201.625032 124.167261) (xy 201.549379 123.984617) (xy 201.439547 123.820242) (xy 201.299758 123.680453) + (xy 201.135383 123.570621) (xy 200.952739 123.494968) (xy 200.758846 123.4564) (xy 200.561154 123.4564) (xy 200.367261 123.494968) + (xy 200.184617 123.570621) (xy 200.020242 123.680453) (xy 199.880453 123.820242) (xy 199.770621 123.984617) (xy 199.694968 124.167261) + (xy 199.6564 124.361154) (xy 103.5546 124.361154) (xy 103.5546 123.142862) (xy 115.4724 123.142862) (xy 115.4724 123.237138) + (xy 115.490792 123.329603) (xy 115.52687 123.416702) (xy 115.579247 123.49509) (xy 115.64591 123.561753) (xy 115.724298 123.61413) + (xy 115.811397 123.650208) (xy 115.903862 123.6686) (xy 115.998138 123.6686) (xy 116.090603 123.650208) (xy 116.177702 123.61413) + (xy 116.25609 123.561753) (xy 116.322753 123.49509) (xy 116.37513 123.416702) (xy 116.411208 123.329603) (xy 116.4296 123.237138) + (xy 116.4296 123.142862) (xy 116.9964 123.142862) (xy 116.9964 123.237138) (xy 117.014792 123.329603) (xy 117.05087 123.416702) + (xy 117.103247 123.49509) (xy 117.16991 123.561753) (xy 117.248298 123.61413) (xy 117.335397 123.650208) (xy 117.427862 123.6686) + (xy 117.522138 123.6686) (xy 117.614603 123.650208) (xy 117.701702 123.61413) (xy 117.78009 123.561753) (xy 117.846753 123.49509) + (xy 117.89913 123.416702) (xy 117.935208 123.329603) (xy 117.9536 123.237138) (xy 117.9536 123.142862) (xy 117.935208 123.050397) + (xy 117.89913 122.963298) (xy 117.846753 122.88491) (xy 117.78009 122.818247) (xy 117.701702 122.76587) (xy 117.614603 122.729792) + (xy 117.522138 122.7114) (xy 117.427862 122.7114) (xy 117.335397 122.729792) (xy 117.248298 122.76587) (xy 117.16991 122.818247) + (xy 117.103247 122.88491) (xy 117.05087 122.963298) (xy 117.014792 123.050397) (xy 116.9964 123.142862) (xy 116.4296 123.142862) + (xy 116.411208 123.050397) (xy 116.37513 122.963298) (xy 116.322753 122.88491) (xy 116.25609 122.818247) (xy 116.177702 122.76587) + (xy 116.090603 122.729792) (xy 115.998138 122.7114) (xy 115.903862 122.7114) (xy 115.811397 122.729792) (xy 115.724298 122.76587) + (xy 115.64591 122.818247) (xy 115.579247 122.88491) (xy 115.52687 122.963298) (xy 115.490792 123.050397) (xy 115.4724 123.142862) + (xy 103.5546 123.142862) (xy 103.5546 122.642832) (xy 200.041537 122.642832) (xy 200.128539 122.777049) (xy 200.305953 122.864265) + (xy 200.496972 122.915192) (xy 200.694257 122.927875) (xy 200.890225 122.901826) (xy 201.077346 122.838046) (xy 201.191461 122.777049) + (xy 201.278463 122.642832) (xy 200.66 122.024369) (xy 200.041537 122.642832) (xy 103.5546 122.642832) (xy 103.5546 121.954257) + (xy 199.652125 121.954257) (xy 199.678174 122.150225) (xy 199.741954 122.337346) (xy 199.802951 122.451461) (xy 199.937168 122.538463) + (xy 200.555631 121.92) (xy 200.764369 121.92) (xy 201.382832 122.538463) (xy 201.517049 122.451461) (xy 201.604265 122.274047) + (xy 201.655192 122.083028) (xy 201.667875 121.885743) (xy 201.65929 121.821154) (xy 202.1964 121.821154) (xy 202.1964 122.018846) + (xy 202.234968 122.212739) (xy 202.310621 122.395383) (xy 202.420453 122.559758) (xy 202.560242 122.699547) (xy 202.724617 122.809379) + (xy 202.907261 122.885032) (xy 203.101154 122.9236) (xy 203.298846 122.9236) (xy 203.492739 122.885032) (xy 203.675383 122.809379) + (xy 203.839758 122.699547) (xy 203.979547 122.559758) (xy 204.089379 122.395383) (xy 204.165032 122.212739) (xy 204.2036 122.018846) + (xy 204.2036 121.821154) (xy 204.7364 121.821154) (xy 204.7364 122.018846) (xy 204.774968 122.212739) (xy 204.850621 122.395383) + (xy 204.960453 122.559758) (xy 205.100242 122.699547) (xy 205.264617 122.809379) (xy 205.447261 122.885032) (xy 205.641154 122.9236) + (xy 205.838846 122.9236) (xy 206.032739 122.885032) (xy 206.215383 122.809379) (xy 206.379758 122.699547) (xy 206.519547 122.559758) + (xy 206.629379 122.395383) (xy 206.705032 122.212739) (xy 206.7436 122.018846) (xy 206.7436 121.821154) (xy 206.705032 121.627261) + (xy 206.629379 121.444617) (xy 206.519547 121.280242) (xy 206.379758 121.140453) (xy 206.215383 121.030621) (xy 206.032739 120.954968) + (xy 205.838846 120.9164) (xy 205.641154 120.9164) (xy 205.447261 120.954968) (xy 205.264617 121.030621) (xy 205.100242 121.140453) + (xy 204.960453 121.280242) (xy 204.850621 121.444617) (xy 204.774968 121.627261) (xy 204.7364 121.821154) (xy 204.2036 121.821154) + (xy 204.165032 121.627261) (xy 204.089379 121.444617) (xy 203.979547 121.280242) (xy 203.839758 121.140453) (xy 203.675383 121.030621) + (xy 203.492739 120.954968) (xy 203.298846 120.9164) (xy 203.101154 120.9164) (xy 202.907261 120.954968) (xy 202.724617 121.030621) + (xy 202.560242 121.140453) (xy 202.420453 121.280242) (xy 202.310621 121.444617) (xy 202.234968 121.627261) (xy 202.1964 121.821154) + (xy 201.65929 121.821154) (xy 201.641826 121.689775) (xy 201.578046 121.502654) (xy 201.517049 121.388539) (xy 201.382832 121.301537) + (xy 200.764369 121.92) (xy 200.555631 121.92) (xy 199.937168 121.301537) (xy 199.802951 121.388539) (xy 199.715735 121.565953) + (xy 199.664808 121.756972) (xy 199.652125 121.954257) (xy 103.5546 121.954257) (xy 103.5546 121.197168) (xy 200.041537 121.197168) + (xy 200.66 121.815631) (xy 201.278463 121.197168) (xy 201.191461 121.062951) (xy 201.014047 120.975735) (xy 200.823028 120.924808) + (xy 200.625743 120.912125) (xy 200.429775 120.938174) (xy 200.242654 121.001954) (xy 200.128539 121.062951) (xy 200.041537 121.197168) + (xy 103.5546 121.197168) (xy 103.5546 120.602862) (xy 103.5979 120.602862) (xy 103.5979 120.697138) (xy 103.616292 120.789603) + (xy 103.65237 120.876702) (xy 103.704747 120.95509) (xy 103.77141 121.021753) (xy 103.849798 121.07413) (xy 103.936897 121.110208) + (xy 104.029362 121.1286) (xy 104.123638 121.1286) (xy 104.216103 121.110208) (xy 104.303202 121.07413) (xy 104.38159 121.021753) + (xy 104.448253 120.95509) (xy 104.50063 120.876702) (xy 104.536708 120.789603) (xy 104.5551 120.697138) (xy 104.5551 120.602862) + (xy 104.536708 120.510397) (xy 104.522403 120.475862) (xy 106.2014 120.475862) (xy 106.2014 120.570138) (xy 106.219792 120.662603) + (xy 106.25587 120.749702) (xy 106.308247 120.82809) (xy 106.37491 120.894753) (xy 106.453298 120.94713) (xy 106.540397 120.983208) + (xy 106.632862 121.0016) (xy 106.727138 121.0016) (xy 106.819603 120.983208) (xy 106.906702 120.94713) (xy 106.98509 120.894753) + (xy 107.051753 120.82809) (xy 107.10413 120.749702) (xy 107.140208 120.662603) (xy 107.1586 120.570138) (xy 107.1586 120.475862) + (xy 107.4714 120.475862) (xy 107.4714 120.570138) (xy 107.489792 120.662603) (xy 107.52587 120.749702) (xy 107.578247 120.82809) + (xy 107.64491 120.894753) (xy 107.723298 120.94713) (xy 107.810397 120.983208) (xy 107.902862 121.0016) (xy 107.997138 121.0016) + (xy 108.089603 120.983208) (xy 108.176702 120.94713) (xy 108.25509 120.894753) (xy 108.321753 120.82809) (xy 108.37413 120.749702) + (xy 108.410208 120.662603) (xy 108.4286 120.570138) (xy 108.4286 120.552862) (xy 113.9714 120.552862) (xy 113.9714 120.647138) + (xy 113.989792 120.739603) (xy 114.02587 120.826702) (xy 114.078247 120.90509) (xy 114.14491 120.971753) (xy 114.223298 121.02413) + (xy 114.310397 121.060208) (xy 114.402862 121.0786) (xy 114.497138 121.0786) (xy 114.589603 121.060208) (xy 114.676702 121.02413) + (xy 114.75509 120.971753) (xy 114.821753 120.90509) (xy 114.87413 120.826702) (xy 114.910208 120.739603) (xy 114.9286 120.647138) + (xy 114.9286 120.552862) (xy 114.910208 120.460397) (xy 114.87413 120.373298) (xy 114.821753 120.29491) (xy 114.812205 120.285362) + (xy 115.5994 120.285362) (xy 115.5994 120.379638) (xy 115.617792 120.472103) (xy 115.65387 120.559202) (xy 115.706247 120.63759) + (xy 115.77291 120.704253) (xy 115.851298 120.75663) (xy 115.938397 120.792708) (xy 116.030862 120.8111) (xy 116.125138 120.8111) + (xy 116.217603 120.792708) (xy 116.304702 120.75663) (xy 116.38309 120.704253) (xy 116.449753 120.63759) (xy 116.50213 120.559202) + (xy 116.538208 120.472103) (xy 116.5566 120.379638) (xy 116.5566 120.285362) (xy 116.538208 120.192897) (xy 116.50213 120.105798) + (xy 116.449753 120.02741) (xy 116.38309 119.960747) (xy 116.304702 119.90837) (xy 116.217603 119.872292) (xy 116.125138 119.8539) + (xy 116.030862 119.8539) (xy 115.938397 119.872292) (xy 115.851298 119.90837) (xy 115.77291 119.960747) (xy 115.706247 120.02741) + (xy 115.65387 120.105798) (xy 115.617792 120.192897) (xy 115.5994 120.285362) (xy 114.812205 120.285362) (xy 114.75509 120.228247) + (xy 114.676702 120.17587) (xy 114.589603 120.139792) (xy 114.497138 120.1214) (xy 114.402862 120.1214) (xy 114.310397 120.139792) + (xy 114.223298 120.17587) (xy 114.14491 120.228247) (xy 114.078247 120.29491) (xy 114.02587 120.373298) (xy 113.989792 120.460397) + (xy 113.9714 120.552862) (xy 108.4286 120.552862) (xy 108.4286 120.475862) (xy 108.410208 120.383397) (xy 108.37413 120.296298) + (xy 108.321753 120.21791) (xy 108.25509 120.151247) (xy 108.176702 120.09887) (xy 108.089603 120.062792) (xy 107.997138 120.0444) + (xy 107.902862 120.0444) (xy 107.810397 120.062792) (xy 107.723298 120.09887) (xy 107.64491 120.151247) (xy 107.578247 120.21791) + (xy 107.52587 120.296298) (xy 107.489792 120.383397) (xy 107.4714 120.475862) (xy 107.1586 120.475862) (xy 107.140208 120.383397) + (xy 107.10413 120.296298) (xy 107.051753 120.21791) (xy 106.98509 120.151247) (xy 106.906702 120.09887) (xy 106.819603 120.062792) + (xy 106.727138 120.0444) (xy 106.632862 120.0444) (xy 106.540397 120.062792) (xy 106.453298 120.09887) (xy 106.37491 120.151247) + (xy 106.308247 120.21791) (xy 106.25587 120.296298) (xy 106.219792 120.383397) (xy 106.2014 120.475862) (xy 104.522403 120.475862) + (xy 104.50063 120.423298) (xy 104.448253 120.34491) (xy 104.38159 120.278247) (xy 104.303202 120.22587) (xy 104.216103 120.189792) + (xy 104.123638 120.1714) (xy 104.029362 120.1714) (xy 103.936897 120.189792) (xy 103.849798 120.22587) (xy 103.77141 120.278247) + (xy 103.704747 120.34491) (xy 103.65237 120.423298) (xy 103.616292 120.510397) (xy 103.5979 120.602862) (xy 103.5546 120.602862) + (xy 103.5546 119.402862) (xy 112.3214 119.402862) (xy 112.3214 119.497138) (xy 112.339792 119.589603) (xy 112.37587 119.676702) + (xy 112.428247 119.75509) (xy 112.49491 119.821753) (xy 112.573298 119.87413) (xy 112.660397 119.910208) (xy 112.752862 119.9286) + (xy 112.847138 119.9286) (xy 112.939603 119.910208) (xy 113.026702 119.87413) (xy 113.10509 119.821753) (xy 113.171753 119.75509) + (xy 113.22413 119.676702) (xy 113.260208 119.589603) (xy 113.2786 119.497138) (xy 113.2786 119.452862) (xy 113.6714 119.452862) + (xy 113.6714 119.547138) (xy 113.689792 119.639603) (xy 113.72587 119.726702) (xy 113.778247 119.80509) (xy 113.84491 119.871753) + (xy 113.923298 119.92413) (xy 114.010397 119.960208) (xy 114.102862 119.9786) (xy 114.197138 119.9786) (xy 114.289603 119.960208) + (xy 114.376702 119.92413) (xy 114.45509 119.871753) (xy 114.521753 119.80509) (xy 114.57413 119.726702) (xy 114.610208 119.639603) + (xy 114.627461 119.552862) (xy 117.1714 119.552862) (xy 117.1714 119.647138) (xy 117.189792 119.739603) (xy 117.22587 119.826702) + (xy 117.278247 119.90509) (xy 117.34491 119.971753) (xy 117.423298 120.02413) (xy 117.510397 120.060208) (xy 117.602862 120.0786) + (xy 117.697138 120.0786) (xy 117.789603 120.060208) (xy 117.876702 120.02413) (xy 117.95509 119.971753) (xy 118.021753 119.90509) + (xy 118.07413 119.826702) (xy 118.110208 119.739603) (xy 118.127461 119.652862) (xy 118.8214 119.652862) (xy 118.8214 119.747138) + (xy 118.839792 119.839603) (xy 118.87587 119.926702) (xy 118.928247 120.00509) (xy 118.99491 120.071753) (xy 119.073298 120.12413) + (xy 119.160397 120.160208) (xy 119.252862 120.1786) (xy 119.347138 120.1786) (xy 119.439603 120.160208) (xy 119.526702 120.12413) + (xy 119.60509 120.071753) (xy 119.671753 120.00509) (xy 119.72413 119.926702) (xy 119.760208 119.839603) (xy 119.7786 119.747138) + (xy 119.7786 119.652862) (xy 119.760208 119.560397) (xy 119.757087 119.552862) (xy 124.8714 119.552862) (xy 124.8714 119.647138) + (xy 124.889792 119.739603) (xy 124.92587 119.826702) (xy 124.978247 119.90509) (xy 125.04491 119.971753) (xy 125.123298 120.02413) + (xy 125.210397 120.060208) (xy 125.302862 120.0786) (xy 125.397138 120.0786) (xy 125.489603 120.060208) (xy 125.576702 120.02413) + (xy 125.65509 119.971753) (xy 125.658981 119.967862) (xy 136.9354 119.967862) (xy 136.9354 120.062138) (xy 136.953792 120.154603) + (xy 136.98987 120.241702) (xy 137.042247 120.32009) (xy 137.10891 120.386753) (xy 137.187298 120.43913) (xy 137.274397 120.475208) + (xy 137.366862 120.4936) (xy 137.461138 120.4936) (xy 137.553603 120.475208) (xy 137.640702 120.43913) (xy 137.71909 120.386753) + (xy 137.785753 120.32009) (xy 137.83813 120.241702) (xy 137.874208 120.154603) (xy 137.8926 120.062138) (xy 137.8926 119.967862) + (xy 138.4594 119.967862) (xy 138.4594 120.062138) (xy 138.477792 120.154603) (xy 138.51387 120.241702) (xy 138.566247 120.32009) + (xy 138.63291 120.386753) (xy 138.711298 120.43913) (xy 138.798397 120.475208) (xy 138.890862 120.4936) (xy 138.985138 120.4936) + (xy 139.077603 120.475208) (xy 139.164702 120.43913) (xy 139.24309 120.386753) (xy 139.309753 120.32009) (xy 139.36213 120.241702) + (xy 139.398208 120.154603) (xy 139.4166 120.062138) (xy 139.4166 119.967862) (xy 139.9834 119.967862) (xy 139.9834 120.062138) + (xy 140.001792 120.154603) (xy 140.03787 120.241702) (xy 140.090247 120.32009) (xy 140.15691 120.386753) (xy 140.235298 120.43913) + (xy 140.322397 120.475208) (xy 140.414862 120.4936) (xy 140.509138 120.4936) (xy 140.601603 120.475208) (xy 140.688702 120.43913) + (xy 140.76709 120.386753) (xy 140.833753 120.32009) (xy 140.88613 120.241702) (xy 140.922208 120.154603) (xy 140.9406 120.062138) + (xy 140.9406 120.031362) (xy 166.3359 120.031362) (xy 166.3359 120.125638) (xy 166.354292 120.218103) (xy 166.39037 120.305202) + (xy 166.442747 120.38359) (xy 166.50941 120.450253) (xy 166.587798 120.50263) (xy 166.674897 120.538708) (xy 166.767362 120.5571) + (xy 166.861638 120.5571) (xy 166.954103 120.538708) (xy 167.041202 120.50263) (xy 167.11959 120.450253) (xy 167.166981 120.402862) + (xy 176.1714 120.402862) (xy 176.1714 120.497138) (xy 176.189792 120.589603) (xy 176.22587 120.676702) (xy 176.278247 120.75509) + (xy 176.34491 120.821753) (xy 176.423298 120.87413) (xy 176.510397 120.910208) (xy 176.602862 120.9286) (xy 176.697138 120.9286) + (xy 176.789603 120.910208) (xy 176.876702 120.87413) (xy 176.95509 120.821753) (xy 177.021753 120.75509) (xy 177.07413 120.676702) + (xy 177.110208 120.589603) (xy 177.1286 120.497138) (xy 177.1286 120.402862) (xy 184.6714 120.402862) (xy 184.6714 120.497138) + (xy 184.689792 120.589603) (xy 184.72587 120.676702) (xy 184.778247 120.75509) (xy 184.84491 120.821753) (xy 184.923298 120.87413) + (xy 185.010397 120.910208) (xy 185.102862 120.9286) (xy 185.197138 120.9286) (xy 185.289603 120.910208) (xy 185.376702 120.87413) + (xy 185.45509 120.821753) (xy 185.521753 120.75509) (xy 185.57413 120.676702) (xy 185.610208 120.589603) (xy 185.6286 120.497138) + (xy 185.6286 120.438088) (xy 198.4714 120.438088) (xy 198.4714 120.561912) (xy 198.495556 120.683356) (xy 198.542941 120.797754) + (xy 198.611734 120.900709) (xy 198.699291 120.988266) (xy 198.802246 121.057059) (xy 198.916644 121.104444) (xy 199.038088 121.1286) + (xy 199.161912 121.1286) (xy 199.283356 121.104444) (xy 199.397754 121.057059) (xy 199.500709 120.988266) (xy 199.588266 120.900709) + (xy 199.657059 120.797754) (xy 199.704444 120.683356) (xy 199.720454 120.602862) (xy 211.0399 120.602862) (xy 211.0399 120.697138) + (xy 211.058292 120.789603) (xy 211.09437 120.876702) (xy 211.146747 120.95509) (xy 211.21341 121.021753) (xy 211.291798 121.07413) + (xy 211.378897 121.110208) (xy 211.471362 121.1286) (xy 211.565638 121.1286) (xy 211.658103 121.110208) (xy 211.745202 121.07413) + (xy 211.82359 121.021753) (xy 211.890253 120.95509) (xy 211.94263 120.876702) (xy 211.978708 120.789603) (xy 211.9971 120.697138) + (xy 211.9971 120.602862) (xy 211.978708 120.510397) (xy 211.94263 120.423298) (xy 211.890253 120.34491) (xy 211.82359 120.278247) + (xy 211.745202 120.22587) (xy 211.658103 120.189792) (xy 211.565638 120.1714) (xy 211.471362 120.1714) (xy 211.378897 120.189792) + (xy 211.291798 120.22587) (xy 211.21341 120.278247) (xy 211.146747 120.34491) (xy 211.09437 120.423298) (xy 211.058292 120.510397) + (xy 211.0399 120.602862) (xy 199.720454 120.602862) (xy 199.7286 120.561912) (xy 199.7286 120.438088) (xy 199.704444 120.316644) + (xy 199.657059 120.202246) (xy 199.588266 120.099291) (xy 199.500709 120.011734) (xy 199.397754 119.942941) (xy 199.283356 119.895556) + (xy 199.161912 119.8714) (xy 199.038088 119.8714) (xy 198.916644 119.895556) (xy 198.802246 119.942941) (xy 198.699291 120.011734) + (xy 198.611734 120.099291) (xy 198.542941 120.202246) (xy 198.495556 120.316644) (xy 198.4714 120.438088) (xy 185.6286 120.438088) + (xy 185.6286 120.402862) (xy 185.610208 120.310397) (xy 185.57413 120.223298) (xy 185.521753 120.14491) (xy 185.45509 120.078247) + (xy 185.376702 120.02587) (xy 185.289603 119.989792) (xy 185.197138 119.9714) (xy 185.102862 119.9714) (xy 185.010397 119.989792) + (xy 184.923298 120.02587) (xy 184.84491 120.078247) (xy 184.778247 120.14491) (xy 184.72587 120.223298) (xy 184.689792 120.310397) + (xy 184.6714 120.402862) (xy 177.1286 120.402862) (xy 177.110208 120.310397) (xy 177.07413 120.223298) (xy 177.021753 120.14491) + (xy 176.95509 120.078247) (xy 176.876702 120.02587) (xy 176.789603 119.989792) (xy 176.697138 119.9714) (xy 176.602862 119.9714) + (xy 176.510397 119.989792) (xy 176.423298 120.02587) (xy 176.34491 120.078247) (xy 176.278247 120.14491) (xy 176.22587 120.223298) + (xy 176.189792 120.310397) (xy 176.1714 120.402862) (xy 167.166981 120.402862) (xy 167.186253 120.38359) (xy 167.23863 120.305202) + (xy 167.274708 120.218103) (xy 167.2931 120.125638) (xy 167.2931 120.031362) (xy 167.274708 119.938897) (xy 167.23863 119.851798) + (xy 167.205933 119.802862) (xy 175.0714 119.802862) (xy 175.0714 119.897138) (xy 175.089792 119.989603) (xy 175.12587 120.076702) + (xy 175.178247 120.15509) (xy 175.24491 120.221753) (xy 175.323298 120.27413) (xy 175.410397 120.310208) (xy 175.502862 120.3286) + (xy 175.597138 120.3286) (xy 175.689603 120.310208) (xy 175.776702 120.27413) (xy 175.85509 120.221753) (xy 175.921753 120.15509) + (xy 175.97413 120.076702) (xy 176.010208 119.989603) (xy 176.0286 119.897138) (xy 176.0286 119.802862) (xy 177.2714 119.802862) + (xy 177.2714 119.897138) (xy 177.289792 119.989603) (xy 177.32587 120.076702) (xy 177.378247 120.15509) (xy 177.44491 120.221753) + (xy 177.523298 120.27413) (xy 177.610397 120.310208) (xy 177.702862 120.3286) (xy 177.797138 120.3286) (xy 177.889603 120.310208) + (xy 177.976702 120.27413) (xy 178.05509 120.221753) (xy 178.121753 120.15509) (xy 178.17413 120.076702) (xy 178.210208 119.989603) + (xy 178.2286 119.897138) (xy 178.2286 119.802862) (xy 178.210208 119.710397) (xy 178.186376 119.652862) (xy 180.8714 119.652862) + (xy 180.8714 119.747138) (xy 180.889792 119.839603) (xy 180.92587 119.926702) (xy 180.978247 120.00509) (xy 181.04491 120.071753) + (xy 181.123298 120.12413) (xy 181.210397 120.160208) (xy 181.302862 120.1786) (xy 181.397138 120.1786) (xy 181.489603 120.160208) + (xy 181.576702 120.12413) (xy 181.65509 120.071753) (xy 181.721753 120.00509) (xy 181.77413 119.926702) (xy 181.810208 119.839603) + (xy 181.817516 119.802862) (xy 183.5714 119.802862) (xy 183.5714 119.897138) (xy 183.589792 119.989603) (xy 183.62587 120.076702) + (xy 183.678247 120.15509) (xy 183.74491 120.221753) (xy 183.823298 120.27413) (xy 183.910397 120.310208) (xy 184.002862 120.3286) + (xy 184.097138 120.3286) (xy 184.189603 120.310208) (xy 184.276702 120.27413) (xy 184.35509 120.221753) (xy 184.421753 120.15509) + (xy 184.47413 120.076702) (xy 184.510208 119.989603) (xy 184.5286 119.897138) (xy 184.5286 119.802862) (xy 185.7714 119.802862) + (xy 185.7714 119.897138) (xy 185.789792 119.989603) (xy 185.82587 120.076702) (xy 185.878247 120.15509) (xy 185.94491 120.221753) + (xy 186.023298 120.27413) (xy 186.110397 120.310208) (xy 186.202862 120.3286) (xy 186.297138 120.3286) (xy 186.389603 120.310208) + (xy 186.476702 120.27413) (xy 186.55509 120.221753) (xy 186.621753 120.15509) (xy 186.67413 120.076702) (xy 186.710208 119.989603) + (xy 186.7286 119.897138) (xy 186.7286 119.802862) (xy 186.710208 119.710397) (xy 186.67413 119.623298) (xy 186.660476 119.602862) + (xy 189.2714 119.602862) (xy 189.2714 119.697138) (xy 189.289792 119.789603) (xy 189.32587 119.876702) (xy 189.378247 119.95509) + (xy 189.44491 120.021753) (xy 189.523298 120.07413) (xy 189.610397 120.110208) (xy 189.702862 120.1286) (xy 189.797138 120.1286) + (xy 189.889603 120.110208) (xy 189.976702 120.07413) (xy 190.05509 120.021753) (xy 190.121753 119.95509) (xy 190.17413 119.876702) + (xy 190.210208 119.789603) (xy 190.2286 119.697138) (xy 190.2286 119.602862) (xy 190.210208 119.510397) (xy 190.17413 119.423298) + (xy 190.121753 119.34491) (xy 190.057997 119.281154) (xy 199.6564 119.281154) (xy 199.6564 119.478846) (xy 199.694968 119.672739) + (xy 199.770621 119.855383) (xy 199.880453 120.019758) (xy 200.020242 120.159547) (xy 200.184617 120.269379) (xy 200.367261 120.345032) + (xy 200.561154 120.3836) (xy 200.758846 120.3836) (xy 200.952739 120.345032) (xy 201.135383 120.269379) (xy 201.299758 120.159547) + (xy 201.439547 120.019758) (xy 201.549379 119.855383) (xy 201.625032 119.672739) (xy 201.6636 119.478846) (xy 201.6636 119.281154) + (xy 202.1964 119.281154) (xy 202.1964 119.478846) (xy 202.234968 119.672739) (xy 202.310621 119.855383) (xy 202.420453 120.019758) + (xy 202.560242 120.159547) (xy 202.724617 120.269379) (xy 202.907261 120.345032) (xy 203.101154 120.3836) (xy 203.298846 120.3836) + (xy 203.492739 120.345032) (xy 203.675383 120.269379) (xy 203.839758 120.159547) (xy 203.979547 120.019758) (xy 204.089379 119.855383) + (xy 204.165032 119.672739) (xy 204.2036 119.478846) (xy 204.2036 119.281154) (xy 204.7364 119.281154) (xy 204.7364 119.478846) + (xy 204.774968 119.672739) (xy 204.850621 119.855383) (xy 204.960453 120.019758) (xy 205.100242 120.159547) (xy 205.264617 120.269379) + (xy 205.447261 120.345032) (xy 205.641154 120.3836) (xy 205.838846 120.3836) (xy 206.032739 120.345032) (xy 206.215383 120.269379) + (xy 206.379758 120.159547) (xy 206.519547 120.019758) (xy 206.629379 119.855383) (xy 206.705032 119.672739) (xy 206.7436 119.478846) + (xy 206.7436 119.281154) (xy 206.705032 119.087261) (xy 206.629379 118.904617) (xy 206.519547 118.740242) (xy 206.379758 118.600453) + (xy 206.215383 118.490621) (xy 206.032739 118.414968) (xy 205.838846 118.3764) (xy 205.641154 118.3764) (xy 205.447261 118.414968) + (xy 205.264617 118.490621) (xy 205.100242 118.600453) (xy 204.960453 118.740242) (xy 204.850621 118.904617) (xy 204.774968 119.087261) + (xy 204.7364 119.281154) (xy 204.2036 119.281154) (xy 204.165032 119.087261) (xy 204.089379 118.904617) (xy 203.979547 118.740242) + (xy 203.839758 118.600453) (xy 203.675383 118.490621) (xy 203.492739 118.414968) (xy 203.298846 118.3764) (xy 203.101154 118.3764) + (xy 202.907261 118.414968) (xy 202.724617 118.490621) (xy 202.560242 118.600453) (xy 202.420453 118.740242) (xy 202.310621 118.904617) + (xy 202.234968 119.087261) (xy 202.1964 119.281154) (xy 201.6636 119.281154) (xy 201.625032 119.087261) (xy 201.549379 118.904617) + (xy 201.439547 118.740242) (xy 201.299758 118.600453) (xy 201.135383 118.490621) (xy 200.952739 118.414968) (xy 200.758846 118.3764) + (xy 200.561154 118.3764) (xy 200.367261 118.414968) (xy 200.184617 118.490621) (xy 200.020242 118.600453) (xy 199.880453 118.740242) + (xy 199.770621 118.904617) (xy 199.694968 119.087261) (xy 199.6564 119.281154) (xy 190.057997 119.281154) (xy 190.05509 119.278247) + (xy 189.976702 119.22587) (xy 189.889603 119.189792) (xy 189.797138 119.1714) (xy 189.702862 119.1714) (xy 189.610397 119.189792) + (xy 189.523298 119.22587) (xy 189.44491 119.278247) (xy 189.378247 119.34491) (xy 189.32587 119.423298) (xy 189.289792 119.510397) + (xy 189.2714 119.602862) (xy 186.660476 119.602862) (xy 186.621753 119.54491) (xy 186.55509 119.478247) (xy 186.476702 119.42587) + (xy 186.389603 119.389792) (xy 186.297138 119.3714) (xy 186.202862 119.3714) (xy 186.110397 119.389792) (xy 186.023298 119.42587) + (xy 185.94491 119.478247) (xy 185.878247 119.54491) (xy 185.82587 119.623298) (xy 185.789792 119.710397) (xy 185.7714 119.802862) + (xy 184.5286 119.802862) (xy 184.510208 119.710397) (xy 184.47413 119.623298) (xy 184.421753 119.54491) (xy 184.35509 119.478247) + (xy 184.276702 119.42587) (xy 184.189603 119.389792) (xy 184.097138 119.3714) (xy 184.002862 119.3714) (xy 183.910397 119.389792) + (xy 183.823298 119.42587) (xy 183.74491 119.478247) (xy 183.678247 119.54491) (xy 183.62587 119.623298) (xy 183.589792 119.710397) + (xy 183.5714 119.802862) (xy 181.817516 119.802862) (xy 181.8286 119.747138) (xy 181.8286 119.652862) (xy 181.810208 119.560397) + (xy 181.77413 119.473298) (xy 181.721753 119.39491) (xy 181.65509 119.328247) (xy 181.576702 119.27587) (xy 181.489603 119.239792) + (xy 181.397138 119.2214) (xy 181.302862 119.2214) (xy 181.210397 119.239792) (xy 181.123298 119.27587) (xy 181.04491 119.328247) + (xy 180.978247 119.39491) (xy 180.92587 119.473298) (xy 180.889792 119.560397) (xy 180.8714 119.652862) (xy 178.186376 119.652862) + (xy 178.17413 119.623298) (xy 178.121753 119.54491) (xy 178.05509 119.478247) (xy 177.976702 119.42587) (xy 177.889603 119.389792) + (xy 177.797138 119.3714) (xy 177.702862 119.3714) (xy 177.610397 119.389792) (xy 177.523298 119.42587) (xy 177.44491 119.478247) + (xy 177.378247 119.54491) (xy 177.32587 119.623298) (xy 177.289792 119.710397) (xy 177.2714 119.802862) (xy 176.0286 119.802862) + (xy 176.010208 119.710397) (xy 175.97413 119.623298) (xy 175.921753 119.54491) (xy 175.85509 119.478247) (xy 175.776702 119.42587) + (xy 175.689603 119.389792) (xy 175.597138 119.3714) (xy 175.502862 119.3714) (xy 175.410397 119.389792) (xy 175.323298 119.42587) + (xy 175.24491 119.478247) (xy 175.178247 119.54491) (xy 175.12587 119.623298) (xy 175.089792 119.710397) (xy 175.0714 119.802862) + (xy 167.205933 119.802862) (xy 167.186253 119.77341) (xy 167.11959 119.706747) (xy 167.041202 119.65437) (xy 166.954103 119.618292) + (xy 166.861638 119.5999) (xy 166.767362 119.5999) (xy 166.674897 119.618292) (xy 166.587798 119.65437) (xy 166.50941 119.706747) + (xy 166.442747 119.77341) (xy 166.39037 119.851798) (xy 166.354292 119.938897) (xy 166.3359 120.031362) (xy 140.9406 120.031362) + (xy 140.9406 119.967862) (xy 140.922208 119.875397) (xy 140.88613 119.788298) (xy 140.833753 119.70991) (xy 140.76709 119.643247) + (xy 140.688702 119.59087) (xy 140.601603 119.554792) (xy 140.509138 119.5364) (xy 140.414862 119.5364) (xy 140.322397 119.554792) + (xy 140.235298 119.59087) (xy 140.15691 119.643247) (xy 140.090247 119.70991) (xy 140.03787 119.788298) (xy 140.001792 119.875397) + (xy 139.9834 119.967862) (xy 139.4166 119.967862) (xy 139.398208 119.875397) (xy 139.36213 119.788298) (xy 139.309753 119.70991) + (xy 139.24309 119.643247) (xy 139.164702 119.59087) (xy 139.077603 119.554792) (xy 138.985138 119.5364) (xy 138.890862 119.5364) + (xy 138.798397 119.554792) (xy 138.711298 119.59087) (xy 138.63291 119.643247) (xy 138.566247 119.70991) (xy 138.51387 119.788298) + (xy 138.477792 119.875397) (xy 138.4594 119.967862) (xy 137.8926 119.967862) (xy 137.874208 119.875397) (xy 137.83813 119.788298) + (xy 137.785753 119.70991) (xy 137.71909 119.643247) (xy 137.640702 119.59087) (xy 137.553603 119.554792) (xy 137.461138 119.5364) + (xy 137.366862 119.5364) (xy 137.274397 119.554792) (xy 137.187298 119.59087) (xy 137.10891 119.643247) (xy 137.042247 119.70991) + (xy 136.98987 119.788298) (xy 136.953792 119.875397) (xy 136.9354 119.967862) (xy 125.658981 119.967862) (xy 125.721753 119.90509) + (xy 125.77413 119.826702) (xy 125.810208 119.739603) (xy 125.8286 119.647138) (xy 125.8286 119.552862) (xy 125.810208 119.460397) + (xy 125.77413 119.373298) (xy 125.721753 119.29491) (xy 125.65509 119.228247) (xy 125.576702 119.17587) (xy 125.489603 119.139792) + (xy 125.397138 119.1214) (xy 125.302862 119.1214) (xy 125.210397 119.139792) (xy 125.123298 119.17587) (xy 125.04491 119.228247) + (xy 124.978247 119.29491) (xy 124.92587 119.373298) (xy 124.889792 119.460397) (xy 124.8714 119.552862) (xy 119.757087 119.552862) + (xy 119.72413 119.473298) (xy 119.671753 119.39491) (xy 119.60509 119.328247) (xy 119.526702 119.27587) (xy 119.439603 119.239792) + (xy 119.347138 119.2214) (xy 119.252862 119.2214) (xy 119.160397 119.239792) (xy 119.073298 119.27587) (xy 118.99491 119.328247) + (xy 118.928247 119.39491) (xy 118.87587 119.473298) (xy 118.839792 119.560397) (xy 118.8214 119.652862) (xy 118.127461 119.652862) + (xy 118.1286 119.647138) (xy 118.1286 119.552862) (xy 118.110208 119.460397) (xy 118.07413 119.373298) (xy 118.021753 119.29491) + (xy 117.95509 119.228247) (xy 117.876702 119.17587) (xy 117.789603 119.139792) (xy 117.697138 119.1214) (xy 117.602862 119.1214) + (xy 117.510397 119.139792) (xy 117.423298 119.17587) (xy 117.34491 119.228247) (xy 117.278247 119.29491) (xy 117.22587 119.373298) + (xy 117.189792 119.460397) (xy 117.1714 119.552862) (xy 114.627461 119.552862) (xy 114.6286 119.547138) (xy 114.6286 119.452862) + (xy 114.610208 119.360397) (xy 114.57413 119.273298) (xy 114.521753 119.19491) (xy 114.45509 119.128247) (xy 114.376702 119.07587) + (xy 114.289603 119.039792) (xy 114.197138 119.0214) (xy 114.102862 119.0214) (xy 114.010397 119.039792) (xy 113.923298 119.07587) + (xy 113.84491 119.128247) (xy 113.778247 119.19491) (xy 113.72587 119.273298) (xy 113.689792 119.360397) (xy 113.6714 119.452862) + (xy 113.2786 119.452862) (xy 113.2786 119.402862) (xy 113.260208 119.310397) (xy 113.22413 119.223298) (xy 113.171753 119.14491) + (xy 113.10509 119.078247) (xy 113.026702 119.02587) (xy 112.939603 118.989792) (xy 112.847138 118.9714) (xy 112.752862 118.9714) + (xy 112.660397 118.989792) (xy 112.573298 119.02587) (xy 112.49491 119.078247) (xy 112.428247 119.14491) (xy 112.37587 119.223298) + (xy 112.339792 119.310397) (xy 112.3214 119.402862) (xy 103.5546 119.402862) (xy 103.5546 117.902862) (xy 111.8714 117.902862) + (xy 111.8714 117.997138) (xy 111.889792 118.089603) (xy 111.92587 118.176702) (xy 111.978247 118.25509) (xy 112.04491 118.321753) + (xy 112.123298 118.37413) (xy 112.210397 118.410208) (xy 112.302862 118.4286) (xy 112.397138 118.4286) (xy 112.489603 118.410208) + (xy 112.576702 118.37413) (xy 112.634842 118.335282) (xy 112.6214 118.402862) (xy 112.6214 118.497138) (xy 112.639792 118.589603) + (xy 112.67587 118.676702) (xy 112.728247 118.75509) (xy 112.79491 118.821753) (xy 112.873298 118.87413) (xy 112.960397 118.910208) + (xy 113.052862 118.9286) (xy 113.147138 118.9286) (xy 113.239603 118.910208) (xy 113.326702 118.87413) (xy 113.40509 118.821753) + (xy 113.471753 118.75509) (xy 113.50665 118.702862) (xy 116.2214 118.702862) (xy 116.2214 118.797138) (xy 116.239792 118.889603) + (xy 116.27587 118.976702) (xy 116.328247 119.05509) (xy 116.39491 119.121753) (xy 116.473298 119.17413) (xy 116.560397 119.210208) + (xy 116.652862 119.2286) (xy 116.747138 119.2286) (xy 116.839603 119.210208) (xy 116.926702 119.17413) (xy 117.00509 119.121753) + (xy 117.071753 119.05509) (xy 117.12413 118.976702) (xy 117.134004 118.952862) (xy 119.5214 118.952862) (xy 119.5214 119.047138) + (xy 119.539792 119.139603) (xy 119.57587 119.226702) (xy 119.628247 119.30509) (xy 119.69491 119.371753) (xy 119.773298 119.42413) + (xy 119.860397 119.460208) (xy 119.952862 119.4786) (xy 120.047138 119.4786) (xy 120.139603 119.460208) (xy 120.226702 119.42413) + (xy 120.30509 119.371753) (xy 120.371753 119.30509) (xy 120.42413 119.226702) (xy 120.460208 119.139603) (xy 120.4786 119.047138) + (xy 120.4786 118.952862) (xy 120.460208 118.860397) (xy 120.42413 118.773298) (xy 120.371753 118.69491) (xy 120.30509 118.628247) + (xy 120.267099 118.602862) (xy 125.8714 118.602862) (xy 125.8714 118.697138) (xy 125.889792 118.789603) (xy 125.92587 118.876702) + (xy 125.978247 118.95509) (xy 126.04491 119.021753) (xy 126.123298 119.07413) (xy 126.210397 119.110208) (xy 126.302862 119.1286) + (xy 126.397138 119.1286) (xy 126.489603 119.110208) (xy 126.576702 119.07413) (xy 126.65509 119.021753) (xy 126.721753 118.95509) + (xy 126.77413 118.876702) (xy 126.810208 118.789603) (xy 126.8286 118.697138) (xy 126.8286 118.602862) (xy 126.810208 118.510397) + (xy 126.77413 118.423298) (xy 126.721753 118.34491) (xy 126.65509 118.278247) (xy 126.576702 118.22587) (xy 126.489603 118.189792) + (xy 126.397138 118.1714) (xy 126.302862 118.1714) (xy 126.210397 118.189792) (xy 126.123298 118.22587) (xy 126.04491 118.278247) + (xy 125.978247 118.34491) (xy 125.92587 118.423298) (xy 125.889792 118.510397) (xy 125.8714 118.602862) (xy 120.267099 118.602862) + (xy 120.226702 118.57587) (xy 120.139603 118.539792) (xy 120.047138 118.5214) (xy 119.952862 118.5214) (xy 119.860397 118.539792) + (xy 119.773298 118.57587) (xy 119.69491 118.628247) (xy 119.628247 118.69491) (xy 119.57587 118.773298) (xy 119.539792 118.860397) + (xy 119.5214 118.952862) (xy 117.134004 118.952862) (xy 117.160208 118.889603) (xy 117.1786 118.797138) (xy 117.1786 118.702862) + (xy 117.160208 118.610397) (xy 117.12413 118.523298) (xy 117.071753 118.44491) (xy 117.00509 118.378247) (xy 116.926702 118.32587) + (xy 116.839603 118.289792) (xy 116.747138 118.2714) (xy 116.652862 118.2714) (xy 116.560397 118.289792) (xy 116.473298 118.32587) + (xy 116.39491 118.378247) (xy 116.328247 118.44491) (xy 116.27587 118.523298) (xy 116.239792 118.610397) (xy 116.2214 118.702862) + (xy 113.50665 118.702862) (xy 113.52413 118.676702) (xy 113.560208 118.589603) (xy 113.5786 118.497138) (xy 113.5786 118.402862) + (xy 113.560208 118.310397) (xy 113.52413 118.223298) (xy 113.471753 118.14491) (xy 113.40509 118.078247) (xy 113.326702 118.02587) + (xy 113.239603 117.989792) (xy 113.147138 117.9714) (xy 113.052862 117.9714) (xy 112.960397 117.989792) (xy 112.873298 118.02587) + (xy 112.815158 118.064718) (xy 112.8286 117.997138) (xy 112.8286 117.902862) (xy 112.818655 117.852862) (xy 116.7714 117.852862) + (xy 116.7714 117.947138) (xy 116.789792 118.039603) (xy 116.82587 118.126702) (xy 116.878247 118.20509) (xy 116.94491 118.271753) + (xy 117.023298 118.32413) (xy 117.110397 118.360208) (xy 117.202862 118.3786) (xy 117.297138 118.3786) (xy 117.389603 118.360208) + (xy 117.476702 118.32413) (xy 117.55509 118.271753) (xy 117.621753 118.20509) (xy 117.67413 118.126702) (xy 117.704715 118.052862) + (xy 120.0214 118.052862) (xy 120.0214 118.147138) (xy 120.039792 118.239603) (xy 120.07587 118.326702) (xy 120.128247 118.40509) + (xy 120.19491 118.471753) (xy 120.273298 118.52413) (xy 120.360397 118.560208) (xy 120.452862 118.5786) (xy 120.547138 118.5786) + (xy 120.639603 118.560208) (xy 120.726702 118.52413) (xy 120.80509 118.471753) (xy 120.871753 118.40509) (xy 120.92413 118.326702) + (xy 120.960208 118.239603) (xy 120.9786 118.147138) (xy 120.9786 118.052862) (xy 121.5214 118.052862) (xy 121.5214 118.147138) + (xy 121.539792 118.239603) (xy 121.57587 118.326702) (xy 121.628247 118.40509) (xy 121.69491 118.471753) (xy 121.773298 118.52413) + (xy 121.860397 118.560208) (xy 121.952862 118.5786) (xy 122.047138 118.5786) (xy 122.139603 118.560208) (xy 122.226702 118.52413) + (xy 122.30509 118.471753) (xy 122.371753 118.40509) (xy 122.42413 118.326702) (xy 122.460208 118.239603) (xy 122.4786 118.147138) + (xy 122.4786 118.052862) (xy 122.460208 117.960397) (xy 122.42413 117.873298) (xy 122.410476 117.852862) (xy 124.7714 117.852862) + (xy 124.7714 117.947138) (xy 124.789792 118.039603) (xy 124.82587 118.126702) (xy 124.878247 118.20509) (xy 124.94491 118.271753) + (xy 125.023298 118.32413) (xy 125.110397 118.360208) (xy 125.202862 118.3786) (xy 125.297138 118.3786) (xy 125.389603 118.360208) + (xy 125.476702 118.32413) (xy 125.55509 118.271753) (xy 125.621753 118.20509) (xy 125.67413 118.126702) (xy 125.710208 118.039603) + (xy 125.7286 117.947138) (xy 125.7286 117.852862) (xy 125.710208 117.760397) (xy 125.67413 117.673298) (xy 125.621753 117.59491) + (xy 125.55509 117.528247) (xy 125.476702 117.47587) (xy 125.389603 117.439792) (xy 125.297138 117.4214) (xy 125.202862 117.4214) + (xy 125.110397 117.439792) (xy 125.023298 117.47587) (xy 124.94491 117.528247) (xy 124.878247 117.59491) (xy 124.82587 117.673298) + (xy 124.789792 117.760397) (xy 124.7714 117.852862) (xy 122.410476 117.852862) (xy 122.371753 117.79491) (xy 122.30509 117.728247) + (xy 122.226702 117.67587) (xy 122.139603 117.639792) (xy 122.047138 117.6214) (xy 121.952862 117.6214) (xy 121.860397 117.639792) + (xy 121.773298 117.67587) (xy 121.69491 117.728247) (xy 121.628247 117.79491) (xy 121.57587 117.873298) (xy 121.539792 117.960397) + (xy 121.5214 118.052862) (xy 120.9786 118.052862) (xy 120.960208 117.960397) (xy 120.92413 117.873298) (xy 120.871753 117.79491) + (xy 120.80509 117.728247) (xy 120.726702 117.67587) (xy 120.639603 117.639792) (xy 120.547138 117.6214) (xy 120.452862 117.6214) + (xy 120.360397 117.639792) (xy 120.273298 117.67587) (xy 120.19491 117.728247) (xy 120.128247 117.79491) (xy 120.07587 117.873298) + (xy 120.039792 117.960397) (xy 120.0214 118.052862) (xy 117.704715 118.052862) (xy 117.710208 118.039603) (xy 117.7286 117.947138) + (xy 117.7286 117.852862) (xy 117.710208 117.760397) (xy 117.67413 117.673298) (xy 117.621753 117.59491) (xy 117.55509 117.528247) + (xy 117.476702 117.47587) (xy 117.389603 117.439792) (xy 117.297138 117.4214) (xy 117.202862 117.4214) (xy 117.110397 117.439792) + (xy 117.023298 117.47587) (xy 116.94491 117.528247) (xy 116.878247 117.59491) (xy 116.82587 117.673298) (xy 116.789792 117.760397) + (xy 116.7714 117.852862) (xy 112.818655 117.852862) (xy 112.810208 117.810397) (xy 112.77413 117.723298) (xy 112.721753 117.64491) + (xy 112.65509 117.578247) (xy 112.576702 117.52587) (xy 112.489603 117.489792) (xy 112.397138 117.4714) (xy 112.302862 117.4714) + (xy 112.210397 117.489792) (xy 112.123298 117.52587) (xy 112.04491 117.578247) (xy 111.978247 117.64491) (xy 111.92587 117.723298) + (xy 111.889792 117.810397) (xy 111.8714 117.902862) (xy 103.5546 117.902862) (xy 103.5546 116.702862) (xy 112.2214 116.702862) + (xy 112.2214 116.797138) (xy 112.239792 116.889603) (xy 112.27587 116.976702) (xy 112.328247 117.05509) (xy 112.39491 117.121753) + (xy 112.473298 117.17413) (xy 112.560397 117.210208) (xy 112.652862 117.2286) (xy 112.747138 117.2286) (xy 112.839603 117.210208) + (xy 112.926702 117.17413) (xy 113.00509 117.121753) (xy 113.071753 117.05509) (xy 113.12413 116.976702) (xy 113.160208 116.889603) + (xy 113.1786 116.797138) (xy 113.1786 116.702862) (xy 113.160208 116.610397) (xy 113.157087 116.602862) (xy 125.6714 116.602862) + (xy 125.6714 116.697138) (xy 125.689792 116.789603) (xy 125.72587 116.876702) (xy 125.778247 116.95509) (xy 125.84491 117.021753) + (xy 125.923298 117.07413) (xy 126.010397 117.110208) (xy 126.102862 117.1286) (xy 126.197138 117.1286) (xy 126.289603 117.110208) + (xy 126.376702 117.07413) (xy 126.45509 117.021753) (xy 126.521753 116.95509) (xy 126.57413 116.876702) (xy 126.610208 116.789603) + (xy 126.6286 116.697138) (xy 126.6286 116.602862) (xy 126.610208 116.510397) (xy 126.57413 116.423298) (xy 126.521753 116.34491) + (xy 126.461705 116.284862) (xy 144.9364 116.284862) (xy 144.9364 116.379138) (xy 144.954792 116.471603) (xy 144.99087 116.558702) + (xy 145.043247 116.63709) (xy 145.10991 116.703753) (xy 145.188298 116.75613) (xy 145.275397 116.792208) (xy 145.367862 116.8106) + (xy 145.462138 116.8106) (xy 145.554603 116.792208) (xy 145.641702 116.75613) (xy 145.72009 116.703753) (xy 145.786753 116.63709) + (xy 145.83913 116.558702) (xy 145.875208 116.471603) (xy 145.8936 116.379138) (xy 145.8936 116.284862) (xy 146.2064 116.284862) + (xy 146.2064 116.379138) (xy 146.224792 116.471603) (xy 146.26087 116.558702) (xy 146.313247 116.63709) (xy 146.37991 116.703753) + (xy 146.458298 116.75613) (xy 146.545397 116.792208) (xy 146.637862 116.8106) (xy 146.732138 116.8106) (xy 146.824603 116.792208) + (xy 146.911702 116.75613) (xy 146.99009 116.703753) (xy 147.056753 116.63709) (xy 147.10913 116.558702) (xy 147.145208 116.471603) + (xy 147.1636 116.379138) (xy 147.1636 116.284862) (xy 147.4764 116.284862) (xy 147.4764 116.379138) (xy 147.494792 116.471603) + (xy 147.53087 116.558702) (xy 147.583247 116.63709) (xy 147.64991 116.703753) (xy 147.728298 116.75613) (xy 147.815397 116.792208) + (xy 147.907862 116.8106) (xy 148.002138 116.8106) (xy 148.094603 116.792208) (xy 148.181702 116.75613) (xy 148.26009 116.703753) + (xy 148.326753 116.63709) (xy 148.37913 116.558702) (xy 148.415208 116.471603) (xy 148.4336 116.379138) (xy 148.4336 116.284862) + (xy 148.7464 116.284862) (xy 148.7464 116.379138) (xy 148.764792 116.471603) (xy 148.80087 116.558702) (xy 148.853247 116.63709) + (xy 148.91991 116.703753) (xy 148.998298 116.75613) (xy 149.085397 116.792208) (xy 149.177862 116.8106) (xy 149.272138 116.8106) + (xy 149.364603 116.792208) (xy 149.451702 116.75613) (xy 149.53009 116.703753) (xy 149.596753 116.63709) (xy 149.64913 116.558702) + (xy 149.685208 116.471603) (xy 149.7036 116.379138) (xy 149.7036 116.284862) (xy 150.0164 116.284862) (xy 150.0164 116.379138) + (xy 150.034792 116.471603) (xy 150.07087 116.558702) (xy 150.123247 116.63709) (xy 150.18991 116.703753) (xy 150.268298 116.75613) + (xy 150.355397 116.792208) (xy 150.447862 116.8106) (xy 150.542138 116.8106) (xy 150.634603 116.792208) (xy 150.721702 116.75613) + (xy 150.80009 116.703753) (xy 150.866753 116.63709) (xy 150.91913 116.558702) (xy 150.955208 116.471603) (xy 150.9736 116.379138) + (xy 150.9736 116.284862) (xy 151.2864 116.284862) (xy 151.2864 116.379138) (xy 151.304792 116.471603) (xy 151.34087 116.558702) + (xy 151.393247 116.63709) (xy 151.45991 116.703753) (xy 151.538298 116.75613) (xy 151.625397 116.792208) (xy 151.717862 116.8106) + (xy 151.812138 116.8106) (xy 151.904603 116.792208) (xy 151.991702 116.75613) (xy 152.07009 116.703753) (xy 152.136753 116.63709) + (xy 152.18913 116.558702) (xy 152.225208 116.471603) (xy 152.2436 116.379138) (xy 152.2436 116.284862) (xy 152.5564 116.284862) + (xy 152.5564 116.379138) (xy 152.574792 116.471603) (xy 152.61087 116.558702) (xy 152.663247 116.63709) (xy 152.72991 116.703753) + (xy 152.808298 116.75613) (xy 152.895397 116.792208) (xy 152.987862 116.8106) (xy 153.082138 116.8106) (xy 153.174603 116.792208) + (xy 153.261702 116.75613) (xy 153.34009 116.703753) (xy 153.406753 116.63709) (xy 153.45913 116.558702) (xy 153.495208 116.471603) + (xy 153.5136 116.379138) (xy 153.5136 116.284862) (xy 153.8264 116.284862) (xy 153.8264 116.379138) (xy 153.844792 116.471603) + (xy 153.88087 116.558702) (xy 153.933247 116.63709) (xy 153.99991 116.703753) (xy 154.078298 116.75613) (xy 154.165397 116.792208) + (xy 154.257862 116.8106) (xy 154.352138 116.8106) (xy 154.444603 116.792208) (xy 154.531702 116.75613) (xy 154.61009 116.703753) + (xy 154.676753 116.63709) (xy 154.72913 116.558702) (xy 154.765208 116.471603) (xy 154.7836 116.379138) (xy 154.7836 116.284862) + (xy 155.0964 116.284862) (xy 155.0964 116.379138) (xy 155.114792 116.471603) (xy 155.15087 116.558702) (xy 155.203247 116.63709) + (xy 155.26991 116.703753) (xy 155.348298 116.75613) (xy 155.435397 116.792208) (xy 155.527862 116.8106) (xy 155.622138 116.8106) + (xy 155.714603 116.792208) (xy 155.801702 116.75613) (xy 155.88009 116.703753) (xy 155.946753 116.63709) (xy 155.99913 116.558702) + (xy 156.035208 116.471603) (xy 156.0536 116.379138) (xy 156.0536 116.284862) (xy 156.3664 116.284862) (xy 156.3664 116.379138) + (xy 156.384792 116.471603) (xy 156.42087 116.558702) (xy 156.473247 116.63709) (xy 156.53991 116.703753) (xy 156.618298 116.75613) + (xy 156.705397 116.792208) (xy 156.797862 116.8106) (xy 156.892138 116.8106) (xy 156.984603 116.792208) (xy 157.071702 116.75613) + (xy 157.15009 116.703753) (xy 157.216753 116.63709) (xy 157.26913 116.558702) (xy 157.305208 116.471603) (xy 157.3236 116.379138) + (xy 157.3236 116.284862) (xy 157.6364 116.284862) (xy 157.6364 116.379138) (xy 157.654792 116.471603) (xy 157.69087 116.558702) + (xy 157.743247 116.63709) (xy 157.80991 116.703753) (xy 157.888298 116.75613) (xy 157.975397 116.792208) (xy 158.067862 116.8106) + (xy 158.162138 116.8106) (xy 158.254603 116.792208) (xy 158.341702 116.75613) (xy 158.364115 116.741154) (xy 199.6564 116.741154) + (xy 199.6564 116.938846) (xy 199.694968 117.132739) (xy 199.770621 117.315383) (xy 199.880453 117.479758) (xy 200.020242 117.619547) + (xy 200.184617 117.729379) (xy 200.367261 117.805032) (xy 200.561154 117.8436) (xy 200.758846 117.8436) (xy 200.952739 117.805032) + (xy 201.135383 117.729379) (xy 201.299758 117.619547) (xy 201.439547 117.479758) (xy 201.549379 117.315383) (xy 201.625032 117.132739) + (xy 201.6636 116.938846) (xy 201.6636 116.741154) (xy 202.1964 116.741154) (xy 202.1964 116.938846) (xy 202.234968 117.132739) + (xy 202.310621 117.315383) (xy 202.420453 117.479758) (xy 202.560242 117.619547) (xy 202.724617 117.729379) (xy 202.907261 117.805032) + (xy 203.101154 117.8436) (xy 203.298846 117.8436) (xy 203.492739 117.805032) (xy 203.675383 117.729379) (xy 203.839758 117.619547) + (xy 203.979547 117.479758) (xy 204.089379 117.315383) (xy 204.165032 117.132739) (xy 204.2036 116.938846) (xy 204.2036 116.741154) + (xy 204.7364 116.741154) (xy 204.7364 116.938846) (xy 204.774968 117.132739) (xy 204.850621 117.315383) (xy 204.960453 117.479758) + (xy 205.100242 117.619547) (xy 205.264617 117.729379) (xy 205.447261 117.805032) (xy 205.641154 117.8436) (xy 205.838846 117.8436) + (xy 206.032739 117.805032) (xy 206.215383 117.729379) (xy 206.379758 117.619547) (xy 206.519547 117.479758) (xy 206.629379 117.315383) + (xy 206.705032 117.132739) (xy 206.7436 116.938846) (xy 206.7436 116.741154) (xy 206.705032 116.547261) (xy 206.629379 116.364617) + (xy 206.519547 116.200242) (xy 206.379758 116.060453) (xy 206.215383 115.950621) (xy 206.032739 115.874968) (xy 205.838846 115.8364) + (xy 205.641154 115.8364) (xy 205.447261 115.874968) (xy 205.264617 115.950621) (xy 205.100242 116.060453) (xy 204.960453 116.200242) + (xy 204.850621 116.364617) (xy 204.774968 116.547261) (xy 204.7364 116.741154) (xy 204.2036 116.741154) (xy 204.165032 116.547261) + (xy 204.089379 116.364617) (xy 203.979547 116.200242) (xy 203.839758 116.060453) (xy 203.675383 115.950621) (xy 203.492739 115.874968) + (xy 203.298846 115.8364) (xy 203.101154 115.8364) (xy 202.907261 115.874968) (xy 202.724617 115.950621) (xy 202.560242 116.060453) + (xy 202.420453 116.200242) (xy 202.310621 116.364617) (xy 202.234968 116.547261) (xy 202.1964 116.741154) (xy 201.6636 116.741154) + (xy 201.625032 116.547261) (xy 201.549379 116.364617) (xy 201.439547 116.200242) (xy 201.299758 116.060453) (xy 201.135383 115.950621) + (xy 200.952739 115.874968) (xy 200.758846 115.8364) (xy 200.561154 115.8364) (xy 200.367261 115.874968) (xy 200.184617 115.950621) + (xy 200.020242 116.060453) (xy 199.880453 116.200242) (xy 199.770621 116.364617) (xy 199.694968 116.547261) (xy 199.6564 116.741154) + (xy 158.364115 116.741154) (xy 158.42009 116.703753) (xy 158.486753 116.63709) (xy 158.53913 116.558702) (xy 158.575208 116.471603) + (xy 158.5936 116.379138) (xy 158.5936 116.284862) (xy 158.575208 116.192397) (xy 158.53913 116.105298) (xy 158.486753 116.02691) + (xy 158.42009 115.960247) (xy 158.341702 115.90787) (xy 158.254603 115.871792) (xy 158.162138 115.8534) (xy 158.067862 115.8534) + (xy 157.975397 115.871792) (xy 157.888298 115.90787) (xy 157.80991 115.960247) (xy 157.743247 116.02691) (xy 157.69087 116.105298) + (xy 157.654792 116.192397) (xy 157.6364 116.284862) (xy 157.3236 116.284862) (xy 157.305208 116.192397) (xy 157.26913 116.105298) + (xy 157.216753 116.02691) (xy 157.15009 115.960247) (xy 157.071702 115.90787) (xy 156.984603 115.871792) (xy 156.892138 115.8534) + (xy 156.797862 115.8534) (xy 156.705397 115.871792) (xy 156.618298 115.90787) (xy 156.53991 115.960247) (xy 156.473247 116.02691) + (xy 156.42087 116.105298) (xy 156.384792 116.192397) (xy 156.3664 116.284862) (xy 156.0536 116.284862) (xy 156.035208 116.192397) + (xy 155.99913 116.105298) (xy 155.946753 116.02691) (xy 155.88009 115.960247) (xy 155.801702 115.90787) (xy 155.714603 115.871792) + (xy 155.622138 115.8534) (xy 155.527862 115.8534) (xy 155.435397 115.871792) (xy 155.348298 115.90787) (xy 155.26991 115.960247) + (xy 155.203247 116.02691) (xy 155.15087 116.105298) (xy 155.114792 116.192397) (xy 155.0964 116.284862) (xy 154.7836 116.284862) + (xy 154.765208 116.192397) (xy 154.72913 116.105298) (xy 154.676753 116.02691) (xy 154.61009 115.960247) (xy 154.531702 115.90787) + (xy 154.444603 115.871792) (xy 154.352138 115.8534) (xy 154.257862 115.8534) (xy 154.165397 115.871792) (xy 154.078298 115.90787) + (xy 153.99991 115.960247) (xy 153.933247 116.02691) (xy 153.88087 116.105298) (xy 153.844792 116.192397) (xy 153.8264 116.284862) + (xy 153.5136 116.284862) (xy 153.495208 116.192397) (xy 153.45913 116.105298) (xy 153.406753 116.02691) (xy 153.34009 115.960247) + (xy 153.261702 115.90787) (xy 153.174603 115.871792) (xy 153.082138 115.8534) (xy 152.987862 115.8534) (xy 152.895397 115.871792) + (xy 152.808298 115.90787) (xy 152.72991 115.960247) (xy 152.663247 116.02691) (xy 152.61087 116.105298) (xy 152.574792 116.192397) + (xy 152.5564 116.284862) (xy 152.2436 116.284862) (xy 152.225208 116.192397) (xy 152.18913 116.105298) (xy 152.136753 116.02691) + (xy 152.07009 115.960247) (xy 151.991702 115.90787) (xy 151.904603 115.871792) (xy 151.812138 115.8534) (xy 151.717862 115.8534) + (xy 151.625397 115.871792) (xy 151.538298 115.90787) (xy 151.45991 115.960247) (xy 151.393247 116.02691) (xy 151.34087 116.105298) + (xy 151.304792 116.192397) (xy 151.2864 116.284862) (xy 150.9736 116.284862) (xy 150.955208 116.192397) (xy 150.91913 116.105298) + (xy 150.866753 116.02691) (xy 150.80009 115.960247) (xy 150.721702 115.90787) (xy 150.634603 115.871792) (xy 150.542138 115.8534) + (xy 150.447862 115.8534) (xy 150.355397 115.871792) (xy 150.268298 115.90787) (xy 150.18991 115.960247) (xy 150.123247 116.02691) + (xy 150.07087 116.105298) (xy 150.034792 116.192397) (xy 150.0164 116.284862) (xy 149.7036 116.284862) (xy 149.685208 116.192397) + (xy 149.64913 116.105298) (xy 149.596753 116.02691) (xy 149.53009 115.960247) (xy 149.451702 115.90787) (xy 149.364603 115.871792) + (xy 149.272138 115.8534) (xy 149.177862 115.8534) (xy 149.085397 115.871792) (xy 148.998298 115.90787) (xy 148.91991 115.960247) + (xy 148.853247 116.02691) (xy 148.80087 116.105298) (xy 148.764792 116.192397) (xy 148.7464 116.284862) (xy 148.4336 116.284862) + (xy 148.415208 116.192397) (xy 148.37913 116.105298) (xy 148.326753 116.02691) (xy 148.26009 115.960247) (xy 148.181702 115.90787) + (xy 148.094603 115.871792) (xy 148.002138 115.8534) (xy 147.907862 115.8534) (xy 147.815397 115.871792) (xy 147.728298 115.90787) + (xy 147.64991 115.960247) (xy 147.583247 116.02691) (xy 147.53087 116.105298) (xy 147.494792 116.192397) (xy 147.4764 116.284862) + (xy 147.1636 116.284862) (xy 147.145208 116.192397) (xy 147.10913 116.105298) (xy 147.056753 116.02691) (xy 146.99009 115.960247) + (xy 146.911702 115.90787) (xy 146.824603 115.871792) (xy 146.732138 115.8534) (xy 146.637862 115.8534) (xy 146.545397 115.871792) + (xy 146.458298 115.90787) (xy 146.37991 115.960247) (xy 146.313247 116.02691) (xy 146.26087 116.105298) (xy 146.224792 116.192397) + (xy 146.2064 116.284862) (xy 145.8936 116.284862) (xy 145.875208 116.192397) (xy 145.83913 116.105298) (xy 145.786753 116.02691) + (xy 145.72009 115.960247) (xy 145.641702 115.90787) (xy 145.554603 115.871792) (xy 145.462138 115.8534) (xy 145.367862 115.8534) + (xy 145.275397 115.871792) (xy 145.188298 115.90787) (xy 145.10991 115.960247) (xy 145.043247 116.02691) (xy 144.99087 116.105298) + (xy 144.954792 116.192397) (xy 144.9364 116.284862) (xy 126.461705 116.284862) (xy 126.45509 116.278247) (xy 126.376702 116.22587) + (xy 126.289603 116.189792) (xy 126.197138 116.1714) (xy 126.102862 116.1714) (xy 126.010397 116.189792) (xy 125.923298 116.22587) + (xy 125.84491 116.278247) (xy 125.778247 116.34491) (xy 125.72587 116.423298) (xy 125.689792 116.510397) (xy 125.6714 116.602862) + (xy 113.157087 116.602862) (xy 113.12413 116.523298) (xy 113.071753 116.44491) (xy 113.00509 116.378247) (xy 112.926702 116.32587) + (xy 112.839603 116.289792) (xy 112.747138 116.2714) (xy 112.652862 116.2714) (xy 112.560397 116.289792) (xy 112.473298 116.32587) + (xy 112.39491 116.378247) (xy 112.328247 116.44491) (xy 112.27587 116.523298) (xy 112.239792 116.610397) (xy 112.2214 116.702862) + (xy 103.5546 116.702862) (xy 103.5546 115.522862) (xy 103.5979 115.522862) (xy 103.5979 115.617138) (xy 103.616292 115.709603) + (xy 103.65237 115.796702) (xy 103.704747 115.87509) (xy 103.77141 115.941753) (xy 103.849798 115.99413) (xy 103.936897 116.030208) + (xy 104.029362 116.0486) (xy 104.123638 116.0486) (xy 104.216103 116.030208) (xy 104.303202 115.99413) (xy 104.38159 115.941753) + (xy 104.448253 115.87509) (xy 104.50063 115.796702) (xy 104.536708 115.709603) (xy 104.5551 115.617138) (xy 104.5551 115.602862) + (xy 111.1214 115.602862) (xy 111.1214 115.697138) (xy 111.139792 115.789603) (xy 111.17587 115.876702) (xy 111.228247 115.95509) + (xy 111.29491 116.021753) (xy 111.373298 116.07413) (xy 111.460397 116.110208) (xy 111.552862 116.1286) (xy 111.647138 116.1286) + (xy 111.739603 116.110208) (xy 111.826702 116.07413) (xy 111.90509 116.021753) (xy 111.971753 115.95509) (xy 112.02413 115.876702) + (xy 112.060208 115.789603) (xy 112.0786 115.697138) (xy 112.0786 115.602862) (xy 113.7714 115.602862) (xy 113.7714 115.697138) + (xy 113.789792 115.789603) (xy 113.82587 115.876702) (xy 113.878247 115.95509) (xy 113.94491 116.021753) (xy 114.023298 116.07413) + (xy 114.110397 116.110208) (xy 114.202862 116.1286) (xy 114.297138 116.1286) (xy 114.389603 116.110208) (xy 114.476702 116.07413) + (xy 114.55509 116.021753) (xy 114.621753 115.95509) (xy 114.67413 115.876702) (xy 114.710208 115.789603) (xy 114.7286 115.697138) + (xy 114.7286 115.602862) (xy 114.7714 115.602862) (xy 114.7714 115.697138) (xy 114.789792 115.789603) (xy 114.82587 115.876702) + (xy 114.878247 115.95509) (xy 114.94491 116.021753) (xy 115.023298 116.07413) (xy 115.110397 116.110208) (xy 115.202862 116.1286) + (xy 115.297138 116.1286) (xy 115.389603 116.110208) (xy 115.476702 116.07413) (xy 115.55509 116.021753) (xy 115.621753 115.95509) + (xy 115.67413 115.876702) (xy 115.710208 115.789603) (xy 115.7286 115.697138) (xy 115.7286 115.602862) (xy 115.7714 115.602862) + (xy 115.7714 115.697138) (xy 115.789792 115.789603) (xy 115.82587 115.876702) (xy 115.878247 115.95509) (xy 115.94491 116.021753) + (xy 116.023298 116.07413) (xy 116.110397 116.110208) (xy 116.202862 116.1286) (xy 116.297138 116.1286) (xy 116.389603 116.110208) + (xy 116.476702 116.07413) (xy 116.55509 116.021753) (xy 116.621753 115.95509) (xy 116.67413 115.876702) (xy 116.710208 115.789603) + (xy 116.7286 115.697138) (xy 116.7286 115.602862) (xy 116.7714 115.602862) (xy 116.7714 115.697138) (xy 116.789792 115.789603) + (xy 116.82587 115.876702) (xy 116.878247 115.95509) (xy 116.94491 116.021753) (xy 117.023298 116.07413) (xy 117.110397 116.110208) + (xy 117.202862 116.1286) (xy 117.297138 116.1286) (xy 117.389603 116.110208) (xy 117.476702 116.07413) (xy 117.55509 116.021753) + (xy 117.621753 115.95509) (xy 117.67413 115.876702) (xy 117.710208 115.789603) (xy 117.7286 115.697138) (xy 117.7286 115.602862) + (xy 117.7714 115.602862) (xy 117.7714 115.697138) (xy 117.789792 115.789603) (xy 117.82587 115.876702) (xy 117.878247 115.95509) + (xy 117.94491 116.021753) (xy 118.023298 116.07413) (xy 118.110397 116.110208) (xy 118.202862 116.1286) (xy 118.297138 116.1286) + (xy 118.389603 116.110208) (xy 118.476702 116.07413) (xy 118.55509 116.021753) (xy 118.621753 115.95509) (xy 118.67413 115.876702) + (xy 118.710208 115.789603) (xy 118.7286 115.697138) (xy 118.7286 115.602862) (xy 119.7714 115.602862) (xy 119.7714 115.697138) + (xy 119.789792 115.789603) (xy 119.82587 115.876702) (xy 119.878247 115.95509) (xy 119.94491 116.021753) (xy 120.023298 116.07413) + (xy 120.110397 116.110208) (xy 120.202862 116.1286) (xy 120.297138 116.1286) (xy 120.389603 116.110208) (xy 120.476702 116.07413) + (xy 120.55509 116.021753) (xy 120.621753 115.95509) (xy 120.67413 115.876702) (xy 120.710208 115.789603) (xy 120.7286 115.697138) + (xy 120.7286 115.602862) (xy 120.7714 115.602862) (xy 120.7714 115.697138) (xy 120.789792 115.789603) (xy 120.82587 115.876702) + (xy 120.878247 115.95509) (xy 120.94491 116.021753) (xy 121.023298 116.07413) (xy 121.110397 116.110208) (xy 121.202862 116.1286) + (xy 121.297138 116.1286) (xy 121.389603 116.110208) (xy 121.476702 116.07413) (xy 121.55509 116.021753) (xy 121.621753 115.95509) + (xy 121.67413 115.876702) (xy 121.710208 115.789603) (xy 121.7286 115.697138) (xy 121.7286 115.602862) (xy 121.7714 115.602862) + (xy 121.7714 115.697138) (xy 121.789792 115.789603) (xy 121.82587 115.876702) (xy 121.878247 115.95509) (xy 121.94491 116.021753) + (xy 122.023298 116.07413) (xy 122.110397 116.110208) (xy 122.202862 116.1286) (xy 122.297138 116.1286) (xy 122.389603 116.110208) + (xy 122.476702 116.07413) (xy 122.55509 116.021753) (xy 122.621753 115.95509) (xy 122.67413 115.876702) (xy 122.710208 115.789603) + (xy 122.7286 115.697138) (xy 122.7286 115.602862) (xy 122.7714 115.602862) (xy 122.7714 115.697138) (xy 122.789792 115.789603) + (xy 122.82587 115.876702) (xy 122.878247 115.95509) (xy 122.94491 116.021753) (xy 123.023298 116.07413) (xy 123.110397 116.110208) + (xy 123.202862 116.1286) (xy 123.297138 116.1286) (xy 123.389603 116.110208) (xy 123.476702 116.07413) (xy 123.55509 116.021753) + (xy 123.621753 115.95509) (xy 123.67413 115.876702) (xy 123.710208 115.789603) (xy 123.7286 115.697138) (xy 123.7286 115.602862) + (xy 124.7714 115.602862) (xy 124.7714 115.697138) (xy 124.789792 115.789603) (xy 124.82587 115.876702) (xy 124.878247 115.95509) + (xy 124.94491 116.021753) (xy 125.023298 116.07413) (xy 125.110397 116.110208) (xy 125.202862 116.1286) (xy 125.297138 116.1286) + (xy 125.389603 116.110208) (xy 125.476702 116.07413) (xy 125.55509 116.021753) (xy 125.621753 115.95509) (xy 125.67413 115.876702) + (xy 125.710208 115.789603) (xy 125.7286 115.697138) (xy 125.7286 115.602862) (xy 125.710208 115.510397) (xy 125.67413 115.423298) + (xy 125.627067 115.352862) (xy 128.4214 115.352862) (xy 128.4214 115.447138) (xy 128.439792 115.539603) (xy 128.47587 115.626702) + (xy 128.528247 115.70509) (xy 128.59491 115.771753) (xy 128.673298 115.82413) (xy 128.760397 115.860208) (xy 128.852862 115.8786) + (xy 128.947138 115.8786) (xy 129.039603 115.860208) (xy 129.126702 115.82413) (xy 129.20509 115.771753) (xy 129.271753 115.70509) + (xy 129.32413 115.626702) (xy 129.360208 115.539603) (xy 129.363537 115.522862) (xy 211.0399 115.522862) (xy 211.0399 115.617138) + (xy 211.058292 115.709603) (xy 211.09437 115.796702) (xy 211.146747 115.87509) (xy 211.21341 115.941753) (xy 211.291798 115.99413) + (xy 211.378897 116.030208) (xy 211.471362 116.0486) (xy 211.565638 116.0486) (xy 211.658103 116.030208) (xy 211.745202 115.99413) + (xy 211.82359 115.941753) (xy 211.890253 115.87509) (xy 211.94263 115.796702) (xy 211.978708 115.709603) (xy 211.9971 115.617138) + (xy 211.9971 115.522862) (xy 211.978708 115.430397) (xy 211.94263 115.343298) (xy 211.890253 115.26491) (xy 211.82359 115.198247) + (xy 211.745202 115.14587) (xy 211.658103 115.109792) (xy 211.565638 115.0914) (xy 211.471362 115.0914) (xy 211.378897 115.109792) + (xy 211.291798 115.14587) (xy 211.21341 115.198247) (xy 211.146747 115.26491) (xy 211.09437 115.343298) (xy 211.058292 115.430397) + (xy 211.0399 115.522862) (xy 129.363537 115.522862) (xy 129.3786 115.447138) (xy 129.3786 115.352862) (xy 129.360208 115.260397) + (xy 129.32413 115.173298) (xy 129.271753 115.09491) (xy 129.20509 115.028247) (xy 129.126702 114.97587) (xy 129.039603 114.939792) + (xy 128.947138 114.9214) (xy 128.852862 114.9214) (xy 128.760397 114.939792) (xy 128.673298 114.97587) (xy 128.59491 115.028247) + (xy 128.528247 115.09491) (xy 128.47587 115.173298) (xy 128.439792 115.260397) (xy 128.4214 115.352862) (xy 125.627067 115.352862) + (xy 125.621753 115.34491) (xy 125.55509 115.278247) (xy 125.476702 115.22587) (xy 125.389603 115.189792) (xy 125.297138 115.1714) + (xy 125.202862 115.1714) (xy 125.110397 115.189792) (xy 125.023298 115.22587) (xy 124.94491 115.278247) (xy 124.878247 115.34491) + (xy 124.82587 115.423298) (xy 124.789792 115.510397) (xy 124.7714 115.602862) (xy 123.7286 115.602862) (xy 123.710208 115.510397) + (xy 123.67413 115.423298) (xy 123.621753 115.34491) (xy 123.55509 115.278247) (xy 123.476702 115.22587) (xy 123.389603 115.189792) + (xy 123.297138 115.1714) (xy 123.202862 115.1714) (xy 123.110397 115.189792) (xy 123.023298 115.22587) (xy 122.94491 115.278247) + (xy 122.878247 115.34491) (xy 122.82587 115.423298) (xy 122.789792 115.510397) (xy 122.7714 115.602862) (xy 122.7286 115.602862) + (xy 122.710208 115.510397) (xy 122.67413 115.423298) (xy 122.621753 115.34491) (xy 122.55509 115.278247) (xy 122.476702 115.22587) + (xy 122.389603 115.189792) (xy 122.297138 115.1714) (xy 122.202862 115.1714) (xy 122.110397 115.189792) (xy 122.023298 115.22587) + (xy 121.94491 115.278247) (xy 121.878247 115.34491) (xy 121.82587 115.423298) (xy 121.789792 115.510397) (xy 121.7714 115.602862) + (xy 121.7286 115.602862) (xy 121.710208 115.510397) (xy 121.67413 115.423298) (xy 121.621753 115.34491) (xy 121.55509 115.278247) + (xy 121.476702 115.22587) (xy 121.389603 115.189792) (xy 121.297138 115.1714) (xy 121.202862 115.1714) (xy 121.110397 115.189792) + (xy 121.023298 115.22587) (xy 120.94491 115.278247) (xy 120.878247 115.34491) (xy 120.82587 115.423298) (xy 120.789792 115.510397) + (xy 120.7714 115.602862) (xy 120.7286 115.602862) (xy 120.710208 115.510397) (xy 120.67413 115.423298) (xy 120.621753 115.34491) + (xy 120.55509 115.278247) (xy 120.476702 115.22587) (xy 120.389603 115.189792) (xy 120.297138 115.1714) (xy 120.202862 115.1714) + (xy 120.110397 115.189792) (xy 120.023298 115.22587) (xy 119.94491 115.278247) (xy 119.878247 115.34491) (xy 119.82587 115.423298) + (xy 119.789792 115.510397) (xy 119.7714 115.602862) (xy 118.7286 115.602862) (xy 118.710208 115.510397) (xy 118.67413 115.423298) + (xy 118.621753 115.34491) (xy 118.55509 115.278247) (xy 118.476702 115.22587) (xy 118.389603 115.189792) (xy 118.297138 115.1714) + (xy 118.202862 115.1714) (xy 118.110397 115.189792) (xy 118.023298 115.22587) (xy 117.94491 115.278247) (xy 117.878247 115.34491) + (xy 117.82587 115.423298) (xy 117.789792 115.510397) (xy 117.7714 115.602862) (xy 117.7286 115.602862) (xy 117.710208 115.510397) + (xy 117.67413 115.423298) (xy 117.621753 115.34491) (xy 117.55509 115.278247) (xy 117.476702 115.22587) (xy 117.389603 115.189792) + (xy 117.297138 115.1714) (xy 117.202862 115.1714) (xy 117.110397 115.189792) (xy 117.023298 115.22587) (xy 116.94491 115.278247) + (xy 116.878247 115.34491) (xy 116.82587 115.423298) (xy 116.789792 115.510397) (xy 116.7714 115.602862) (xy 116.7286 115.602862) + (xy 116.710208 115.510397) (xy 116.67413 115.423298) (xy 116.621753 115.34491) (xy 116.55509 115.278247) (xy 116.476702 115.22587) + (xy 116.389603 115.189792) (xy 116.297138 115.1714) (xy 116.202862 115.1714) (xy 116.110397 115.189792) (xy 116.023298 115.22587) + (xy 115.94491 115.278247) (xy 115.878247 115.34491) (xy 115.82587 115.423298) (xy 115.789792 115.510397) (xy 115.7714 115.602862) + (xy 115.7286 115.602862) (xy 115.710208 115.510397) (xy 115.67413 115.423298) (xy 115.621753 115.34491) (xy 115.55509 115.278247) + (xy 115.476702 115.22587) (xy 115.389603 115.189792) (xy 115.297138 115.1714) (xy 115.202862 115.1714) (xy 115.110397 115.189792) + (xy 115.023298 115.22587) (xy 114.94491 115.278247) (xy 114.878247 115.34491) (xy 114.82587 115.423298) (xy 114.789792 115.510397) + (xy 114.7714 115.602862) (xy 114.7286 115.602862) (xy 114.710208 115.510397) (xy 114.67413 115.423298) (xy 114.621753 115.34491) + (xy 114.55509 115.278247) (xy 114.476702 115.22587) (xy 114.389603 115.189792) (xy 114.297138 115.1714) (xy 114.202862 115.1714) + (xy 114.110397 115.189792) (xy 114.023298 115.22587) (xy 113.94491 115.278247) (xy 113.878247 115.34491) (xy 113.82587 115.423298) + (xy 113.789792 115.510397) (xy 113.7714 115.602862) (xy 112.0786 115.602862) (xy 112.060208 115.510397) (xy 112.02413 115.423298) + (xy 111.971753 115.34491) (xy 111.90509 115.278247) (xy 111.826702 115.22587) (xy 111.739603 115.189792) (xy 111.647138 115.1714) + (xy 111.552862 115.1714) (xy 111.460397 115.189792) (xy 111.373298 115.22587) (xy 111.29491 115.278247) (xy 111.228247 115.34491) + (xy 111.17587 115.423298) (xy 111.139792 115.510397) (xy 111.1214 115.602862) (xy 104.5551 115.602862) (xy 104.5551 115.522862) + (xy 104.536708 115.430397) (xy 104.50063 115.343298) (xy 104.448253 115.26491) (xy 104.38159 115.198247) (xy 104.303202 115.14587) + (xy 104.216103 115.109792) (xy 104.123638 115.0914) (xy 104.029362 115.0914) (xy 103.936897 115.109792) (xy 103.849798 115.14587) + (xy 103.77141 115.198247) (xy 103.704747 115.26491) (xy 103.65237 115.343298) (xy 103.616292 115.430397) (xy 103.5979 115.522862) + (xy 103.5546 115.522862) (xy 103.5546 114.570362) (xy 105.1854 114.570362) (xy 105.1854 114.664638) (xy 105.203792 114.757103) + (xy 105.23987 114.844202) (xy 105.292247 114.92259) (xy 105.35891 114.989253) (xy 105.437298 115.04163) (xy 105.524397 115.077708) + (xy 105.616862 115.0961) (xy 105.711138 115.0961) (xy 105.803603 115.077708) (xy 105.890702 115.04163) (xy 105.96909 114.989253) + (xy 106.035753 114.92259) (xy 106.08813 114.844202) (xy 106.124208 114.757103) (xy 106.1426 114.664638) (xy 106.1426 114.570362) + (xy 108.4874 114.570362) (xy 108.4874 114.664638) (xy 108.505792 114.757103) (xy 108.54187 114.844202) (xy 108.594247 114.92259) + (xy 108.66091 114.989253) (xy 108.739298 115.04163) (xy 108.826397 115.077708) (xy 108.918862 115.0961) (xy 109.013138 115.0961) + (xy 109.105603 115.077708) (xy 109.165585 115.052862) (xy 109.9714 115.052862) (xy 109.9714 115.147138) (xy 109.989792 115.239603) + (xy 110.02587 115.326702) (xy 110.078247 115.40509) (xy 110.14491 115.471753) (xy 110.223298 115.52413) (xy 110.310397 115.560208) + (xy 110.402862 115.5786) (xy 110.497138 115.5786) (xy 110.589603 115.560208) (xy 110.676702 115.52413) (xy 110.75509 115.471753) + (xy 110.821753 115.40509) (xy 110.87413 115.326702) (xy 110.910208 115.239603) (xy 110.9286 115.147138) (xy 110.9286 115.052862) + (xy 112.2214 115.052862) (xy 112.2214 115.147138) (xy 112.239792 115.239603) (xy 112.27587 115.326702) (xy 112.328247 115.40509) + (xy 112.39491 115.471753) (xy 112.473298 115.52413) (xy 112.560397 115.560208) (xy 112.652862 115.5786) (xy 112.747138 115.5786) + (xy 112.839603 115.560208) (xy 112.926702 115.52413) (xy 113.00509 115.471753) (xy 113.071753 115.40509) (xy 113.12413 115.326702) + (xy 113.160208 115.239603) (xy 113.1786 115.147138) (xy 113.1786 115.052862) (xy 113.160208 114.960397) (xy 113.12413 114.873298) + (xy 113.071753 114.79491) (xy 113.00509 114.728247) (xy 112.926702 114.67587) (xy 112.839603 114.639792) (xy 112.747138 114.6214) + (xy 112.652862 114.6214) (xy 112.560397 114.639792) (xy 112.473298 114.67587) (xy 112.39491 114.728247) (xy 112.328247 114.79491) + (xy 112.27587 114.873298) (xy 112.239792 114.960397) (xy 112.2214 115.052862) (xy 110.9286 115.052862) (xy 110.910208 114.960397) + (xy 110.87413 114.873298) (xy 110.821753 114.79491) (xy 110.75509 114.728247) (xy 110.676702 114.67587) (xy 110.589603 114.639792) + (xy 110.497138 114.6214) (xy 110.402862 114.6214) (xy 110.310397 114.639792) (xy 110.223298 114.67587) (xy 110.14491 114.728247) + (xy 110.078247 114.79491) (xy 110.02587 114.873298) (xy 109.989792 114.960397) (xy 109.9714 115.052862) (xy 109.165585 115.052862) + (xy 109.192702 115.04163) (xy 109.27109 114.989253) (xy 109.337753 114.92259) (xy 109.39013 114.844202) (xy 109.426208 114.757103) + (xy 109.4446 114.664638) (xy 109.4446 114.570362) (xy 109.426208 114.477897) (xy 109.39013 114.390798) (xy 109.337753 114.31241) + (xy 109.27109 114.245747) (xy 109.192702 114.19337) (xy 109.105603 114.157292) (xy 109.013138 114.1389) (xy 108.918862 114.1389) + (xy 108.826397 114.157292) (xy 108.739298 114.19337) (xy 108.66091 114.245747) (xy 108.594247 114.31241) (xy 108.54187 114.390798) + (xy 108.505792 114.477897) (xy 108.4874 114.570362) (xy 106.1426 114.570362) (xy 106.124208 114.477897) (xy 106.08813 114.390798) + (xy 106.035753 114.31241) (xy 105.96909 114.245747) (xy 105.890702 114.19337) (xy 105.803603 114.157292) (xy 105.711138 114.1389) + (xy 105.616862 114.1389) (xy 105.524397 114.157292) (xy 105.437298 114.19337) (xy 105.35891 114.245747) (xy 105.292247 114.31241) + (xy 105.23987 114.390798) (xy 105.203792 114.477897) (xy 105.1854 114.570362) (xy 103.5546 114.570362) (xy 103.5546 114.052862) + (xy 112.2214 114.052862) (xy 112.2214 114.147138) (xy 112.239792 114.239603) (xy 112.27587 114.326702) (xy 112.328247 114.40509) + (xy 112.39491 114.471753) (xy 112.473298 114.52413) (xy 112.560397 114.560208) (xy 112.652862 114.5786) (xy 112.747138 114.5786) + (xy 112.839603 114.560208) (xy 112.857337 114.552862) (xy 113.1214 114.552862) (xy 113.1214 114.647138) (xy 113.139792 114.739603) + (xy 113.17587 114.826702) (xy 113.228247 114.90509) (xy 113.29491 114.971753) (xy 113.373298 115.02413) (xy 113.460397 115.060208) + (xy 113.552862 115.0786) (xy 113.647138 115.0786) (xy 113.739603 115.060208) (xy 113.826702 115.02413) (xy 113.90509 114.971753) + (xy 113.971753 114.90509) (xy 114.02413 114.826702) (xy 114.060208 114.739603) (xy 114.067516 114.702862) (xy 114.2714 114.702862) + (xy 114.2714 114.797138) (xy 114.289792 114.889603) (xy 114.32587 114.976702) (xy 114.378247 115.05509) (xy 114.44491 115.121753) + (xy 114.523298 115.17413) (xy 114.610397 115.210208) (xy 114.702862 115.2286) (xy 114.797138 115.2286) (xy 114.889603 115.210208) + (xy 114.976702 115.17413) (xy 115.05509 115.121753) (xy 115.121753 115.05509) (xy 115.17413 114.976702) (xy 115.210208 114.889603) + (xy 115.2286 114.797138) (xy 115.2286 114.702862) (xy 115.2714 114.702862) (xy 115.2714 114.797138) (xy 115.289792 114.889603) + (xy 115.32587 114.976702) (xy 115.378247 115.05509) (xy 115.44491 115.121753) (xy 115.523298 115.17413) (xy 115.610397 115.210208) + (xy 115.702862 115.2286) (xy 115.797138 115.2286) (xy 115.889603 115.210208) (xy 115.976702 115.17413) (xy 116.05509 115.121753) + (xy 116.121753 115.05509) (xy 116.17413 114.976702) (xy 116.210208 114.889603) (xy 116.2286 114.797138) (xy 116.2286 114.702862) + (xy 116.2714 114.702862) (xy 116.2714 114.797138) (xy 116.289792 114.889603) (xy 116.32587 114.976702) (xy 116.378247 115.05509) + (xy 116.44491 115.121753) (xy 116.523298 115.17413) (xy 116.610397 115.210208) (xy 116.702862 115.2286) (xy 116.797138 115.2286) + (xy 116.889603 115.210208) (xy 116.976702 115.17413) (xy 117.05509 115.121753) (xy 117.121753 115.05509) (xy 117.17413 114.976702) + (xy 117.210208 114.889603) (xy 117.2286 114.797138) (xy 117.2286 114.702862) (xy 117.2714 114.702862) (xy 117.2714 114.797138) + (xy 117.289792 114.889603) (xy 117.32587 114.976702) (xy 117.378247 115.05509) (xy 117.44491 115.121753) (xy 117.523298 115.17413) + (xy 117.610397 115.210208) (xy 117.702862 115.2286) (xy 117.797138 115.2286) (xy 117.889603 115.210208) (xy 117.976702 115.17413) + (xy 118.05509 115.121753) (xy 118.121753 115.05509) (xy 118.17413 114.976702) (xy 118.210208 114.889603) (xy 118.2286 114.797138) + (xy 118.2286 114.702862) (xy 120.2714 114.702862) (xy 120.2714 114.797138) (xy 120.289792 114.889603) (xy 120.32587 114.976702) + (xy 120.378247 115.05509) (xy 120.44491 115.121753) (xy 120.523298 115.17413) (xy 120.610397 115.210208) (xy 120.702862 115.2286) + (xy 120.797138 115.2286) (xy 120.889603 115.210208) (xy 120.976702 115.17413) (xy 121.05509 115.121753) (xy 121.121753 115.05509) + (xy 121.17413 114.976702) (xy 121.210208 114.889603) (xy 121.2286 114.797138) (xy 121.2286 114.702862) (xy 121.2714 114.702862) + (xy 121.2714 114.797138) (xy 121.289792 114.889603) (xy 121.32587 114.976702) (xy 121.378247 115.05509) (xy 121.44491 115.121753) + (xy 121.523298 115.17413) (xy 121.610397 115.210208) (xy 121.702862 115.2286) (xy 121.797138 115.2286) (xy 121.889603 115.210208) + (xy 121.976702 115.17413) (xy 122.05509 115.121753) (xy 122.121753 115.05509) (xy 122.17413 114.976702) (xy 122.210208 114.889603) + (xy 122.2286 114.797138) (xy 122.2286 114.702862) (xy 122.2714 114.702862) (xy 122.2714 114.797138) (xy 122.289792 114.889603) + (xy 122.32587 114.976702) (xy 122.378247 115.05509) (xy 122.44491 115.121753) (xy 122.523298 115.17413) (xy 122.610397 115.210208) + (xy 122.702862 115.2286) (xy 122.797138 115.2286) (xy 122.889603 115.210208) (xy 122.976702 115.17413) (xy 123.05509 115.121753) + (xy 123.121753 115.05509) (xy 123.17413 114.976702) (xy 123.210208 114.889603) (xy 123.2286 114.797138) (xy 123.2286 114.702862) + (xy 123.2714 114.702862) (xy 123.2714 114.797138) (xy 123.289792 114.889603) (xy 123.32587 114.976702) (xy 123.378247 115.05509) + (xy 123.44491 115.121753) (xy 123.523298 115.17413) (xy 123.610397 115.210208) (xy 123.702862 115.2286) (xy 123.797138 115.2286) + (xy 123.889603 115.210208) (xy 123.976702 115.17413) (xy 124.05509 115.121753) (xy 124.121753 115.05509) (xy 124.17413 114.976702) + (xy 124.210208 114.889603) (xy 124.2286 114.797138) (xy 124.2286 114.702862) (xy 124.210208 114.610397) (xy 124.186376 114.552862) + (xy 124.4214 114.552862) (xy 124.4214 114.647138) (xy 124.439792 114.739603) (xy 124.47587 114.826702) (xy 124.528247 114.90509) + (xy 124.59491 114.971753) (xy 124.673298 115.02413) (xy 124.760397 115.060208) (xy 124.852862 115.0786) (xy 124.947138 115.0786) + (xy 125.039603 115.060208) (xy 125.126702 115.02413) (xy 125.20509 114.971753) (xy 125.271753 114.90509) (xy 125.32413 114.826702) + (xy 125.360208 114.739603) (xy 125.3786 114.647138) (xy 125.3786 114.552862) (xy 125.360208 114.460397) (xy 125.32413 114.373298) + (xy 125.271753 114.29491) (xy 125.20509 114.228247) (xy 125.126702 114.17587) (xy 125.039603 114.139792) (xy 124.947138 114.1214) + (xy 124.852862 114.1214) (xy 124.760397 114.139792) (xy 124.673298 114.17587) (xy 124.59491 114.228247) (xy 124.528247 114.29491) + (xy 124.47587 114.373298) (xy 124.439792 114.460397) (xy 124.4214 114.552862) (xy 124.186376 114.552862) (xy 124.17413 114.523298) + (xy 124.121753 114.44491) (xy 124.05509 114.378247) (xy 123.976702 114.32587) (xy 123.889603 114.289792) (xy 123.797138 114.2714) + (xy 123.702862 114.2714) (xy 123.610397 114.289792) (xy 123.523298 114.32587) (xy 123.44491 114.378247) (xy 123.378247 114.44491) + (xy 123.32587 114.523298) (xy 123.289792 114.610397) (xy 123.2714 114.702862) (xy 123.2286 114.702862) (xy 123.210208 114.610397) + (xy 123.17413 114.523298) (xy 123.121753 114.44491) (xy 123.05509 114.378247) (xy 122.976702 114.32587) (xy 122.889603 114.289792) + (xy 122.797138 114.2714) (xy 122.702862 114.2714) (xy 122.610397 114.289792) (xy 122.523298 114.32587) (xy 122.44491 114.378247) + (xy 122.378247 114.44491) (xy 122.32587 114.523298) (xy 122.289792 114.610397) (xy 122.2714 114.702862) (xy 122.2286 114.702862) + (xy 122.210208 114.610397) (xy 122.17413 114.523298) (xy 122.121753 114.44491) (xy 122.05509 114.378247) (xy 121.976702 114.32587) + (xy 121.889603 114.289792) (xy 121.797138 114.2714) (xy 121.702862 114.2714) (xy 121.610397 114.289792) (xy 121.523298 114.32587) + (xy 121.44491 114.378247) (xy 121.378247 114.44491) (xy 121.32587 114.523298) (xy 121.289792 114.610397) (xy 121.2714 114.702862) + (xy 121.2286 114.702862) (xy 121.210208 114.610397) (xy 121.17413 114.523298) (xy 121.121753 114.44491) (xy 121.05509 114.378247) + (xy 120.976702 114.32587) (xy 120.889603 114.289792) (xy 120.797138 114.2714) (xy 120.702862 114.2714) (xy 120.610397 114.289792) + (xy 120.523298 114.32587) (xy 120.44491 114.378247) (xy 120.378247 114.44491) (xy 120.32587 114.523298) (xy 120.289792 114.610397) + (xy 120.2714 114.702862) (xy 118.2286 114.702862) (xy 118.210208 114.610397) (xy 118.17413 114.523298) (xy 118.121753 114.44491) + (xy 118.05509 114.378247) (xy 117.976702 114.32587) (xy 117.889603 114.289792) (xy 117.797138 114.2714) (xy 117.702862 114.2714) + (xy 117.610397 114.289792) (xy 117.523298 114.32587) (xy 117.44491 114.378247) (xy 117.378247 114.44491) (xy 117.32587 114.523298) + (xy 117.289792 114.610397) (xy 117.2714 114.702862) (xy 117.2286 114.702862) (xy 117.210208 114.610397) (xy 117.17413 114.523298) + (xy 117.121753 114.44491) (xy 117.05509 114.378247) (xy 116.976702 114.32587) (xy 116.889603 114.289792) (xy 116.797138 114.2714) + (xy 116.702862 114.2714) (xy 116.610397 114.289792) (xy 116.523298 114.32587) (xy 116.44491 114.378247) (xy 116.378247 114.44491) + (xy 116.32587 114.523298) (xy 116.289792 114.610397) (xy 116.2714 114.702862) (xy 116.2286 114.702862) (xy 116.210208 114.610397) + (xy 116.17413 114.523298) (xy 116.121753 114.44491) (xy 116.05509 114.378247) (xy 115.976702 114.32587) (xy 115.889603 114.289792) + (xy 115.797138 114.2714) (xy 115.702862 114.2714) (xy 115.610397 114.289792) (xy 115.523298 114.32587) (xy 115.44491 114.378247) + (xy 115.378247 114.44491) (xy 115.32587 114.523298) (xy 115.289792 114.610397) (xy 115.2714 114.702862) (xy 115.2286 114.702862) + (xy 115.210208 114.610397) (xy 115.17413 114.523298) (xy 115.121753 114.44491) (xy 115.05509 114.378247) (xy 114.976702 114.32587) + (xy 114.889603 114.289792) (xy 114.797138 114.2714) (xy 114.702862 114.2714) (xy 114.610397 114.289792) (xy 114.523298 114.32587) + (xy 114.44491 114.378247) (xy 114.378247 114.44491) (xy 114.32587 114.523298) (xy 114.289792 114.610397) (xy 114.2714 114.702862) + (xy 114.067516 114.702862) (xy 114.0786 114.647138) (xy 114.0786 114.552862) (xy 114.060208 114.460397) (xy 114.02413 114.373298) + (xy 113.971753 114.29491) (xy 113.90509 114.228247) (xy 113.826702 114.17587) (xy 113.739603 114.139792) (xy 113.647138 114.1214) + (xy 113.552862 114.1214) (xy 113.460397 114.139792) (xy 113.373298 114.17587) (xy 113.29491 114.228247) (xy 113.228247 114.29491) + (xy 113.17587 114.373298) (xy 113.139792 114.460397) (xy 113.1214 114.552862) (xy 112.857337 114.552862) (xy 112.926702 114.52413) + (xy 113.00509 114.471753) (xy 113.071753 114.40509) (xy 113.12413 114.326702) (xy 113.160208 114.239603) (xy 113.1786 114.147138) + (xy 113.1786 114.102862) (xy 118.0714 114.102862) (xy 118.0714 114.197138) (xy 118.089792 114.289603) (xy 118.12587 114.376702) + (xy 118.178247 114.45509) (xy 118.24491 114.521753) (xy 118.323298 114.57413) (xy 118.410397 114.610208) (xy 118.502862 114.6286) + (xy 118.597138 114.6286) (xy 118.689603 114.610208) (xy 118.776702 114.57413) (xy 118.85509 114.521753) (xy 118.921753 114.45509) + (xy 118.97413 114.376702) (xy 119.010208 114.289603) (xy 119.0286 114.197138) (xy 119.0286 114.102862) (xy 119.4714 114.102862) + (xy 119.4714 114.197138) (xy 119.489792 114.289603) (xy 119.52587 114.376702) (xy 119.578247 114.45509) (xy 119.64491 114.521753) + (xy 119.723298 114.57413) (xy 119.810397 114.610208) (xy 119.902862 114.6286) (xy 119.997138 114.6286) (xy 120.089603 114.610208) + (xy 120.176702 114.57413) (xy 120.25509 114.521753) (xy 120.321753 114.45509) (xy 120.37413 114.376702) (xy 120.410208 114.289603) + (xy 120.4286 114.197138) (xy 120.4286 114.102862) (xy 120.410208 114.010397) (xy 120.37413 113.923298) (xy 120.321753 113.84491) + (xy 120.25509 113.778247) (xy 120.217099 113.752862) (xy 123.8214 113.752862) (xy 123.8214 113.847138) (xy 123.839792 113.939603) + (xy 123.87587 114.026702) (xy 123.928247 114.10509) (xy 123.99491 114.171753) (xy 124.073298 114.22413) (xy 124.160397 114.260208) + (xy 124.252862 114.2786) (xy 124.347138 114.2786) (xy 124.439603 114.260208) (xy 124.526702 114.22413) (xy 124.60509 114.171753) + (xy 124.671753 114.10509) (xy 124.70665 114.052862) (xy 125.3214 114.052862) (xy 125.3214 114.147138) (xy 125.339792 114.239603) + (xy 125.37587 114.326702) (xy 125.428247 114.40509) (xy 125.49491 114.471753) (xy 125.573298 114.52413) (xy 125.660397 114.560208) + (xy 125.752862 114.5786) (xy 125.847138 114.5786) (xy 125.939603 114.560208) (xy 126.026702 114.52413) (xy 126.10509 114.471753) + (xy 126.171753 114.40509) (xy 126.22413 114.326702) (xy 126.234004 114.302862) (xy 127.5714 114.302862) (xy 127.5714 114.397138) + (xy 127.589792 114.489603) (xy 127.62587 114.576702) (xy 127.678247 114.65509) (xy 127.74491 114.721753) (xy 127.823298 114.77413) + (xy 127.910397 114.810208) (xy 128.002862 114.8286) (xy 128.097138 114.8286) (xy 128.189603 114.810208) (xy 128.276702 114.77413) + (xy 128.35509 114.721753) (xy 128.421753 114.65509) (xy 128.47413 114.576702) (xy 128.510208 114.489603) (xy 128.527461 114.402862) + (xy 129.3214 114.402862) (xy 129.3214 114.497138) (xy 129.339792 114.589603) (xy 129.37587 114.676702) (xy 129.428247 114.75509) + (xy 129.49491 114.821753) (xy 129.573298 114.87413) (xy 129.660397 114.910208) (xy 129.752862 114.9286) (xy 129.847138 114.9286) + (xy 129.939603 114.910208) (xy 129.99355 114.887862) (xy 159.0334 114.887862) (xy 159.0334 114.982138) (xy 159.051792 115.074603) + (xy 159.08787 115.161702) (xy 159.140247 115.24009) (xy 159.20691 115.306753) (xy 159.285298 115.35913) (xy 159.372397 115.395208) + (xy 159.464862 115.4136) (xy 159.559138 115.4136) (xy 159.651603 115.395208) (xy 159.738702 115.35913) (xy 159.81709 115.306753) + (xy 159.883753 115.24009) (xy 159.93613 115.161702) (xy 159.972208 115.074603) (xy 159.9906 114.982138) (xy 159.9906 114.887862) + (xy 159.972208 114.795397) (xy 159.93613 114.708298) (xy 159.883753 114.62991) (xy 159.81709 114.563247) (xy 159.738702 114.51087) + (xy 159.651603 114.474792) (xy 159.559138 114.4564) (xy 159.464862 114.4564) (xy 159.372397 114.474792) (xy 159.285298 114.51087) + (xy 159.20691 114.563247) (xy 159.140247 114.62991) (xy 159.08787 114.708298) (xy 159.051792 114.795397) (xy 159.0334 114.887862) + (xy 129.99355 114.887862) (xy 130.026702 114.87413) (xy 130.10509 114.821753) (xy 130.171753 114.75509) (xy 130.22413 114.676702) + (xy 130.260208 114.589603) (xy 130.2786 114.497138) (xy 130.2786 114.402862) (xy 130.260208 114.310397) (xy 130.22413 114.223298) + (xy 130.171753 114.14491) (xy 130.152705 114.125862) (xy 159.7954 114.125862) (xy 159.7954 114.220138) (xy 159.813792 114.312603) + (xy 159.84987 114.399702) (xy 159.902247 114.47809) (xy 159.96891 114.544753) (xy 160.047298 114.59713) (xy 160.134397 114.633208) + (xy 160.226862 114.6516) (xy 160.321138 114.6516) (xy 160.413603 114.633208) (xy 160.500702 114.59713) (xy 160.57909 114.544753) + (xy 160.645753 114.47809) (xy 160.665616 114.448362) (xy 166.7169 114.448362) (xy 166.7169 114.542638) (xy 166.735292 114.635103) + (xy 166.77137 114.722202) (xy 166.823747 114.80059) (xy 166.89041 114.867253) (xy 166.968798 114.91963) (xy 167.055897 114.955708) + (xy 167.148362 114.9741) (xy 167.242638 114.9741) (xy 167.335103 114.955708) (xy 167.422202 114.91963) (xy 167.50059 114.867253) + (xy 167.567253 114.80059) (xy 167.61963 114.722202) (xy 167.655708 114.635103) (xy 167.6741 114.542638) (xy 167.6741 114.448362) + (xy 167.655708 114.355897) (xy 167.61963 114.268798) (xy 167.574432 114.201154) (xy 199.6564 114.201154) (xy 199.6564 114.398846) + (xy 199.694968 114.592739) (xy 199.770621 114.775383) (xy 199.880453 114.939758) (xy 200.020242 115.079547) (xy 200.184617 115.189379) + (xy 200.367261 115.265032) (xy 200.561154 115.3036) (xy 200.758846 115.3036) (xy 200.952739 115.265032) (xy 201.135383 115.189379) + (xy 201.299758 115.079547) (xy 201.439547 114.939758) (xy 201.549379 114.775383) (xy 201.625032 114.592739) (xy 201.6636 114.398846) + (xy 201.6636 114.201154) (xy 202.1964 114.201154) (xy 202.1964 114.398846) (xy 202.234968 114.592739) (xy 202.310621 114.775383) + (xy 202.420453 114.939758) (xy 202.560242 115.079547) (xy 202.724617 115.189379) (xy 202.907261 115.265032) (xy 203.101154 115.3036) + (xy 203.298846 115.3036) (xy 203.492739 115.265032) (xy 203.675383 115.189379) (xy 203.839758 115.079547) (xy 203.979547 114.939758) + (xy 204.089379 114.775383) (xy 204.165032 114.592739) (xy 204.2036 114.398846) (xy 204.2036 114.201154) (xy 204.7364 114.201154) + (xy 204.7364 114.398846) (xy 204.774968 114.592739) (xy 204.850621 114.775383) (xy 204.960453 114.939758) (xy 205.100242 115.079547) + (xy 205.264617 115.189379) (xy 205.447261 115.265032) (xy 205.641154 115.3036) (xy 205.838846 115.3036) (xy 206.032739 115.265032) + (xy 206.215383 115.189379) (xy 206.379758 115.079547) (xy 206.519547 114.939758) (xy 206.629379 114.775383) (xy 206.705032 114.592739) + (xy 206.7436 114.398846) (xy 206.7436 114.201154) (xy 206.705032 114.007261) (xy 206.629379 113.824617) (xy 206.519547 113.660242) + (xy 206.379758 113.520453) (xy 206.215383 113.410621) (xy 206.032739 113.334968) (xy 205.838846 113.2964) (xy 205.641154 113.2964) + (xy 205.447261 113.334968) (xy 205.264617 113.410621) (xy 205.100242 113.520453) (xy 204.960453 113.660242) (xy 204.850621 113.824617) + (xy 204.774968 114.007261) (xy 204.7364 114.201154) (xy 204.2036 114.201154) (xy 204.165032 114.007261) (xy 204.089379 113.824617) + (xy 203.979547 113.660242) (xy 203.839758 113.520453) (xy 203.675383 113.410621) (xy 203.492739 113.334968) (xy 203.298846 113.2964) + (xy 203.101154 113.2964) (xy 202.907261 113.334968) (xy 202.724617 113.410621) (xy 202.560242 113.520453) (xy 202.420453 113.660242) + (xy 202.310621 113.824617) (xy 202.234968 114.007261) (xy 202.1964 114.201154) (xy 201.6636 114.201154) (xy 201.625032 114.007261) + (xy 201.549379 113.824617) (xy 201.439547 113.660242) (xy 201.299758 113.520453) (xy 201.135383 113.410621) (xy 200.952739 113.334968) + (xy 200.758846 113.2964) (xy 200.561154 113.2964) (xy 200.367261 113.334968) (xy 200.184617 113.410621) (xy 200.020242 113.520453) + (xy 199.880453 113.660242) (xy 199.770621 113.824617) (xy 199.694968 114.007261) (xy 199.6564 114.201154) (xy 167.574432 114.201154) + (xy 167.567253 114.19041) (xy 167.50059 114.123747) (xy 167.422202 114.07137) (xy 167.335103 114.035292) (xy 167.242638 114.0169) + (xy 167.148362 114.0169) (xy 167.055897 114.035292) (xy 166.968798 114.07137) (xy 166.89041 114.123747) (xy 166.823747 114.19041) + (xy 166.77137 114.268798) (xy 166.735292 114.355897) (xy 166.7169 114.448362) (xy 160.665616 114.448362) (xy 160.69813 114.399702) + (xy 160.734208 114.312603) (xy 160.7526 114.220138) (xy 160.7526 114.125862) (xy 160.734208 114.033397) (xy 160.69813 113.946298) + (xy 160.645753 113.86791) (xy 160.57909 113.801247) (xy 160.500702 113.74887) (xy 160.413603 113.712792) (xy 160.321138 113.6944) + (xy 160.226862 113.6944) (xy 160.134397 113.712792) (xy 160.047298 113.74887) (xy 159.96891 113.801247) (xy 159.902247 113.86791) + (xy 159.84987 113.946298) (xy 159.813792 114.033397) (xy 159.7954 114.125862) (xy 130.152705 114.125862) (xy 130.10509 114.078247) + (xy 130.026702 114.02587) (xy 129.939603 113.989792) (xy 129.847138 113.9714) (xy 129.752862 113.9714) (xy 129.660397 113.989792) + (xy 129.573298 114.02587) (xy 129.49491 114.078247) (xy 129.428247 114.14491) (xy 129.37587 114.223298) (xy 129.339792 114.310397) + (xy 129.3214 114.402862) (xy 128.527461 114.402862) (xy 128.5286 114.397138) (xy 128.5286 114.302862) (xy 128.510208 114.210397) + (xy 128.47413 114.123298) (xy 128.421753 114.04491) (xy 128.35509 113.978247) (xy 128.276702 113.92587) (xy 128.189603 113.889792) + (xy 128.097138 113.8714) (xy 128.002862 113.8714) (xy 127.910397 113.889792) (xy 127.823298 113.92587) (xy 127.74491 113.978247) + (xy 127.678247 114.04491) (xy 127.62587 114.123298) (xy 127.589792 114.210397) (xy 127.5714 114.302862) (xy 126.234004 114.302862) + (xy 126.260208 114.239603) (xy 126.2786 114.147138) (xy 126.2786 114.052862) (xy 126.260208 113.960397) (xy 126.22413 113.873298) + (xy 126.171753 113.79491) (xy 126.10509 113.728247) (xy 126.026702 113.67587) (xy 125.939603 113.639792) (xy 125.847138 113.6214) + (xy 125.752862 113.6214) (xy 125.660397 113.639792) (xy 125.573298 113.67587) (xy 125.49491 113.728247) (xy 125.428247 113.79491) + (xy 125.37587 113.873298) (xy 125.339792 113.960397) (xy 125.3214 114.052862) (xy 124.70665 114.052862) (xy 124.72413 114.026702) + (xy 124.760208 113.939603) (xy 124.7786 113.847138) (xy 124.7786 113.752862) (xy 124.760208 113.660397) (xy 124.72413 113.573298) + (xy 124.671753 113.49491) (xy 124.60509 113.428247) (xy 124.526702 113.37587) (xy 124.439603 113.339792) (xy 124.347138 113.3214) + (xy 124.252862 113.3214) (xy 124.160397 113.339792) (xy 124.073298 113.37587) (xy 123.99491 113.428247) (xy 123.928247 113.49491) + (xy 123.87587 113.573298) (xy 123.839792 113.660397) (xy 123.8214 113.752862) (xy 120.217099 113.752862) (xy 120.176702 113.72587) + (xy 120.089603 113.689792) (xy 119.997138 113.6714) (xy 119.902862 113.6714) (xy 119.810397 113.689792) (xy 119.723298 113.72587) + (xy 119.64491 113.778247) (xy 119.578247 113.84491) (xy 119.52587 113.923298) (xy 119.489792 114.010397) (xy 119.4714 114.102862) + (xy 119.0286 114.102862) (xy 119.010208 114.010397) (xy 118.97413 113.923298) (xy 118.921753 113.84491) (xy 118.85509 113.778247) + (xy 118.776702 113.72587) (xy 118.689603 113.689792) (xy 118.597138 113.6714) (xy 118.502862 113.6714) (xy 118.410397 113.689792) + (xy 118.323298 113.72587) (xy 118.24491 113.778247) (xy 118.178247 113.84491) (xy 118.12587 113.923298) (xy 118.089792 114.010397) + (xy 118.0714 114.102862) (xy 113.1786 114.102862) (xy 113.1786 114.052862) (xy 113.160208 113.960397) (xy 113.12413 113.873298) + (xy 113.071753 113.79491) (xy 113.00509 113.728247) (xy 112.926702 113.67587) (xy 112.839603 113.639792) (xy 112.747138 113.6214) + (xy 112.652862 113.6214) (xy 112.560397 113.639792) (xy 112.473298 113.67587) (xy 112.39491 113.728247) (xy 112.328247 113.79491) + (xy 112.27587 113.873298) (xy 112.239792 113.960397) (xy 112.2214 114.052862) (xy 103.5546 114.052862) (xy 103.5546 112.220862) + (xy 106.0744 112.220862) (xy 106.0744 112.315138) (xy 106.092792 112.407603) (xy 106.12887 112.494702) (xy 106.181247 112.57309) + (xy 106.24791 112.639753) (xy 106.326298 112.69213) (xy 106.413397 112.728208) (xy 106.505862 112.7466) (xy 106.600138 112.7466) + (xy 106.692603 112.728208) (xy 106.779702 112.69213) (xy 106.85809 112.639753) (xy 106.924753 112.57309) (xy 106.97713 112.494702) + (xy 107.013208 112.407603) (xy 107.0316 112.315138) (xy 107.0316 112.220862) (xy 107.5984 112.220862) (xy 107.5984 112.315138) + (xy 107.616792 112.407603) (xy 107.65287 112.494702) (xy 107.705247 112.57309) (xy 107.77191 112.639753) (xy 107.850298 112.69213) + (xy 107.937397 112.728208) (xy 108.029862 112.7466) (xy 108.124138 112.7466) (xy 108.216603 112.728208) (xy 108.303702 112.69213) + (xy 108.38209 112.639753) (xy 108.448753 112.57309) (xy 108.50113 112.494702) (xy 108.537208 112.407603) (xy 108.5556 112.315138) + (xy 108.5556 112.220862) (xy 108.537208 112.128397) (xy 108.505921 112.052862) (xy 109.9714 112.052862) (xy 109.9714 112.147138) + (xy 109.989792 112.239603) (xy 110.02587 112.326702) (xy 110.078247 112.40509) (xy 110.14491 112.471753) (xy 110.223298 112.52413) + (xy 110.310397 112.560208) (xy 110.402862 112.5786) (xy 110.497138 112.5786) (xy 110.589603 112.560208) (xy 110.676702 112.52413) + (xy 110.75509 112.471753) (xy 110.821753 112.40509) (xy 110.87413 112.326702) (xy 110.910208 112.239603) (xy 110.9286 112.147138) + (xy 110.9286 112.052862) (xy 112.2214 112.052862) (xy 112.2214 112.147138) (xy 112.239792 112.239603) (xy 112.27587 112.326702) + (xy 112.328247 112.40509) (xy 112.39491 112.471753) (xy 112.473298 112.52413) (xy 112.560397 112.560208) (xy 112.652862 112.5786) + (xy 112.747138 112.5786) (xy 112.839603 112.560208) (xy 112.926702 112.52413) (xy 113.00509 112.471753) (xy 113.071753 112.40509) + (xy 113.073241 112.402862) (xy 123.8214 112.402862) (xy 123.8214 112.497138) (xy 123.839792 112.589603) (xy 123.87587 112.676702) + (xy 123.928247 112.75509) (xy 123.99491 112.821753) (xy 124.073298 112.87413) (xy 124.160397 112.910208) (xy 124.252862 112.9286) + (xy 124.347138 112.9286) (xy 124.439603 112.910208) (xy 124.526702 112.87413) (xy 124.60509 112.821753) (xy 124.671753 112.75509) + (xy 124.72413 112.676702) (xy 124.754715 112.602862) (xy 133.4214 112.602862) (xy 133.4214 112.697138) (xy 133.439792 112.789603) + (xy 133.47587 112.876702) (xy 133.528247 112.95509) (xy 133.59491 113.021753) (xy 133.673298 113.07413) (xy 133.760397 113.110208) + (xy 133.852862 113.1286) (xy 133.947138 113.1286) (xy 134.039603 113.110208) (xy 134.126702 113.07413) (xy 134.20509 113.021753) + (xy 134.243981 112.982862) (xy 141.8884 112.982862) (xy 141.8884 113.077138) (xy 141.906792 113.169603) (xy 141.94287 113.256702) + (xy 141.995247 113.33509) (xy 142.06191 113.401753) (xy 142.140298 113.45413) (xy 142.227397 113.490208) (xy 142.319862 113.5086) + (xy 142.414138 113.5086) (xy 142.506603 113.490208) (xy 142.593702 113.45413) (xy 142.67209 113.401753) (xy 142.738753 113.33509) + (xy 142.79113 113.256702) (xy 142.799348 113.236862) (xy 143.2854 113.236862) (xy 143.2854 113.331138) (xy 143.303792 113.423603) + (xy 143.33987 113.510702) (xy 143.392247 113.58909) (xy 143.45891 113.655753) (xy 143.537298 113.70813) (xy 143.624397 113.744208) + (xy 143.716862 113.7626) (xy 143.811138 113.7626) (xy 143.903603 113.744208) (xy 143.990702 113.70813) (xy 144.06909 113.655753) + (xy 144.135753 113.58909) (xy 144.18813 113.510702) (xy 144.196348 113.490862) (xy 144.3014 113.490862) (xy 144.3014 113.585138) + (xy 144.319792 113.677603) (xy 144.35587 113.764702) (xy 144.408247 113.84309) (xy 144.47491 113.909753) (xy 144.553298 113.96213) + (xy 144.640397 113.998208) (xy 144.732862 114.0166) (xy 144.827138 114.0166) (xy 144.919603 113.998208) (xy 145.006702 113.96213) + (xy 145.08509 113.909753) (xy 145.151753 113.84309) (xy 145.20413 113.764702) (xy 145.240208 113.677603) (xy 145.2586 113.585138) + (xy 145.2586 113.490862) (xy 145.5714 113.490862) (xy 145.5714 113.585138) (xy 145.589792 113.677603) (xy 145.62587 113.764702) + (xy 145.678247 113.84309) (xy 145.74491 113.909753) (xy 145.823298 113.96213) (xy 145.910397 113.998208) (xy 146.002862 114.0166) + (xy 146.097138 114.0166) (xy 146.189603 113.998208) (xy 146.276702 113.96213) (xy 146.35509 113.909753) (xy 146.421753 113.84309) + (xy 146.47413 113.764702) (xy 146.510208 113.677603) (xy 146.5286 113.585138) (xy 146.5286 113.490862) (xy 146.8414 113.490862) + (xy 146.8414 113.585138) (xy 146.859792 113.677603) (xy 146.89587 113.764702) (xy 146.948247 113.84309) (xy 147.01491 113.909753) + (xy 147.093298 113.96213) (xy 147.180397 113.998208) (xy 147.272862 114.0166) (xy 147.367138 114.0166) (xy 147.459603 113.998208) + (xy 147.546702 113.96213) (xy 147.62509 113.909753) (xy 147.691753 113.84309) (xy 147.74413 113.764702) (xy 147.780208 113.677603) + (xy 147.7986 113.585138) (xy 147.7986 113.490862) (xy 148.1114 113.490862) (xy 148.1114 113.585138) (xy 148.129792 113.677603) + (xy 148.16587 113.764702) (xy 148.218247 113.84309) (xy 148.28491 113.909753) (xy 148.363298 113.96213) (xy 148.450397 113.998208) + (xy 148.542862 114.0166) (xy 148.637138 114.0166) (xy 148.729603 113.998208) (xy 148.816702 113.96213) (xy 148.89509 113.909753) + (xy 148.961753 113.84309) (xy 149.01413 113.764702) (xy 149.050208 113.677603) (xy 149.0686 113.585138) (xy 149.0686 113.490862) + (xy 149.3814 113.490862) (xy 149.3814 113.585138) (xy 149.399792 113.677603) (xy 149.43587 113.764702) (xy 149.488247 113.84309) + (xy 149.55491 113.909753) (xy 149.633298 113.96213) (xy 149.720397 113.998208) (xy 149.812862 114.0166) (xy 149.907138 114.0166) + (xy 149.999603 113.998208) (xy 150.086702 113.96213) (xy 150.16509 113.909753) (xy 150.231753 113.84309) (xy 150.28413 113.764702) + (xy 150.320208 113.677603) (xy 150.3386 113.585138) (xy 150.3386 113.490862) (xy 150.6514 113.490862) (xy 150.6514 113.585138) + (xy 150.669792 113.677603) (xy 150.70587 113.764702) (xy 150.758247 113.84309) (xy 150.82491 113.909753) (xy 150.903298 113.96213) + (xy 150.990397 113.998208) (xy 151.082862 114.0166) (xy 151.177138 114.0166) (xy 151.269603 113.998208) (xy 151.356702 113.96213) + (xy 151.43509 113.909753) (xy 151.501753 113.84309) (xy 151.55413 113.764702) (xy 151.590208 113.677603) (xy 151.6086 113.585138) + (xy 151.6086 113.490862) (xy 151.9214 113.490862) (xy 151.9214 113.585138) (xy 151.939792 113.677603) (xy 151.97587 113.764702) + (xy 152.028247 113.84309) (xy 152.09491 113.909753) (xy 152.173298 113.96213) (xy 152.260397 113.998208) (xy 152.352862 114.0166) + (xy 152.447138 114.0166) (xy 152.539603 113.998208) (xy 152.626702 113.96213) (xy 152.70509 113.909753) (xy 152.771753 113.84309) + (xy 152.82413 113.764702) (xy 152.860208 113.677603) (xy 152.8786 113.585138) (xy 152.8786 113.490862) (xy 153.1914 113.490862) + (xy 153.1914 113.585138) (xy 153.209792 113.677603) (xy 153.24587 113.764702) (xy 153.298247 113.84309) (xy 153.36491 113.909753) + (xy 153.443298 113.96213) (xy 153.530397 113.998208) (xy 153.622862 114.0166) (xy 153.717138 114.0166) (xy 153.809603 113.998208) + (xy 153.896702 113.96213) (xy 153.97509 113.909753) (xy 154.041753 113.84309) (xy 154.09413 113.764702) (xy 154.130208 113.677603) + (xy 154.1486 113.585138) (xy 154.1486 113.490862) (xy 154.4614 113.490862) (xy 154.4614 113.585138) (xy 154.479792 113.677603) + (xy 154.51587 113.764702) (xy 154.568247 113.84309) (xy 154.63491 113.909753) (xy 154.713298 113.96213) (xy 154.800397 113.998208) + (xy 154.892862 114.0166) (xy 154.987138 114.0166) (xy 155.079603 113.998208) (xy 155.166702 113.96213) (xy 155.24509 113.909753) + (xy 155.311753 113.84309) (xy 155.36413 113.764702) (xy 155.400208 113.677603) (xy 155.4186 113.585138) (xy 155.4186 113.490862) + (xy 155.7314 113.490862) (xy 155.7314 113.585138) (xy 155.749792 113.677603) (xy 155.78587 113.764702) (xy 155.838247 113.84309) + (xy 155.90491 113.909753) (xy 155.983298 113.96213) (xy 156.070397 113.998208) (xy 156.162862 114.0166) (xy 156.257138 114.0166) + (xy 156.349603 113.998208) (xy 156.436702 113.96213) (xy 156.51509 113.909753) (xy 156.581753 113.84309) (xy 156.63413 113.764702) + (xy 156.670208 113.677603) (xy 156.6886 113.585138) (xy 156.6886 113.490862) (xy 157.0014 113.490862) (xy 157.0014 113.585138) + (xy 157.019792 113.677603) (xy 157.05587 113.764702) (xy 157.108247 113.84309) (xy 157.17491 113.909753) (xy 157.253298 113.96213) + (xy 157.340397 113.998208) (xy 157.432862 114.0166) (xy 157.527138 114.0166) (xy 157.619603 113.998208) (xy 157.706702 113.96213) + (xy 157.78509 113.909753) (xy 157.851753 113.84309) (xy 157.90413 113.764702) (xy 157.940208 113.677603) (xy 157.9586 113.585138) + (xy 157.9586 113.490862) (xy 157.940208 113.398397) (xy 157.90413 113.311298) (xy 157.851753 113.23291) (xy 157.78509 113.166247) + (xy 157.706702 113.11387) (xy 157.619603 113.077792) (xy 157.527138 113.0594) (xy 157.432862 113.0594) (xy 157.340397 113.077792) + (xy 157.253298 113.11387) (xy 157.17491 113.166247) (xy 157.108247 113.23291) (xy 157.05587 113.311298) (xy 157.019792 113.398397) + (xy 157.0014 113.490862) (xy 156.6886 113.490862) (xy 156.670208 113.398397) (xy 156.63413 113.311298) (xy 156.581753 113.23291) + (xy 156.51509 113.166247) (xy 156.436702 113.11387) (xy 156.349603 113.077792) (xy 156.257138 113.0594) (xy 156.162862 113.0594) + (xy 156.070397 113.077792) (xy 155.983298 113.11387) (xy 155.90491 113.166247) (xy 155.838247 113.23291) (xy 155.78587 113.311298) + (xy 155.749792 113.398397) (xy 155.7314 113.490862) (xy 155.4186 113.490862) (xy 155.400208 113.398397) (xy 155.36413 113.311298) + (xy 155.311753 113.23291) (xy 155.24509 113.166247) (xy 155.166702 113.11387) (xy 155.079603 113.077792) (xy 154.987138 113.0594) + (xy 154.892862 113.0594) (xy 154.800397 113.077792) (xy 154.713298 113.11387) (xy 154.63491 113.166247) (xy 154.568247 113.23291) + (xy 154.51587 113.311298) (xy 154.479792 113.398397) (xy 154.4614 113.490862) (xy 154.1486 113.490862) (xy 154.130208 113.398397) + (xy 154.09413 113.311298) (xy 154.041753 113.23291) (xy 153.97509 113.166247) (xy 153.896702 113.11387) (xy 153.809603 113.077792) + (xy 153.717138 113.0594) (xy 153.622862 113.0594) (xy 153.530397 113.077792) (xy 153.443298 113.11387) (xy 153.36491 113.166247) + (xy 153.298247 113.23291) (xy 153.24587 113.311298) (xy 153.209792 113.398397) (xy 153.1914 113.490862) (xy 152.8786 113.490862) + (xy 152.860208 113.398397) (xy 152.82413 113.311298) (xy 152.771753 113.23291) (xy 152.70509 113.166247) (xy 152.626702 113.11387) + (xy 152.539603 113.077792) (xy 152.447138 113.0594) (xy 152.352862 113.0594) (xy 152.260397 113.077792) (xy 152.173298 113.11387) + (xy 152.09491 113.166247) (xy 152.028247 113.23291) (xy 151.97587 113.311298) (xy 151.939792 113.398397) (xy 151.9214 113.490862) + (xy 151.6086 113.490862) (xy 151.590208 113.398397) (xy 151.55413 113.311298) (xy 151.501753 113.23291) (xy 151.43509 113.166247) + (xy 151.356702 113.11387) (xy 151.269603 113.077792) (xy 151.177138 113.0594) (xy 151.082862 113.0594) (xy 150.990397 113.077792) + (xy 150.903298 113.11387) (xy 150.82491 113.166247) (xy 150.758247 113.23291) (xy 150.70587 113.311298) (xy 150.669792 113.398397) + (xy 150.6514 113.490862) (xy 150.3386 113.490862) (xy 150.320208 113.398397) (xy 150.28413 113.311298) (xy 150.231753 113.23291) + (xy 150.16509 113.166247) (xy 150.086702 113.11387) (xy 149.999603 113.077792) (xy 149.907138 113.0594) (xy 149.812862 113.0594) + (xy 149.720397 113.077792) (xy 149.633298 113.11387) (xy 149.55491 113.166247) (xy 149.488247 113.23291) (xy 149.43587 113.311298) + (xy 149.399792 113.398397) (xy 149.3814 113.490862) (xy 149.0686 113.490862) (xy 149.050208 113.398397) (xy 149.01413 113.311298) + (xy 148.961753 113.23291) (xy 148.89509 113.166247) (xy 148.816702 113.11387) (xy 148.729603 113.077792) (xy 148.637138 113.0594) + (xy 148.542862 113.0594) (xy 148.450397 113.077792) (xy 148.363298 113.11387) (xy 148.28491 113.166247) (xy 148.218247 113.23291) + (xy 148.16587 113.311298) (xy 148.129792 113.398397) (xy 148.1114 113.490862) (xy 147.7986 113.490862) (xy 147.780208 113.398397) + (xy 147.74413 113.311298) (xy 147.691753 113.23291) (xy 147.62509 113.166247) (xy 147.546702 113.11387) (xy 147.459603 113.077792) + (xy 147.367138 113.0594) (xy 147.272862 113.0594) (xy 147.180397 113.077792) (xy 147.093298 113.11387) (xy 147.01491 113.166247) + (xy 146.948247 113.23291) (xy 146.89587 113.311298) (xy 146.859792 113.398397) (xy 146.8414 113.490862) (xy 146.5286 113.490862) + (xy 146.510208 113.398397) (xy 146.47413 113.311298) (xy 146.421753 113.23291) (xy 146.35509 113.166247) (xy 146.276702 113.11387) + (xy 146.189603 113.077792) (xy 146.097138 113.0594) (xy 146.002862 113.0594) (xy 145.910397 113.077792) (xy 145.823298 113.11387) + (xy 145.74491 113.166247) (xy 145.678247 113.23291) (xy 145.62587 113.311298) (xy 145.589792 113.398397) (xy 145.5714 113.490862) + (xy 145.2586 113.490862) (xy 145.240208 113.398397) (xy 145.20413 113.311298) (xy 145.151753 113.23291) (xy 145.08509 113.166247) + (xy 145.006702 113.11387) (xy 144.919603 113.077792) (xy 144.827138 113.0594) (xy 144.732862 113.0594) (xy 144.640397 113.077792) + (xy 144.553298 113.11387) (xy 144.47491 113.166247) (xy 144.408247 113.23291) (xy 144.35587 113.311298) (xy 144.319792 113.398397) + (xy 144.3014 113.490862) (xy 144.196348 113.490862) (xy 144.224208 113.423603) (xy 144.2426 113.331138) (xy 144.2426 113.236862) + (xy 144.224208 113.144397) (xy 144.18813 113.057298) (xy 144.135753 112.97891) (xy 144.06909 112.912247) (xy 143.990702 112.85987) + (xy 143.903603 112.823792) (xy 143.811138 112.8054) (xy 143.716862 112.8054) (xy 143.624397 112.823792) (xy 143.537298 112.85987) + (xy 143.45891 112.912247) (xy 143.392247 112.97891) (xy 143.33987 113.057298) (xy 143.303792 113.144397) (xy 143.2854 113.236862) + (xy 142.799348 113.236862) (xy 142.827208 113.169603) (xy 142.8456 113.077138) (xy 142.8456 112.982862) (xy 142.827208 112.890397) + (xy 142.79113 112.803298) (xy 142.738753 112.72491) (xy 142.67209 112.658247) (xy 142.593702 112.60587) (xy 142.506603 112.569792) + (xy 142.414138 112.5514) (xy 142.319862 112.5514) (xy 142.227397 112.569792) (xy 142.140298 112.60587) (xy 142.06191 112.658247) + (xy 141.995247 112.72491) (xy 141.94287 112.803298) (xy 141.906792 112.890397) (xy 141.8884 112.982862) (xy 134.243981 112.982862) + (xy 134.271753 112.95509) (xy 134.32413 112.876702) (xy 134.360208 112.789603) (xy 134.3786 112.697138) (xy 134.3786 112.602862) + (xy 134.360208 112.510397) (xy 134.32413 112.423298) (xy 134.271753 112.34491) (xy 134.20509 112.278247) (xy 134.126702 112.22587) + (xy 134.039603 112.189792) (xy 133.947138 112.1714) (xy 133.852862 112.1714) (xy 133.760397 112.189792) (xy 133.673298 112.22587) + (xy 133.59491 112.278247) (xy 133.528247 112.34491) (xy 133.47587 112.423298) (xy 133.439792 112.510397) (xy 133.4214 112.602862) + (xy 124.754715 112.602862) (xy 124.760208 112.589603) (xy 124.7786 112.497138) (xy 124.7786 112.402862) (xy 124.760208 112.310397) + (xy 124.72413 112.223298) (xy 124.671753 112.14491) (xy 124.60509 112.078247) (xy 124.526702 112.02587) (xy 124.439603 111.989792) + (xy 124.347138 111.9714) (xy 124.252862 111.9714) (xy 124.160397 111.989792) (xy 124.073298 112.02587) (xy 123.99491 112.078247) + (xy 123.928247 112.14491) (xy 123.87587 112.223298) (xy 123.839792 112.310397) (xy 123.8214 112.402862) (xy 113.073241 112.402862) + (xy 113.12413 112.326702) (xy 113.160208 112.239603) (xy 113.1786 112.147138) (xy 113.1786 112.052862) (xy 113.160208 111.960397) + (xy 113.12413 111.873298) (xy 113.071753 111.79491) (xy 113.00509 111.728247) (xy 112.926702 111.67587) (xy 112.839603 111.639792) + (xy 112.747138 111.6214) (xy 112.652862 111.6214) (xy 112.560397 111.639792) (xy 112.473298 111.67587) (xy 112.39491 111.728247) + (xy 112.328247 111.79491) (xy 112.27587 111.873298) (xy 112.239792 111.960397) (xy 112.2214 112.052862) (xy 110.9286 112.052862) + (xy 110.910208 111.960397) (xy 110.87413 111.873298) (xy 110.821753 111.79491) (xy 110.75509 111.728247) (xy 110.676702 111.67587) + (xy 110.589603 111.639792) (xy 110.497138 111.6214) (xy 110.402862 111.6214) (xy 110.310397 111.639792) (xy 110.223298 111.67587) + (xy 110.14491 111.728247) (xy 110.078247 111.79491) (xy 110.02587 111.873298) (xy 109.989792 111.960397) (xy 109.9714 112.052862) + (xy 108.505921 112.052862) (xy 108.50113 112.041298) (xy 108.448753 111.96291) (xy 108.38209 111.896247) (xy 108.303702 111.84387) + (xy 108.216603 111.807792) (xy 108.124138 111.7894) (xy 108.029862 111.7894) (xy 107.937397 111.807792) (xy 107.850298 111.84387) + (xy 107.77191 111.896247) (xy 107.705247 111.96291) (xy 107.65287 112.041298) (xy 107.616792 112.128397) (xy 107.5984 112.220862) + (xy 107.0316 112.220862) (xy 107.013208 112.128397) (xy 106.97713 112.041298) (xy 106.924753 111.96291) (xy 106.85809 111.896247) + (xy 106.779702 111.84387) (xy 106.692603 111.807792) (xy 106.600138 111.7894) (xy 106.505862 111.7894) (xy 106.413397 111.807792) + (xy 106.326298 111.84387) (xy 106.24791 111.896247) (xy 106.181247 111.96291) (xy 106.12887 112.041298) (xy 106.092792 112.128397) + (xy 106.0744 112.220862) (xy 103.5546 112.220862) (xy 103.5546 111.552862) (xy 124.4214 111.552862) (xy 124.4214 111.647138) + (xy 124.439792 111.739603) (xy 124.47587 111.826702) (xy 124.528247 111.90509) (xy 124.59491 111.971753) (xy 124.673298 112.02413) + (xy 124.760397 112.060208) (xy 124.852862 112.0786) (xy 124.947138 112.0786) (xy 125.039603 112.060208) (xy 125.057337 112.052862) + (xy 125.3214 112.052862) (xy 125.3214 112.147138) (xy 125.339792 112.239603) (xy 125.37587 112.326702) (xy 125.428247 112.40509) + (xy 125.49491 112.471753) (xy 125.573298 112.52413) (xy 125.660397 112.560208) (xy 125.752862 112.5786) (xy 125.847138 112.5786) + (xy 125.939603 112.560208) (xy 126.026702 112.52413) (xy 126.10509 112.471753) (xy 126.171753 112.40509) (xy 126.22413 112.326702) + (xy 126.260208 112.239603) (xy 126.2786 112.147138) (xy 126.2786 112.093862) (xy 139.2214 112.093862) (xy 139.2214 112.188138) + (xy 139.239792 112.280603) (xy 139.27587 112.367702) (xy 139.328247 112.44609) (xy 139.39491 112.512753) (xy 139.473298 112.56513) + (xy 139.560397 112.601208) (xy 139.652862 112.6196) (xy 139.747138 112.6196) (xy 139.839603 112.601208) (xy 139.926702 112.56513) + (xy 140.00509 112.512753) (xy 140.071753 112.44609) (xy 140.12413 112.367702) (xy 140.160208 112.280603) (xy 140.1786 112.188138) + (xy 140.1786 112.093862) (xy 140.160208 112.001397) (xy 140.12413 111.914298) (xy 140.071753 111.83591) (xy 140.00509 111.769247) + (xy 139.926702 111.71687) (xy 139.917026 111.712862) (xy 141.6344 111.712862) (xy 141.6344 111.807138) (xy 141.652792 111.899603) + (xy 141.68887 111.986702) (xy 141.741247 112.06509) (xy 141.80791 112.131753) (xy 141.886298 112.18413) (xy 141.973397 112.220208) + (xy 142.065862 112.2386) (xy 142.160138 112.2386) (xy 142.252603 112.220208) (xy 142.339702 112.18413) (xy 142.41809 112.131753) + (xy 142.455981 112.093862) (xy 158.3984 112.093862) (xy 158.3984 112.188138) (xy 158.416792 112.280603) (xy 158.45287 112.367702) + (xy 158.505247 112.44609) (xy 158.57191 112.512753) (xy 158.650298 112.56513) (xy 158.737397 112.601208) (xy 158.829862 112.6196) + (xy 158.924138 112.6196) (xy 159.013315 112.601862) (xy 161.2559 112.601862) (xy 161.2559 112.696138) (xy 161.274292 112.788603) + (xy 161.31037 112.875702) (xy 161.362747 112.95409) (xy 161.42941 113.020753) (xy 161.507798 113.07313) (xy 161.594897 113.109208) + (xy 161.687362 113.1276) (xy 161.781638 113.1276) (xy 161.874103 113.109208) (xy 161.961202 113.07313) (xy 162.03959 113.020753) + (xy 162.106253 112.95409) (xy 162.15863 112.875702) (xy 162.194708 112.788603) (xy 162.2131 112.696138) (xy 162.2131 112.601862) + (xy 162.194708 112.509397) (xy 162.189962 112.497937) (xy 179.6714 112.497937) (xy 179.6714 112.602063) (xy 179.691713 112.704187) + (xy 179.73156 112.800386) (xy 179.789409 112.886963) (xy 179.863037 112.960591) (xy 179.949614 113.01844) (xy 180.045813 113.058287) + (xy 180.147937 113.0786) (xy 180.252063 113.0786) (xy 180.354187 113.058287) (xy 180.450386 113.01844) (xy 180.536963 112.960591) + (xy 180.610591 112.886963) (xy 180.66844 112.800386) (xy 180.708287 112.704187) (xy 180.7286 112.602063) (xy 180.7286 112.497937) + (xy 188.1714 112.497937) (xy 188.1714 112.602063) (xy 188.191713 112.704187) (xy 188.23156 112.800386) (xy 188.289409 112.886963) + (xy 188.363037 112.960591) (xy 188.449614 113.01844) (xy 188.545813 113.058287) (xy 188.647937 113.0786) (xy 188.752063 113.0786) + (xy 188.854187 113.058287) (xy 188.950386 113.01844) (xy 189.036963 112.960591) (xy 189.110591 112.886963) (xy 189.16844 112.800386) + (xy 189.208287 112.704187) (xy 189.2286 112.602063) (xy 189.2286 112.497937) (xy 189.208287 112.395813) (xy 189.16844 112.299614) + (xy 189.110591 112.213037) (xy 189.036963 112.139409) (xy 188.950386 112.08156) (xy 188.854187 112.041713) (xy 188.752063 112.0214) + (xy 188.647937 112.0214) (xy 188.545813 112.041713) (xy 188.449614 112.08156) (xy 188.363037 112.139409) (xy 188.289409 112.213037) + (xy 188.23156 112.299614) (xy 188.191713 112.395813) (xy 188.1714 112.497937) (xy 180.7286 112.497937) (xy 180.708287 112.395813) + (xy 180.66844 112.299614) (xy 180.610591 112.213037) (xy 180.536963 112.139409) (xy 180.450386 112.08156) (xy 180.354187 112.041713) + (xy 180.252063 112.0214) (xy 180.147937 112.0214) (xy 180.045813 112.041713) (xy 179.949614 112.08156) (xy 179.863037 112.139409) + (xy 179.789409 112.213037) (xy 179.73156 112.299614) (xy 179.691713 112.395813) (xy 179.6714 112.497937) (xy 162.189962 112.497937) + (xy 162.15863 112.422298) (xy 162.106253 112.34391) (xy 162.03959 112.277247) (xy 161.961202 112.22487) (xy 161.874103 112.188792) + (xy 161.781638 112.1704) (xy 161.687362 112.1704) (xy 161.594897 112.188792) (xy 161.507798 112.22487) (xy 161.42941 112.277247) + (xy 161.362747 112.34391) (xy 161.31037 112.422298) (xy 161.274292 112.509397) (xy 161.2559 112.601862) (xy 159.013315 112.601862) + (xy 159.016603 112.601208) (xy 159.103702 112.56513) (xy 159.18209 112.512753) (xy 159.248753 112.44609) (xy 159.30113 112.367702) + (xy 159.337208 112.280603) (xy 159.3556 112.188138) (xy 159.3556 112.093862) (xy 159.337208 112.001397) (xy 159.30113 111.914298) + (xy 159.248753 111.83591) (xy 159.18209 111.769247) (xy 159.103702 111.71687) (xy 159.016603 111.680792) (xy 158.924138 111.6624) + (xy 158.829862 111.6624) (xy 158.737397 111.680792) (xy 158.650298 111.71687) (xy 158.57191 111.769247) (xy 158.505247 111.83591) + (xy 158.45287 111.914298) (xy 158.416792 112.001397) (xy 158.3984 112.093862) (xy 142.455981 112.093862) (xy 142.484753 112.06509) + (xy 142.53713 111.986702) (xy 142.573208 111.899603) (xy 142.5916 111.807138) (xy 142.5916 111.712862) (xy 142.573208 111.620397) + (xy 142.53713 111.533298) (xy 142.487394 111.458862) (xy 161.1924 111.458862) (xy 161.1924 111.553138) (xy 161.210792 111.645603) + (xy 161.24687 111.732702) (xy 161.299247 111.81109) (xy 161.36591 111.877753) (xy 161.444298 111.93013) (xy 161.531397 111.966208) + (xy 161.623862 111.9846) (xy 161.718138 111.9846) (xy 161.810603 111.966208) (xy 161.897702 111.93013) (xy 161.97609 111.877753) + (xy 162.042753 111.81109) (xy 162.09513 111.732702) (xy 162.131208 111.645603) (xy 162.1496 111.553138) (xy 162.1496 111.458862) + (xy 162.131208 111.366397) (xy 162.09513 111.279298) (xy 162.042753 111.20091) (xy 161.97609 111.134247) (xy 161.897702 111.08187) + (xy 161.810603 111.045792) (xy 161.718138 111.0274) (xy 161.623862 111.0274) (xy 161.531397 111.045792) (xy 161.444298 111.08187) + (xy 161.36591 111.134247) (xy 161.299247 111.20091) (xy 161.24687 111.279298) (xy 161.210792 111.366397) (xy 161.1924 111.458862) + (xy 142.487394 111.458862) (xy 142.484753 111.45491) (xy 142.41809 111.388247) (xy 142.339702 111.33587) (xy 142.252603 111.299792) + (xy 142.160138 111.2814) (xy 142.065862 111.2814) (xy 141.973397 111.299792) (xy 141.886298 111.33587) (xy 141.80791 111.388247) + (xy 141.741247 111.45491) (xy 141.68887 111.533298) (xy 141.652792 111.620397) (xy 141.6344 111.712862) (xy 139.917026 111.712862) + (xy 139.839603 111.680792) (xy 139.747138 111.6624) (xy 139.652862 111.6624) (xy 139.560397 111.680792) (xy 139.473298 111.71687) + (xy 139.39491 111.769247) (xy 139.328247 111.83591) (xy 139.27587 111.914298) (xy 139.239792 112.001397) (xy 139.2214 112.093862) + (xy 126.2786 112.093862) (xy 126.2786 112.052862) (xy 126.260208 111.960397) (xy 126.22413 111.873298) (xy 126.171753 111.79491) + (xy 126.10509 111.728247) (xy 126.026702 111.67587) (xy 125.939603 111.639792) (xy 125.847138 111.6214) (xy 125.752862 111.6214) + (xy 125.660397 111.639792) (xy 125.573298 111.67587) (xy 125.49491 111.728247) (xy 125.428247 111.79491) (xy 125.37587 111.873298) + (xy 125.339792 111.960397) (xy 125.3214 112.052862) (xy 125.057337 112.052862) (xy 125.126702 112.02413) (xy 125.20509 111.971753) + (xy 125.271753 111.90509) (xy 125.32413 111.826702) (xy 125.360208 111.739603) (xy 125.3786 111.647138) (xy 125.3786 111.552862) + (xy 125.360208 111.460397) (xy 125.32413 111.373298) (xy 125.271753 111.29491) (xy 125.20509 111.228247) (xy 125.126702 111.17587) + (xy 125.039603 111.139792) (xy 124.947138 111.1214) (xy 124.852862 111.1214) (xy 124.760397 111.139792) (xy 124.673298 111.17587) + (xy 124.59491 111.228247) (xy 124.528247 111.29491) (xy 124.47587 111.373298) (xy 124.439792 111.460397) (xy 124.4214 111.552862) + (xy 103.5546 111.552862) (xy 103.5546 110.442862) (xy 103.5979 110.442862) (xy 103.5979 110.537138) (xy 103.616292 110.629603) + (xy 103.65237 110.716702) (xy 103.704747 110.79509) (xy 103.77141 110.861753) (xy 103.849798 110.91413) (xy 103.936897 110.950208) + (xy 104.029362 110.9686) (xy 104.123638 110.9686) (xy 104.216103 110.950208) (xy 104.303202 110.91413) (xy 104.38159 110.861753) + (xy 104.448253 110.79509) (xy 104.50063 110.716702) (xy 104.536708 110.629603) (xy 104.551972 110.552862) (xy 124.4214 110.552862) + (xy 124.4214 110.647138) (xy 124.439792 110.739603) (xy 124.47587 110.826702) (xy 124.528247 110.90509) (xy 124.59491 110.971753) + (xy 124.673298 111.02413) (xy 124.760397 111.060208) (xy 124.852862 111.0786) (xy 124.947138 111.0786) (xy 125.039603 111.060208) + (xy 125.057337 111.052862) (xy 125.3214 111.052862) (xy 125.3214 111.147138) (xy 125.339792 111.239603) (xy 125.37587 111.326702) + (xy 125.428247 111.40509) (xy 125.49491 111.471753) (xy 125.573298 111.52413) (xy 125.660397 111.560208) (xy 125.752862 111.5786) + (xy 125.847138 111.5786) (xy 125.939603 111.560208) (xy 126.026702 111.52413) (xy 126.10509 111.471753) (xy 126.171753 111.40509) + (xy 126.22413 111.326702) (xy 126.260208 111.239603) (xy 126.2786 111.147138) (xy 126.2786 111.052862) (xy 126.260208 110.960397) + (xy 126.22413 110.873298) (xy 126.191099 110.823862) (xy 158.3984 110.823862) (xy 158.3984 110.918138) (xy 158.416792 111.010603) + (xy 158.45287 111.097702) (xy 158.505247 111.17609) (xy 158.57191 111.242753) (xy 158.650298 111.29513) (xy 158.737397 111.331208) + (xy 158.829862 111.3496) (xy 158.924138 111.3496) (xy 159.016603 111.331208) (xy 159.103702 111.29513) (xy 159.18209 111.242753) + (xy 159.248753 111.17609) (xy 159.30113 111.097702) (xy 159.337208 111.010603) (xy 159.34909 110.950862) (xy 166.0819 110.950862) + (xy 166.0819 111.045138) (xy 166.100292 111.137603) (xy 166.13637 111.224702) (xy 166.188747 111.30309) (xy 166.25541 111.369753) + (xy 166.333798 111.42213) (xy 166.420897 111.458208) (xy 166.513362 111.4766) (xy 166.607638 111.4766) (xy 166.700103 111.458208) + (xy 166.787202 111.42213) (xy 166.86559 111.369753) (xy 166.932253 111.30309) (xy 166.932405 111.302862) (xy 176.1714 111.302862) + (xy 176.1714 111.397138) (xy 176.189792 111.489603) (xy 176.22587 111.576702) (xy 176.278247 111.65509) (xy 176.34491 111.721753) + (xy 176.423298 111.77413) (xy 176.510397 111.810208) (xy 176.602862 111.8286) (xy 176.697138 111.8286) (xy 176.789603 111.810208) + (xy 176.876702 111.77413) (xy 176.95509 111.721753) (xy 177.021753 111.65509) (xy 177.023241 111.652862) (xy 180.7214 111.652862) + (xy 180.7214 111.747138) (xy 180.739792 111.839603) (xy 180.77587 111.926702) (xy 180.828247 112.00509) (xy 180.89491 112.071753) + (xy 180.973298 112.12413) (xy 181.060397 112.160208) (xy 181.152862 112.1786) (xy 181.247138 112.1786) (xy 181.339603 112.160208) + (xy 181.426702 112.12413) (xy 181.50509 112.071753) (xy 181.571753 112.00509) (xy 181.62413 111.926702) (xy 181.660208 111.839603) + (xy 181.6786 111.747138) (xy 181.6786 111.652862) (xy 181.660208 111.560397) (xy 181.62413 111.473298) (xy 181.571753 111.39491) + (xy 181.50509 111.328247) (xy 181.467099 111.302862) (xy 184.6714 111.302862) (xy 184.6714 111.397138) (xy 184.689792 111.489603) + (xy 184.72587 111.576702) (xy 184.778247 111.65509) (xy 184.84491 111.721753) (xy 184.923298 111.77413) (xy 185.010397 111.810208) + (xy 185.102862 111.8286) (xy 185.197138 111.8286) (xy 185.289603 111.810208) (xy 185.376702 111.77413) (xy 185.45509 111.721753) + (xy 185.521753 111.65509) (xy 185.55665 111.602862) (xy 186.2714 111.602862) (xy 186.2714 111.697138) (xy 186.289792 111.789603) + (xy 186.32587 111.876702) (xy 186.378247 111.95509) (xy 186.44491 112.021753) (xy 186.523298 112.07413) (xy 186.610397 112.110208) + (xy 186.702862 112.1286) (xy 186.797138 112.1286) (xy 186.889603 112.110208) (xy 186.976702 112.07413) (xy 187.05509 112.021753) + (xy 187.121753 111.95509) (xy 187.17413 111.876702) (xy 187.210208 111.789603) (xy 187.2286 111.697138) (xy 187.2286 111.652862) + (xy 189.2214 111.652862) (xy 189.2214 111.747138) (xy 189.239792 111.839603) (xy 189.27587 111.926702) (xy 189.328247 112.00509) + (xy 189.39491 112.071753) (xy 189.473298 112.12413) (xy 189.560397 112.160208) (xy 189.652862 112.1786) (xy 189.747138 112.1786) + (xy 189.839603 112.160208) (xy 189.926702 112.12413) (xy 190.00509 112.071753) (xy 190.071753 112.00509) (xy 190.12413 111.926702) + (xy 190.160208 111.839603) (xy 190.1786 111.747138) (xy 190.1786 111.661154) (xy 199.6564 111.661154) (xy 199.6564 111.858846) + (xy 199.694968 112.052739) (xy 199.770621 112.235383) (xy 199.880453 112.399758) (xy 200.020242 112.539547) (xy 200.184617 112.649379) + (xy 200.367261 112.725032) (xy 200.561154 112.7636) (xy 200.758846 112.7636) (xy 200.952739 112.725032) (xy 201.135383 112.649379) + (xy 201.299758 112.539547) (xy 201.439547 112.399758) (xy 201.549379 112.235383) (xy 201.625032 112.052739) (xy 201.6636 111.858846) + (xy 201.6636 111.661154) (xy 202.1964 111.661154) (xy 202.1964 111.858846) (xy 202.234968 112.052739) (xy 202.310621 112.235383) + (xy 202.420453 112.399758) (xy 202.560242 112.539547) (xy 202.724617 112.649379) (xy 202.907261 112.725032) (xy 203.101154 112.7636) + (xy 203.298846 112.7636) (xy 203.492739 112.725032) (xy 203.675383 112.649379) (xy 203.839758 112.539547) (xy 203.979547 112.399758) + (xy 204.089379 112.235383) (xy 204.165032 112.052739) (xy 204.2036 111.858846) (xy 204.2036 111.661154) (xy 204.7364 111.661154) + (xy 204.7364 111.858846) (xy 204.774968 112.052739) (xy 204.850621 112.235383) (xy 204.960453 112.399758) (xy 205.100242 112.539547) + (xy 205.264617 112.649379) (xy 205.447261 112.725032) (xy 205.641154 112.7636) (xy 205.838846 112.7636) (xy 206.032739 112.725032) + (xy 206.215383 112.649379) (xy 206.379758 112.539547) (xy 206.519547 112.399758) (xy 206.629379 112.235383) (xy 206.705032 112.052739) + (xy 206.7436 111.858846) (xy 206.7436 111.661154) (xy 206.705032 111.467261) (xy 206.629379 111.284617) (xy 206.519547 111.120242) + (xy 206.379758 110.980453) (xy 206.215383 110.870621) (xy 206.032739 110.794968) (xy 205.838846 110.7564) (xy 205.641154 110.7564) + (xy 205.447261 110.794968) (xy 205.264617 110.870621) (xy 205.100242 110.980453) (xy 204.960453 111.120242) (xy 204.850621 111.284617) + (xy 204.774968 111.467261) (xy 204.7364 111.661154) (xy 204.2036 111.661154) (xy 204.165032 111.467261) (xy 204.089379 111.284617) + (xy 203.979547 111.120242) (xy 203.839758 110.980453) (xy 203.675383 110.870621) (xy 203.492739 110.794968) (xy 203.298846 110.7564) + (xy 203.101154 110.7564) (xy 202.907261 110.794968) (xy 202.724617 110.870621) (xy 202.560242 110.980453) (xy 202.420453 111.120242) + (xy 202.310621 111.284617) (xy 202.234968 111.467261) (xy 202.1964 111.661154) (xy 201.6636 111.661154) (xy 201.625032 111.467261) + (xy 201.549379 111.284617) (xy 201.439547 111.120242) (xy 201.299758 110.980453) (xy 201.135383 110.870621) (xy 200.952739 110.794968) + (xy 200.758846 110.7564) (xy 200.561154 110.7564) (xy 200.367261 110.794968) (xy 200.184617 110.870621) (xy 200.020242 110.980453) + (xy 199.880453 111.120242) (xy 199.770621 111.284617) (xy 199.694968 111.467261) (xy 199.6564 111.661154) (xy 190.1786 111.661154) + (xy 190.1786 111.652862) (xy 190.160208 111.560397) (xy 190.12413 111.473298) (xy 190.071753 111.39491) (xy 190.00509 111.328247) + (xy 189.926702 111.27587) (xy 189.839603 111.239792) (xy 189.747138 111.2214) (xy 189.652862 111.2214) (xy 189.560397 111.239792) + (xy 189.473298 111.27587) (xy 189.39491 111.328247) (xy 189.328247 111.39491) (xy 189.27587 111.473298) (xy 189.239792 111.560397) + (xy 189.2214 111.652862) (xy 187.2286 111.652862) (xy 187.2286 111.602862) (xy 187.210208 111.510397) (xy 187.17413 111.423298) + (xy 187.121753 111.34491) (xy 187.05509 111.278247) (xy 186.976702 111.22587) (xy 186.889603 111.189792) (xy 186.797138 111.1714) + (xy 186.702862 111.1714) (xy 186.610397 111.189792) (xy 186.523298 111.22587) (xy 186.44491 111.278247) (xy 186.378247 111.34491) + (xy 186.32587 111.423298) (xy 186.289792 111.510397) (xy 186.2714 111.602862) (xy 185.55665 111.602862) (xy 185.57413 111.576702) + (xy 185.610208 111.489603) (xy 185.6286 111.397138) (xy 185.6286 111.302862) (xy 185.610208 111.210397) (xy 185.57413 111.123298) + (xy 185.521753 111.04491) (xy 185.45509 110.978247) (xy 185.376702 110.92587) (xy 185.289603 110.889792) (xy 185.197138 110.8714) + (xy 185.102862 110.8714) (xy 185.010397 110.889792) (xy 184.923298 110.92587) (xy 184.84491 110.978247) (xy 184.778247 111.04491) + (xy 184.72587 111.123298) (xy 184.689792 111.210397) (xy 184.6714 111.302862) (xy 181.467099 111.302862) (xy 181.426702 111.27587) + (xy 181.339603 111.239792) (xy 181.247138 111.2214) (xy 181.152862 111.2214) (xy 181.060397 111.239792) (xy 180.973298 111.27587) + (xy 180.89491 111.328247) (xy 180.828247 111.39491) (xy 180.77587 111.473298) (xy 180.739792 111.560397) (xy 180.7214 111.652862) + (xy 177.023241 111.652862) (xy 177.07413 111.576702) (xy 177.110208 111.489603) (xy 177.1286 111.397138) (xy 177.1286 111.302862) + (xy 177.110208 111.210397) (xy 177.07413 111.123298) (xy 177.021753 111.04491) (xy 176.95509 110.978247) (xy 176.876702 110.92587) + (xy 176.789603 110.889792) (xy 176.697138 110.8714) (xy 176.602862 110.8714) (xy 176.510397 110.889792) (xy 176.423298 110.92587) + (xy 176.34491 110.978247) (xy 176.278247 111.04491) (xy 176.22587 111.123298) (xy 176.189792 111.210397) (xy 176.1714 111.302862) + (xy 166.932405 111.302862) (xy 166.98463 111.224702) (xy 167.020708 111.137603) (xy 167.0391 111.045138) (xy 167.0391 110.950862) + (xy 167.020708 110.858397) (xy 166.98463 110.771298) (xy 166.938903 110.702862) (xy 175.0714 110.702862) (xy 175.0714 110.797138) + (xy 175.089792 110.889603) (xy 175.12587 110.976702) (xy 175.178247 111.05509) (xy 175.24491 111.121753) (xy 175.323298 111.17413) + (xy 175.410397 111.210208) (xy 175.502862 111.2286) (xy 175.597138 111.2286) (xy 175.689603 111.210208) (xy 175.776702 111.17413) + (xy 175.85509 111.121753) (xy 175.921753 111.05509) (xy 175.97413 110.976702) (xy 176.010208 110.889603) (xy 176.0286 110.797138) + (xy 176.0286 110.702862) (xy 177.2714 110.702862) (xy 177.2714 110.797138) (xy 177.289792 110.889603) (xy 177.32587 110.976702) + (xy 177.378247 111.05509) (xy 177.44491 111.121753) (xy 177.523298 111.17413) (xy 177.610397 111.210208) (xy 177.702862 111.2286) + (xy 177.797138 111.2286) (xy 177.889603 111.210208) (xy 177.976702 111.17413) (xy 178.05509 111.121753) (xy 178.121753 111.05509) + (xy 178.17413 110.976702) (xy 178.210208 110.889603) (xy 178.2286 110.797138) (xy 178.2286 110.702862) (xy 183.5714 110.702862) + (xy 183.5714 110.797138) (xy 183.589792 110.889603) (xy 183.62587 110.976702) (xy 183.678247 111.05509) (xy 183.74491 111.121753) + (xy 183.823298 111.17413) (xy 183.910397 111.210208) (xy 184.002862 111.2286) (xy 184.097138 111.2286) (xy 184.189603 111.210208) + (xy 184.276702 111.17413) (xy 184.35509 111.121753) (xy 184.421753 111.05509) (xy 184.47413 110.976702) (xy 184.510208 110.889603) + (xy 184.5286 110.797138) (xy 184.5286 110.702862) (xy 185.7714 110.702862) (xy 185.7714 110.797138) (xy 185.789792 110.889603) + (xy 185.82587 110.976702) (xy 185.878247 111.05509) (xy 185.94491 111.121753) (xy 186.023298 111.17413) (xy 186.110397 111.210208) + (xy 186.202862 111.2286) (xy 186.297138 111.2286) (xy 186.389603 111.210208) (xy 186.476702 111.17413) (xy 186.55509 111.121753) + (xy 186.621753 111.05509) (xy 186.67413 110.976702) (xy 186.710208 110.889603) (xy 186.7286 110.797138) (xy 186.7286 110.702862) + (xy 186.710208 110.610397) (xy 186.67413 110.523298) (xy 186.621753 110.44491) (xy 186.619705 110.442862) (xy 211.0399 110.442862) + (xy 211.0399 110.537138) (xy 211.058292 110.629603) (xy 211.09437 110.716702) (xy 211.146747 110.79509) (xy 211.21341 110.861753) + (xy 211.291798 110.91413) (xy 211.378897 110.950208) (xy 211.471362 110.9686) (xy 211.565638 110.9686) (xy 211.658103 110.950208) + (xy 211.745202 110.91413) (xy 211.82359 110.861753) (xy 211.890253 110.79509) (xy 211.94263 110.716702) (xy 211.978708 110.629603) + (xy 211.9971 110.537138) (xy 211.9971 110.442862) (xy 211.978708 110.350397) (xy 211.94263 110.263298) (xy 211.890253 110.18491) + (xy 211.82359 110.118247) (xy 211.745202 110.06587) (xy 211.658103 110.029792) (xy 211.565638 110.0114) (xy 211.471362 110.0114) + (xy 211.378897 110.029792) (xy 211.291798 110.06587) (xy 211.21341 110.118247) (xy 211.146747 110.18491) (xy 211.09437 110.263298) + (xy 211.058292 110.350397) (xy 211.0399 110.442862) (xy 186.619705 110.442862) (xy 186.55509 110.378247) (xy 186.476702 110.32587) + (xy 186.389603 110.289792) (xy 186.297138 110.2714) (xy 186.202862 110.2714) (xy 186.110397 110.289792) (xy 186.023298 110.32587) + (xy 185.94491 110.378247) (xy 185.878247 110.44491) (xy 185.82587 110.523298) (xy 185.789792 110.610397) (xy 185.7714 110.702862) + (xy 184.5286 110.702862) (xy 184.510208 110.610397) (xy 184.47413 110.523298) (xy 184.421753 110.44491) (xy 184.35509 110.378247) + (xy 184.276702 110.32587) (xy 184.189603 110.289792) (xy 184.097138 110.2714) (xy 184.002862 110.2714) (xy 183.910397 110.289792) + (xy 183.823298 110.32587) (xy 183.74491 110.378247) (xy 183.678247 110.44491) (xy 183.62587 110.523298) (xy 183.589792 110.610397) + (xy 183.5714 110.702862) (xy 178.2286 110.702862) (xy 178.210208 110.610397) (xy 178.17413 110.523298) (xy 178.121753 110.44491) + (xy 178.05509 110.378247) (xy 177.976702 110.32587) (xy 177.889603 110.289792) (xy 177.797138 110.2714) (xy 177.702862 110.2714) + (xy 177.610397 110.289792) (xy 177.523298 110.32587) (xy 177.44491 110.378247) (xy 177.378247 110.44491) (xy 177.32587 110.523298) + (xy 177.289792 110.610397) (xy 177.2714 110.702862) (xy 176.0286 110.702862) (xy 176.010208 110.610397) (xy 175.97413 110.523298) + (xy 175.921753 110.44491) (xy 175.85509 110.378247) (xy 175.776702 110.32587) (xy 175.689603 110.289792) (xy 175.597138 110.2714) + (xy 175.502862 110.2714) (xy 175.410397 110.289792) (xy 175.323298 110.32587) (xy 175.24491 110.378247) (xy 175.178247 110.44491) + (xy 175.12587 110.523298) (xy 175.089792 110.610397) (xy 175.0714 110.702862) (xy 166.938903 110.702862) (xy 166.932253 110.69291) + (xy 166.86559 110.626247) (xy 166.787202 110.57387) (xy 166.700103 110.537792) (xy 166.607638 110.5194) (xy 166.513362 110.5194) + (xy 166.420897 110.537792) (xy 166.333798 110.57387) (xy 166.25541 110.626247) (xy 166.188747 110.69291) (xy 166.13637 110.771298) + (xy 166.100292 110.858397) (xy 166.0819 110.950862) (xy 159.34909 110.950862) (xy 159.3556 110.918138) (xy 159.3556 110.823862) + (xy 159.337208 110.731397) (xy 159.30113 110.644298) (xy 159.248753 110.56591) (xy 159.18209 110.499247) (xy 159.103702 110.44687) + (xy 159.016603 110.410792) (xy 158.924138 110.3924) (xy 158.829862 110.3924) (xy 158.737397 110.410792) (xy 158.650298 110.44687) + (xy 158.57191 110.499247) (xy 158.505247 110.56591) (xy 158.45287 110.644298) (xy 158.416792 110.731397) (xy 158.3984 110.823862) + (xy 126.191099 110.823862) (xy 126.171753 110.79491) (xy 126.10509 110.728247) (xy 126.026702 110.67587) (xy 125.939603 110.639792) + (xy 125.847138 110.6214) (xy 125.752862 110.6214) (xy 125.660397 110.639792) (xy 125.573298 110.67587) (xy 125.49491 110.728247) + (xy 125.428247 110.79491) (xy 125.37587 110.873298) (xy 125.339792 110.960397) (xy 125.3214 111.052862) (xy 125.057337 111.052862) + (xy 125.126702 111.02413) (xy 125.20509 110.971753) (xy 125.271753 110.90509) (xy 125.32413 110.826702) (xy 125.360208 110.739603) + (xy 125.3786 110.647138) (xy 125.3786 110.552862) (xy 125.360208 110.460397) (xy 125.32413 110.373298) (xy 125.271753 110.29491) + (xy 125.20509 110.228247) (xy 125.126702 110.17587) (xy 125.039603 110.139792) (xy 124.947138 110.1214) (xy 124.852862 110.1214) + (xy 124.760397 110.139792) (xy 124.673298 110.17587) (xy 124.59491 110.228247) (xy 124.528247 110.29491) (xy 124.47587 110.373298) + (xy 124.439792 110.460397) (xy 124.4214 110.552862) (xy 104.551972 110.552862) (xy 104.5551 110.537138) (xy 104.5551 110.442862) + (xy 104.536708 110.350397) (xy 104.50063 110.263298) (xy 104.448253 110.18491) (xy 104.38159 110.118247) (xy 104.303202 110.06587) + (xy 104.216103 110.029792) (xy 104.123638 110.0114) (xy 104.029362 110.0114) (xy 103.936897 110.029792) (xy 103.849798 110.06587) + (xy 103.77141 110.118247) (xy 103.704747 110.18491) (xy 103.65237 110.263298) (xy 103.616292 110.350397) (xy 103.5979 110.442862) + (xy 103.5546 110.442862) (xy 103.5546 109.852862) (xy 105.7714 109.852862) (xy 105.7714 109.947138) (xy 105.789792 110.039603) + (xy 105.82587 110.126702) (xy 105.878247 110.20509) (xy 105.94491 110.271753) (xy 106.023298 110.32413) (xy 106.110397 110.360208) + (xy 106.202862 110.3786) (xy 106.297138 110.3786) (xy 106.389603 110.360208) (xy 106.476702 110.32413) (xy 106.55509 110.271753) + (xy 106.621753 110.20509) (xy 106.67413 110.126702) (xy 106.710208 110.039603) (xy 106.7286 109.947138) (xy 106.7286 109.852862) + (xy 106.710208 109.760397) (xy 106.67413 109.673298) (xy 106.621753 109.59491) (xy 106.579705 109.552862) (xy 106.8714 109.552862) + (xy 106.8714 109.647138) (xy 106.889792 109.739603) (xy 106.92587 109.826702) (xy 106.978247 109.90509) (xy 107.04491 109.971753) + (xy 107.123298 110.02413) (xy 107.210397 110.060208) (xy 107.302862 110.0786) (xy 107.397138 110.0786) (xy 107.489603 110.060208) + (xy 107.576702 110.02413) (xy 107.65509 109.971753) (xy 107.721753 109.90509) (xy 107.77413 109.826702) (xy 107.810208 109.739603) + (xy 107.8286 109.647138) (xy 107.8286 109.552862) (xy 107.810208 109.460397) (xy 107.77413 109.373298) (xy 107.721753 109.29491) + (xy 107.679705 109.252862) (xy 107.9714 109.252862) (xy 107.9714 109.347138) (xy 107.989792 109.439603) (xy 108.02587 109.526702) + (xy 108.078247 109.60509) (xy 108.14491 109.671753) (xy 108.223298 109.72413) (xy 108.310397 109.760208) (xy 108.402862 109.7786) + (xy 108.497138 109.7786) (xy 108.589603 109.760208) (xy 108.676702 109.72413) (xy 108.75509 109.671753) (xy 108.821753 109.60509) + (xy 108.85665 109.552862) (xy 124.4214 109.552862) (xy 124.4214 109.647138) (xy 124.439792 109.739603) (xy 124.47587 109.826702) + (xy 124.528247 109.90509) (xy 124.59491 109.971753) (xy 124.673298 110.02413) (xy 124.760397 110.060208) (xy 124.852862 110.0786) + (xy 124.947138 110.0786) (xy 125.039603 110.060208) (xy 125.057337 110.052862) (xy 125.3214 110.052862) (xy 125.3214 110.147138) + (xy 125.339792 110.239603) (xy 125.37587 110.326702) (xy 125.428247 110.40509) (xy 125.49491 110.471753) (xy 125.573298 110.52413) + (xy 125.660397 110.560208) (xy 125.752862 110.5786) (xy 125.847138 110.5786) (xy 125.939603 110.560208) (xy 126.026702 110.52413) + (xy 126.10509 110.471753) (xy 126.171753 110.40509) (xy 126.22413 110.326702) (xy 126.260208 110.239603) (xy 126.2703 110.188862) + (xy 161.1924 110.188862) (xy 161.1924 110.283138) (xy 161.210792 110.375603) (xy 161.24687 110.462702) (xy 161.299247 110.54109) + (xy 161.36591 110.607753) (xy 161.444298 110.66013) (xy 161.531397 110.696208) (xy 161.623862 110.7146) (xy 161.718138 110.7146) + (xy 161.810603 110.696208) (xy 161.897702 110.66013) (xy 161.97609 110.607753) (xy 162.042753 110.54109) (xy 162.09513 110.462702) + (xy 162.131208 110.375603) (xy 162.1496 110.283138) (xy 162.1496 110.188862) (xy 162.131208 110.096397) (xy 162.09513 110.009298) + (xy 162.042753 109.93091) (xy 161.97609 109.864247) (xy 161.897702 109.81187) (xy 161.810603 109.775792) (xy 161.718138 109.7574) + (xy 161.623862 109.7574) (xy 161.531397 109.775792) (xy 161.444298 109.81187) (xy 161.36591 109.864247) (xy 161.299247 109.93091) + (xy 161.24687 110.009298) (xy 161.210792 110.096397) (xy 161.1924 110.188862) (xy 126.2703 110.188862) (xy 126.2786 110.147138) + (xy 126.2786 110.052862) (xy 126.260208 109.960397) (xy 126.22413 109.873298) (xy 126.171753 109.79491) (xy 126.10509 109.728247) + (xy 126.026702 109.67587) (xy 125.939603 109.639792) (xy 125.847138 109.6214) (xy 125.752862 109.6214) (xy 125.660397 109.639792) + (xy 125.573298 109.67587) (xy 125.49491 109.728247) (xy 125.428247 109.79491) (xy 125.37587 109.873298) (xy 125.339792 109.960397) + (xy 125.3214 110.052862) (xy 125.057337 110.052862) (xy 125.126702 110.02413) (xy 125.20509 109.971753) (xy 125.271753 109.90509) + (xy 125.32413 109.826702) (xy 125.360208 109.739603) (xy 125.3786 109.647138) (xy 125.3786 109.552862) (xy 125.360208 109.460397) + (xy 125.32413 109.373298) (xy 125.271753 109.29491) (xy 125.20509 109.228247) (xy 125.126702 109.17587) (xy 125.039603 109.139792) + (xy 124.947138 109.1214) (xy 124.852862 109.1214) (xy 124.760397 109.139792) (xy 124.673298 109.17587) (xy 124.59491 109.228247) + (xy 124.528247 109.29491) (xy 124.47587 109.373298) (xy 124.439792 109.460397) (xy 124.4214 109.552862) (xy 108.85665 109.552862) + (xy 108.87413 109.526702) (xy 108.910208 109.439603) (xy 108.9286 109.347138) (xy 108.9286 109.252862) (xy 108.910208 109.160397) + (xy 108.87413 109.073298) (xy 108.821753 108.99491) (xy 108.75509 108.928247) (xy 108.676702 108.87587) (xy 108.621157 108.852862) + (xy 108.9714 108.852862) (xy 108.9714 108.947138) (xy 108.989792 109.039603) (xy 109.02587 109.126702) (xy 109.078247 109.20509) + (xy 109.14491 109.271753) (xy 109.223298 109.32413) (xy 109.310397 109.360208) (xy 109.402862 109.3786) (xy 109.497138 109.3786) + (xy 109.589603 109.360208) (xy 109.676702 109.32413) (xy 109.75509 109.271753) (xy 109.821753 109.20509) (xy 109.87413 109.126702) + (xy 109.910208 109.039603) (xy 109.9286 108.947138) (xy 109.9286 108.852862) (xy 109.910208 108.760397) (xy 109.87413 108.673298) + (xy 109.821753 108.59491) (xy 109.779705 108.552862) (xy 109.9714 108.552862) (xy 109.9714 108.647138) (xy 109.989792 108.739603) + (xy 110.02587 108.826702) (xy 110.078247 108.90509) (xy 110.14491 108.971753) (xy 110.223298 109.02413) (xy 110.310397 109.060208) + (xy 110.402862 109.0786) (xy 110.497138 109.0786) (xy 110.589603 109.060208) (xy 110.676702 109.02413) (xy 110.75509 108.971753) + (xy 110.821753 108.90509) (xy 110.87413 108.826702) (xy 110.910208 108.739603) (xy 110.9286 108.647138) (xy 110.9286 108.552862) + (xy 112.2214 108.552862) (xy 112.2214 108.647138) (xy 112.239792 108.739603) (xy 112.27587 108.826702) (xy 112.328247 108.90509) + (xy 112.39491 108.971753) (xy 112.473298 109.02413) (xy 112.560397 109.060208) (xy 112.652862 109.0786) (xy 112.747138 109.0786) + (xy 112.839603 109.060208) (xy 112.926702 109.02413) (xy 113.00509 108.971753) (xy 113.071753 108.90509) (xy 113.12413 108.826702) + (xy 113.160208 108.739603) (xy 113.1786 108.647138) (xy 113.1786 108.552862) (xy 124.4214 108.552862) (xy 124.4214 108.647138) + (xy 124.439792 108.739603) (xy 124.47587 108.826702) (xy 124.528247 108.90509) (xy 124.59491 108.971753) (xy 124.673298 109.02413) + (xy 124.760397 109.060208) (xy 124.852862 109.0786) (xy 124.947138 109.0786) (xy 125.039603 109.060208) (xy 125.057337 109.052862) + (xy 125.3214 109.052862) (xy 125.3214 109.147138) (xy 125.339792 109.239603) (xy 125.37587 109.326702) (xy 125.428247 109.40509) + (xy 125.49491 109.471753) (xy 125.573298 109.52413) (xy 125.660397 109.560208) (xy 125.752862 109.5786) (xy 125.847138 109.5786) + (xy 125.939603 109.560208) (xy 125.954923 109.553862) (xy 158.3984 109.553862) (xy 158.3984 109.648138) (xy 158.416792 109.740603) + (xy 158.45287 109.827702) (xy 158.505247 109.90609) (xy 158.57191 109.972753) (xy 158.650298 110.02513) (xy 158.737397 110.061208) + (xy 158.829862 110.0796) (xy 158.924138 110.0796) (xy 159.016603 110.061208) (xy 159.103702 110.02513) (xy 159.18209 109.972753) + (xy 159.248753 109.90609) (xy 159.30113 109.827702) (xy 159.337208 109.740603) (xy 159.3556 109.648138) (xy 159.3556 109.553862) + (xy 159.337208 109.461397) (xy 159.30113 109.374298) (xy 159.248753 109.29591) (xy 159.18209 109.229247) (xy 159.103702 109.17687) + (xy 159.016603 109.140792) (xy 158.924138 109.1224) (xy 158.829862 109.1224) (xy 158.737397 109.140792) (xy 158.650298 109.17687) + (xy 158.57191 109.229247) (xy 158.505247 109.29591) (xy 158.45287 109.374298) (xy 158.416792 109.461397) (xy 158.3984 109.553862) + (xy 125.954923 109.553862) (xy 126.026702 109.52413) (xy 126.10509 109.471753) (xy 126.171753 109.40509) (xy 126.22413 109.326702) + (xy 126.260208 109.239603) (xy 126.2786 109.147138) (xy 126.2786 109.052862) (xy 126.260208 108.960397) (xy 126.243004 108.918862) + (xy 161.1924 108.918862) (xy 161.1924 109.013138) (xy 161.210792 109.105603) (xy 161.24687 109.192702) (xy 161.299247 109.27109) + (xy 161.36591 109.337753) (xy 161.444298 109.39013) (xy 161.531397 109.426208) (xy 161.623862 109.4446) (xy 161.718138 109.4446) + (xy 161.810603 109.426208) (xy 161.897702 109.39013) (xy 161.97609 109.337753) (xy 162.042753 109.27109) (xy 162.09513 109.192702) + (xy 162.124766 109.121154) (xy 199.6564 109.121154) (xy 199.6564 109.318846) (xy 199.694968 109.512739) (xy 199.770621 109.695383) + (xy 199.880453 109.859758) (xy 200.020242 109.999547) (xy 200.184617 110.109379) (xy 200.367261 110.185032) (xy 200.561154 110.2236) + (xy 200.758846 110.2236) (xy 200.952739 110.185032) (xy 201.135383 110.109379) (xy 201.299758 109.999547) (xy 201.439547 109.859758) + (xy 201.549379 109.695383) (xy 201.625032 109.512739) (xy 201.6636 109.318846) (xy 201.6636 109.121154) (xy 202.1964 109.121154) + (xy 202.1964 109.318846) (xy 202.234968 109.512739) (xy 202.310621 109.695383) (xy 202.420453 109.859758) (xy 202.560242 109.999547) + (xy 202.724617 110.109379) (xy 202.907261 110.185032) (xy 203.101154 110.2236) (xy 203.298846 110.2236) (xy 203.492739 110.185032) + (xy 203.675383 110.109379) (xy 203.839758 109.999547) (xy 203.979547 109.859758) (xy 204.089379 109.695383) (xy 204.165032 109.512739) + (xy 204.2036 109.318846) (xy 204.2036 109.121154) (xy 204.7364 109.121154) (xy 204.7364 109.318846) (xy 204.774968 109.512739) + (xy 204.850621 109.695383) (xy 204.960453 109.859758) (xy 205.100242 109.999547) (xy 205.264617 110.109379) (xy 205.447261 110.185032) + (xy 205.641154 110.2236) (xy 205.838846 110.2236) (xy 206.032739 110.185032) (xy 206.215383 110.109379) (xy 206.379758 109.999547) + (xy 206.519547 109.859758) (xy 206.629379 109.695383) (xy 206.705032 109.512739) (xy 206.7436 109.318846) (xy 206.7436 109.121154) + (xy 206.705032 108.927261) (xy 206.629379 108.744617) (xy 206.519547 108.580242) (xy 206.379758 108.440453) (xy 206.215383 108.330621) + (xy 206.032739 108.254968) (xy 205.838846 108.2164) (xy 205.641154 108.2164) (xy 205.447261 108.254968) (xy 205.264617 108.330621) + (xy 205.100242 108.440453) (xy 204.960453 108.580242) (xy 204.850621 108.744617) (xy 204.774968 108.927261) (xy 204.7364 109.121154) + (xy 204.2036 109.121154) (xy 204.165032 108.927261) (xy 204.089379 108.744617) (xy 203.979547 108.580242) (xy 203.839758 108.440453) + (xy 203.675383 108.330621) (xy 203.492739 108.254968) (xy 203.298846 108.2164) (xy 203.101154 108.2164) (xy 202.907261 108.254968) + (xy 202.724617 108.330621) (xy 202.560242 108.440453) (xy 202.420453 108.580242) (xy 202.310621 108.744617) (xy 202.234968 108.927261) + (xy 202.1964 109.121154) (xy 201.6636 109.121154) (xy 201.625032 108.927261) (xy 201.549379 108.744617) (xy 201.439547 108.580242) + (xy 201.299758 108.440453) (xy 201.135383 108.330621) (xy 200.952739 108.254968) (xy 200.758846 108.2164) (xy 200.561154 108.2164) + (xy 200.367261 108.254968) (xy 200.184617 108.330621) (xy 200.020242 108.440453) (xy 199.880453 108.580242) (xy 199.770621 108.744617) + (xy 199.694968 108.927261) (xy 199.6564 109.121154) (xy 162.124766 109.121154) (xy 162.131208 109.105603) (xy 162.1496 109.013138) + (xy 162.1496 108.918862) (xy 162.131208 108.826397) (xy 162.09513 108.739298) (xy 162.042753 108.66091) (xy 161.97609 108.594247) + (xy 161.897702 108.54187) (xy 161.810603 108.505792) (xy 161.718138 108.4874) (xy 161.623862 108.4874) (xy 161.531397 108.505792) + (xy 161.444298 108.54187) (xy 161.36591 108.594247) (xy 161.299247 108.66091) (xy 161.24687 108.739298) (xy 161.210792 108.826397) + (xy 161.1924 108.918862) (xy 126.243004 108.918862) (xy 126.22413 108.873298) (xy 126.171753 108.79491) (xy 126.10509 108.728247) + (xy 126.026702 108.67587) (xy 125.939603 108.639792) (xy 125.847138 108.6214) (xy 125.752862 108.6214) (xy 125.660397 108.639792) + (xy 125.573298 108.67587) (xy 125.49491 108.728247) (xy 125.428247 108.79491) (xy 125.37587 108.873298) (xy 125.339792 108.960397) + (xy 125.3214 109.052862) (xy 125.057337 109.052862) (xy 125.126702 109.02413) (xy 125.20509 108.971753) (xy 125.271753 108.90509) + (xy 125.32413 108.826702) (xy 125.360208 108.739603) (xy 125.3786 108.647138) (xy 125.3786 108.552862) (xy 125.360208 108.460397) + (xy 125.32413 108.373298) (xy 125.271753 108.29491) (xy 125.20509 108.228247) (xy 125.126702 108.17587) (xy 125.039603 108.139792) + (xy 124.947138 108.1214) (xy 124.852862 108.1214) (xy 124.760397 108.139792) (xy 124.673298 108.17587) (xy 124.59491 108.228247) + (xy 124.528247 108.29491) (xy 124.47587 108.373298) (xy 124.439792 108.460397) (xy 124.4214 108.552862) (xy 113.1786 108.552862) + (xy 113.160208 108.460397) (xy 113.12413 108.373298) (xy 113.071753 108.29491) (xy 113.00509 108.228247) (xy 112.926702 108.17587) + (xy 112.839603 108.139792) (xy 112.747138 108.1214) (xy 112.652862 108.1214) (xy 112.560397 108.139792) (xy 112.473298 108.17587) + (xy 112.39491 108.228247) (xy 112.328247 108.29491) (xy 112.27587 108.373298) (xy 112.239792 108.460397) (xy 112.2214 108.552862) + (xy 110.9286 108.552862) (xy 110.910208 108.460397) (xy 110.87413 108.373298) (xy 110.821753 108.29491) (xy 110.75509 108.228247) + (xy 110.676702 108.17587) (xy 110.589603 108.139792) (xy 110.497138 108.1214) (xy 110.402862 108.1214) (xy 110.310397 108.139792) + (xy 110.223298 108.17587) (xy 110.14491 108.228247) (xy 110.078247 108.29491) (xy 110.02587 108.373298) (xy 109.989792 108.460397) + (xy 109.9714 108.552862) (xy 109.779705 108.552862) (xy 109.75509 108.528247) (xy 109.676702 108.47587) (xy 109.589603 108.439792) + (xy 109.497138 108.4214) (xy 109.402862 108.4214) (xy 109.310397 108.439792) (xy 109.223298 108.47587) (xy 109.14491 108.528247) + (xy 109.078247 108.59491) (xy 109.02587 108.673298) (xy 108.989792 108.760397) (xy 108.9714 108.852862) (xy 108.621157 108.852862) + (xy 108.589603 108.839792) (xy 108.497138 108.8214) (xy 108.402862 108.8214) (xy 108.310397 108.839792) (xy 108.223298 108.87587) + (xy 108.14491 108.928247) (xy 108.078247 108.99491) (xy 108.02587 109.073298) (xy 107.989792 109.160397) (xy 107.9714 109.252862) + (xy 107.679705 109.252862) (xy 107.65509 109.228247) (xy 107.576702 109.17587) (xy 107.489603 109.139792) (xy 107.397138 109.1214) + (xy 107.302862 109.1214) (xy 107.210397 109.139792) (xy 107.123298 109.17587) (xy 107.04491 109.228247) (xy 106.978247 109.29491) + (xy 106.92587 109.373298) (xy 106.889792 109.460397) (xy 106.8714 109.552862) (xy 106.579705 109.552862) (xy 106.55509 109.528247) + (xy 106.476702 109.47587) (xy 106.389603 109.439792) (xy 106.297138 109.4214) (xy 106.202862 109.4214) (xy 106.110397 109.439792) + (xy 106.023298 109.47587) (xy 105.94491 109.528247) (xy 105.878247 109.59491) (xy 105.82587 109.673298) (xy 105.789792 109.760397) + (xy 105.7714 109.852862) (xy 103.5546 109.852862) (xy 103.5546 107.902862) (xy 108.2714 107.902862) (xy 108.2714 107.997138) + (xy 108.289792 108.089603) (xy 108.32587 108.176702) (xy 108.378247 108.25509) (xy 108.44491 108.321753) (xy 108.523298 108.37413) + (xy 108.610397 108.410208) (xy 108.702862 108.4286) (xy 108.797138 108.4286) (xy 108.889603 108.410208) (xy 108.976702 108.37413) + (xy 109.05509 108.321753) (xy 109.121753 108.25509) (xy 109.17413 108.176702) (xy 109.210208 108.089603) (xy 109.2286 107.997138) + (xy 109.2286 107.902862) (xy 109.210208 107.810397) (xy 109.17413 107.723298) (xy 109.121753 107.64491) (xy 109.05509 107.578247) + (xy 108.976702 107.52587) (xy 108.889603 107.489792) (xy 108.797138 107.4714) (xy 108.702862 107.4714) (xy 108.610397 107.489792) + (xy 108.523298 107.52587) (xy 108.44491 107.578247) (xy 108.378247 107.64491) (xy 108.32587 107.723298) (xy 108.289792 107.810397) + (xy 108.2714 107.902862) (xy 103.5546 107.902862) (xy 103.5546 106.552862) (xy 108.2714 106.552862) (xy 108.2714 106.647138) + (xy 108.289792 106.739603) (xy 108.32587 106.826702) (xy 108.378247 106.90509) (xy 108.44491 106.971753) (xy 108.523298 107.02413) + (xy 108.610397 107.060208) (xy 108.702862 107.0786) (xy 108.797138 107.0786) (xy 108.889603 107.060208) (xy 108.907337 107.052862) + (xy 112.2214 107.052862) (xy 112.2214 107.147138) (xy 112.239792 107.239603) (xy 112.27587 107.326702) (xy 112.328247 107.40509) + (xy 112.39491 107.471753) (xy 112.473298 107.52413) (xy 112.560397 107.560208) (xy 112.652862 107.5786) (xy 112.747138 107.5786) + (xy 112.839603 107.560208) (xy 112.857337 107.552862) (xy 113.1214 107.552862) (xy 113.1214 107.647138) (xy 113.139792 107.739603) + (xy 113.17587 107.826702) (xy 113.228247 107.90509) (xy 113.29491 107.971753) (xy 113.373298 108.02413) (xy 113.460397 108.060208) + (xy 113.552862 108.0786) (xy 113.647138 108.0786) (xy 113.739603 108.060208) (xy 113.826702 108.02413) (xy 113.90509 107.971753) + (xy 113.971753 107.90509) (xy 114.02413 107.826702) (xy 114.060208 107.739603) (xy 114.0786 107.647138) (xy 114.0786 107.552862) + (xy 124.4214 107.552862) (xy 124.4214 107.647138) (xy 124.439792 107.739603) (xy 124.47587 107.826702) (xy 124.528247 107.90509) + (xy 124.59491 107.971753) (xy 124.673298 108.02413) (xy 124.760397 108.060208) (xy 124.852862 108.0786) (xy 124.947138 108.0786) + (xy 125.039603 108.060208) (xy 125.057337 108.052862) (xy 125.3214 108.052862) (xy 125.3214 108.147138) (xy 125.339792 108.239603) + (xy 125.37587 108.326702) (xy 125.428247 108.40509) (xy 125.49491 108.471753) (xy 125.573298 108.52413) (xy 125.660397 108.560208) + (xy 125.752862 108.5786) (xy 125.847138 108.5786) (xy 125.939603 108.560208) (xy 126.026702 108.52413) (xy 126.10509 108.471753) + (xy 126.171753 108.40509) (xy 126.22413 108.326702) (xy 126.241875 108.283862) (xy 158.3984 108.283862) (xy 158.3984 108.378138) + (xy 158.416792 108.470603) (xy 158.45287 108.557702) (xy 158.505247 108.63609) (xy 158.57191 108.702753) (xy 158.650298 108.75513) + (xy 158.737397 108.791208) (xy 158.829862 108.8096) (xy 158.924138 108.8096) (xy 159.016603 108.791208) (xy 159.103702 108.75513) + (xy 159.18209 108.702753) (xy 159.248753 108.63609) (xy 159.30113 108.557702) (xy 159.337208 108.470603) (xy 159.3556 108.378138) + (xy 159.3556 108.283862) (xy 163.7324 108.283862) (xy 163.7324 108.378138) (xy 163.750792 108.470603) (xy 163.78687 108.557702) + (xy 163.839247 108.63609) (xy 163.90591 108.702753) (xy 163.984298 108.75513) (xy 164.071397 108.791208) (xy 164.163862 108.8096) + (xy 164.258138 108.8096) (xy 164.350603 108.791208) (xy 164.437702 108.75513) (xy 164.51609 108.702753) (xy 164.582753 108.63609) + (xy 164.63513 108.557702) (xy 164.643348 108.537862) (xy 164.8754 108.537862) (xy 164.8754 108.632138) (xy 164.893792 108.724603) + (xy 164.92987 108.811702) (xy 164.982247 108.89009) (xy 165.04891 108.956753) (xy 165.127298 109.00913) (xy 165.214397 109.045208) + (xy 165.306862 109.0636) (xy 165.401138 109.0636) (xy 165.493603 109.045208) (xy 165.580702 109.00913) (xy 165.65909 108.956753) + (xy 165.725753 108.89009) (xy 165.77813 108.811702) (xy 165.814208 108.724603) (xy 165.8326 108.632138) (xy 165.8326 108.537862) + (xy 165.814208 108.445397) (xy 165.77813 108.358298) (xy 165.725753 108.27991) (xy 165.65909 108.213247) (xy 165.580702 108.16087) + (xy 165.493603 108.124792) (xy 165.401138 108.1064) (xy 165.306862 108.1064) (xy 165.214397 108.124792) (xy 165.127298 108.16087) + (xy 165.04891 108.213247) (xy 164.982247 108.27991) (xy 164.92987 108.358298) (xy 164.893792 108.445397) (xy 164.8754 108.537862) + (xy 164.643348 108.537862) (xy 164.671208 108.470603) (xy 164.6896 108.378138) (xy 164.6896 108.283862) (xy 164.671208 108.191397) + (xy 164.63513 108.104298) (xy 164.582753 108.02591) (xy 164.51609 107.959247) (xy 164.437702 107.90687) (xy 164.350603 107.870792) + (xy 164.258138 107.8524) (xy 164.163862 107.8524) (xy 164.071397 107.870792) (xy 163.984298 107.90687) (xy 163.90591 107.959247) + (xy 163.839247 108.02591) (xy 163.78687 108.104298) (xy 163.750792 108.191397) (xy 163.7324 108.283862) (xy 159.3556 108.283862) + (xy 159.337208 108.191397) (xy 159.30113 108.104298) (xy 159.248753 108.02591) (xy 159.18209 107.959247) (xy 159.103702 107.90687) + (xy 159.016603 107.870792) (xy 158.924138 107.8524) (xy 158.829862 107.8524) (xy 158.737397 107.870792) (xy 158.650298 107.90687) + (xy 158.57191 107.959247) (xy 158.505247 108.02591) (xy 158.45287 108.104298) (xy 158.416792 108.191397) (xy 158.3984 108.283862) + (xy 126.241875 108.283862) (xy 126.260208 108.239603) (xy 126.2786 108.147138) (xy 126.2786 108.052862) (xy 126.260208 107.960397) + (xy 126.22413 107.873298) (xy 126.171753 107.79491) (xy 126.10509 107.728247) (xy 126.026702 107.67587) (xy 125.9615 107.648862) + (xy 161.1924 107.648862) (xy 161.1924 107.743138) (xy 161.210792 107.835603) (xy 161.24687 107.922702) (xy 161.299247 108.00109) + (xy 161.36591 108.067753) (xy 161.444298 108.12013) (xy 161.531397 108.156208) (xy 161.623862 108.1746) (xy 161.718138 108.1746) + (xy 161.810603 108.156208) (xy 161.897702 108.12013) (xy 161.97609 108.067753) (xy 162.042753 108.00109) (xy 162.09513 107.922702) + (xy 162.131208 107.835603) (xy 162.1496 107.743138) (xy 162.1496 107.648862) (xy 162.131208 107.556397) (xy 162.09513 107.469298) + (xy 162.042753 107.39091) (xy 161.97609 107.324247) (xy 161.897702 107.27187) (xy 161.810603 107.235792) (xy 161.718138 107.2174) + (xy 161.623862 107.2174) (xy 161.531397 107.235792) (xy 161.444298 107.27187) (xy 161.36591 107.324247) (xy 161.299247 107.39091) + (xy 161.24687 107.469298) (xy 161.210792 107.556397) (xy 161.1924 107.648862) (xy 125.9615 107.648862) (xy 125.939603 107.639792) + (xy 125.847138 107.6214) (xy 125.752862 107.6214) (xy 125.660397 107.639792) (xy 125.573298 107.67587) (xy 125.49491 107.728247) + (xy 125.428247 107.79491) (xy 125.37587 107.873298) (xy 125.339792 107.960397) (xy 125.3214 108.052862) (xy 125.057337 108.052862) + (xy 125.126702 108.02413) (xy 125.20509 107.971753) (xy 125.271753 107.90509) (xy 125.32413 107.826702) (xy 125.360208 107.739603) + (xy 125.3786 107.647138) (xy 125.3786 107.552862) (xy 125.360208 107.460397) (xy 125.32413 107.373298) (xy 125.271753 107.29491) + (xy 125.20509 107.228247) (xy 125.126702 107.17587) (xy 125.039603 107.139792) (xy 124.947138 107.1214) (xy 124.852862 107.1214) + (xy 124.760397 107.139792) (xy 124.673298 107.17587) (xy 124.59491 107.228247) (xy 124.528247 107.29491) (xy 124.47587 107.373298) + (xy 124.439792 107.460397) (xy 124.4214 107.552862) (xy 114.0786 107.552862) (xy 114.060208 107.460397) (xy 114.02413 107.373298) + (xy 113.971753 107.29491) (xy 113.90509 107.228247) (xy 113.826702 107.17587) (xy 113.739603 107.139792) (xy 113.647138 107.1214) + (xy 113.552862 107.1214) (xy 113.460397 107.139792) (xy 113.373298 107.17587) (xy 113.29491 107.228247) (xy 113.228247 107.29491) + (xy 113.17587 107.373298) (xy 113.139792 107.460397) (xy 113.1214 107.552862) (xy 112.857337 107.552862) (xy 112.926702 107.52413) + (xy 113.00509 107.471753) (xy 113.071753 107.40509) (xy 113.12413 107.326702) (xy 113.160208 107.239603) (xy 113.1786 107.147138) + (xy 113.1786 107.052862) (xy 113.160208 106.960397) (xy 113.12413 106.873298) (xy 113.071753 106.79491) (xy 113.00509 106.728247) + (xy 112.926702 106.67587) (xy 112.839603 106.639792) (xy 112.747138 106.6214) (xy 112.652862 106.6214) (xy 112.560397 106.639792) + (xy 112.473298 106.67587) (xy 112.39491 106.728247) (xy 112.328247 106.79491) (xy 112.27587 106.873298) (xy 112.239792 106.960397) + (xy 112.2214 107.052862) (xy 108.907337 107.052862) (xy 108.976702 107.02413) (xy 109.05509 106.971753) (xy 109.121753 106.90509) + (xy 109.17413 106.826702) (xy 109.210208 106.739603) (xy 109.2286 106.647138) (xy 109.2286 106.552862) (xy 124.4214 106.552862) + (xy 124.4214 106.647138) (xy 124.439792 106.739603) (xy 124.47587 106.826702) (xy 124.528247 106.90509) (xy 124.59491 106.971753) + (xy 124.673298 107.02413) (xy 124.760397 107.060208) (xy 124.852862 107.0786) (xy 124.947138 107.0786) (xy 125.039603 107.060208) + (xy 125.057337 107.052862) (xy 125.3214 107.052862) (xy 125.3214 107.147138) (xy 125.339792 107.239603) (xy 125.37587 107.326702) + (xy 125.428247 107.40509) (xy 125.49491 107.471753) (xy 125.573298 107.52413) (xy 125.660397 107.560208) (xy 125.752862 107.5786) + (xy 125.847138 107.5786) (xy 125.939603 107.560208) (xy 126.026702 107.52413) (xy 126.10509 107.471753) (xy 126.171753 107.40509) + (xy 126.22413 107.326702) (xy 126.260208 107.239603) (xy 126.2786 107.147138) (xy 126.2786 107.052862) (xy 126.270843 107.013862) + (xy 158.3984 107.013862) (xy 158.3984 107.108138) (xy 158.416792 107.200603) (xy 158.45287 107.287702) (xy 158.505247 107.36609) + (xy 158.57191 107.432753) (xy 158.650298 107.48513) (xy 158.737397 107.521208) (xy 158.829862 107.5396) (xy 158.924138 107.5396) + (xy 159.016603 107.521208) (xy 159.103702 107.48513) (xy 159.18209 107.432753) (xy 159.248753 107.36609) (xy 159.30113 107.287702) + (xy 159.337208 107.200603) (xy 159.3556 107.108138) (xy 159.3556 107.013862) (xy 159.337208 106.921397) (xy 159.30113 106.834298) + (xy 159.248753 106.75591) (xy 159.18209 106.689247) (xy 159.103702 106.63687) (xy 159.016603 106.600792) (xy 158.924138 106.5824) + (xy 158.829862 106.5824) (xy 158.737397 106.600792) (xy 158.650298 106.63687) (xy 158.57191 106.689247) (xy 158.505247 106.75591) + (xy 158.45287 106.834298) (xy 158.416792 106.921397) (xy 158.3984 107.013862) (xy 126.270843 107.013862) (xy 126.260208 106.960397) + (xy 126.22413 106.873298) (xy 126.171753 106.79491) (xy 126.10509 106.728247) (xy 126.026702 106.67587) (xy 125.939603 106.639792) + (xy 125.847138 106.6214) (xy 125.752862 106.6214) (xy 125.660397 106.639792) (xy 125.573298 106.67587) (xy 125.49491 106.728247) + (xy 125.428247 106.79491) (xy 125.37587 106.873298) (xy 125.339792 106.960397) (xy 125.3214 107.052862) (xy 125.057337 107.052862) + (xy 125.126702 107.02413) (xy 125.20509 106.971753) (xy 125.271753 106.90509) (xy 125.32413 106.826702) (xy 125.360208 106.739603) + (xy 125.3786 106.647138) (xy 125.3786 106.552862) (xy 125.360208 106.460397) (xy 125.32413 106.373298) (xy 125.271753 106.29491) + (xy 125.20509 106.228247) (xy 125.126702 106.17587) (xy 125.039603 106.139792) (xy 124.947138 106.1214) (xy 124.852862 106.1214) + (xy 124.760397 106.139792) (xy 124.673298 106.17587) (xy 124.59491 106.228247) (xy 124.528247 106.29491) (xy 124.47587 106.373298) + (xy 124.439792 106.460397) (xy 124.4214 106.552862) (xy 109.2286 106.552862) (xy 109.210208 106.460397) (xy 109.17413 106.373298) + (xy 109.121753 106.29491) (xy 109.05509 106.228247) (xy 108.976702 106.17587) (xy 108.889603 106.139792) (xy 108.797138 106.1214) + (xy 108.702862 106.1214) (xy 108.610397 106.139792) (xy 108.523298 106.17587) (xy 108.44491 106.228247) (xy 108.378247 106.29491) + (xy 108.32587 106.373298) (xy 108.289792 106.460397) (xy 108.2714 106.552862) (xy 103.5546 106.552862) (xy 103.5546 105.362862) + (xy 103.5979 105.362862) (xy 103.5979 105.457138) (xy 103.616292 105.549603) (xy 103.65237 105.636702) (xy 103.704747 105.71509) + (xy 103.77141 105.781753) (xy 103.849798 105.83413) (xy 103.936897 105.870208) (xy 104.029362 105.8886) (xy 104.123638 105.8886) + (xy 104.216103 105.870208) (xy 104.303202 105.83413) (xy 104.38159 105.781753) (xy 104.448253 105.71509) (xy 104.50063 105.636702) + (xy 104.536708 105.549603) (xy 104.5551 105.457138) (xy 104.5551 105.452862) (xy 109.4214 105.452862) (xy 109.4214 105.547138) + (xy 109.439792 105.639603) (xy 109.47587 105.726702) (xy 109.528247 105.80509) (xy 109.59491 105.871753) (xy 109.673298 105.92413) + (xy 109.760397 105.960208) (xy 109.852862 105.9786) (xy 109.947138 105.9786) (xy 110.039603 105.960208) (xy 110.126702 105.92413) + (xy 110.20509 105.871753) (xy 110.271753 105.80509) (xy 110.32413 105.726702) (xy 110.360208 105.639603) (xy 110.377461 105.552862) + (xy 124.4214 105.552862) (xy 124.4214 105.647138) (xy 124.439792 105.739603) (xy 124.47587 105.826702) (xy 124.528247 105.90509) + (xy 124.59491 105.971753) (xy 124.673298 106.02413) (xy 124.760397 106.060208) (xy 124.852862 106.0786) (xy 124.947138 106.0786) + (xy 125.039603 106.060208) (xy 125.057337 106.052862) (xy 125.3214 106.052862) (xy 125.3214 106.147138) (xy 125.339792 106.239603) + (xy 125.37587 106.326702) (xy 125.428247 106.40509) (xy 125.49491 106.471753) (xy 125.573298 106.52413) (xy 125.660397 106.560208) + (xy 125.752862 106.5786) (xy 125.847138 106.5786) (xy 125.939603 106.560208) (xy 126.026702 106.52413) (xy 126.10509 106.471753) + (xy 126.171753 106.40509) (xy 126.189277 106.378862) (xy 161.1924 106.378862) (xy 161.1924 106.473138) (xy 161.210792 106.565603) + (xy 161.24687 106.652702) (xy 161.299247 106.73109) (xy 161.36591 106.797753) (xy 161.444298 106.85013) (xy 161.531397 106.886208) + (xy 161.623862 106.9046) (xy 161.718138 106.9046) (xy 161.810603 106.886208) (xy 161.897702 106.85013) (xy 161.97609 106.797753) + (xy 162.042753 106.73109) (xy 162.09513 106.652702) (xy 162.131208 106.565603) (xy 162.14309 106.505862) (xy 163.4149 106.505862) + (xy 163.4149 106.600138) (xy 163.433292 106.692603) (xy 163.46937 106.779702) (xy 163.521747 106.85809) (xy 163.58841 106.924753) + (xy 163.666798 106.97713) (xy 163.753897 107.013208) (xy 163.846362 107.0316) (xy 163.940638 107.0316) (xy 164.033103 107.013208) + (xy 164.120202 106.97713) (xy 164.19859 106.924753) (xy 164.265253 106.85809) (xy 164.31763 106.779702) (xy 164.353708 106.692603) + (xy 164.3721 106.600138) (xy 164.3721 106.581154) (xy 199.6564 106.581154) (xy 199.6564 106.778846) (xy 199.694968 106.972739) + (xy 199.770621 107.155383) (xy 199.880453 107.319758) (xy 200.020242 107.459547) (xy 200.184617 107.569379) (xy 200.367261 107.645032) + (xy 200.561154 107.6836) (xy 200.758846 107.6836) (xy 200.952739 107.645032) (xy 201.135383 107.569379) (xy 201.299758 107.459547) + (xy 201.439547 107.319758) (xy 201.549379 107.155383) (xy 201.625032 106.972739) (xy 201.6636 106.778846) (xy 201.6636 106.581154) + (xy 202.1964 106.581154) (xy 202.1964 106.778846) (xy 202.234968 106.972739) (xy 202.310621 107.155383) (xy 202.420453 107.319758) + (xy 202.560242 107.459547) (xy 202.724617 107.569379) (xy 202.907261 107.645032) (xy 203.101154 107.6836) (xy 203.298846 107.6836) + (xy 203.492739 107.645032) (xy 203.675383 107.569379) (xy 203.839758 107.459547) (xy 203.979547 107.319758) (xy 204.089379 107.155383) + (xy 204.165032 106.972739) (xy 204.2036 106.778846) (xy 204.2036 106.581154) (xy 204.7364 106.581154) (xy 204.7364 106.778846) + (xy 204.774968 106.972739) (xy 204.850621 107.155383) (xy 204.960453 107.319758) (xy 205.100242 107.459547) (xy 205.264617 107.569379) + (xy 205.447261 107.645032) (xy 205.641154 107.6836) (xy 205.838846 107.6836) (xy 206.032739 107.645032) (xy 206.215383 107.569379) + (xy 206.379758 107.459547) (xy 206.519547 107.319758) (xy 206.629379 107.155383) (xy 206.705032 106.972739) (xy 206.7436 106.778846) + (xy 206.7436 106.581154) (xy 206.705032 106.387261) (xy 206.629379 106.204617) (xy 206.519547 106.040242) (xy 206.379758 105.900453) + (xy 206.215383 105.790621) (xy 206.032739 105.714968) (xy 205.838846 105.6764) (xy 205.641154 105.6764) (xy 205.447261 105.714968) + (xy 205.264617 105.790621) (xy 205.100242 105.900453) (xy 204.960453 106.040242) (xy 204.850621 106.204617) (xy 204.774968 106.387261) + (xy 204.7364 106.581154) (xy 204.2036 106.581154) (xy 204.165032 106.387261) (xy 204.089379 106.204617) (xy 203.979547 106.040242) + (xy 203.839758 105.900453) (xy 203.675383 105.790621) (xy 203.492739 105.714968) (xy 203.298846 105.6764) (xy 203.101154 105.6764) + (xy 202.907261 105.714968) (xy 202.724617 105.790621) (xy 202.560242 105.900453) (xy 202.420453 106.040242) (xy 202.310621 106.204617) + (xy 202.234968 106.387261) (xy 202.1964 106.581154) (xy 201.6636 106.581154) (xy 201.625032 106.387261) (xy 201.549379 106.204617) + (xy 201.439547 106.040242) (xy 201.299758 105.900453) (xy 201.135383 105.790621) (xy 200.952739 105.714968) (xy 200.758846 105.6764) + (xy 200.561154 105.6764) (xy 200.367261 105.714968) (xy 200.184617 105.790621) (xy 200.020242 105.900453) (xy 199.880453 106.040242) + (xy 199.770621 106.204617) (xy 199.694968 106.387261) (xy 199.6564 106.581154) (xy 164.3721 106.581154) (xy 164.3721 106.505862) + (xy 164.353708 106.413397) (xy 164.31763 106.326298) (xy 164.265253 106.24791) (xy 164.19859 106.181247) (xy 164.120202 106.12887) + (xy 164.033103 106.092792) (xy 163.940638 106.0744) (xy 163.846362 106.0744) (xy 163.753897 106.092792) (xy 163.666798 106.12887) + (xy 163.58841 106.181247) (xy 163.521747 106.24791) (xy 163.46937 106.326298) (xy 163.433292 106.413397) (xy 163.4149 106.505862) + (xy 162.14309 106.505862) (xy 162.1496 106.473138) (xy 162.1496 106.378862) (xy 162.131208 106.286397) (xy 162.09513 106.199298) + (xy 162.042753 106.12091) (xy 161.97609 106.054247) (xy 161.897702 106.00187) (xy 161.810603 105.965792) (xy 161.718138 105.9474) + (xy 161.623862 105.9474) (xy 161.531397 105.965792) (xy 161.444298 106.00187) (xy 161.36591 106.054247) (xy 161.299247 106.12091) + (xy 161.24687 106.199298) (xy 161.210792 106.286397) (xy 161.1924 106.378862) (xy 126.189277 106.378862) (xy 126.22413 106.326702) + (xy 126.260208 106.239603) (xy 126.2786 106.147138) (xy 126.2786 106.052862) (xy 126.260208 105.960397) (xy 126.22413 105.873298) + (xy 126.171753 105.79491) (xy 126.120705 105.743862) (xy 158.3984 105.743862) (xy 158.3984 105.838138) (xy 158.416792 105.930603) + (xy 158.45287 106.017702) (xy 158.505247 106.09609) (xy 158.57191 106.162753) (xy 158.650298 106.21513) (xy 158.737397 106.251208) + (xy 158.829862 106.2696) (xy 158.924138 106.2696) (xy 159.016603 106.251208) (xy 159.103702 106.21513) (xy 159.18209 106.162753) + (xy 159.248753 106.09609) (xy 159.30113 106.017702) (xy 159.337208 105.930603) (xy 159.35007 105.865937) (xy 165.0794 105.865937) + (xy 165.0794 105.970063) (xy 165.099713 106.072187) (xy 165.13956 106.168386) (xy 165.197409 106.254963) (xy 165.271037 106.328591) + (xy 165.357614 106.38644) (xy 165.453813 106.426287) (xy 165.555937 106.4466) (xy 165.660063 106.4466) (xy 165.762187 106.426287) + (xy 165.858386 106.38644) (xy 165.944963 106.328591) (xy 166.018591 106.254963) (xy 166.07644 106.168386) (xy 166.116287 106.072187) + (xy 166.1366 105.970063) (xy 166.1366 105.865937) (xy 166.116287 105.763813) (xy 166.07644 105.667614) (xy 166.018591 105.581037) + (xy 165.944963 105.507409) (xy 165.858386 105.44956) (xy 165.762187 105.409713) (xy 165.660063 105.3894) (xy 165.555937 105.3894) + (xy 165.453813 105.409713) (xy 165.357614 105.44956) (xy 165.271037 105.507409) (xy 165.197409 105.581037) (xy 165.13956 105.667614) + (xy 165.099713 105.763813) (xy 165.0794 105.865937) (xy 159.35007 105.865937) (xy 159.3556 105.838138) (xy 159.3556 105.743862) + (xy 159.337208 105.651397) (xy 159.30113 105.564298) (xy 159.248753 105.48591) (xy 159.18209 105.419247) (xy 159.103702 105.36687) + (xy 159.016603 105.330792) (xy 158.924138 105.3124) (xy 158.829862 105.3124) (xy 158.737397 105.330792) (xy 158.650298 105.36687) + (xy 158.57191 105.419247) (xy 158.505247 105.48591) (xy 158.45287 105.564298) (xy 158.416792 105.651397) (xy 158.3984 105.743862) + (xy 126.120705 105.743862) (xy 126.10509 105.728247) (xy 126.026702 105.67587) (xy 125.939603 105.639792) (xy 125.847138 105.6214) + (xy 125.752862 105.6214) (xy 125.660397 105.639792) (xy 125.573298 105.67587) (xy 125.49491 105.728247) (xy 125.428247 105.79491) + (xy 125.37587 105.873298) (xy 125.339792 105.960397) (xy 125.3214 106.052862) (xy 125.057337 106.052862) (xy 125.126702 106.02413) + (xy 125.20509 105.971753) (xy 125.271753 105.90509) (xy 125.32413 105.826702) (xy 125.360208 105.739603) (xy 125.3786 105.647138) + (xy 125.3786 105.552862) (xy 125.360208 105.460397) (xy 125.32413 105.373298) (xy 125.271753 105.29491) (xy 125.20509 105.228247) + (xy 125.126702 105.17587) (xy 125.039603 105.139792) (xy 124.947138 105.1214) (xy 124.852862 105.1214) (xy 124.760397 105.139792) + (xy 124.673298 105.17587) (xy 124.59491 105.228247) (xy 124.528247 105.29491) (xy 124.47587 105.373298) (xy 124.439792 105.460397) + (xy 124.4214 105.552862) (xy 110.377461 105.552862) (xy 110.3786 105.547138) (xy 110.3786 105.452862) (xy 110.360208 105.360397) + (xy 110.32413 105.273298) (xy 110.271753 105.19491) (xy 110.20509 105.128247) (xy 110.126702 105.07587) (xy 110.071157 105.052862) + (xy 125.3214 105.052862) (xy 125.3214 105.147138) (xy 125.339792 105.239603) (xy 125.37587 105.326702) (xy 125.428247 105.40509) + (xy 125.49491 105.471753) (xy 125.573298 105.52413) (xy 125.660397 105.560208) (xy 125.752862 105.5786) (xy 125.847138 105.5786) + (xy 125.939603 105.560208) (xy 126.026702 105.52413) (xy 126.10509 105.471753) (xy 126.171753 105.40509) (xy 126.22413 105.326702) + (xy 126.260208 105.239603) (xy 126.2786 105.147138) (xy 126.2786 105.052862) (xy 127.5714 105.052862) (xy 127.5714 105.147138) + (xy 127.589792 105.239603) (xy 127.62587 105.326702) (xy 127.678247 105.40509) (xy 127.74491 105.471753) (xy 127.823298 105.52413) + (xy 127.910397 105.560208) (xy 128.002862 105.5786) (xy 128.097138 105.5786) (xy 128.189603 105.560208) (xy 128.276702 105.52413) + (xy 128.35509 105.471753) (xy 128.421753 105.40509) (xy 128.47413 105.326702) (xy 128.510208 105.239603) (xy 128.5286 105.147138) + (xy 128.5286 105.108862) (xy 161.1924 105.108862) (xy 161.1924 105.203138) (xy 161.210792 105.295603) (xy 161.24687 105.382702) + (xy 161.299247 105.46109) (xy 161.36591 105.527753) (xy 161.444298 105.58013) (xy 161.531397 105.616208) (xy 161.623862 105.6346) + (xy 161.718138 105.6346) (xy 161.810603 105.616208) (xy 161.897702 105.58013) (xy 161.97609 105.527753) (xy 162.042753 105.46109) + (xy 162.09513 105.382702) (xy 162.131208 105.295603) (xy 162.14309 105.235862) (xy 162.6529 105.235862) (xy 162.6529 105.330138) + (xy 162.671292 105.422603) (xy 162.70737 105.509702) (xy 162.759747 105.58809) (xy 162.82641 105.654753) (xy 162.904798 105.70713) + (xy 162.991897 105.743208) (xy 163.084362 105.7616) (xy 163.178638 105.7616) (xy 163.271103 105.743208) (xy 163.358202 105.70713) + (xy 163.43659 105.654753) (xy 163.503253 105.58809) (xy 163.55563 105.509702) (xy 163.591708 105.422603) (xy 163.60359 105.362862) + (xy 211.0399 105.362862) (xy 211.0399 105.457138) (xy 211.058292 105.549603) (xy 211.09437 105.636702) (xy 211.146747 105.71509) + (xy 211.21341 105.781753) (xy 211.291798 105.83413) (xy 211.378897 105.870208) (xy 211.471362 105.8886) (xy 211.565638 105.8886) + (xy 211.658103 105.870208) (xy 211.745202 105.83413) (xy 211.82359 105.781753) (xy 211.890253 105.71509) (xy 211.94263 105.636702) + (xy 211.978708 105.549603) (xy 211.9971 105.457138) (xy 211.9971 105.362862) (xy 211.978708 105.270397) (xy 211.94263 105.183298) + (xy 211.890253 105.10491) (xy 211.82359 105.038247) (xy 211.745202 104.98587) (xy 211.658103 104.949792) (xy 211.565638 104.9314) + (xy 211.471362 104.9314) (xy 211.378897 104.949792) (xy 211.291798 104.98587) (xy 211.21341 105.038247) (xy 211.146747 105.10491) + (xy 211.09437 105.183298) (xy 211.058292 105.270397) (xy 211.0399 105.362862) (xy 163.60359 105.362862) (xy 163.6101 105.330138) + (xy 163.6101 105.235862) (xy 163.591708 105.143397) (xy 163.55563 105.056298) (xy 163.503253 104.97791) (xy 163.43659 104.911247) + (xy 163.358202 104.85887) (xy 163.271103 104.822792) (xy 163.178638 104.8044) (xy 163.084362 104.8044) (xy 162.991897 104.822792) + (xy 162.904798 104.85887) (xy 162.82641 104.911247) (xy 162.759747 104.97791) (xy 162.70737 105.056298) (xy 162.671292 105.143397) + (xy 162.6529 105.235862) (xy 162.14309 105.235862) (xy 162.1496 105.203138) (xy 162.1496 105.108862) (xy 162.131208 105.016397) + (xy 162.09513 104.929298) (xy 162.042753 104.85091) (xy 161.97609 104.784247) (xy 161.897702 104.73187) (xy 161.876137 104.722937) + (xy 163.9364 104.722937) (xy 163.9364 104.827063) (xy 163.956713 104.929187) (xy 163.99656 105.025386) (xy 164.054409 105.111963) + (xy 164.128037 105.185591) (xy 164.214614 105.24344) (xy 164.310813 105.283287) (xy 164.412937 105.3036) (xy 164.517063 105.3036) + (xy 164.619187 105.283287) (xy 164.715386 105.24344) (xy 164.801963 105.185591) (xy 164.875591 105.111963) (xy 164.93344 105.025386) + (xy 164.973287 104.929187) (xy 164.9936 104.827063) (xy 164.9936 104.722937) (xy 166.2224 104.722937) (xy 166.2224 104.827063) + (xy 166.242713 104.929187) (xy 166.28256 105.025386) (xy 166.340409 105.111963) (xy 166.414037 105.185591) (xy 166.500614 105.24344) + (xy 166.596813 105.283287) (xy 166.698937 105.3036) (xy 166.803063 105.3036) (xy 166.905187 105.283287) (xy 167.001386 105.24344) + (xy 167.087963 105.185591) (xy 167.161591 105.111963) (xy 167.21944 105.025386) (xy 167.259287 104.929187) (xy 167.2796 104.827063) + (xy 167.2796 104.722937) (xy 167.259287 104.620813) (xy 167.21944 104.524614) (xy 167.161591 104.438037) (xy 167.087963 104.364409) + (xy 167.001386 104.30656) (xy 166.945382 104.283362) (xy 196.4349 104.283362) (xy 196.4349 104.377638) (xy 196.453292 104.470103) + (xy 196.48937 104.557202) (xy 196.541747 104.63559) (xy 196.60841 104.702253) (xy 196.686798 104.75463) (xy 196.773897 104.790708) + (xy 196.866362 104.8091) (xy 196.960638 104.8091) (xy 197.053103 104.790708) (xy 197.140202 104.75463) (xy 197.21859 104.702253) + (xy 197.285253 104.63559) (xy 197.33763 104.557202) (xy 197.373708 104.470103) (xy 197.3921 104.377638) (xy 197.3921 104.283362) + (xy 197.373708 104.190897) (xy 197.33763 104.103798) (xy 197.295773 104.041154) (xy 199.6564 104.041154) (xy 199.6564 104.238846) + (xy 199.694968 104.432739) (xy 199.770621 104.615383) (xy 199.880453 104.779758) (xy 200.020242 104.919547) (xy 200.184617 105.029379) + (xy 200.367261 105.105032) (xy 200.561154 105.1436) (xy 200.758846 105.1436) (xy 200.952739 105.105032) (xy 201.135383 105.029379) + (xy 201.299758 104.919547) (xy 201.439547 104.779758) (xy 201.549379 104.615383) (xy 201.625032 104.432739) (xy 201.6636 104.238846) + (xy 201.6636 104.041154) (xy 202.1964 104.041154) (xy 202.1964 104.238846) (xy 202.234968 104.432739) (xy 202.310621 104.615383) + (xy 202.420453 104.779758) (xy 202.560242 104.919547) (xy 202.724617 105.029379) (xy 202.907261 105.105032) (xy 203.101154 105.1436) + (xy 203.298846 105.1436) (xy 203.492739 105.105032) (xy 203.675383 105.029379) (xy 203.839758 104.919547) (xy 203.979547 104.779758) + (xy 204.089379 104.615383) (xy 204.165032 104.432739) (xy 204.2036 104.238846) (xy 204.2036 104.041154) (xy 204.7364 104.041154) + (xy 204.7364 104.238846) (xy 204.774968 104.432739) (xy 204.850621 104.615383) (xy 204.960453 104.779758) (xy 205.100242 104.919547) + (xy 205.264617 105.029379) (xy 205.447261 105.105032) (xy 205.641154 105.1436) (xy 205.838846 105.1436) (xy 206.032739 105.105032) + (xy 206.215383 105.029379) (xy 206.379758 104.919547) (xy 206.519547 104.779758) (xy 206.629379 104.615383) (xy 206.705032 104.432739) + (xy 206.7436 104.238846) (xy 206.7436 104.041154) (xy 206.705032 103.847261) (xy 206.629379 103.664617) (xy 206.519547 103.500242) + (xy 206.379758 103.360453) (xy 206.215383 103.250621) (xy 206.032739 103.174968) (xy 205.838846 103.1364) (xy 205.641154 103.1364) + (xy 205.447261 103.174968) (xy 205.264617 103.250621) (xy 205.100242 103.360453) (xy 204.960453 103.500242) (xy 204.850621 103.664617) + (xy 204.774968 103.847261) (xy 204.7364 104.041154) (xy 204.2036 104.041154) (xy 204.165032 103.847261) (xy 204.089379 103.664617) + (xy 203.979547 103.500242) (xy 203.839758 103.360453) (xy 203.675383 103.250621) (xy 203.492739 103.174968) (xy 203.298846 103.1364) + (xy 203.101154 103.1364) (xy 202.907261 103.174968) (xy 202.724617 103.250621) (xy 202.560242 103.360453) (xy 202.420453 103.500242) + (xy 202.310621 103.664617) (xy 202.234968 103.847261) (xy 202.1964 104.041154) (xy 201.6636 104.041154) (xy 201.625032 103.847261) + (xy 201.549379 103.664617) (xy 201.439547 103.500242) (xy 201.299758 103.360453) (xy 201.135383 103.250621) (xy 200.952739 103.174968) + (xy 200.758846 103.1364) (xy 200.561154 103.1364) (xy 200.367261 103.174968) (xy 200.184617 103.250621) (xy 200.020242 103.360453) + (xy 199.880453 103.500242) (xy 199.770621 103.664617) (xy 199.694968 103.847261) (xy 199.6564 104.041154) (xy 197.295773 104.041154) + (xy 197.285253 104.02541) (xy 197.21859 103.958747) (xy 197.140202 103.90637) (xy 197.053103 103.870292) (xy 196.960638 103.8519) + (xy 196.866362 103.8519) (xy 196.773897 103.870292) (xy 196.686798 103.90637) (xy 196.60841 103.958747) (xy 196.541747 104.02541) + (xy 196.48937 104.103798) (xy 196.453292 104.190897) (xy 196.4349 104.283362) (xy 166.945382 104.283362) (xy 166.905187 104.266713) + (xy 166.803063 104.2464) (xy 166.698937 104.2464) (xy 166.596813 104.266713) (xy 166.500614 104.30656) (xy 166.414037 104.364409) + (xy 166.340409 104.438037) (xy 166.28256 104.524614) (xy 166.242713 104.620813) (xy 166.2224 104.722937) (xy 164.9936 104.722937) + (xy 164.973287 104.620813) (xy 164.93344 104.524614) (xy 164.875591 104.438037) (xy 164.801963 104.364409) (xy 164.715386 104.30656) + (xy 164.619187 104.266713) (xy 164.517063 104.2464) (xy 164.412937 104.2464) (xy 164.310813 104.266713) (xy 164.214614 104.30656) + (xy 164.128037 104.364409) (xy 164.054409 104.438037) (xy 163.99656 104.524614) (xy 163.956713 104.620813) (xy 163.9364 104.722937) + (xy 161.876137 104.722937) (xy 161.810603 104.695792) (xy 161.718138 104.6774) (xy 161.623862 104.6774) (xy 161.531397 104.695792) + (xy 161.444298 104.73187) (xy 161.36591 104.784247) (xy 161.299247 104.85091) (xy 161.24687 104.929298) (xy 161.210792 105.016397) + (xy 161.1924 105.108862) (xy 128.5286 105.108862) (xy 128.5286 105.052862) (xy 128.510208 104.960397) (xy 128.47413 104.873298) + (xy 128.421753 104.79491) (xy 128.35509 104.728247) (xy 128.276702 104.67587) (xy 128.189603 104.639792) (xy 128.097138 104.6214) + (xy 128.002862 104.6214) (xy 127.910397 104.639792) (xy 127.823298 104.67587) (xy 127.74491 104.728247) (xy 127.678247 104.79491) + (xy 127.62587 104.873298) (xy 127.589792 104.960397) (xy 127.5714 105.052862) (xy 126.2786 105.052862) (xy 126.260208 104.960397) + (xy 126.22413 104.873298) (xy 126.171753 104.79491) (xy 126.10509 104.728247) (xy 126.026702 104.67587) (xy 125.939603 104.639792) + (xy 125.847138 104.6214) (xy 125.752862 104.6214) (xy 125.660397 104.639792) (xy 125.573298 104.67587) (xy 125.49491 104.728247) + (xy 125.428247 104.79491) (xy 125.37587 104.873298) (xy 125.339792 104.960397) (xy 125.3214 105.052862) (xy 110.071157 105.052862) + (xy 110.039603 105.039792) (xy 109.947138 105.0214) (xy 109.852862 105.0214) (xy 109.760397 105.039792) (xy 109.673298 105.07587) + (xy 109.59491 105.128247) (xy 109.528247 105.19491) (xy 109.47587 105.273298) (xy 109.439792 105.360397) (xy 109.4214 105.452862) + (xy 104.5551 105.452862) (xy 104.5551 105.362862) (xy 104.536708 105.270397) (xy 104.50063 105.183298) (xy 104.448253 105.10491) + (xy 104.38159 105.038247) (xy 104.303202 104.98587) (xy 104.216103 104.949792) (xy 104.123638 104.9314) (xy 104.029362 104.9314) + (xy 103.936897 104.949792) (xy 103.849798 104.98587) (xy 103.77141 105.038247) (xy 103.704747 105.10491) (xy 103.65237 105.183298) + (xy 103.616292 105.270397) (xy 103.5979 105.362862) (xy 103.5546 105.362862) (xy 103.5546 104.502862) (xy 106.7714 104.502862) + (xy 106.7714 104.597138) (xy 106.789792 104.689603) (xy 106.82587 104.776702) (xy 106.878247 104.85509) (xy 106.94491 104.921753) + (xy 107.023298 104.97413) (xy 107.110397 105.010208) (xy 107.202862 105.0286) (xy 107.297138 105.0286) (xy 107.389603 105.010208) + (xy 107.476702 104.97413) (xy 107.55509 104.921753) (xy 107.621753 104.85509) (xy 107.67413 104.776702) (xy 107.710208 104.689603) + (xy 107.7286 104.597138) (xy 107.7286 104.552862) (xy 128.5714 104.552862) (xy 128.5714 104.647138) (xy 128.589792 104.739603) + (xy 128.62587 104.826702) (xy 128.678247 104.90509) (xy 128.74491 104.971753) (xy 128.823298 105.02413) (xy 128.910397 105.060208) + (xy 129.002862 105.0786) (xy 129.097138 105.0786) (xy 129.189603 105.060208) (xy 129.276702 105.02413) (xy 129.35509 104.971753) + (xy 129.421753 104.90509) (xy 129.47413 104.826702) (xy 129.510208 104.739603) (xy 129.5286 104.647138) (xy 129.5286 104.552862) + (xy 129.512887 104.473862) (xy 158.3984 104.473862) (xy 158.3984 104.568138) (xy 158.416792 104.660603) (xy 158.45287 104.747702) + (xy 158.505247 104.82609) (xy 158.57191 104.892753) (xy 158.650298 104.94513) (xy 158.737397 104.981208) (xy 158.829862 104.9996) + (xy 158.924138 104.9996) (xy 159.016603 104.981208) (xy 159.103702 104.94513) (xy 159.18209 104.892753) (xy 159.248753 104.82609) + (xy 159.30113 104.747702) (xy 159.337208 104.660603) (xy 159.3556 104.568138) (xy 159.3556 104.473862) (xy 159.337208 104.381397) + (xy 159.30113 104.294298) (xy 159.248753 104.21591) (xy 159.18209 104.149247) (xy 159.103702 104.09687) (xy 159.016603 104.060792) + (xy 158.924138 104.0424) (xy 158.829862 104.0424) (xy 158.737397 104.060792) (xy 158.650298 104.09687) (xy 158.57191 104.149247) + (xy 158.505247 104.21591) (xy 158.45287 104.294298) (xy 158.416792 104.381397) (xy 158.3984 104.473862) (xy 129.512887 104.473862) + (xy 129.510208 104.460397) (xy 129.47413 104.373298) (xy 129.421753 104.29491) (xy 129.35509 104.228247) (xy 129.276702 104.17587) + (xy 129.189603 104.139792) (xy 129.097138 104.1214) (xy 129.002862 104.1214) (xy 128.910397 104.139792) (xy 128.823298 104.17587) + (xy 128.74491 104.228247) (xy 128.678247 104.29491) (xy 128.62587 104.373298) (xy 128.589792 104.460397) (xy 128.5714 104.552862) + (xy 107.7286 104.552862) (xy 107.7286 104.502862) (xy 107.710208 104.410397) (xy 107.67413 104.323298) (xy 107.621753 104.24491) + (xy 107.55509 104.178247) (xy 107.476702 104.12587) (xy 107.389603 104.089792) (xy 107.297138 104.0714) (xy 107.202862 104.0714) + (xy 107.110397 104.089792) (xy 107.023298 104.12587) (xy 106.94491 104.178247) (xy 106.878247 104.24491) (xy 106.82587 104.323298) + (xy 106.789792 104.410397) (xy 106.7714 104.502862) (xy 103.5546 104.502862) (xy 103.5546 103.402862) (xy 115.2714 103.402862) + (xy 115.2714 103.497138) (xy 115.289792 103.589603) (xy 115.32587 103.676702) (xy 115.378247 103.75509) (xy 115.44491 103.821753) + (xy 115.523298 103.87413) (xy 115.610397 103.910208) (xy 115.702862 103.9286) (xy 115.797138 103.9286) (xy 115.889603 103.910208) + (xy 115.976702 103.87413) (xy 116.05509 103.821753) (xy 116.121753 103.75509) (xy 116.17413 103.676702) (xy 116.210208 103.589603) + (xy 116.2286 103.497138) (xy 116.2286 103.402862) (xy 117.2714 103.402862) (xy 117.2714 103.497138) (xy 117.289792 103.589603) + (xy 117.32587 103.676702) (xy 117.378247 103.75509) (xy 117.44491 103.821753) (xy 117.523298 103.87413) (xy 117.610397 103.910208) + (xy 117.702862 103.9286) (xy 117.797138 103.9286) (xy 117.889603 103.910208) (xy 117.976702 103.87413) (xy 118.05509 103.821753) + (xy 118.121753 103.75509) (xy 118.17413 103.676702) (xy 118.210208 103.589603) (xy 118.2286 103.497138) (xy 118.2286 103.402862) + (xy 122.7714 103.402862) (xy 122.7714 103.497138) (xy 122.789792 103.589603) (xy 122.82587 103.676702) (xy 122.878247 103.75509) + (xy 122.94491 103.821753) (xy 123.023298 103.87413) (xy 123.110397 103.910208) (xy 123.202862 103.9286) (xy 123.297138 103.9286) + (xy 123.389603 103.910208) (xy 123.476702 103.87413) (xy 123.55509 103.821753) (xy 123.621753 103.75509) (xy 123.67413 103.676702) + (xy 123.710208 103.589603) (xy 123.7286 103.497138) (xy 123.7286 103.402862) (xy 123.7714 103.402862) (xy 123.7714 103.497138) + (xy 123.789792 103.589603) (xy 123.82587 103.676702) (xy 123.878247 103.75509) (xy 123.94491 103.821753) (xy 124.023298 103.87413) + (xy 124.110397 103.910208) (xy 124.202862 103.9286) (xy 124.297138 103.9286) (xy 124.389603 103.910208) (xy 124.476702 103.87413) + (xy 124.55509 103.821753) (xy 124.621753 103.75509) (xy 124.67413 103.676702) (xy 124.710208 103.589603) (xy 124.717516 103.552862) + (xy 125.3214 103.552862) (xy 125.3214 103.647138) (xy 125.339792 103.739603) (xy 125.37587 103.826702) (xy 125.428247 103.90509) + (xy 125.49491 103.971753) (xy 125.573298 104.02413) (xy 125.660397 104.060208) (xy 125.752862 104.0786) (xy 125.847138 104.0786) + (xy 125.939603 104.060208) (xy 126.026702 104.02413) (xy 126.10509 103.971753) (xy 126.171753 103.90509) (xy 126.22413 103.826702) + (xy 126.260208 103.739603) (xy 126.2786 103.647138) (xy 126.2786 103.552862) (xy 127.6714 103.552862) (xy 127.6714 103.647138) + (xy 127.689792 103.739603) (xy 127.72587 103.826702) (xy 127.778247 103.90509) (xy 127.84491 103.971753) (xy 127.923298 104.02413) + (xy 128.010397 104.060208) (xy 128.102862 104.0786) (xy 128.197138 104.0786) (xy 128.289603 104.060208) (xy 128.376702 104.02413) + (xy 128.45509 103.971753) (xy 128.521753 103.90509) (xy 128.57413 103.826702) (xy 128.610208 103.739603) (xy 128.6286 103.647138) + (xy 128.6286 103.552862) (xy 128.610208 103.460397) (xy 128.584336 103.397937) (xy 179.6714 103.397937) (xy 179.6714 103.502063) + (xy 179.691713 103.604187) (xy 179.73156 103.700386) (xy 179.789409 103.786963) (xy 179.863037 103.860591) (xy 179.949614 103.91844) + (xy 180.045813 103.958287) (xy 180.147937 103.9786) (xy 180.252063 103.9786) (xy 180.354187 103.958287) (xy 180.450386 103.91844) + (xy 180.536963 103.860591) (xy 180.610591 103.786963) (xy 180.66844 103.700386) (xy 180.708287 103.604187) (xy 180.7286 103.502063) + (xy 180.7286 103.397937) (xy 188.1714 103.397937) (xy 188.1714 103.502063) (xy 188.191713 103.604187) (xy 188.23156 103.700386) + (xy 188.289409 103.786963) (xy 188.363037 103.860591) (xy 188.449614 103.91844) (xy 188.545813 103.958287) (xy 188.647937 103.9786) + (xy 188.752063 103.9786) (xy 188.854187 103.958287) (xy 188.950386 103.91844) (xy 189.036963 103.860591) (xy 189.110591 103.786963) + (xy 189.16844 103.700386) (xy 189.208287 103.604187) (xy 189.2286 103.502063) (xy 189.2286 103.397937) (xy 189.208287 103.295813) + (xy 189.16844 103.199614) (xy 189.110591 103.113037) (xy 189.036963 103.039409) (xy 188.950386 102.98156) (xy 188.854187 102.941713) + (xy 188.752063 102.9214) (xy 188.647937 102.9214) (xy 188.545813 102.941713) (xy 188.449614 102.98156) (xy 188.363037 103.039409) + (xy 188.289409 103.113037) (xy 188.23156 103.199614) (xy 188.191713 103.295813) (xy 188.1714 103.397937) (xy 180.7286 103.397937) + (xy 180.708287 103.295813) (xy 180.66844 103.199614) (xy 180.610591 103.113037) (xy 180.536963 103.039409) (xy 180.450386 102.98156) + (xy 180.354187 102.941713) (xy 180.252063 102.9214) (xy 180.147937 102.9214) (xy 180.045813 102.941713) (xy 179.949614 102.98156) + (xy 179.863037 103.039409) (xy 179.789409 103.113037) (xy 179.73156 103.199614) (xy 179.691713 103.295813) (xy 179.6714 103.397937) + (xy 128.584336 103.397937) (xy 128.57413 103.373298) (xy 128.521753 103.29491) (xy 128.45509 103.228247) (xy 128.376702 103.17587) + (xy 128.289603 103.139792) (xy 128.197138 103.1214) (xy 128.102862 103.1214) (xy 128.010397 103.139792) (xy 127.923298 103.17587) + (xy 127.84491 103.228247) (xy 127.778247 103.29491) (xy 127.72587 103.373298) (xy 127.689792 103.460397) (xy 127.6714 103.552862) + (xy 126.2786 103.552862) (xy 126.260208 103.460397) (xy 126.22413 103.373298) (xy 126.171753 103.29491) (xy 126.10509 103.228247) + (xy 126.026702 103.17587) (xy 125.939603 103.139792) (xy 125.847138 103.1214) (xy 125.752862 103.1214) (xy 125.660397 103.139792) + (xy 125.573298 103.17587) (xy 125.49491 103.228247) (xy 125.428247 103.29491) (xy 125.37587 103.373298) (xy 125.339792 103.460397) + (xy 125.3214 103.552862) (xy 124.717516 103.552862) (xy 124.7286 103.497138) (xy 124.7286 103.402862) (xy 124.710208 103.310397) + (xy 124.67413 103.223298) (xy 124.621753 103.14491) (xy 124.55509 103.078247) (xy 124.476702 103.02587) (xy 124.389603 102.989792) + (xy 124.297138 102.9714) (xy 124.202862 102.9714) (xy 124.110397 102.989792) (xy 124.023298 103.02587) (xy 123.94491 103.078247) + (xy 123.878247 103.14491) (xy 123.82587 103.223298) (xy 123.789792 103.310397) (xy 123.7714 103.402862) (xy 123.7286 103.402862) + (xy 123.710208 103.310397) (xy 123.67413 103.223298) (xy 123.621753 103.14491) (xy 123.55509 103.078247) (xy 123.476702 103.02587) + (xy 123.389603 102.989792) (xy 123.297138 102.9714) (xy 123.202862 102.9714) (xy 123.110397 102.989792) (xy 123.023298 103.02587) + (xy 122.94491 103.078247) (xy 122.878247 103.14491) (xy 122.82587 103.223298) (xy 122.789792 103.310397) (xy 122.7714 103.402862) + (xy 118.2286 103.402862) (xy 118.210208 103.310397) (xy 118.17413 103.223298) (xy 118.121753 103.14491) (xy 118.05509 103.078247) + (xy 117.976702 103.02587) (xy 117.889603 102.989792) (xy 117.797138 102.9714) (xy 117.702862 102.9714) (xy 117.610397 102.989792) + (xy 117.523298 103.02587) (xy 117.44491 103.078247) (xy 117.378247 103.14491) (xy 117.32587 103.223298) (xy 117.289792 103.310397) + (xy 117.2714 103.402862) (xy 116.2286 103.402862) (xy 116.210208 103.310397) (xy 116.17413 103.223298) (xy 116.121753 103.14491) + (xy 116.05509 103.078247) (xy 115.976702 103.02587) (xy 115.889603 102.989792) (xy 115.797138 102.9714) (xy 115.702862 102.9714) + (xy 115.610397 102.989792) (xy 115.523298 103.02587) (xy 115.44491 103.078247) (xy 115.378247 103.14491) (xy 115.32587 103.223298) + (xy 115.289792 103.310397) (xy 115.2714 103.402862) (xy 103.5546 103.402862) (xy 103.5546 102.502862) (xy 114.2714 102.502862) + (xy 114.2714 102.597138) (xy 114.289792 102.689603) (xy 114.32587 102.776702) (xy 114.378247 102.85509) (xy 114.44491 102.921753) + (xy 114.523298 102.97413) (xy 114.610397 103.010208) (xy 114.702862 103.0286) (xy 114.797138 103.0286) (xy 114.889603 103.010208) + (xy 114.976702 102.97413) (xy 115.05509 102.921753) (xy 115.121753 102.85509) (xy 115.17413 102.776702) (xy 115.210208 102.689603) + (xy 115.2286 102.597138) (xy 115.2286 102.502862) (xy 115.7714 102.502862) (xy 115.7714 102.597138) (xy 115.789792 102.689603) + (xy 115.82587 102.776702) (xy 115.878247 102.85509) (xy 115.94491 102.921753) (xy 116.023298 102.97413) (xy 116.110397 103.010208) + (xy 116.202862 103.0286) (xy 116.297138 103.0286) (xy 116.389603 103.010208) (xy 116.476702 102.97413) (xy 116.55509 102.921753) + (xy 116.621753 102.85509) (xy 116.67413 102.776702) (xy 116.710208 102.689603) (xy 116.7286 102.597138) (xy 116.7286 102.502862) + (xy 117.7714 102.502862) (xy 117.7714 102.597138) (xy 117.789792 102.689603) (xy 117.82587 102.776702) (xy 117.878247 102.85509) + (xy 117.94491 102.921753) (xy 118.023298 102.97413) (xy 118.110397 103.010208) (xy 118.202862 103.0286) (xy 118.297138 103.0286) + (xy 118.389603 103.010208) (xy 118.476702 102.97413) (xy 118.55509 102.921753) (xy 118.621753 102.85509) (xy 118.67413 102.776702) + (xy 118.710208 102.689603) (xy 118.7286 102.597138) (xy 118.7286 102.502862) (xy 122.2714 102.502862) (xy 122.2714 102.597138) + (xy 122.289792 102.689603) (xy 122.32587 102.776702) (xy 122.378247 102.85509) (xy 122.44491 102.921753) (xy 122.523298 102.97413) + (xy 122.610397 103.010208) (xy 122.702862 103.0286) (xy 122.797138 103.0286) (xy 122.889603 103.010208) (xy 122.976702 102.97413) + (xy 123.05509 102.921753) (xy 123.121753 102.85509) (xy 123.17413 102.776702) (xy 123.210208 102.689603) (xy 123.2286 102.597138) + (xy 123.2286 102.502862) (xy 123.2714 102.502862) (xy 123.2714 102.597138) (xy 123.289792 102.689603) (xy 123.32587 102.776702) + (xy 123.378247 102.85509) (xy 123.44491 102.921753) (xy 123.523298 102.97413) (xy 123.610397 103.010208) (xy 123.702862 103.0286) + (xy 123.797138 103.0286) (xy 123.889603 103.010208) (xy 123.976702 102.97413) (xy 124.05509 102.921753) (xy 124.121753 102.85509) + (xy 124.17413 102.776702) (xy 124.210208 102.689603) (xy 124.2286 102.597138) (xy 124.2286 102.502862) (xy 124.210208 102.410397) + (xy 124.17413 102.323298) (xy 124.121753 102.24491) (xy 124.05509 102.178247) (xy 123.976702 102.12587) (xy 123.889603 102.089792) + (xy 123.797138 102.0714) (xy 123.702862 102.0714) (xy 123.610397 102.089792) (xy 123.523298 102.12587) (xy 123.44491 102.178247) + (xy 123.378247 102.24491) (xy 123.32587 102.323298) (xy 123.289792 102.410397) (xy 123.2714 102.502862) (xy 123.2286 102.502862) + (xy 123.210208 102.410397) (xy 123.17413 102.323298) (xy 123.121753 102.24491) (xy 123.05509 102.178247) (xy 122.976702 102.12587) + (xy 122.889603 102.089792) (xy 122.797138 102.0714) (xy 122.702862 102.0714) (xy 122.610397 102.089792) (xy 122.523298 102.12587) + (xy 122.44491 102.178247) (xy 122.378247 102.24491) (xy 122.32587 102.323298) (xy 122.289792 102.410397) (xy 122.2714 102.502862) + (xy 118.7286 102.502862) (xy 118.710208 102.410397) (xy 118.67413 102.323298) (xy 118.621753 102.24491) (xy 118.55509 102.178247) + (xy 118.476702 102.12587) (xy 118.389603 102.089792) (xy 118.297138 102.0714) (xy 118.202862 102.0714) (xy 118.110397 102.089792) + (xy 118.023298 102.12587) (xy 117.94491 102.178247) (xy 117.878247 102.24491) (xy 117.82587 102.323298) (xy 117.789792 102.410397) + (xy 117.7714 102.502862) (xy 116.7286 102.502862) (xy 116.710208 102.410397) (xy 116.67413 102.323298) (xy 116.621753 102.24491) + (xy 116.55509 102.178247) (xy 116.476702 102.12587) (xy 116.389603 102.089792) (xy 116.297138 102.0714) (xy 116.202862 102.0714) + (xy 116.110397 102.089792) (xy 116.023298 102.12587) (xy 115.94491 102.178247) (xy 115.878247 102.24491) (xy 115.82587 102.323298) + (xy 115.789792 102.410397) (xy 115.7714 102.502862) (xy 115.2286 102.502862) (xy 115.210208 102.410397) (xy 115.17413 102.323298) + (xy 115.121753 102.24491) (xy 115.05509 102.178247) (xy 114.976702 102.12587) (xy 114.889603 102.089792) (xy 114.797138 102.0714) + (xy 114.702862 102.0714) (xy 114.610397 102.089792) (xy 114.523298 102.12587) (xy 114.44491 102.178247) (xy 114.378247 102.24491) + (xy 114.32587 102.323298) (xy 114.289792 102.410397) (xy 114.2714 102.502862) (xy 103.5546 102.502862) (xy 103.5546 101.702862) + (xy 108.2714 101.702862) (xy 108.2714 101.797138) (xy 108.289792 101.889603) (xy 108.32587 101.976702) (xy 108.378247 102.05509) + (xy 108.44491 102.121753) (xy 108.523298 102.17413) (xy 108.610397 102.210208) (xy 108.702862 102.2286) (xy 108.797138 102.2286) + (xy 108.889603 102.210208) (xy 108.976702 102.17413) (xy 109.05509 102.121753) (xy 109.121753 102.05509) (xy 109.17413 101.976702) + (xy 109.210208 101.889603) (xy 109.2286 101.797138) (xy 109.2286 101.702862) (xy 109.9714 101.702862) (xy 109.9714 101.797138) + (xy 109.989792 101.889603) (xy 110.02587 101.976702) (xy 110.078247 102.05509) (xy 110.14491 102.121753) (xy 110.223298 102.17413) + (xy 110.310397 102.210208) (xy 110.402862 102.2286) (xy 110.497138 102.2286) (xy 110.589603 102.210208) (xy 110.676702 102.17413) + (xy 110.75509 102.121753) (xy 110.821753 102.05509) (xy 110.87413 101.976702) (xy 110.893915 101.928937) (xy 134.9804 101.928937) + (xy 134.9804 102.033063) (xy 135.000713 102.135187) (xy 135.04056 102.231386) (xy 135.098409 102.317963) (xy 135.172037 102.391591) + (xy 135.258614 102.44944) (xy 135.354813 102.489287) (xy 135.456937 102.5096) (xy 135.561063 102.5096) (xy 135.663187 102.489287) + (xy 135.759386 102.44944) (xy 135.845963 102.391591) (xy 135.919591 102.317963) (xy 135.97744 102.231386) (xy 136.017287 102.135187) + (xy 136.0376 102.033063) (xy 136.0376 101.928937) (xy 137.7744 101.928937) (xy 137.7744 102.033063) (xy 137.794713 102.135187) + (xy 137.83456 102.231386) (xy 137.892409 102.317963) (xy 137.966037 102.391591) (xy 138.052614 102.44944) (xy 138.148813 102.489287) + (xy 138.250937 102.5096) (xy 138.355063 102.5096) (xy 138.457187 102.489287) (xy 138.553386 102.44944) (xy 138.639963 102.391591) + (xy 138.713591 102.317963) (xy 138.77144 102.231386) (xy 138.811287 102.135187) (xy 138.8316 102.033063) (xy 138.8316 101.933862) + (xy 158.3984 101.933862) (xy 158.3984 102.028138) (xy 158.416792 102.120603) (xy 158.45287 102.207702) (xy 158.505247 102.28609) + (xy 158.57191 102.352753) (xy 158.650298 102.40513) (xy 158.737397 102.441208) (xy 158.829862 102.4596) (xy 158.924138 102.4596) + (xy 159.016603 102.441208) (xy 159.103702 102.40513) (xy 159.18209 102.352753) (xy 159.248753 102.28609) (xy 159.30113 102.207702) + (xy 159.303134 102.202862) (xy 176.1714 102.202862) (xy 176.1714 102.297138) (xy 176.189792 102.389603) (xy 176.22587 102.476702) + (xy 176.278247 102.55509) (xy 176.34491 102.621753) (xy 176.423298 102.67413) (xy 176.510397 102.710208) (xy 176.602862 102.7286) + (xy 176.697138 102.7286) (xy 176.789603 102.710208) (xy 176.876702 102.67413) (xy 176.95509 102.621753) (xy 177.021753 102.55509) + (xy 177.023241 102.552862) (xy 180.7214 102.552862) (xy 180.7214 102.647138) (xy 180.739792 102.739603) (xy 180.77587 102.826702) + (xy 180.828247 102.90509) (xy 180.89491 102.971753) (xy 180.973298 103.02413) (xy 181.060397 103.060208) (xy 181.152862 103.0786) + (xy 181.247138 103.0786) (xy 181.339603 103.060208) (xy 181.426702 103.02413) (xy 181.50509 102.971753) (xy 181.571753 102.90509) + (xy 181.62413 102.826702) (xy 181.660208 102.739603) (xy 181.6786 102.647138) (xy 181.6786 102.552862) (xy 181.660208 102.460397) + (xy 181.62413 102.373298) (xy 181.571753 102.29491) (xy 181.50509 102.228247) (xy 181.467099 102.202862) (xy 184.6714 102.202862) + (xy 184.6714 102.297138) (xy 184.689792 102.389603) (xy 184.72587 102.476702) (xy 184.778247 102.55509) (xy 184.84491 102.621753) + (xy 184.923298 102.67413) (xy 185.010397 102.710208) (xy 185.102862 102.7286) (xy 185.197138 102.7286) (xy 185.289603 102.710208) + (xy 185.376702 102.67413) (xy 185.45509 102.621753) (xy 185.521753 102.55509) (xy 185.523241 102.552862) (xy 189.2214 102.552862) + (xy 189.2214 102.647138) (xy 189.239792 102.739603) (xy 189.27587 102.826702) (xy 189.328247 102.90509) (xy 189.39491 102.971753) + (xy 189.473298 103.02413) (xy 189.560397 103.060208) (xy 189.652862 103.0786) (xy 189.747138 103.0786) (xy 189.839603 103.060208) + (xy 189.926702 103.02413) (xy 190.00509 102.971753) (xy 190.071753 102.90509) (xy 190.12413 102.826702) (xy 190.160208 102.739603) + (xy 190.1786 102.647138) (xy 190.1786 102.552862) (xy 190.160208 102.460397) (xy 190.12413 102.373298) (xy 190.071753 102.29491) + (xy 190.028205 102.251362) (xy 196.1174 102.251362) (xy 196.1174 102.345638) (xy 196.135792 102.438103) (xy 196.17187 102.525202) + (xy 196.224247 102.60359) (xy 196.29091 102.670253) (xy 196.369298 102.72263) (xy 196.456397 102.758708) (xy 196.548862 102.7771) + (xy 196.643138 102.7771) (xy 196.735603 102.758708) (xy 196.822702 102.72263) (xy 196.90109 102.670253) (xy 196.967753 102.60359) + (xy 197.02013 102.525202) (xy 197.056208 102.438103) (xy 197.0746 102.345638) (xy 197.0746 102.251362) (xy 197.056208 102.158897) + (xy 197.02013 102.071798) (xy 196.967753 101.99341) (xy 196.90109 101.926747) (xy 196.822702 101.87437) (xy 196.735603 101.838292) + (xy 196.643138 101.8199) (xy 196.548862 101.8199) (xy 196.456397 101.838292) (xy 196.369298 101.87437) (xy 196.29091 101.926747) + (xy 196.224247 101.99341) (xy 196.17187 102.071798) (xy 196.135792 102.158897) (xy 196.1174 102.251362) (xy 190.028205 102.251362) + (xy 190.00509 102.228247) (xy 189.926702 102.17587) (xy 189.839603 102.139792) (xy 189.747138 102.1214) (xy 189.652862 102.1214) + (xy 189.560397 102.139792) (xy 189.473298 102.17587) (xy 189.39491 102.228247) (xy 189.328247 102.29491) (xy 189.27587 102.373298) + (xy 189.239792 102.460397) (xy 189.2214 102.552862) (xy 185.523241 102.552862) (xy 185.57413 102.476702) (xy 185.610208 102.389603) + (xy 185.6286 102.297138) (xy 185.6286 102.202862) (xy 185.610208 102.110397) (xy 185.57413 102.023298) (xy 185.521753 101.94491) + (xy 185.45509 101.878247) (xy 185.376702 101.82587) (xy 185.289603 101.789792) (xy 185.197138 101.7714) (xy 185.102862 101.7714) + (xy 185.010397 101.789792) (xy 184.923298 101.82587) (xy 184.84491 101.878247) (xy 184.778247 101.94491) (xy 184.72587 102.023298) + (xy 184.689792 102.110397) (xy 184.6714 102.202862) (xy 181.467099 102.202862) (xy 181.426702 102.17587) (xy 181.339603 102.139792) + (xy 181.247138 102.1214) (xy 181.152862 102.1214) (xy 181.060397 102.139792) (xy 180.973298 102.17587) (xy 180.89491 102.228247) + (xy 180.828247 102.29491) (xy 180.77587 102.373298) (xy 180.739792 102.460397) (xy 180.7214 102.552862) (xy 177.023241 102.552862) + (xy 177.07413 102.476702) (xy 177.110208 102.389603) (xy 177.1286 102.297138) (xy 177.1286 102.202862) (xy 177.110208 102.110397) + (xy 177.07413 102.023298) (xy 177.021753 101.94491) (xy 176.95509 101.878247) (xy 176.876702 101.82587) (xy 176.789603 101.789792) + (xy 176.697138 101.7714) (xy 176.602862 101.7714) (xy 176.510397 101.789792) (xy 176.423298 101.82587) (xy 176.34491 101.878247) + (xy 176.278247 101.94491) (xy 176.22587 102.023298) (xy 176.189792 102.110397) (xy 176.1714 102.202862) (xy 159.303134 102.202862) + (xy 159.337208 102.120603) (xy 159.3556 102.028138) (xy 159.3556 101.933862) (xy 159.337208 101.841397) (xy 159.30113 101.754298) + (xy 159.248753 101.67591) (xy 159.18209 101.609247) (xy 159.103702 101.55687) (xy 159.016603 101.520792) (xy 158.924138 101.5024) + (xy 158.829862 101.5024) (xy 158.737397 101.520792) (xy 158.650298 101.55687) (xy 158.57191 101.609247) (xy 158.505247 101.67591) + (xy 158.45287 101.754298) (xy 158.416792 101.841397) (xy 158.3984 101.933862) (xy 138.8316 101.933862) (xy 138.8316 101.928937) + (xy 138.811287 101.826813) (xy 138.77144 101.730614) (xy 138.713591 101.644037) (xy 138.639963 101.570409) (xy 138.553386 101.51256) + (xy 138.457187 101.472713) (xy 138.355063 101.4524) (xy 138.250937 101.4524) (xy 138.148813 101.472713) (xy 138.052614 101.51256) + (xy 137.966037 101.570409) (xy 137.892409 101.644037) (xy 137.83456 101.730614) (xy 137.794713 101.826813) (xy 137.7744 101.928937) + (xy 136.0376 101.928937) (xy 136.017287 101.826813) (xy 135.97744 101.730614) (xy 135.919591 101.644037) (xy 135.845963 101.570409) + (xy 135.759386 101.51256) (xy 135.663187 101.472713) (xy 135.561063 101.4524) (xy 135.456937 101.4524) (xy 135.354813 101.472713) + (xy 135.258614 101.51256) (xy 135.172037 101.570409) (xy 135.098409 101.644037) (xy 135.04056 101.730614) (xy 135.000713 101.826813) + (xy 134.9804 101.928937) (xy 110.893915 101.928937) (xy 110.910208 101.889603) (xy 110.9286 101.797138) (xy 110.9286 101.702862) + (xy 110.910208 101.610397) (xy 110.87413 101.523298) (xy 110.821753 101.44491) (xy 110.75509 101.378247) (xy 110.676702 101.32587) + (xy 110.589603 101.289792) (xy 110.497138 101.2714) (xy 110.402862 101.2714) (xy 110.310397 101.289792) (xy 110.223298 101.32587) + (xy 110.14491 101.378247) (xy 110.078247 101.44491) (xy 110.02587 101.523298) (xy 109.989792 101.610397) (xy 109.9714 101.702862) + (xy 109.2286 101.702862) (xy 109.210208 101.610397) (xy 109.17413 101.523298) (xy 109.121753 101.44491) (xy 109.05509 101.378247) + (xy 108.976702 101.32587) (xy 108.889603 101.289792) (xy 108.797138 101.2714) (xy 108.702862 101.2714) (xy 108.610397 101.289792) + (xy 108.523298 101.32587) (xy 108.44491 101.378247) (xy 108.378247 101.44491) (xy 108.32587 101.523298) (xy 108.289792 101.610397) + (xy 108.2714 101.702862) (xy 103.5546 101.702862) (xy 103.5546 100.282862) (xy 103.5979 100.282862) (xy 103.5979 100.377138) + (xy 103.616292 100.469603) (xy 103.65237 100.556702) (xy 103.704747 100.63509) (xy 103.77141 100.701753) (xy 103.849798 100.75413) + (xy 103.936897 100.790208) (xy 104.029362 100.8086) (xy 104.123638 100.8086) (xy 104.216103 100.790208) (xy 104.303202 100.75413) + (xy 104.305099 100.752862) (xy 109.1214 100.752862) (xy 109.1214 100.847138) (xy 109.139792 100.939603) (xy 109.17587 101.026702) + (xy 109.228247 101.10509) (xy 109.29491 101.171753) (xy 109.373298 101.22413) (xy 109.460397 101.260208) (xy 109.552862 101.2786) + (xy 109.647138 101.2786) (xy 109.739603 101.260208) (xy 109.826702 101.22413) (xy 109.90509 101.171753) (xy 109.971753 101.10509) + (xy 110.02413 101.026702) (xy 110.060208 100.939603) (xy 110.0786 100.847138) (xy 110.0786 100.785937) (xy 131.0434 100.785937) + (xy 131.0434 100.890063) (xy 131.063713 100.992187) (xy 131.10356 101.088386) (xy 131.161409 101.174963) (xy 131.235037 101.248591) + (xy 131.321614 101.30644) (xy 131.417813 101.346287) (xy 131.519937 101.3666) (xy 131.624063 101.3666) (xy 131.726187 101.346287) + (xy 131.822386 101.30644) (xy 131.833727 101.298862) (xy 161.1924 101.298862) (xy 161.1924 101.393138) (xy 161.210792 101.485603) + (xy 161.24687 101.572702) (xy 161.299247 101.65109) (xy 161.36591 101.717753) (xy 161.444298 101.77013) (xy 161.531397 101.806208) + (xy 161.623862 101.8246) (xy 161.718138 101.8246) (xy 161.810603 101.806208) (xy 161.897702 101.77013) (xy 161.97609 101.717753) + (xy 162.042753 101.65109) (xy 162.09513 101.572702) (xy 162.131208 101.485603) (xy 162.1496 101.393138) (xy 162.1496 101.298862) + (xy 162.13697 101.235362) (xy 162.5894 101.235362) (xy 162.5894 101.329638) (xy 162.607792 101.422103) (xy 162.64387 101.509202) + (xy 162.696247 101.58759) (xy 162.76291 101.654253) (xy 162.841298 101.70663) (xy 162.928397 101.742708) (xy 163.020862 101.7611) + (xy 163.115138 101.7611) (xy 163.207603 101.742708) (xy 163.294702 101.70663) (xy 163.37309 101.654253) (xy 163.424481 101.602862) + (xy 175.0714 101.602862) (xy 175.0714 101.697138) (xy 175.089792 101.789603) (xy 175.12587 101.876702) (xy 175.178247 101.95509) + (xy 175.24491 102.021753) (xy 175.323298 102.07413) (xy 175.410397 102.110208) (xy 175.502862 102.1286) (xy 175.597138 102.1286) + (xy 175.689603 102.110208) (xy 175.776702 102.07413) (xy 175.85509 102.021753) (xy 175.921753 101.95509) (xy 175.97413 101.876702) + (xy 176.010208 101.789603) (xy 176.0286 101.697138) (xy 176.0286 101.602862) (xy 177.2714 101.602862) (xy 177.2714 101.697138) + (xy 177.289792 101.789603) (xy 177.32587 101.876702) (xy 177.378247 101.95509) (xy 177.44491 102.021753) (xy 177.523298 102.07413) + (xy 177.610397 102.110208) (xy 177.702862 102.1286) (xy 177.797138 102.1286) (xy 177.889603 102.110208) (xy 177.976702 102.07413) + (xy 178.05509 102.021753) (xy 178.121753 101.95509) (xy 178.17413 101.876702) (xy 178.210208 101.789603) (xy 178.2286 101.697138) + (xy 178.2286 101.602862) (xy 183.5714 101.602862) (xy 183.5714 101.697138) (xy 183.589792 101.789603) (xy 183.62587 101.876702) + (xy 183.678247 101.95509) (xy 183.74491 102.021753) (xy 183.823298 102.07413) (xy 183.910397 102.110208) (xy 184.002862 102.1286) + (xy 184.097138 102.1286) (xy 184.189603 102.110208) (xy 184.276702 102.07413) (xy 184.35509 102.021753) (xy 184.421753 101.95509) + (xy 184.47413 101.876702) (xy 184.510208 101.789603) (xy 184.5286 101.697138) (xy 184.5286 101.602862) (xy 185.7714 101.602862) + (xy 185.7714 101.697138) (xy 185.789792 101.789603) (xy 185.82587 101.876702) (xy 185.878247 101.95509) (xy 185.94491 102.021753) + (xy 186.023298 102.07413) (xy 186.110397 102.110208) (xy 186.202862 102.1286) (xy 186.297138 102.1286) (xy 186.389603 102.110208) + (xy 186.476702 102.07413) (xy 186.55509 102.021753) (xy 186.621753 101.95509) (xy 186.67413 101.876702) (xy 186.710208 101.789603) + (xy 186.7286 101.697138) (xy 186.7286 101.602862) (xy 188.9714 101.602862) (xy 188.9714 101.697138) (xy 188.989792 101.789603) + (xy 189.02587 101.876702) (xy 189.078247 101.95509) (xy 189.14491 102.021753) (xy 189.223298 102.07413) (xy 189.310397 102.110208) + (xy 189.402862 102.1286) (xy 189.497138 102.1286) (xy 189.589603 102.110208) (xy 189.676702 102.07413) (xy 189.75509 102.021753) + (xy 189.821753 101.95509) (xy 189.87413 101.876702) (xy 189.910208 101.789603) (xy 189.9286 101.697138) (xy 189.9286 101.602862) + (xy 189.910208 101.510397) (xy 189.90638 101.501154) (xy 199.6564 101.501154) (xy 199.6564 101.698846) (xy 199.694968 101.892739) + (xy 199.770621 102.075383) (xy 199.880453 102.239758) (xy 200.020242 102.379547) (xy 200.184617 102.489379) (xy 200.367261 102.565032) + (xy 200.561154 102.6036) (xy 200.758846 102.6036) (xy 200.952739 102.565032) (xy 201.135383 102.489379) (xy 201.299758 102.379547) + (xy 201.439547 102.239758) (xy 201.549379 102.075383) (xy 201.625032 101.892739) (xy 201.6636 101.698846) (xy 201.6636 101.501154) + (xy 202.1964 101.501154) (xy 202.1964 101.698846) (xy 202.234968 101.892739) (xy 202.310621 102.075383) (xy 202.420453 102.239758) + (xy 202.560242 102.379547) (xy 202.724617 102.489379) (xy 202.907261 102.565032) (xy 203.101154 102.6036) (xy 203.298846 102.6036) + (xy 203.492739 102.565032) (xy 203.675383 102.489379) (xy 203.839758 102.379547) (xy 203.979547 102.239758) (xy 204.089379 102.075383) + (xy 204.165032 101.892739) (xy 204.2036 101.698846) (xy 204.2036 101.501154) (xy 204.7364 101.501154) (xy 204.7364 101.698846) + (xy 204.774968 101.892739) (xy 204.850621 102.075383) (xy 204.960453 102.239758) (xy 205.100242 102.379547) (xy 205.264617 102.489379) + (xy 205.447261 102.565032) (xy 205.641154 102.6036) (xy 205.838846 102.6036) (xy 206.032739 102.565032) (xy 206.215383 102.489379) + (xy 206.379758 102.379547) (xy 206.519547 102.239758) (xy 206.629379 102.075383) (xy 206.705032 101.892739) (xy 206.7436 101.698846) + (xy 206.7436 101.501154) (xy 206.705032 101.307261) (xy 206.629379 101.124617) (xy 206.519547 100.960242) (xy 206.379758 100.820453) + (xy 206.215383 100.710621) (xy 206.032739 100.634968) (xy 205.838846 100.5964) (xy 205.641154 100.5964) (xy 205.447261 100.634968) + (xy 205.264617 100.710621) (xy 205.100242 100.820453) (xy 204.960453 100.960242) (xy 204.850621 101.124617) (xy 204.774968 101.307261) + (xy 204.7364 101.501154) (xy 204.2036 101.501154) (xy 204.165032 101.307261) (xy 204.089379 101.124617) (xy 203.979547 100.960242) + (xy 203.839758 100.820453) (xy 203.675383 100.710621) (xy 203.492739 100.634968) (xy 203.298846 100.5964) (xy 203.101154 100.5964) + (xy 202.907261 100.634968) (xy 202.724617 100.710621) (xy 202.560242 100.820453) (xy 202.420453 100.960242) (xy 202.310621 101.124617) + (xy 202.234968 101.307261) (xy 202.1964 101.501154) (xy 201.6636 101.501154) (xy 201.625032 101.307261) (xy 201.549379 101.124617) + (xy 201.439547 100.960242) (xy 201.299758 100.820453) (xy 201.135383 100.710621) (xy 200.952739 100.634968) (xy 200.758846 100.5964) + (xy 200.561154 100.5964) (xy 200.367261 100.634968) (xy 200.184617 100.710621) (xy 200.020242 100.820453) (xy 199.880453 100.960242) + (xy 199.770621 101.124617) (xy 199.694968 101.307261) (xy 199.6564 101.501154) (xy 189.90638 101.501154) (xy 189.87413 101.423298) + (xy 189.821753 101.34491) (xy 189.75509 101.278247) (xy 189.676702 101.22587) (xy 189.589603 101.189792) (xy 189.497138 101.1714) + (xy 189.402862 101.1714) (xy 189.310397 101.189792) (xy 189.223298 101.22587) (xy 189.14491 101.278247) (xy 189.078247 101.34491) + (xy 189.02587 101.423298) (xy 188.989792 101.510397) (xy 188.9714 101.602862) (xy 186.7286 101.602862) (xy 186.710208 101.510397) + (xy 186.67413 101.423298) (xy 186.621753 101.34491) (xy 186.55509 101.278247) (xy 186.476702 101.22587) (xy 186.389603 101.189792) + (xy 186.297138 101.1714) (xy 186.202862 101.1714) (xy 186.110397 101.189792) (xy 186.023298 101.22587) (xy 185.94491 101.278247) + (xy 185.878247 101.34491) (xy 185.82587 101.423298) (xy 185.789792 101.510397) (xy 185.7714 101.602862) (xy 184.5286 101.602862) + (xy 184.510208 101.510397) (xy 184.47413 101.423298) (xy 184.421753 101.34491) (xy 184.35509 101.278247) (xy 184.276702 101.22587) + (xy 184.189603 101.189792) (xy 184.097138 101.1714) (xy 184.002862 101.1714) (xy 183.910397 101.189792) (xy 183.823298 101.22587) + (xy 183.74491 101.278247) (xy 183.678247 101.34491) (xy 183.62587 101.423298) (xy 183.589792 101.510397) (xy 183.5714 101.602862) + (xy 178.2286 101.602862) (xy 178.210208 101.510397) (xy 178.17413 101.423298) (xy 178.121753 101.34491) (xy 178.05509 101.278247) + (xy 177.976702 101.22587) (xy 177.889603 101.189792) (xy 177.797138 101.1714) (xy 177.702862 101.1714) (xy 177.610397 101.189792) + (xy 177.523298 101.22587) (xy 177.44491 101.278247) (xy 177.378247 101.34491) (xy 177.32587 101.423298) (xy 177.289792 101.510397) + (xy 177.2714 101.602862) (xy 176.0286 101.602862) (xy 176.010208 101.510397) (xy 175.97413 101.423298) (xy 175.921753 101.34491) + (xy 175.85509 101.278247) (xy 175.776702 101.22587) (xy 175.689603 101.189792) (xy 175.597138 101.1714) (xy 175.502862 101.1714) + (xy 175.410397 101.189792) (xy 175.323298 101.22587) (xy 175.24491 101.278247) (xy 175.178247 101.34491) (xy 175.12587 101.423298) + (xy 175.089792 101.510397) (xy 175.0714 101.602862) (xy 163.424481 101.602862) (xy 163.439753 101.58759) (xy 163.49213 101.509202) + (xy 163.528208 101.422103) (xy 163.5466 101.329638) (xy 163.5466 101.235362) (xy 163.528208 101.142897) (xy 163.49213 101.055798) + (xy 163.439753 100.97741) (xy 163.415205 100.952862) (xy 174.0714 100.952862) (xy 174.0714 101.047138) (xy 174.089792 101.139603) + (xy 174.12587 101.226702) (xy 174.178247 101.30509) (xy 174.24491 101.371753) (xy 174.323298 101.42413) (xy 174.410397 101.460208) + (xy 174.502862 101.4786) (xy 174.597138 101.4786) (xy 174.689603 101.460208) (xy 174.776702 101.42413) (xy 174.85509 101.371753) + (xy 174.921753 101.30509) (xy 174.97413 101.226702) (xy 175.010208 101.139603) (xy 175.0286 101.047138) (xy 175.0286 100.952862) + (xy 191.6714 100.952862) (xy 191.6714 101.047138) (xy 191.689792 101.139603) (xy 191.72587 101.226702) (xy 191.778247 101.30509) + (xy 191.84491 101.371753) (xy 191.923298 101.42413) (xy 192.010397 101.460208) (xy 192.102862 101.4786) (xy 192.197138 101.4786) + (xy 192.289603 101.460208) (xy 192.376702 101.42413) (xy 192.45509 101.371753) (xy 192.521753 101.30509) (xy 192.57413 101.226702) + (xy 192.610208 101.139603) (xy 192.6286 101.047138) (xy 192.6286 100.952862) (xy 192.610208 100.860397) (xy 192.57413 100.773298) + (xy 192.521753 100.69491) (xy 192.45509 100.628247) (xy 192.376702 100.57587) (xy 192.289603 100.539792) (xy 192.197138 100.5214) + (xy 192.102862 100.5214) (xy 192.010397 100.539792) (xy 191.923298 100.57587) (xy 191.84491 100.628247) (xy 191.778247 100.69491) + (xy 191.72587 100.773298) (xy 191.689792 100.860397) (xy 191.6714 100.952862) (xy 175.0286 100.952862) (xy 175.010208 100.860397) + (xy 174.97413 100.773298) (xy 174.921753 100.69491) (xy 174.85509 100.628247) (xy 174.776702 100.57587) (xy 174.689603 100.539792) + (xy 174.597138 100.5214) (xy 174.502862 100.5214) (xy 174.410397 100.539792) (xy 174.323298 100.57587) (xy 174.24491 100.628247) + (xy 174.178247 100.69491) (xy 174.12587 100.773298) (xy 174.089792 100.860397) (xy 174.0714 100.952862) (xy 163.415205 100.952862) + (xy 163.37309 100.910747) (xy 163.294702 100.85837) (xy 163.207603 100.822292) (xy 163.115138 100.8039) (xy 163.020862 100.8039) + (xy 162.928397 100.822292) (xy 162.841298 100.85837) (xy 162.76291 100.910747) (xy 162.696247 100.97741) (xy 162.64387 101.055798) + (xy 162.607792 101.142897) (xy 162.5894 101.235362) (xy 162.13697 101.235362) (xy 162.131208 101.206397) (xy 162.09513 101.119298) + (xy 162.042753 101.04091) (xy 161.97609 100.974247) (xy 161.897702 100.92187) (xy 161.810603 100.885792) (xy 161.718138 100.8674) + (xy 161.623862 100.8674) (xy 161.531397 100.885792) (xy 161.444298 100.92187) (xy 161.36591 100.974247) (xy 161.299247 101.04091) + (xy 161.24687 101.119298) (xy 161.210792 101.206397) (xy 161.1924 101.298862) (xy 131.833727 101.298862) (xy 131.908963 101.248591) + (xy 131.982591 101.174963) (xy 132.04044 101.088386) (xy 132.080287 100.992187) (xy 132.1006 100.890063) (xy 132.1006 100.785937) + (xy 132.080287 100.683813) (xy 132.069984 100.658937) (xy 134.9804 100.658937) (xy 134.9804 100.763063) (xy 135.000713 100.865187) + (xy 135.04056 100.961386) (xy 135.098409 101.047963) (xy 135.172037 101.121591) (xy 135.258614 101.17944) (xy 135.354813 101.219287) + (xy 135.456937 101.2396) (xy 135.561063 101.2396) (xy 135.663187 101.219287) (xy 135.759386 101.17944) (xy 135.845963 101.121591) + (xy 135.919591 101.047963) (xy 135.97744 100.961386) (xy 136.017287 100.865187) (xy 136.0376 100.763063) (xy 136.0376 100.658937) + (xy 137.7744 100.658937) (xy 137.7744 100.763063) (xy 137.794713 100.865187) (xy 137.83456 100.961386) (xy 137.892409 101.047963) + (xy 137.966037 101.121591) (xy 138.052614 101.17944) (xy 138.148813 101.219287) (xy 138.250937 101.2396) (xy 138.355063 101.2396) + (xy 138.457187 101.219287) (xy 138.553386 101.17944) (xy 138.639963 101.121591) (xy 138.713591 101.047963) (xy 138.77144 100.961386) + (xy 138.811287 100.865187) (xy 138.8316 100.763063) (xy 138.8316 100.663862) (xy 158.3984 100.663862) (xy 158.3984 100.758138) + (xy 158.416792 100.850603) (xy 158.45287 100.937702) (xy 158.505247 101.01609) (xy 158.57191 101.082753) (xy 158.650298 101.13513) + (xy 158.737397 101.171208) (xy 158.829862 101.1896) (xy 158.924138 101.1896) (xy 159.016603 101.171208) (xy 159.103702 101.13513) + (xy 159.18209 101.082753) (xy 159.248753 101.01609) (xy 159.30113 100.937702) (xy 159.337208 100.850603) (xy 159.3556 100.758138) + (xy 159.3556 100.663862) (xy 159.337208 100.571397) (xy 159.30113 100.484298) (xy 159.248753 100.40591) (xy 159.18209 100.339247) + (xy 159.103702 100.28687) (xy 159.016603 100.250792) (xy 158.924138 100.2324) (xy 158.829862 100.2324) (xy 158.737397 100.250792) + (xy 158.650298 100.28687) (xy 158.57191 100.339247) (xy 158.505247 100.40591) (xy 158.45287 100.484298) (xy 158.416792 100.571397) + (xy 158.3984 100.663862) (xy 138.8316 100.663862) (xy 138.8316 100.658937) (xy 138.811287 100.556813) (xy 138.77144 100.460614) + (xy 138.713591 100.374037) (xy 138.639963 100.300409) (xy 138.553386 100.24256) (xy 138.457187 100.202713) (xy 138.355063 100.1824) + (xy 138.250937 100.1824) (xy 138.148813 100.202713) (xy 138.052614 100.24256) (xy 137.966037 100.300409) (xy 137.892409 100.374037) + (xy 137.83456 100.460614) (xy 137.794713 100.556813) (xy 137.7744 100.658937) (xy 136.0376 100.658937) (xy 136.017287 100.556813) + (xy 135.97744 100.460614) (xy 135.919591 100.374037) (xy 135.845963 100.300409) (xy 135.759386 100.24256) (xy 135.663187 100.202713) + (xy 135.561063 100.1824) (xy 135.456937 100.1824) (xy 135.354813 100.202713) (xy 135.258614 100.24256) (xy 135.172037 100.300409) + (xy 135.098409 100.374037) (xy 135.04056 100.460614) (xy 135.000713 100.556813) (xy 134.9804 100.658937) (xy 132.069984 100.658937) + (xy 132.04044 100.587614) (xy 131.982591 100.501037) (xy 131.908963 100.427409) (xy 131.822386 100.36956) (xy 131.726187 100.329713) + (xy 131.624063 100.3094) (xy 131.519937 100.3094) (xy 131.417813 100.329713) (xy 131.321614 100.36956) (xy 131.235037 100.427409) + (xy 131.161409 100.501037) (xy 131.10356 100.587614) (xy 131.063713 100.683813) (xy 131.0434 100.785937) (xy 110.0786 100.785937) + (xy 110.0786 100.752862) (xy 110.060208 100.660397) (xy 110.02413 100.573298) (xy 109.971753 100.49491) (xy 109.90509 100.428247) + (xy 109.826702 100.37587) (xy 109.739603 100.339792) (xy 109.647138 100.3214) (xy 109.552862 100.3214) (xy 109.460397 100.339792) + (xy 109.373298 100.37587) (xy 109.29491 100.428247) (xy 109.228247 100.49491) (xy 109.17587 100.573298) (xy 109.139792 100.660397) + (xy 109.1214 100.752862) (xy 104.305099 100.752862) (xy 104.38159 100.701753) (xy 104.448253 100.63509) (xy 104.50063 100.556702) + (xy 104.536708 100.469603) (xy 104.5551 100.377138) (xy 104.5551 100.282862) (xy 104.549133 100.252862) (xy 113.7714 100.252862) + (xy 113.7714 100.347138) (xy 113.789792 100.439603) (xy 113.82587 100.526702) (xy 113.878247 100.60509) (xy 113.94491 100.671753) + (xy 114.023298 100.72413) (xy 114.110397 100.760208) (xy 114.202862 100.7786) (xy 114.297138 100.7786) (xy 114.389603 100.760208) + (xy 114.476702 100.72413) (xy 114.55509 100.671753) (xy 114.621753 100.60509) (xy 114.67413 100.526702) (xy 114.710208 100.439603) + (xy 114.7286 100.347138) (xy 114.7286 100.252862) (xy 115.7714 100.252862) (xy 115.7714 100.347138) (xy 115.789792 100.439603) + (xy 115.82587 100.526702) (xy 115.878247 100.60509) (xy 115.94491 100.671753) (xy 116.023298 100.72413) (xy 116.110397 100.760208) + (xy 116.202862 100.7786) (xy 116.297138 100.7786) (xy 116.389603 100.760208) (xy 116.476702 100.72413) (xy 116.55509 100.671753) + (xy 116.621753 100.60509) (xy 116.67413 100.526702) (xy 116.710208 100.439603) (xy 116.7286 100.347138) (xy 116.7286 100.252862) + (xy 117.5214 100.252862) (xy 117.5214 100.347138) (xy 117.539792 100.439603) (xy 117.57587 100.526702) (xy 117.628247 100.60509) + (xy 117.69491 100.671753) (xy 117.773298 100.72413) (xy 117.860397 100.760208) (xy 117.952862 100.7786) (xy 118.047138 100.7786) + (xy 118.139603 100.760208) (xy 118.226702 100.72413) (xy 118.30509 100.671753) (xy 118.371753 100.60509) (xy 118.42413 100.526702) + (xy 118.460208 100.439603) (xy 118.4786 100.347138) (xy 118.4786 100.252862) (xy 121.2714 100.252862) (xy 121.2714 100.347138) + (xy 121.289792 100.439603) (xy 121.32587 100.526702) (xy 121.378247 100.60509) (xy 121.44491 100.671753) (xy 121.523298 100.72413) + (xy 121.610397 100.760208) (xy 121.702862 100.7786) (xy 121.797138 100.7786) (xy 121.889603 100.760208) (xy 121.976702 100.72413) + (xy 122.05509 100.671753) (xy 122.121753 100.60509) (xy 122.17413 100.526702) (xy 122.210208 100.439603) (xy 122.2286 100.347138) + (xy 122.2286 100.252862) (xy 122.2714 100.252862) (xy 122.2714 100.347138) (xy 122.289792 100.439603) (xy 122.32587 100.526702) + (xy 122.378247 100.60509) (xy 122.44491 100.671753) (xy 122.523298 100.72413) (xy 122.610397 100.760208) (xy 122.702862 100.7786) + (xy 122.797138 100.7786) (xy 122.889603 100.760208) (xy 122.976702 100.72413) (xy 123.05509 100.671753) (xy 123.121753 100.60509) + (xy 123.17413 100.526702) (xy 123.210208 100.439603) (xy 123.2286 100.347138) (xy 123.2286 100.252862) (xy 126.1214 100.252862) + (xy 126.1214 100.347138) (xy 126.139792 100.439603) (xy 126.17587 100.526702) (xy 126.228247 100.60509) (xy 126.29491 100.671753) + (xy 126.373298 100.72413) (xy 126.460397 100.760208) (xy 126.552862 100.7786) (xy 126.647138 100.7786) (xy 126.739603 100.760208) + (xy 126.826702 100.72413) (xy 126.90509 100.671753) (xy 126.971753 100.60509) (xy 127.02413 100.526702) (xy 127.060208 100.439603) + (xy 127.0786 100.347138) (xy 127.0786 100.252862) (xy 127.060208 100.160397) (xy 127.02413 100.073298) (xy 126.971753 99.99491) + (xy 126.90509 99.928247) (xy 126.826702 99.87587) (xy 126.739603 99.839792) (xy 126.647138 99.8214) (xy 126.552862 99.8214) + (xy 126.460397 99.839792) (xy 126.373298 99.87587) (xy 126.29491 99.928247) (xy 126.228247 99.99491) (xy 126.17587 100.073298) + (xy 126.139792 100.160397) (xy 126.1214 100.252862) (xy 123.2286 100.252862) (xy 123.210208 100.160397) (xy 123.17413 100.073298) + (xy 123.121753 99.99491) (xy 123.05509 99.928247) (xy 122.976702 99.87587) (xy 122.889603 99.839792) (xy 122.797138 99.8214) + (xy 122.702862 99.8214) (xy 122.610397 99.839792) (xy 122.523298 99.87587) (xy 122.44491 99.928247) (xy 122.378247 99.99491) + (xy 122.32587 100.073298) (xy 122.289792 100.160397) (xy 122.2714 100.252862) (xy 122.2286 100.252862) (xy 122.210208 100.160397) + (xy 122.17413 100.073298) (xy 122.121753 99.99491) (xy 122.05509 99.928247) (xy 121.976702 99.87587) (xy 121.889603 99.839792) + (xy 121.797138 99.8214) (xy 121.702862 99.8214) (xy 121.610397 99.839792) (xy 121.523298 99.87587) (xy 121.44491 99.928247) + (xy 121.378247 99.99491) (xy 121.32587 100.073298) (xy 121.289792 100.160397) (xy 121.2714 100.252862) (xy 118.4786 100.252862) + (xy 118.460208 100.160397) (xy 118.42413 100.073298) (xy 118.371753 99.99491) (xy 118.30509 99.928247) (xy 118.226702 99.87587) + (xy 118.139603 99.839792) (xy 118.047138 99.8214) (xy 117.952862 99.8214) (xy 117.860397 99.839792) (xy 117.773298 99.87587) + (xy 117.69491 99.928247) (xy 117.628247 99.99491) (xy 117.57587 100.073298) (xy 117.539792 100.160397) (xy 117.5214 100.252862) + (xy 116.7286 100.252862) (xy 116.710208 100.160397) (xy 116.67413 100.073298) (xy 116.621753 99.99491) (xy 116.55509 99.928247) + (xy 116.476702 99.87587) (xy 116.389603 99.839792) (xy 116.297138 99.8214) (xy 116.202862 99.8214) (xy 116.110397 99.839792) + (xy 116.023298 99.87587) (xy 115.94491 99.928247) (xy 115.878247 99.99491) (xy 115.82587 100.073298) (xy 115.789792 100.160397) + (xy 115.7714 100.252862) (xy 114.7286 100.252862) (xy 114.710208 100.160397) (xy 114.67413 100.073298) (xy 114.621753 99.99491) + (xy 114.55509 99.928247) (xy 114.476702 99.87587) (xy 114.389603 99.839792) (xy 114.297138 99.8214) (xy 114.202862 99.8214) + (xy 114.110397 99.839792) (xy 114.023298 99.87587) (xy 113.94491 99.928247) (xy 113.878247 99.99491) (xy 113.82587 100.073298) + (xy 113.789792 100.160397) (xy 113.7714 100.252862) (xy 104.549133 100.252862) (xy 104.536708 100.190397) (xy 104.50063 100.103298) + (xy 104.448253 100.02491) (xy 104.38159 99.958247) (xy 104.303202 99.90587) (xy 104.216103 99.869792) (xy 104.123638 99.8514) + (xy 104.029362 99.8514) (xy 103.936897 99.869792) (xy 103.849798 99.90587) (xy 103.77141 99.958247) (xy 103.704747 100.02491) + (xy 103.65237 100.103298) (xy 103.616292 100.190397) (xy 103.5979 100.282862) (xy 103.5546 100.282862) (xy 103.5546 98.652862) + (xy 112.9714 98.652862) (xy 112.9714 98.747138) (xy 112.989792 98.839603) (xy 113.02587 98.926702) (xy 113.078247 99.00509) + (xy 113.14491 99.071753) (xy 113.223298 99.12413) (xy 113.310397 99.160208) (xy 113.402862 99.1786) (xy 113.497138 99.1786) + (xy 113.589603 99.160208) (xy 113.676702 99.12413) (xy 113.75509 99.071753) (xy 113.821753 99.00509) (xy 113.87413 98.926702) + (xy 113.910208 98.839603) (xy 113.9286 98.747138) (xy 113.9286 98.652862) (xy 113.9714 98.652862) (xy 113.9714 98.747138) + (xy 113.989792 98.839603) (xy 114.02587 98.926702) (xy 114.078247 99.00509) (xy 114.14491 99.071753) (xy 114.223298 99.12413) + (xy 114.310397 99.160208) (xy 114.402862 99.1786) (xy 114.497138 99.1786) (xy 114.589603 99.160208) (xy 114.676702 99.12413) + (xy 114.75509 99.071753) (xy 114.821753 99.00509) (xy 114.823241 99.002862) (xy 116.3714 99.002862) (xy 116.3714 99.097138) + (xy 116.389792 99.189603) (xy 116.42587 99.276702) (xy 116.478247 99.35509) (xy 116.54491 99.421753) (xy 116.623298 99.47413) + (xy 116.710397 99.510208) (xy 116.802862 99.5286) (xy 116.897138 99.5286) (xy 116.989603 99.510208) (xy 117.076702 99.47413) + (xy 117.15509 99.421753) (xy 117.221753 99.35509) (xy 117.223241 99.352862) (xy 121.7714 99.352862) (xy 121.7714 99.447138) + (xy 121.789792 99.539603) (xy 121.82587 99.626702) (xy 121.878247 99.70509) (xy 121.94491 99.771753) (xy 122.023298 99.82413) + (xy 122.110397 99.860208) (xy 122.202862 99.8786) (xy 122.297138 99.8786) (xy 122.389603 99.860208) (xy 122.476702 99.82413) + (xy 122.55509 99.771753) (xy 122.621753 99.70509) (xy 122.67413 99.626702) (xy 122.710208 99.539603) (xy 122.7286 99.447138) + (xy 122.7286 99.352862) (xy 123.9214 99.352862) (xy 123.9214 99.447138) (xy 123.939792 99.539603) (xy 123.97587 99.626702) + (xy 124.028247 99.70509) (xy 124.09491 99.771753) (xy 124.173298 99.82413) (xy 124.260397 99.860208) (xy 124.352862 99.8786) + (xy 124.447138 99.8786) (xy 124.539603 99.860208) (xy 124.626702 99.82413) (xy 124.70509 99.771753) (xy 124.771753 99.70509) + (xy 124.82413 99.626702) (xy 124.860208 99.539603) (xy 124.8786 99.447138) (xy 124.8786 99.402862) (xy 127.0714 99.402862) + (xy 127.0714 99.497138) (xy 127.089792 99.589603) (xy 127.12587 99.676702) (xy 127.178247 99.75509) (xy 127.24491 99.821753) + (xy 127.323298 99.87413) (xy 127.410397 99.910208) (xy 127.502862 99.9286) (xy 127.597138 99.9286) (xy 127.689603 99.910208) + (xy 127.776702 99.87413) (xy 127.85509 99.821753) (xy 127.921753 99.75509) (xy 127.97413 99.676702) (xy 127.988116 99.642937) + (xy 129.9004 99.642937) (xy 129.9004 99.747063) (xy 129.920713 99.849187) (xy 129.96056 99.945386) (xy 130.018409 100.031963) + (xy 130.092037 100.105591) (xy 130.178614 100.16344) (xy 130.274813 100.203287) (xy 130.376937 100.2236) (xy 130.481063 100.2236) + (xy 130.583187 100.203287) (xy 130.679386 100.16344) (xy 130.765963 100.105591) (xy 130.839591 100.031963) (xy 130.89744 99.945386) + (xy 130.937287 99.849187) (xy 130.9576 99.747063) (xy 130.9576 99.642937) (xy 132.1864 99.642937) (xy 132.1864 99.747063) + (xy 132.206713 99.849187) (xy 132.24656 99.945386) (xy 132.304409 100.031963) (xy 132.378037 100.105591) (xy 132.464614 100.16344) + (xy 132.560813 100.203287) (xy 132.662937 100.2236) (xy 132.767063 100.2236) (xy 132.869187 100.203287) (xy 132.965386 100.16344) + (xy 133.051963 100.105591) (xy 133.125591 100.031963) (xy 133.127663 100.028862) (xy 161.1924 100.028862) (xy 161.1924 100.123138) + (xy 161.210792 100.215603) (xy 161.24687 100.302702) (xy 161.299247 100.38109) (xy 161.36591 100.447753) (xy 161.444298 100.50013) + (xy 161.531397 100.536208) (xy 161.623862 100.5546) (xy 161.718138 100.5546) (xy 161.810603 100.536208) (xy 161.897702 100.50013) + (xy 161.97609 100.447753) (xy 162.042753 100.38109) (xy 162.09513 100.302702) (xy 162.103348 100.282862) (xy 211.0399 100.282862) + (xy 211.0399 100.377138) (xy 211.058292 100.469603) (xy 211.09437 100.556702) (xy 211.146747 100.63509) (xy 211.21341 100.701753) + (xy 211.291798 100.75413) (xy 211.378897 100.790208) (xy 211.471362 100.8086) (xy 211.565638 100.8086) (xy 211.658103 100.790208) + (xy 211.745202 100.75413) (xy 211.82359 100.701753) (xy 211.890253 100.63509) (xy 211.94263 100.556702) (xy 211.978708 100.469603) + (xy 211.9971 100.377138) (xy 211.9971 100.282862) (xy 211.978708 100.190397) (xy 211.94263 100.103298) (xy 211.890253 100.02491) + (xy 211.82359 99.958247) (xy 211.745202 99.90587) (xy 211.658103 99.869792) (xy 211.565638 99.8514) (xy 211.471362 99.8514) + (xy 211.378897 99.869792) (xy 211.291798 99.90587) (xy 211.21341 99.958247) (xy 211.146747 100.02491) (xy 211.09437 100.103298) + (xy 211.058292 100.190397) (xy 211.0399 100.282862) (xy 162.103348 100.282862) (xy 162.131208 100.215603) (xy 162.1496 100.123138) + (xy 162.1496 100.028862) (xy 162.131208 99.936397) (xy 162.09513 99.849298) (xy 162.050719 99.782832) (xy 200.041537 99.782832) + (xy 200.128539 99.917049) (xy 200.305953 100.004265) (xy 200.496972 100.055192) (xy 200.694257 100.067875) (xy 200.890225 100.041826) + (xy 201.077346 99.978046) (xy 201.191461 99.917049) (xy 201.278463 99.782832) (xy 202.581537 99.782832) (xy 202.668539 99.917049) + (xy 202.845953 100.004265) (xy 203.036972 100.055192) (xy 203.234257 100.067875) (xy 203.430225 100.041826) (xy 203.617346 99.978046) + (xy 203.731461 99.917049) (xy 203.818463 99.782832) (xy 203.2 99.164369) (xy 202.581537 99.782832) (xy 201.278463 99.782832) + (xy 200.66 99.164369) (xy 200.041537 99.782832) (xy 162.050719 99.782832) (xy 162.042753 99.77091) (xy 161.97609 99.704247) + (xy 161.897702 99.65187) (xy 161.810603 99.615792) (xy 161.718138 99.5974) (xy 161.623862 99.5974) (xy 161.531397 99.615792) + (xy 161.444298 99.65187) (xy 161.36591 99.704247) (xy 161.299247 99.77091) (xy 161.24687 99.849298) (xy 161.210792 99.936397) + (xy 161.1924 100.028862) (xy 133.127663 100.028862) (xy 133.18344 99.945386) (xy 133.223287 99.849187) (xy 133.2436 99.747063) + (xy 133.2436 99.642937) (xy 133.223287 99.540813) (xy 133.18344 99.444614) (xy 133.125591 99.358037) (xy 133.051963 99.284409) + (xy 132.965386 99.22656) (xy 132.869187 99.186713) (xy 132.767063 99.1664) (xy 132.662937 99.1664) (xy 132.560813 99.186713) + (xy 132.464614 99.22656) (xy 132.378037 99.284409) (xy 132.304409 99.358037) (xy 132.24656 99.444614) (xy 132.206713 99.540813) + (xy 132.1864 99.642937) (xy 130.9576 99.642937) (xy 130.937287 99.540813) (xy 130.89744 99.444614) (xy 130.839591 99.358037) + (xy 130.765963 99.284409) (xy 130.679386 99.22656) (xy 130.583187 99.186713) (xy 130.481063 99.1664) (xy 130.376937 99.1664) + (xy 130.274813 99.186713) (xy 130.178614 99.22656) (xy 130.092037 99.284409) (xy 130.018409 99.358037) (xy 129.96056 99.444614) + (xy 129.920713 99.540813) (xy 129.9004 99.642937) (xy 127.988116 99.642937) (xy 128.010208 99.589603) (xy 128.0286 99.497138) + (xy 128.0286 99.402862) (xy 128.010208 99.310397) (xy 127.97413 99.223298) (xy 127.921753 99.14491) (xy 127.85509 99.078247) + (xy 127.776702 99.02587) (xy 127.689603 98.989792) (xy 127.597138 98.9714) (xy 127.502862 98.9714) (xy 127.410397 98.989792) + (xy 127.323298 99.02587) (xy 127.24491 99.078247) (xy 127.178247 99.14491) (xy 127.12587 99.223298) (xy 127.089792 99.310397) + (xy 127.0714 99.402862) (xy 124.8786 99.402862) (xy 124.8786 99.352862) (xy 124.860208 99.260397) (xy 124.82413 99.173298) + (xy 124.771753 99.09491) (xy 124.70509 99.028247) (xy 124.626702 98.97587) (xy 124.539603 98.939792) (xy 124.447138 98.9214) + (xy 124.352862 98.9214) (xy 124.260397 98.939792) (xy 124.173298 98.97587) (xy 124.09491 99.028247) (xy 124.028247 99.09491) + (xy 123.97587 99.173298) (xy 123.939792 99.260397) (xy 123.9214 99.352862) (xy 122.7286 99.352862) (xy 122.710208 99.260397) + (xy 122.67413 99.173298) (xy 122.621753 99.09491) (xy 122.55509 99.028247) (xy 122.476702 98.97587) (xy 122.389603 98.939792) + (xy 122.297138 98.9214) (xy 122.202862 98.9214) (xy 122.110397 98.939792) (xy 122.023298 98.97587) (xy 121.94491 99.028247) + (xy 121.878247 99.09491) (xy 121.82587 99.173298) (xy 121.789792 99.260397) (xy 121.7714 99.352862) (xy 117.223241 99.352862) + (xy 117.27413 99.276702) (xy 117.310208 99.189603) (xy 117.3286 99.097138) (xy 117.3286 99.002862) (xy 117.310208 98.910397) + (xy 117.27413 98.823298) (xy 117.221753 98.74491) (xy 117.15509 98.678247) (xy 117.076702 98.62587) (xy 116.989603 98.589792) + (xy 116.897138 98.5714) (xy 116.802862 98.5714) (xy 116.710397 98.589792) (xy 116.623298 98.62587) (xy 116.54491 98.678247) + (xy 116.478247 98.74491) (xy 116.42587 98.823298) (xy 116.389792 98.910397) (xy 116.3714 99.002862) (xy 114.823241 99.002862) + (xy 114.87413 98.926702) (xy 114.910208 98.839603) (xy 114.9286 98.747138) (xy 114.9286 98.652862) (xy 114.910208 98.560397) + (xy 114.907087 98.552862) (xy 117.4214 98.552862) (xy 117.4214 98.647138) (xy 117.439792 98.739603) (xy 117.47587 98.826702) + (xy 117.528247 98.90509) (xy 117.59491 98.971753) (xy 117.673298 99.02413) (xy 117.760397 99.060208) (xy 117.852862 99.0786) + (xy 117.947138 99.0786) (xy 118.039603 99.060208) (xy 118.126702 99.02413) (xy 118.20509 98.971753) (xy 118.271753 98.90509) + (xy 118.32413 98.826702) (xy 118.360208 98.739603) (xy 118.3786 98.647138) (xy 118.3786 98.552862) (xy 118.360208 98.460397) + (xy 118.32413 98.373298) (xy 118.277067 98.302862) (xy 120.7714 98.302862) (xy 120.7714 98.397138) (xy 120.789792 98.489603) + (xy 120.82587 98.576702) (xy 120.878247 98.65509) (xy 120.94491 98.721753) (xy 121.023298 98.77413) (xy 121.110397 98.810208) + (xy 121.202862 98.8286) (xy 121.297138 98.8286) (xy 121.389603 98.810208) (xy 121.476702 98.77413) (xy 121.55509 98.721753) + (xy 121.621753 98.65509) (xy 121.67413 98.576702) (xy 121.684004 98.552862) (xy 126.1214 98.552862) (xy 126.1214 98.647138) + (xy 126.139792 98.739603) (xy 126.17587 98.826702) (xy 126.228247 98.90509) (xy 126.29491 98.971753) (xy 126.373298 99.02413) + (xy 126.460397 99.060208) (xy 126.552862 99.0786) (xy 126.647138 99.0786) (xy 126.739603 99.060208) (xy 126.826702 99.02413) + (xy 126.90509 98.971753) (xy 126.971753 98.90509) (xy 126.9846 98.885862) (xy 141.7614 98.885862) (xy 141.7614 98.980138) + (xy 141.779792 99.072603) (xy 141.81587 99.159702) (xy 141.868247 99.23809) (xy 141.93491 99.304753) (xy 142.013298 99.35713) + (xy 142.100397 99.393208) (xy 142.192862 99.4116) (xy 142.287138 99.4116) (xy 142.379603 99.393208) (xy 142.466702 99.35713) + (xy 142.54509 99.304753) (xy 142.611753 99.23809) (xy 142.66413 99.159702) (xy 142.700208 99.072603) (xy 142.7186 98.980138) + (xy 142.7186 98.885862) (xy 143.0314 98.885862) (xy 143.0314 98.980138) (xy 143.049792 99.072603) (xy 143.08587 99.159702) + (xy 143.138247 99.23809) (xy 143.20491 99.304753) (xy 143.283298 99.35713) (xy 143.370397 99.393208) (xy 143.462862 99.4116) + (xy 143.557138 99.4116) (xy 143.649603 99.393208) (xy 143.736702 99.35713) (xy 143.81509 99.304753) (xy 143.881753 99.23809) + (xy 143.93413 99.159702) (xy 143.970208 99.072603) (xy 143.9886 98.980138) (xy 143.9886 98.885862) (xy 144.3014 98.885862) + (xy 144.3014 98.980138) (xy 144.319792 99.072603) (xy 144.35587 99.159702) (xy 144.408247 99.23809) (xy 144.47491 99.304753) + (xy 144.553298 99.35713) (xy 144.640397 99.393208) (xy 144.732862 99.4116) (xy 144.827138 99.4116) (xy 144.919603 99.393208) + (xy 145.006702 99.35713) (xy 145.08509 99.304753) (xy 145.151753 99.23809) (xy 145.20413 99.159702) (xy 145.240208 99.072603) + (xy 145.2586 98.980138) (xy 145.2586 98.885862) (xy 145.5714 98.885862) (xy 145.5714 98.980138) (xy 145.589792 99.072603) + (xy 145.62587 99.159702) (xy 145.678247 99.23809) (xy 145.74491 99.304753) (xy 145.823298 99.35713) (xy 145.910397 99.393208) + (xy 146.002862 99.4116) (xy 146.097138 99.4116) (xy 146.189603 99.393208) (xy 146.276702 99.35713) (xy 146.35509 99.304753) + (xy 146.421753 99.23809) (xy 146.47413 99.159702) (xy 146.510208 99.072603) (xy 146.5286 98.980138) (xy 146.5286 98.885862) + (xy 146.8414 98.885862) (xy 146.8414 98.980138) (xy 146.859792 99.072603) (xy 146.89587 99.159702) (xy 146.948247 99.23809) + (xy 147.01491 99.304753) (xy 147.093298 99.35713) (xy 147.180397 99.393208) (xy 147.272862 99.4116) (xy 147.367138 99.4116) + (xy 147.459603 99.393208) (xy 147.546702 99.35713) (xy 147.62509 99.304753) (xy 147.691753 99.23809) (xy 147.74413 99.159702) + (xy 147.780208 99.072603) (xy 147.7986 98.980138) (xy 147.7986 98.885862) (xy 148.1114 98.885862) (xy 148.1114 98.980138) + (xy 148.129792 99.072603) (xy 148.16587 99.159702) (xy 148.218247 99.23809) (xy 148.28491 99.304753) (xy 148.363298 99.35713) + (xy 148.450397 99.393208) (xy 148.542862 99.4116) (xy 148.637138 99.4116) (xy 148.729603 99.393208) (xy 148.816702 99.35713) + (xy 148.89509 99.304753) (xy 148.961753 99.23809) (xy 149.01413 99.159702) (xy 149.050208 99.072603) (xy 149.0686 98.980138) + (xy 149.0686 98.885862) (xy 149.3814 98.885862) (xy 149.3814 98.980138) (xy 149.399792 99.072603) (xy 149.43587 99.159702) + (xy 149.488247 99.23809) (xy 149.55491 99.304753) (xy 149.633298 99.35713) (xy 149.720397 99.393208) (xy 149.812862 99.4116) + (xy 149.907138 99.4116) (xy 149.999603 99.393208) (xy 150.086702 99.35713) (xy 150.16509 99.304753) (xy 150.231753 99.23809) + (xy 150.28413 99.159702) (xy 150.320208 99.072603) (xy 150.3386 98.980138) (xy 150.3386 98.885862) (xy 150.6514 98.885862) + (xy 150.6514 98.980138) (xy 150.669792 99.072603) (xy 150.70587 99.159702) (xy 150.758247 99.23809) (xy 150.82491 99.304753) + (xy 150.903298 99.35713) (xy 150.990397 99.393208) (xy 151.082862 99.4116) (xy 151.177138 99.4116) (xy 151.269603 99.393208) + (xy 151.356702 99.35713) (xy 151.43509 99.304753) (xy 151.501753 99.23809) (xy 151.55413 99.159702) (xy 151.590208 99.072603) + (xy 151.6086 98.980138) (xy 151.6086 98.885862) (xy 151.9214 98.885862) (xy 151.9214 98.980138) (xy 151.939792 99.072603) + (xy 151.97587 99.159702) (xy 152.028247 99.23809) (xy 152.09491 99.304753) (xy 152.173298 99.35713) (xy 152.260397 99.393208) + (xy 152.352862 99.4116) (xy 152.447138 99.4116) (xy 152.539603 99.393208) (xy 152.626702 99.35713) (xy 152.70509 99.304753) + (xy 152.771753 99.23809) (xy 152.82413 99.159702) (xy 152.860208 99.072603) (xy 152.8786 98.980138) (xy 152.8786 98.885862) + (xy 153.1914 98.885862) (xy 153.1914 98.980138) (xy 153.209792 99.072603) (xy 153.24587 99.159702) (xy 153.298247 99.23809) + (xy 153.36491 99.304753) (xy 153.443298 99.35713) (xy 153.530397 99.393208) (xy 153.622862 99.4116) (xy 153.717138 99.4116) + (xy 153.809603 99.393208) (xy 153.896702 99.35713) (xy 153.97509 99.304753) (xy 154.041753 99.23809) (xy 154.09413 99.159702) + (xy 154.130208 99.072603) (xy 154.1486 98.980138) (xy 154.1486 98.885862) (xy 154.4614 98.885862) (xy 154.4614 98.980138) + (xy 154.479792 99.072603) (xy 154.51587 99.159702) (xy 154.568247 99.23809) (xy 154.63491 99.304753) (xy 154.713298 99.35713) + (xy 154.800397 99.393208) (xy 154.892862 99.4116) (xy 154.987138 99.4116) (xy 155.079603 99.393208) (xy 155.166702 99.35713) + (xy 155.24509 99.304753) (xy 155.311753 99.23809) (xy 155.36413 99.159702) (xy 155.400208 99.072603) (xy 155.4186 98.980138) + (xy 155.4186 98.885862) (xy 155.7314 98.885862) (xy 155.7314 98.980138) (xy 155.749792 99.072603) (xy 155.78587 99.159702) + (xy 155.838247 99.23809) (xy 155.90491 99.304753) (xy 155.983298 99.35713) (xy 156.070397 99.393208) (xy 156.162862 99.4116) + (xy 156.257138 99.4116) (xy 156.349603 99.393208) (xy 156.436702 99.35713) (xy 156.51509 99.304753) (xy 156.581753 99.23809) + (xy 156.63413 99.159702) (xy 156.670208 99.072603) (xy 156.6886 98.980138) (xy 156.6886 98.885862) (xy 157.0014 98.885862) + (xy 157.0014 98.980138) (xy 157.019792 99.072603) (xy 157.05587 99.159702) (xy 157.108247 99.23809) (xy 157.17491 99.304753) + (xy 157.253298 99.35713) (xy 157.340397 99.393208) (xy 157.432862 99.4116) (xy 157.527138 99.4116) (xy 157.616315 99.393862) + (xy 158.3984 99.393862) (xy 158.3984 99.488138) (xy 158.416792 99.580603) (xy 158.45287 99.667702) (xy 158.505247 99.74609) + (xy 158.57191 99.812753) (xy 158.650298 99.86513) (xy 158.737397 99.901208) (xy 158.829862 99.9196) (xy 158.924138 99.9196) + (xy 159.016603 99.901208) (xy 159.103702 99.86513) (xy 159.18209 99.812753) (xy 159.248753 99.74609) (xy 159.30113 99.667702) + (xy 159.337208 99.580603) (xy 159.3556 99.488138) (xy 159.3556 99.393862) (xy 159.337208 99.301397) (xy 159.30113 99.214298) + (xy 159.248753 99.13591) (xy 159.2071 99.094257) (xy 199.652125 99.094257) (xy 199.678174 99.290225) (xy 199.741954 99.477346) + (xy 199.802951 99.591461) (xy 199.937168 99.678463) (xy 200.555631 99.06) (xy 200.764369 99.06) (xy 201.382832 99.678463) + (xy 201.517049 99.591461) (xy 201.604265 99.414047) (xy 201.655192 99.223028) (xy 201.66347 99.094257) (xy 202.192125 99.094257) + (xy 202.218174 99.290225) (xy 202.281954 99.477346) (xy 202.342951 99.591461) (xy 202.477168 99.678463) (xy 203.095631 99.06) + (xy 203.304369 99.06) (xy 203.922832 99.678463) (xy 204.057049 99.591461) (xy 204.144265 99.414047) (xy 204.195192 99.223028) + (xy 204.207875 99.025743) (xy 204.19929 98.961154) (xy 204.7364 98.961154) (xy 204.7364 99.158846) (xy 204.774968 99.352739) + (xy 204.850621 99.535383) (xy 204.960453 99.699758) (xy 205.100242 99.839547) (xy 205.264617 99.949379) (xy 205.447261 100.025032) + (xy 205.641154 100.0636) (xy 205.838846 100.0636) (xy 206.032739 100.025032) (xy 206.215383 99.949379) (xy 206.379758 99.839547) + (xy 206.519547 99.699758) (xy 206.629379 99.535383) (xy 206.705032 99.352739) (xy 206.7436 99.158846) (xy 206.7436 98.961154) + (xy 206.705032 98.767261) (xy 206.629379 98.584617) (xy 206.519547 98.420242) (xy 206.379758 98.280453) (xy 206.215383 98.170621) + (xy 206.032739 98.094968) (xy 205.838846 98.0564) (xy 205.641154 98.0564) (xy 205.447261 98.094968) (xy 205.264617 98.170621) + (xy 205.100242 98.280453) (xy 204.960453 98.420242) (xy 204.850621 98.584617) (xy 204.774968 98.767261) (xy 204.7364 98.961154) + (xy 204.19929 98.961154) (xy 204.181826 98.829775) (xy 204.118046 98.642654) (xy 204.057049 98.528539) (xy 203.922832 98.441537) + (xy 203.304369 99.06) (xy 203.095631 99.06) (xy 202.477168 98.441537) (xy 202.342951 98.528539) (xy 202.255735 98.705953) + (xy 202.204808 98.896972) (xy 202.192125 99.094257) (xy 201.66347 99.094257) (xy 201.667875 99.025743) (xy 201.641826 98.829775) + (xy 201.578046 98.642654) (xy 201.517049 98.528539) (xy 201.382832 98.441537) (xy 200.764369 99.06) (xy 200.555631 99.06) + (xy 199.937168 98.441537) (xy 199.802951 98.528539) (xy 199.715735 98.705953) (xy 199.664808 98.896972) (xy 199.652125 99.094257) + (xy 159.2071 99.094257) (xy 159.18209 99.069247) (xy 159.103702 99.01687) (xy 159.016603 98.980792) (xy 158.924138 98.9624) + (xy 158.829862 98.9624) (xy 158.737397 98.980792) (xy 158.650298 99.01687) (xy 158.57191 99.069247) (xy 158.505247 99.13591) + (xy 158.45287 99.214298) (xy 158.416792 99.301397) (xy 158.3984 99.393862) (xy 157.616315 99.393862) (xy 157.619603 99.393208) + (xy 157.706702 99.35713) (xy 157.78509 99.304753) (xy 157.851753 99.23809) (xy 157.90413 99.159702) (xy 157.940208 99.072603) + (xy 157.9586 98.980138) (xy 157.9586 98.885862) (xy 157.940208 98.793397) (xy 157.90413 98.706298) (xy 157.851753 98.62791) + (xy 157.78509 98.561247) (xy 157.706702 98.50887) (xy 157.619603 98.472792) (xy 157.527138 98.4544) (xy 157.432862 98.4544) + (xy 157.340397 98.472792) (xy 157.253298 98.50887) (xy 157.17491 98.561247) (xy 157.108247 98.62791) (xy 157.05587 98.706298) + (xy 157.019792 98.793397) (xy 157.0014 98.885862) (xy 156.6886 98.885862) (xy 156.670208 98.793397) (xy 156.63413 98.706298) + (xy 156.581753 98.62791) (xy 156.51509 98.561247) (xy 156.436702 98.50887) (xy 156.349603 98.472792) (xy 156.257138 98.4544) + (xy 156.162862 98.4544) (xy 156.070397 98.472792) (xy 155.983298 98.50887) (xy 155.90491 98.561247) (xy 155.838247 98.62791) + (xy 155.78587 98.706298) (xy 155.749792 98.793397) (xy 155.7314 98.885862) (xy 155.4186 98.885862) (xy 155.400208 98.793397) + (xy 155.36413 98.706298) (xy 155.311753 98.62791) (xy 155.24509 98.561247) (xy 155.166702 98.50887) (xy 155.079603 98.472792) + (xy 154.987138 98.4544) (xy 154.892862 98.4544) (xy 154.800397 98.472792) (xy 154.713298 98.50887) (xy 154.63491 98.561247) + (xy 154.568247 98.62791) (xy 154.51587 98.706298) (xy 154.479792 98.793397) (xy 154.4614 98.885862) (xy 154.1486 98.885862) + (xy 154.130208 98.793397) (xy 154.09413 98.706298) (xy 154.041753 98.62791) (xy 153.97509 98.561247) (xy 153.896702 98.50887) + (xy 153.809603 98.472792) (xy 153.717138 98.4544) (xy 153.622862 98.4544) (xy 153.530397 98.472792) (xy 153.443298 98.50887) + (xy 153.36491 98.561247) (xy 153.298247 98.62791) (xy 153.24587 98.706298) (xy 153.209792 98.793397) (xy 153.1914 98.885862) + (xy 152.8786 98.885862) (xy 152.860208 98.793397) (xy 152.82413 98.706298) (xy 152.771753 98.62791) (xy 152.70509 98.561247) + (xy 152.626702 98.50887) (xy 152.539603 98.472792) (xy 152.447138 98.4544) (xy 152.352862 98.4544) (xy 152.260397 98.472792) + (xy 152.173298 98.50887) (xy 152.09491 98.561247) (xy 152.028247 98.62791) (xy 151.97587 98.706298) (xy 151.939792 98.793397) + (xy 151.9214 98.885862) (xy 151.6086 98.885862) (xy 151.590208 98.793397) (xy 151.55413 98.706298) (xy 151.501753 98.62791) + (xy 151.43509 98.561247) (xy 151.356702 98.50887) (xy 151.269603 98.472792) (xy 151.177138 98.4544) (xy 151.082862 98.4544) + (xy 150.990397 98.472792) (xy 150.903298 98.50887) (xy 150.82491 98.561247) (xy 150.758247 98.62791) (xy 150.70587 98.706298) + (xy 150.669792 98.793397) (xy 150.6514 98.885862) (xy 150.3386 98.885862) (xy 150.320208 98.793397) (xy 150.28413 98.706298) + (xy 150.231753 98.62791) (xy 150.16509 98.561247) (xy 150.086702 98.50887) (xy 149.999603 98.472792) (xy 149.907138 98.4544) + (xy 149.812862 98.4544) (xy 149.720397 98.472792) (xy 149.633298 98.50887) (xy 149.55491 98.561247) (xy 149.488247 98.62791) + (xy 149.43587 98.706298) (xy 149.399792 98.793397) (xy 149.3814 98.885862) (xy 149.0686 98.885862) (xy 149.050208 98.793397) + (xy 149.01413 98.706298) (xy 148.961753 98.62791) (xy 148.89509 98.561247) (xy 148.816702 98.50887) (xy 148.729603 98.472792) + (xy 148.637138 98.4544) (xy 148.542862 98.4544) (xy 148.450397 98.472792) (xy 148.363298 98.50887) (xy 148.28491 98.561247) + (xy 148.218247 98.62791) (xy 148.16587 98.706298) (xy 148.129792 98.793397) (xy 148.1114 98.885862) (xy 147.7986 98.885862) + (xy 147.780208 98.793397) (xy 147.74413 98.706298) (xy 147.691753 98.62791) (xy 147.62509 98.561247) (xy 147.546702 98.50887) + (xy 147.459603 98.472792) (xy 147.367138 98.4544) (xy 147.272862 98.4544) (xy 147.180397 98.472792) (xy 147.093298 98.50887) + (xy 147.01491 98.561247) (xy 146.948247 98.62791) (xy 146.89587 98.706298) (xy 146.859792 98.793397) (xy 146.8414 98.885862) + (xy 146.5286 98.885862) (xy 146.510208 98.793397) (xy 146.47413 98.706298) (xy 146.421753 98.62791) (xy 146.35509 98.561247) + (xy 146.276702 98.50887) (xy 146.189603 98.472792) (xy 146.097138 98.4544) (xy 146.002862 98.4544) (xy 145.910397 98.472792) + (xy 145.823298 98.50887) (xy 145.74491 98.561247) (xy 145.678247 98.62791) (xy 145.62587 98.706298) (xy 145.589792 98.793397) + (xy 145.5714 98.885862) (xy 145.2586 98.885862) (xy 145.240208 98.793397) (xy 145.20413 98.706298) (xy 145.151753 98.62791) + (xy 145.08509 98.561247) (xy 145.006702 98.50887) (xy 144.919603 98.472792) (xy 144.827138 98.4544) (xy 144.732862 98.4544) + (xy 144.640397 98.472792) (xy 144.553298 98.50887) (xy 144.47491 98.561247) (xy 144.408247 98.62791) (xy 144.35587 98.706298) + (xy 144.319792 98.793397) (xy 144.3014 98.885862) (xy 143.9886 98.885862) (xy 143.970208 98.793397) (xy 143.93413 98.706298) + (xy 143.881753 98.62791) (xy 143.81509 98.561247) (xy 143.736702 98.50887) (xy 143.649603 98.472792) (xy 143.557138 98.4544) + (xy 143.462862 98.4544) (xy 143.370397 98.472792) (xy 143.283298 98.50887) (xy 143.20491 98.561247) (xy 143.138247 98.62791) + (xy 143.08587 98.706298) (xy 143.049792 98.793397) (xy 143.0314 98.885862) (xy 142.7186 98.885862) (xy 142.700208 98.793397) + (xy 142.66413 98.706298) (xy 142.611753 98.62791) (xy 142.54509 98.561247) (xy 142.466702 98.50887) (xy 142.379603 98.472792) + (xy 142.287138 98.4544) (xy 142.192862 98.4544) (xy 142.100397 98.472792) (xy 142.013298 98.50887) (xy 141.93491 98.561247) + (xy 141.868247 98.62791) (xy 141.81587 98.706298) (xy 141.779792 98.793397) (xy 141.7614 98.885862) (xy 126.9846 98.885862) + (xy 127.02413 98.826702) (xy 127.060208 98.739603) (xy 127.0786 98.647138) (xy 127.0786 98.552862) (xy 127.060208 98.460397) + (xy 127.02413 98.373298) (xy 126.971753 98.29491) (xy 126.90509 98.228247) (xy 126.826702 98.17587) (xy 126.739603 98.139792) + (xy 126.647138 98.1214) (xy 126.552862 98.1214) (xy 126.460397 98.139792) (xy 126.373298 98.17587) (xy 126.29491 98.228247) + (xy 126.228247 98.29491) (xy 126.17587 98.373298) (xy 126.139792 98.460397) (xy 126.1214 98.552862) (xy 121.684004 98.552862) + (xy 121.710208 98.489603) (xy 121.7286 98.397138) (xy 121.7286 98.302862) (xy 121.710208 98.210397) (xy 121.67413 98.123298) + (xy 121.671217 98.118937) (xy 158.3484 98.118937) (xy 158.3484 98.223063) (xy 158.368713 98.325187) (xy 158.40856 98.421386) + (xy 158.466409 98.507963) (xy 158.540037 98.581591) (xy 158.626614 98.63944) (xy 158.722813 98.679287) (xy 158.824937 98.6996) + (xy 158.929063 98.6996) (xy 159.031187 98.679287) (xy 159.127386 98.63944) (xy 159.213963 98.581591) (xy 159.287591 98.507963) + (xy 159.34544 98.421386) (xy 159.385287 98.325187) (xy 159.4056 98.223063) (xy 159.4056 98.118937) (xy 161.1424 98.118937) + (xy 161.1424 98.223063) (xy 161.162713 98.325187) (xy 161.20256 98.421386) (xy 161.260409 98.507963) (xy 161.334037 98.581591) + (xy 161.420614 98.63944) (xy 161.516813 98.679287) (xy 161.618937 98.6996) (xy 161.723063 98.6996) (xy 161.825187 98.679287) + (xy 161.921386 98.63944) (xy 162.007963 98.581591) (xy 162.081591 98.507963) (xy 162.13944 98.421386) (xy 162.174324 98.337168) + (xy 200.041537 98.337168) (xy 200.66 98.955631) (xy 201.278463 98.337168) (xy 202.581537 98.337168) (xy 203.2 98.955631) + (xy 203.818463 98.337168) (xy 203.731461 98.202951) (xy 203.554047 98.115735) (xy 203.363028 98.064808) (xy 203.165743 98.052125) + (xy 202.969775 98.078174) (xy 202.782654 98.141954) (xy 202.668539 98.202951) (xy 202.581537 98.337168) (xy 201.278463 98.337168) + (xy 201.191461 98.202951) (xy 201.014047 98.115735) (xy 200.823028 98.064808) (xy 200.625743 98.052125) (xy 200.429775 98.078174) + (xy 200.242654 98.141954) (xy 200.128539 98.202951) (xy 200.041537 98.337168) (xy 162.174324 98.337168) (xy 162.179287 98.325187) + (xy 162.1996 98.223063) (xy 162.1996 98.118937) (xy 162.179287 98.016813) (xy 162.13944 97.920614) (xy 162.081591 97.834037) + (xy 162.007963 97.760409) (xy 161.921386 97.70256) (xy 161.825187 97.662713) (xy 161.723063 97.6424) (xy 161.618937 97.6424) + (xy 161.516813 97.662713) (xy 161.420614 97.70256) (xy 161.334037 97.760409) (xy 161.260409 97.834037) (xy 161.20256 97.920614) + (xy 161.162713 98.016813) (xy 161.1424 98.118937) (xy 159.4056 98.118937) (xy 159.385287 98.016813) (xy 159.34544 97.920614) + (xy 159.287591 97.834037) (xy 159.213963 97.760409) (xy 159.127386 97.70256) (xy 159.031187 97.662713) (xy 158.929063 97.6424) + (xy 158.824937 97.6424) (xy 158.722813 97.662713) (xy 158.626614 97.70256) (xy 158.540037 97.760409) (xy 158.466409 97.834037) + (xy 158.40856 97.920614) (xy 158.368713 98.016813) (xy 158.3484 98.118937) (xy 121.671217 98.118937) (xy 121.621753 98.04491) + (xy 121.55509 97.978247) (xy 121.476702 97.92587) (xy 121.389603 97.889792) (xy 121.297138 97.8714) (xy 121.202862 97.8714) + (xy 121.110397 97.889792) (xy 121.023298 97.92587) (xy 120.94491 97.978247) (xy 120.878247 98.04491) (xy 120.82587 98.123298) + (xy 120.789792 98.210397) (xy 120.7714 98.302862) (xy 118.277067 98.302862) (xy 118.271753 98.29491) (xy 118.20509 98.228247) + (xy 118.126702 98.17587) (xy 118.039603 98.139792) (xy 117.947138 98.1214) (xy 117.852862 98.1214) (xy 117.760397 98.139792) + (xy 117.673298 98.17587) (xy 117.59491 98.228247) (xy 117.528247 98.29491) (xy 117.47587 98.373298) (xy 117.439792 98.460397) + (xy 117.4214 98.552862) (xy 114.907087 98.552862) (xy 114.87413 98.473298) (xy 114.821753 98.39491) (xy 114.75509 98.328247) + (xy 114.676702 98.27587) (xy 114.589603 98.239792) (xy 114.497138 98.2214) (xy 114.402862 98.2214) (xy 114.310397 98.239792) + (xy 114.223298 98.27587) (xy 114.14491 98.328247) (xy 114.078247 98.39491) (xy 114.02587 98.473298) (xy 113.989792 98.560397) + (xy 113.9714 98.652862) (xy 113.9286 98.652862) (xy 113.910208 98.560397) (xy 113.87413 98.473298) (xy 113.821753 98.39491) + (xy 113.75509 98.328247) (xy 113.676702 98.27587) (xy 113.589603 98.239792) (xy 113.497138 98.2214) (xy 113.402862 98.2214) + (xy 113.310397 98.239792) (xy 113.223298 98.27587) (xy 113.14491 98.328247) (xy 113.078247 98.39491) (xy 113.02587 98.473298) + (xy 112.989792 98.560397) (xy 112.9714 98.652862) (xy 103.5546 98.652862) (xy 103.5546 97.432862) (xy 120.2614 97.432862) + (xy 120.2614 97.527138) (xy 120.279792 97.619603) (xy 120.31587 97.706702) (xy 120.368247 97.78509) (xy 120.43491 97.851753) + (xy 120.513298 97.90413) (xy 120.600397 97.940208) (xy 120.692862 97.9586) (xy 120.787138 97.9586) (xy 120.879603 97.940208) + (xy 120.966702 97.90413) (xy 121.04509 97.851753) (xy 121.111753 97.78509) (xy 121.16413 97.706702) (xy 121.200208 97.619603) + (xy 121.2186 97.527138) (xy 121.2186 97.483937) (xy 196.1944 97.483937) (xy 196.1944 97.588063) (xy 196.214713 97.690187) + (xy 196.25456 97.786386) (xy 196.312409 97.872963) (xy 196.386037 97.946591) (xy 196.472614 98.00444) (xy 196.568813 98.044287) + (xy 196.670937 98.0646) (xy 196.775063 98.0646) (xy 196.877187 98.044287) (xy 196.973386 98.00444) (xy 197.059963 97.946591) + (xy 197.133591 97.872963) (xy 197.19144 97.786386) (xy 197.231287 97.690187) (xy 197.2516 97.588063) (xy 197.2516 97.483937) + (xy 198.4804 97.483937) (xy 198.4804 97.588063) (xy 198.500713 97.690187) (xy 198.54056 97.786386) (xy 198.598409 97.872963) + (xy 198.672037 97.946591) (xy 198.758614 98.00444) (xy 198.854813 98.044287) (xy 198.956937 98.0646) (xy 199.061063 98.0646) + (xy 199.163187 98.044287) (xy 199.259386 98.00444) (xy 199.345963 97.946591) (xy 199.419591 97.872963) (xy 199.47744 97.786386) + (xy 199.517287 97.690187) (xy 199.5376 97.588063) (xy 199.5376 97.483937) (xy 199.517287 97.381813) (xy 199.47744 97.285614) + (xy 199.419591 97.199037) (xy 199.345963 97.125409) (xy 199.259386 97.06756) (xy 199.163187 97.027713) (xy 199.061063 97.0074) + (xy 198.956937 97.0074) (xy 198.854813 97.027713) (xy 198.758614 97.06756) (xy 198.672037 97.125409) (xy 198.598409 97.199037) + (xy 198.54056 97.285614) (xy 198.500713 97.381813) (xy 198.4804 97.483937) (xy 197.2516 97.483937) (xy 197.231287 97.381813) + (xy 197.19144 97.285614) (xy 197.133591 97.199037) (xy 197.059963 97.125409) (xy 196.973386 97.06756) (xy 196.877187 97.027713) + (xy 196.775063 97.0074) (xy 196.670937 97.0074) (xy 196.568813 97.027713) (xy 196.472614 97.06756) (xy 196.386037 97.125409) + (xy 196.312409 97.199037) (xy 196.25456 97.285614) (xy 196.214713 97.381813) (xy 196.1944 97.483937) (xy 121.2186 97.483937) + (xy 121.2186 97.432862) (xy 121.200208 97.340397) (xy 121.16413 97.253298) (xy 121.111753 97.17491) (xy 121.04509 97.108247) + (xy 120.966702 97.05587) (xy 120.879603 97.019792) (xy 120.787138 97.0014) (xy 120.692862 97.0014) (xy 120.600397 97.019792) + (xy 120.513298 97.05587) (xy 120.43491 97.108247) (xy 120.368247 97.17491) (xy 120.31587 97.253298) (xy 120.279792 97.340397) + (xy 120.2614 97.432862) (xy 103.5546 97.432862) (xy 103.5546 96.848937) (xy 158.3484 96.848937) (xy 158.3484 96.953063) + (xy 158.368713 97.055187) (xy 158.40856 97.151386) (xy 158.466409 97.237963) (xy 158.540037 97.311591) (xy 158.626614 97.36944) + (xy 158.722813 97.409287) (xy 158.824937 97.4296) (xy 158.929063 97.4296) (xy 159.031187 97.409287) (xy 159.127386 97.36944) + (xy 159.213963 97.311591) (xy 159.287591 97.237963) (xy 159.34544 97.151386) (xy 159.385287 97.055187) (xy 159.4056 96.953063) + (xy 159.4056 96.848937) (xy 161.1424 96.848937) (xy 161.1424 96.953063) (xy 161.162713 97.055187) (xy 161.20256 97.151386) + (xy 161.260409 97.237963) (xy 161.334037 97.311591) (xy 161.420614 97.36944) (xy 161.516813 97.409287) (xy 161.618937 97.4296) + (xy 161.723063 97.4296) (xy 161.825187 97.409287) (xy 161.921386 97.36944) (xy 162.007963 97.311591) (xy 162.081591 97.237963) + (xy 162.13944 97.151386) (xy 162.179287 97.055187) (xy 162.1996 96.953063) (xy 162.1996 96.848937) (xy 162.179287 96.746813) + (xy 162.13944 96.650614) (xy 162.081591 96.564037) (xy 162.007963 96.490409) (xy 161.921386 96.43256) (xy 161.825187 96.392713) + (xy 161.723063 96.3724) (xy 161.618937 96.3724) (xy 161.516813 96.392713) (xy 161.420614 96.43256) (xy 161.334037 96.490409) + (xy 161.260409 96.564037) (xy 161.20256 96.650614) (xy 161.162713 96.746813) (xy 161.1424 96.848937) (xy 159.4056 96.848937) + (xy 159.385287 96.746813) (xy 159.34544 96.650614) (xy 159.287591 96.564037) (xy 159.213963 96.490409) (xy 159.127386 96.43256) + (xy 159.031187 96.392713) (xy 158.929063 96.3724) (xy 158.824937 96.3724) (xy 158.722813 96.392713) (xy 158.626614 96.43256) + (xy 158.540037 96.490409) (xy 158.466409 96.564037) (xy 158.40856 96.650614) (xy 158.368713 96.746813) (xy 158.3484 96.848937) + (xy 103.5546 96.848937) (xy 103.5546 96.302862) (xy 119.9714 96.302862) (xy 119.9714 96.397138) (xy 119.989792 96.489603) + (xy 120.02587 96.576702) (xy 120.078247 96.65509) (xy 120.14491 96.721753) (xy 120.223298 96.77413) (xy 120.310397 96.810208) + (xy 120.402862 96.8286) (xy 120.497138 96.8286) (xy 120.589603 96.810208) (xy 120.676702 96.77413) (xy 120.75509 96.721753) + (xy 120.821753 96.65509) (xy 120.87413 96.576702) (xy 120.910208 96.489603) (xy 120.9286 96.397138) (xy 120.9286 96.340937) + (xy 197.3374 96.340937) (xy 197.3374 96.445063) (xy 197.357713 96.547187) (xy 197.39756 96.643386) (xy 197.455409 96.729963) + (xy 197.529037 96.803591) (xy 197.615614 96.86144) (xy 197.711813 96.901287) (xy 197.813937 96.9216) (xy 197.918063 96.9216) + (xy 198.020187 96.901287) (xy 198.116386 96.86144) (xy 198.202963 96.803591) (xy 198.276591 96.729963) (xy 198.33444 96.643386) + (xy 198.374287 96.547187) (xy 198.3946 96.445063) (xy 198.3946 96.421154) (xy 199.6564 96.421154) (xy 199.6564 96.618846) + (xy 199.694968 96.812739) (xy 199.770621 96.995383) (xy 199.880453 97.159758) (xy 200.020242 97.299547) (xy 200.184617 97.409379) + (xy 200.367261 97.485032) (xy 200.561154 97.5236) (xy 200.758846 97.5236) (xy 200.952739 97.485032) (xy 201.135383 97.409379) + (xy 201.299758 97.299547) (xy 201.356473 97.242832) (xy 202.581537 97.242832) (xy 202.668539 97.377049) (xy 202.845953 97.464265) + (xy 203.036972 97.515192) (xy 203.234257 97.527875) (xy 203.430225 97.501826) (xy 203.617346 97.438046) (xy 203.731461 97.377049) + (xy 203.818463 97.242832) (xy 203.2 96.624369) (xy 202.581537 97.242832) (xy 201.356473 97.242832) (xy 201.439547 97.159758) + (xy 201.549379 96.995383) (xy 201.625032 96.812739) (xy 201.6636 96.618846) (xy 201.6636 96.554257) (xy 202.192125 96.554257) + (xy 202.218174 96.750225) (xy 202.281954 96.937346) (xy 202.342951 97.051461) (xy 202.477168 97.138463) (xy 203.095631 96.52) + (xy 203.304369 96.52) (xy 203.922832 97.138463) (xy 204.057049 97.051461) (xy 204.144265 96.874047) (xy 204.195192 96.683028) + (xy 204.207875 96.485743) (xy 204.19929 96.421154) (xy 204.7364 96.421154) (xy 204.7364 96.618846) (xy 204.774968 96.812739) + (xy 204.850621 96.995383) (xy 204.960453 97.159758) (xy 205.100242 97.299547) (xy 205.264617 97.409379) (xy 205.447261 97.485032) + (xy 205.641154 97.5236) (xy 205.838846 97.5236) (xy 206.032739 97.485032) (xy 206.215383 97.409379) (xy 206.379758 97.299547) + (xy 206.519547 97.159758) (xy 206.629379 96.995383) (xy 206.705032 96.812739) (xy 206.7436 96.618846) (xy 206.7436 96.421154) + (xy 206.705032 96.227261) (xy 206.629379 96.044617) (xy 206.519547 95.880242) (xy 206.379758 95.740453) (xy 206.215383 95.630621) + (xy 206.032739 95.554968) (xy 205.838846 95.5164) (xy 205.641154 95.5164) (xy 205.447261 95.554968) (xy 205.264617 95.630621) + (xy 205.100242 95.740453) (xy 204.960453 95.880242) (xy 204.850621 96.044617) (xy 204.774968 96.227261) (xy 204.7364 96.421154) + (xy 204.19929 96.421154) (xy 204.181826 96.289775) (xy 204.118046 96.102654) (xy 204.057049 95.988539) (xy 203.922832 95.901537) + (xy 203.304369 96.52) (xy 203.095631 96.52) (xy 202.477168 95.901537) (xy 202.342951 95.988539) (xy 202.255735 96.165953) + (xy 202.204808 96.356972) (xy 202.192125 96.554257) (xy 201.6636 96.554257) (xy 201.6636 96.421154) (xy 201.625032 96.227261) + (xy 201.549379 96.044617) (xy 201.439547 95.880242) (xy 201.356473 95.797168) (xy 202.581537 95.797168) (xy 203.2 96.415631) + (xy 203.818463 95.797168) (xy 203.731461 95.662951) (xy 203.554047 95.575735) (xy 203.363028 95.524808) (xy 203.165743 95.512125) + (xy 202.969775 95.538174) (xy 202.782654 95.601954) (xy 202.668539 95.662951) (xy 202.581537 95.797168) (xy 201.356473 95.797168) + (xy 201.299758 95.740453) (xy 201.135383 95.630621) (xy 200.952739 95.554968) (xy 200.758846 95.5164) (xy 200.561154 95.5164) + (xy 200.367261 95.554968) (xy 200.184617 95.630621) (xy 200.020242 95.740453) (xy 199.880453 95.880242) (xy 199.770621 96.044617) + (xy 199.694968 96.227261) (xy 199.6564 96.421154) (xy 198.3946 96.421154) (xy 198.3946 96.340937) (xy 198.374287 96.238813) + (xy 198.33444 96.142614) (xy 198.276591 96.056037) (xy 198.202963 95.982409) (xy 198.116386 95.92456) (xy 198.020187 95.884713) + (xy 197.918063 95.8644) (xy 197.813937 95.8644) (xy 197.711813 95.884713) (xy 197.615614 95.92456) (xy 197.529037 95.982409) + (xy 197.455409 96.056037) (xy 197.39756 96.142614) (xy 197.357713 96.238813) (xy 197.3374 96.340937) (xy 120.9286 96.340937) + (xy 120.9286 96.302862) (xy 120.910208 96.210397) (xy 120.87413 96.123298) (xy 120.821753 96.04491) (xy 120.75509 95.978247) + (xy 120.676702 95.92587) (xy 120.589603 95.889792) (xy 120.497138 95.8714) (xy 120.402862 95.8714) (xy 120.310397 95.889792) + (xy 120.223298 95.92587) (xy 120.14491 95.978247) (xy 120.078247 96.04491) (xy 120.02587 96.123298) (xy 119.989792 96.210397) + (xy 119.9714 96.302862) (xy 103.5546 96.302862) (xy 103.5546 95.202862) (xy 103.5979 95.202862) (xy 103.5979 95.297138) + (xy 103.616292 95.389603) (xy 103.65237 95.476702) (xy 103.704747 95.55509) (xy 103.77141 95.621753) (xy 103.849798 95.67413) + (xy 103.936897 95.710208) (xy 104.029362 95.7286) (xy 104.123638 95.7286) (xy 104.216103 95.710208) (xy 104.303202 95.67413) + (xy 104.38159 95.621753) (xy 104.448253 95.55509) (xy 104.50063 95.476702) (xy 104.536708 95.389603) (xy 104.5551 95.297138) + (xy 104.5551 95.202862) (xy 104.536708 95.110397) (xy 104.50063 95.023298) (xy 104.448253 94.94491) (xy 104.38159 94.878247) + (xy 104.303202 94.82587) (xy 104.216103 94.789792) (xy 104.123638 94.7714) (xy 104.029362 94.7714) (xy 103.936897 94.789792) + (xy 103.849798 94.82587) (xy 103.77141 94.878247) (xy 103.704747 94.94491) (xy 103.65237 95.023298) (xy 103.616292 95.110397) + (xy 103.5979 95.202862) (xy 103.5546 95.202862) (xy 103.5546 94.747937) (xy 125.3714 94.747937) (xy 125.3714 94.852063) + (xy 125.391713 94.954187) (xy 125.43156 95.050386) (xy 125.489409 95.136963) (xy 125.563037 95.210591) (xy 125.649614 95.26844) + (xy 125.745813 95.308287) (xy 125.847937 95.3286) (xy 125.952063 95.3286) (xy 126.054187 95.308287) (xy 126.150386 95.26844) + (xy 126.236963 95.210591) (xy 126.310591 95.136963) (xy 126.36844 95.050386) (xy 126.408287 94.954187) (xy 126.4286 94.852063) + (xy 126.4286 94.747937) (xy 129.4714 94.747937) (xy 129.4714 94.852063) (xy 129.491713 94.954187) (xy 129.53156 95.050386) + (xy 129.589409 95.136963) (xy 129.663037 95.210591) (xy 129.749614 95.26844) (xy 129.845813 95.308287) (xy 129.947937 95.3286) + (xy 130.052063 95.3286) (xy 130.154187 95.308287) (xy 130.250386 95.26844) (xy 130.336963 95.210591) (xy 130.410591 95.136963) + (xy 130.46844 95.050386) (xy 130.508287 94.954187) (xy 130.509346 94.948862) (xy 161.1924 94.948862) (xy 161.1924 95.043138) + (xy 161.210792 95.135603) (xy 161.24687 95.222702) (xy 161.299247 95.30109) (xy 161.36591 95.367753) (xy 161.444298 95.42013) + (xy 161.531397 95.456208) (xy 161.623862 95.4746) (xy 161.718138 95.4746) (xy 161.810603 95.456208) (xy 161.897702 95.42013) + (xy 161.97609 95.367753) (xy 162.042753 95.30109) (xy 162.09513 95.222702) (xy 162.103348 95.202862) (xy 211.0399 95.202862) + (xy 211.0399 95.297138) (xy 211.058292 95.389603) (xy 211.09437 95.476702) (xy 211.146747 95.55509) (xy 211.21341 95.621753) + (xy 211.291798 95.67413) (xy 211.378897 95.710208) (xy 211.471362 95.7286) (xy 211.565638 95.7286) (xy 211.658103 95.710208) + (xy 211.745202 95.67413) (xy 211.82359 95.621753) (xy 211.890253 95.55509) (xy 211.94263 95.476702) (xy 211.978708 95.389603) + (xy 211.9971 95.297138) (xy 211.9971 95.202862) (xy 211.978708 95.110397) (xy 211.94263 95.023298) (xy 211.890253 94.94491) + (xy 211.82359 94.878247) (xy 211.745202 94.82587) (xy 211.658103 94.789792) (xy 211.565638 94.7714) (xy 211.471362 94.7714) + (xy 211.378897 94.789792) (xy 211.291798 94.82587) (xy 211.21341 94.878247) (xy 211.146747 94.94491) (xy 211.09437 95.023298) + (xy 211.058292 95.110397) (xy 211.0399 95.202862) (xy 162.103348 95.202862) (xy 162.131208 95.135603) (xy 162.1496 95.043138) + (xy 162.1496 94.948862) (xy 162.131208 94.856397) (xy 162.09513 94.769298) (xy 162.042753 94.69091) (xy 161.97609 94.624247) + (xy 161.897702 94.57187) (xy 161.810603 94.535792) (xy 161.718138 94.5174) (xy 161.623862 94.5174) (xy 161.531397 94.535792) + (xy 161.444298 94.57187) (xy 161.36591 94.624247) (xy 161.299247 94.69091) (xy 161.24687 94.769298) (xy 161.210792 94.856397) + (xy 161.1924 94.948862) (xy 130.509346 94.948862) (xy 130.5286 94.852063) (xy 130.5286 94.747937) (xy 130.508287 94.645813) + (xy 130.46844 94.549614) (xy 130.410591 94.463037) (xy 130.336963 94.389409) (xy 130.250386 94.33156) (xy 130.154187 94.291713) + (xy 130.052063 94.2714) (xy 129.947937 94.2714) (xy 129.845813 94.291713) (xy 129.749614 94.33156) (xy 129.663037 94.389409) + (xy 129.589409 94.463037) (xy 129.53156 94.549614) (xy 129.491713 94.645813) (xy 129.4714 94.747937) (xy 126.4286 94.747937) + (xy 126.408287 94.645813) (xy 126.36844 94.549614) (xy 126.310591 94.463037) (xy 126.236963 94.389409) (xy 126.150386 94.33156) + (xy 126.054187 94.291713) (xy 125.952063 94.2714) (xy 125.847937 94.2714) (xy 125.745813 94.291713) (xy 125.649614 94.33156) + (xy 125.563037 94.389409) (xy 125.489409 94.463037) (xy 125.43156 94.549614) (xy 125.391713 94.645813) (xy 125.3714 94.747937) + (xy 103.5546 94.747937) (xy 103.5546 93.638088) (xy 111.1714 93.638088) (xy 111.1714 93.761912) (xy 111.195556 93.883356) + (xy 111.242941 93.997754) (xy 111.311734 94.100709) (xy 111.399291 94.188266) (xy 111.502246 94.257059) (xy 111.616644 94.304444) + (xy 111.738088 94.3286) (xy 111.861912 94.3286) (xy 111.983356 94.304444) (xy 112.097754 94.257059) (xy 112.200709 94.188266) + (xy 112.288266 94.100709) (xy 112.357059 93.997754) (xy 112.404444 93.883356) (xy 112.4286 93.761912) (xy 112.4286 93.638088) + (xy 117.4714 93.638088) (xy 117.4714 93.761912) (xy 117.495556 93.883356) (xy 117.542941 93.997754) (xy 117.611734 94.100709) + (xy 117.699291 94.188266) (xy 117.802246 94.257059) (xy 117.916644 94.304444) (xy 118.038088 94.3286) (xy 118.161912 94.3286) + (xy 118.283356 94.304444) (xy 118.397754 94.257059) (xy 118.500709 94.188266) (xy 118.588266 94.100709) (xy 118.657059 93.997754) + (xy 118.704444 93.883356) (xy 118.7286 93.761912) (xy 118.7286 93.647937) (xy 124.3714 93.647937) (xy 124.3714 93.752063) + (xy 124.391713 93.854187) (xy 124.43156 93.950386) (xy 124.489409 94.036963) (xy 124.563037 94.110591) (xy 124.649614 94.16844) + (xy 124.745813 94.208287) (xy 124.847937 94.2286) (xy 124.952063 94.2286) (xy 125.054187 94.208287) (xy 125.150386 94.16844) + (xy 125.236963 94.110591) (xy 125.310591 94.036963) (xy 125.36844 93.950386) (xy 125.408287 93.854187) (xy 125.4286 93.752063) + (xy 125.4286 93.647937) (xy 128.4714 93.647937) (xy 128.4714 93.752063) (xy 128.491713 93.854187) (xy 128.53156 93.950386) + (xy 128.589409 94.036963) (xy 128.663037 94.110591) (xy 128.749614 94.16844) (xy 128.845813 94.208287) (xy 128.947937 94.2286) + (xy 129.052063 94.2286) (xy 129.154187 94.208287) (xy 129.250386 94.16844) (xy 129.336963 94.110591) (xy 129.410591 94.036963) + (xy 129.46844 93.950386) (xy 129.475698 93.932862) (xy 141.7614 93.932862) (xy 141.7614 94.027138) (xy 141.779792 94.119603) + (xy 141.81587 94.206702) (xy 141.868247 94.28509) (xy 141.93491 94.351753) (xy 142.013298 94.40413) (xy 142.100397 94.440208) + (xy 142.192862 94.4586) (xy 142.287138 94.4586) (xy 142.379603 94.440208) (xy 142.466702 94.40413) (xy 142.54509 94.351753) + (xy 142.611753 94.28509) (xy 142.66413 94.206702) (xy 142.700208 94.119603) (xy 142.7186 94.027138) (xy 142.7186 93.932862) + (xy 143.0314 93.932862) (xy 143.0314 94.027138) (xy 143.049792 94.119603) (xy 143.08587 94.206702) (xy 143.138247 94.28509) + (xy 143.20491 94.351753) (xy 143.283298 94.40413) (xy 143.370397 94.440208) (xy 143.462862 94.4586) (xy 143.557138 94.4586) + (xy 143.649603 94.440208) (xy 143.736702 94.40413) (xy 143.81509 94.351753) (xy 143.881753 94.28509) (xy 143.93413 94.206702) + (xy 143.970208 94.119603) (xy 143.9886 94.027138) (xy 143.9886 93.932862) (xy 144.3014 93.932862) (xy 144.3014 94.027138) + (xy 144.319792 94.119603) (xy 144.35587 94.206702) (xy 144.408247 94.28509) (xy 144.47491 94.351753) (xy 144.553298 94.40413) + (xy 144.640397 94.440208) (xy 144.732862 94.4586) (xy 144.827138 94.4586) (xy 144.919603 94.440208) (xy 145.006702 94.40413) + (xy 145.08509 94.351753) (xy 145.151753 94.28509) (xy 145.20413 94.206702) (xy 145.240208 94.119603) (xy 145.2586 94.027138) + (xy 145.2586 93.932862) (xy 145.5714 93.932862) (xy 145.5714 94.027138) (xy 145.589792 94.119603) (xy 145.62587 94.206702) + (xy 145.678247 94.28509) (xy 145.74491 94.351753) (xy 145.823298 94.40413) (xy 145.910397 94.440208) (xy 146.002862 94.4586) + (xy 146.097138 94.4586) (xy 146.189603 94.440208) (xy 146.276702 94.40413) (xy 146.35509 94.351753) (xy 146.421753 94.28509) + (xy 146.47413 94.206702) (xy 146.510208 94.119603) (xy 146.5286 94.027138) (xy 146.5286 93.932862) (xy 146.8414 93.932862) + (xy 146.8414 94.027138) (xy 146.859792 94.119603) (xy 146.89587 94.206702) (xy 146.948247 94.28509) (xy 147.01491 94.351753) + (xy 147.093298 94.40413) (xy 147.180397 94.440208) (xy 147.272862 94.4586) (xy 147.367138 94.4586) (xy 147.459603 94.440208) + (xy 147.546702 94.40413) (xy 147.62509 94.351753) (xy 147.691753 94.28509) (xy 147.74413 94.206702) (xy 147.780208 94.119603) + (xy 147.7986 94.027138) (xy 147.7986 93.932862) (xy 148.1114 93.932862) (xy 148.1114 94.027138) (xy 148.129792 94.119603) + (xy 148.16587 94.206702) (xy 148.218247 94.28509) (xy 148.28491 94.351753) (xy 148.363298 94.40413) (xy 148.450397 94.440208) + (xy 148.542862 94.4586) (xy 148.637138 94.4586) (xy 148.729603 94.440208) (xy 148.816702 94.40413) (xy 148.89509 94.351753) + (xy 148.961753 94.28509) (xy 149.01413 94.206702) (xy 149.050208 94.119603) (xy 149.0686 94.027138) (xy 149.0686 93.932862) + (xy 149.3814 93.932862) (xy 149.3814 94.027138) (xy 149.399792 94.119603) (xy 149.43587 94.206702) (xy 149.488247 94.28509) + (xy 149.55491 94.351753) (xy 149.633298 94.40413) (xy 149.720397 94.440208) (xy 149.812862 94.4586) (xy 149.907138 94.4586) + (xy 149.999603 94.440208) (xy 150.086702 94.40413) (xy 150.16509 94.351753) (xy 150.231753 94.28509) (xy 150.28413 94.206702) + (xy 150.320208 94.119603) (xy 150.3386 94.027138) (xy 150.3386 93.932862) (xy 150.6514 93.932862) (xy 150.6514 94.027138) + (xy 150.669792 94.119603) (xy 150.70587 94.206702) (xy 150.758247 94.28509) (xy 150.82491 94.351753) (xy 150.903298 94.40413) + (xy 150.990397 94.440208) (xy 151.082862 94.4586) (xy 151.177138 94.4586) (xy 151.269603 94.440208) (xy 151.356702 94.40413) + (xy 151.43509 94.351753) (xy 151.501753 94.28509) (xy 151.55413 94.206702) (xy 151.590208 94.119603) (xy 151.6086 94.027138) + (xy 151.6086 93.932862) (xy 151.9214 93.932862) (xy 151.9214 94.027138) (xy 151.939792 94.119603) (xy 151.97587 94.206702) + (xy 152.028247 94.28509) (xy 152.09491 94.351753) (xy 152.173298 94.40413) (xy 152.260397 94.440208) (xy 152.352862 94.4586) + (xy 152.447138 94.4586) (xy 152.539603 94.440208) (xy 152.626702 94.40413) (xy 152.70509 94.351753) (xy 152.771753 94.28509) + (xy 152.82413 94.206702) (xy 152.860208 94.119603) (xy 152.8786 94.027138) (xy 152.8786 93.932862) (xy 153.1914 93.932862) + (xy 153.1914 94.027138) (xy 153.209792 94.119603) (xy 153.24587 94.206702) (xy 153.298247 94.28509) (xy 153.36491 94.351753) + (xy 153.443298 94.40413) (xy 153.530397 94.440208) (xy 153.622862 94.4586) (xy 153.717138 94.4586) (xy 153.809603 94.440208) + (xy 153.896702 94.40413) (xy 153.97509 94.351753) (xy 154.041753 94.28509) (xy 154.09413 94.206702) (xy 154.130208 94.119603) + (xy 154.1486 94.027138) (xy 154.1486 93.932862) (xy 154.4614 93.932862) (xy 154.4614 94.027138) (xy 154.479792 94.119603) + (xy 154.51587 94.206702) (xy 154.568247 94.28509) (xy 154.63491 94.351753) (xy 154.713298 94.40413) (xy 154.800397 94.440208) + (xy 154.892862 94.4586) (xy 154.987138 94.4586) (xy 155.079603 94.440208) (xy 155.166702 94.40413) (xy 155.24509 94.351753) + (xy 155.311753 94.28509) (xy 155.36413 94.206702) (xy 155.400208 94.119603) (xy 155.4186 94.027138) (xy 155.4186 93.932862) + (xy 155.7314 93.932862) (xy 155.7314 94.027138) (xy 155.749792 94.119603) (xy 155.78587 94.206702) (xy 155.838247 94.28509) + (xy 155.90491 94.351753) (xy 155.983298 94.40413) (xy 156.070397 94.440208) (xy 156.162862 94.4586) (xy 156.257138 94.4586) + (xy 156.349603 94.440208) (xy 156.436702 94.40413) (xy 156.51509 94.351753) (xy 156.581753 94.28509) (xy 156.63413 94.206702) + (xy 156.670208 94.119603) (xy 156.6886 94.027138) (xy 156.6886 93.932862) (xy 157.0014 93.932862) (xy 157.0014 94.027138) + (xy 157.019792 94.119603) (xy 157.05587 94.206702) (xy 157.108247 94.28509) (xy 157.17491 94.351753) (xy 157.253298 94.40413) + (xy 157.340397 94.440208) (xy 157.432862 94.4586) (xy 157.527138 94.4586) (xy 157.619603 94.440208) (xy 157.706702 94.40413) + (xy 157.78509 94.351753) (xy 157.838906 94.297937) (xy 179.6714 94.297937) (xy 179.6714 94.402063) (xy 179.691713 94.504187) + (xy 179.73156 94.600386) (xy 179.789409 94.686963) (xy 179.863037 94.760591) (xy 179.949614 94.81844) (xy 180.045813 94.858287) + (xy 180.147937 94.8786) (xy 180.252063 94.8786) (xy 180.354187 94.858287) (xy 180.450386 94.81844) (xy 180.536963 94.760591) + (xy 180.610591 94.686963) (xy 180.66844 94.600386) (xy 180.708287 94.504187) (xy 180.7286 94.402063) (xy 180.7286 94.297937) + (xy 188.1714 94.297937) (xy 188.1714 94.402063) (xy 188.191713 94.504187) (xy 188.23156 94.600386) (xy 188.289409 94.686963) + (xy 188.363037 94.760591) (xy 188.449614 94.81844) (xy 188.545813 94.858287) (xy 188.647937 94.8786) (xy 188.752063 94.8786) + (xy 188.854187 94.858287) (xy 188.950386 94.81844) (xy 189.036963 94.760591) (xy 189.110591 94.686963) (xy 189.16844 94.600386) + (xy 189.208287 94.504187) (xy 189.2286 94.402063) (xy 189.2286 94.297937) (xy 189.208287 94.195813) (xy 189.16844 94.099614) + (xy 189.110591 94.013037) (xy 189.093916 93.996362) (xy 198.4034 93.996362) (xy 198.4034 94.090638) (xy 198.421792 94.183103) + (xy 198.45787 94.270202) (xy 198.510247 94.34859) (xy 198.57691 94.415253) (xy 198.655298 94.46763) (xy 198.742397 94.503708) + (xy 198.834862 94.5221) (xy 198.929138 94.5221) (xy 199.021603 94.503708) (xy 199.108702 94.46763) (xy 199.18709 94.415253) + (xy 199.253753 94.34859) (xy 199.30613 94.270202) (xy 199.342208 94.183103) (xy 199.3606 94.090638) (xy 199.3606 93.996362) + (xy 199.342208 93.903897) (xy 199.332788 93.881154) (xy 199.6564 93.881154) (xy 199.6564 94.078846) (xy 199.694968 94.272739) + (xy 199.770621 94.455383) (xy 199.880453 94.619758) (xy 200.020242 94.759547) (xy 200.184617 94.869379) (xy 200.367261 94.945032) + (xy 200.561154 94.9836) (xy 200.758846 94.9836) (xy 200.952739 94.945032) (xy 201.135383 94.869379) (xy 201.299758 94.759547) + (xy 201.356473 94.702832) (xy 202.581537 94.702832) (xy 202.668539 94.837049) (xy 202.845953 94.924265) (xy 203.036972 94.975192) + (xy 203.234257 94.987875) (xy 203.430225 94.961826) (xy 203.617346 94.898046) (xy 203.731461 94.837049) (xy 203.818463 94.702832) + (xy 203.2 94.084369) (xy 202.581537 94.702832) (xy 201.356473 94.702832) (xy 201.439547 94.619758) (xy 201.549379 94.455383) + (xy 201.625032 94.272739) (xy 201.6636 94.078846) (xy 201.6636 94.014257) (xy 202.192125 94.014257) (xy 202.218174 94.210225) + (xy 202.281954 94.397346) (xy 202.342951 94.511461) (xy 202.477168 94.598463) (xy 203.095631 93.98) (xy 203.304369 93.98) + (xy 203.922832 94.598463) (xy 204.057049 94.511461) (xy 204.144265 94.334047) (xy 204.195192 94.143028) (xy 204.207875 93.945743) + (xy 204.19929 93.881154) (xy 204.7364 93.881154) (xy 204.7364 94.078846) (xy 204.774968 94.272739) (xy 204.850621 94.455383) + (xy 204.960453 94.619758) (xy 205.100242 94.759547) (xy 205.264617 94.869379) (xy 205.447261 94.945032) (xy 205.641154 94.9836) + (xy 205.838846 94.9836) (xy 206.032739 94.945032) (xy 206.215383 94.869379) (xy 206.379758 94.759547) (xy 206.519547 94.619758) + (xy 206.629379 94.455383) (xy 206.705032 94.272739) (xy 206.7436 94.078846) (xy 206.7436 93.881154) (xy 206.705032 93.687261) + (xy 206.629379 93.504617) (xy 206.519547 93.340242) (xy 206.379758 93.200453) (xy 206.215383 93.090621) (xy 206.032739 93.014968) + (xy 205.838846 92.9764) (xy 205.641154 92.9764) (xy 205.447261 93.014968) (xy 205.264617 93.090621) (xy 205.100242 93.200453) + (xy 204.960453 93.340242) (xy 204.850621 93.504617) (xy 204.774968 93.687261) (xy 204.7364 93.881154) (xy 204.19929 93.881154) + (xy 204.181826 93.749775) (xy 204.118046 93.562654) (xy 204.057049 93.448539) (xy 203.922832 93.361537) (xy 203.304369 93.98) + (xy 203.095631 93.98) (xy 202.477168 93.361537) (xy 202.342951 93.448539) (xy 202.255735 93.625953) (xy 202.204808 93.816972) + (xy 202.192125 94.014257) (xy 201.6636 94.014257) (xy 201.6636 93.881154) (xy 201.625032 93.687261) (xy 201.549379 93.504617) + (xy 201.439547 93.340242) (xy 201.356473 93.257168) (xy 202.581537 93.257168) (xy 203.2 93.875631) (xy 203.818463 93.257168) + (xy 203.731461 93.122951) (xy 203.554047 93.035735) (xy 203.363028 92.984808) (xy 203.165743 92.972125) (xy 202.969775 92.998174) + (xy 202.782654 93.061954) (xy 202.668539 93.122951) (xy 202.581537 93.257168) (xy 201.356473 93.257168) (xy 201.299758 93.200453) + (xy 201.135383 93.090621) (xy 200.952739 93.014968) (xy 200.758846 92.9764) (xy 200.561154 92.9764) (xy 200.367261 93.014968) + (xy 200.184617 93.090621) (xy 200.020242 93.200453) (xy 199.880453 93.340242) (xy 199.770621 93.504617) (xy 199.694968 93.687261) + (xy 199.6564 93.881154) (xy 199.332788 93.881154) (xy 199.30613 93.816798) (xy 199.253753 93.73841) (xy 199.18709 93.671747) + (xy 199.108702 93.61937) (xy 199.021603 93.583292) (xy 198.929138 93.5649) (xy 198.834862 93.5649) (xy 198.742397 93.583292) + (xy 198.655298 93.61937) (xy 198.57691 93.671747) (xy 198.510247 93.73841) (xy 198.45787 93.816798) (xy 198.421792 93.903897) + (xy 198.4034 93.996362) (xy 189.093916 93.996362) (xy 189.036963 93.939409) (xy 188.950386 93.88156) (xy 188.854187 93.841713) + (xy 188.752063 93.8214) (xy 188.647937 93.8214) (xy 188.545813 93.841713) (xy 188.449614 93.88156) (xy 188.363037 93.939409) + (xy 188.289409 94.013037) (xy 188.23156 94.099614) (xy 188.191713 94.195813) (xy 188.1714 94.297937) (xy 180.7286 94.297937) + (xy 180.708287 94.195813) (xy 180.66844 94.099614) (xy 180.610591 94.013037) (xy 180.536963 93.939409) (xy 180.450386 93.88156) + (xy 180.354187 93.841713) (xy 180.252063 93.8214) (xy 180.147937 93.8214) (xy 180.045813 93.841713) (xy 179.949614 93.88156) + (xy 179.863037 93.939409) (xy 179.789409 94.013037) (xy 179.73156 94.099614) (xy 179.691713 94.195813) (xy 179.6714 94.297937) + (xy 157.838906 94.297937) (xy 157.851753 94.28509) (xy 157.90413 94.206702) (xy 157.940208 94.119603) (xy 157.9586 94.027138) + (xy 157.9586 93.932862) (xy 157.940208 93.840397) (xy 157.90413 93.753298) (xy 157.854394 93.678862) (xy 161.1924 93.678862) + (xy 161.1924 93.773138) (xy 161.210792 93.865603) (xy 161.24687 93.952702) (xy 161.299247 94.03109) (xy 161.36591 94.097753) + (xy 161.444298 94.15013) (xy 161.531397 94.186208) (xy 161.623862 94.2046) (xy 161.718138 94.2046) (xy 161.810603 94.186208) + (xy 161.897702 94.15013) (xy 161.97609 94.097753) (xy 162.042753 94.03109) (xy 162.09513 93.952702) (xy 162.131208 93.865603) + (xy 162.1496 93.773138) (xy 162.1496 93.678862) (xy 162.131208 93.586397) (xy 162.09513 93.499298) (xy 162.064103 93.452862) + (xy 180.7214 93.452862) (xy 180.7214 93.547138) (xy 180.739792 93.639603) (xy 180.77587 93.726702) (xy 180.828247 93.80509) + (xy 180.89491 93.871753) (xy 180.973298 93.92413) (xy 181.060397 93.960208) (xy 181.152862 93.9786) (xy 181.247138 93.9786) + (xy 181.339603 93.960208) (xy 181.426702 93.92413) (xy 181.50509 93.871753) (xy 181.571753 93.80509) (xy 181.62413 93.726702) + (xy 181.660208 93.639603) (xy 181.6786 93.547138) (xy 181.6786 93.452862) (xy 181.660208 93.360397) (xy 181.62413 93.273298) + (xy 181.571753 93.19491) (xy 181.50509 93.128247) (xy 181.467099 93.102862) (xy 184.6714 93.102862) (xy 184.6714 93.197138) + (xy 184.689792 93.289603) (xy 184.72587 93.376702) (xy 184.778247 93.45509) (xy 184.84491 93.521753) (xy 184.923298 93.57413) + (xy 185.010397 93.610208) (xy 185.102862 93.6286) (xy 185.197138 93.6286) (xy 185.289603 93.610208) (xy 185.376702 93.57413) + (xy 185.45509 93.521753) (xy 185.521753 93.45509) (xy 185.523241 93.452862) (xy 189.2214 93.452862) (xy 189.2214 93.547138) + (xy 189.239792 93.639603) (xy 189.27587 93.726702) (xy 189.328247 93.80509) (xy 189.39491 93.871753) (xy 189.473298 93.92413) + (xy 189.560397 93.960208) (xy 189.652862 93.9786) (xy 189.747138 93.9786) (xy 189.839603 93.960208) (xy 189.926702 93.92413) + (xy 190.00509 93.871753) (xy 190.071753 93.80509) (xy 190.12413 93.726702) (xy 190.160208 93.639603) (xy 190.1786 93.547138) + (xy 190.1786 93.452862) (xy 190.160208 93.360397) (xy 190.12413 93.273298) (xy 190.071753 93.19491) (xy 190.00509 93.128247) + (xy 189.926702 93.07587) (xy 189.839603 93.039792) (xy 189.747138 93.0214) (xy 189.652862 93.0214) (xy 189.560397 93.039792) + (xy 189.473298 93.07587) (xy 189.39491 93.128247) (xy 189.328247 93.19491) (xy 189.27587 93.273298) (xy 189.239792 93.360397) + (xy 189.2214 93.452862) (xy 185.523241 93.452862) (xy 185.57413 93.376702) (xy 185.610208 93.289603) (xy 185.6286 93.197138) + (xy 185.6286 93.102862) (xy 185.610208 93.010397) (xy 185.57413 92.923298) (xy 185.521753 92.84491) (xy 185.45509 92.778247) + (xy 185.376702 92.72587) (xy 185.289603 92.689792) (xy 185.197138 92.6714) (xy 185.102862 92.6714) (xy 185.010397 92.689792) + (xy 184.923298 92.72587) (xy 184.84491 92.778247) (xy 184.778247 92.84491) (xy 184.72587 92.923298) (xy 184.689792 93.010397) + (xy 184.6714 93.102862) (xy 181.467099 93.102862) (xy 181.426702 93.07587) (xy 181.339603 93.039792) (xy 181.247138 93.0214) + (xy 181.152862 93.0214) (xy 181.060397 93.039792) (xy 180.973298 93.07587) (xy 180.89491 93.128247) (xy 180.828247 93.19491) + (xy 180.77587 93.273298) (xy 180.739792 93.360397) (xy 180.7214 93.452862) (xy 162.064103 93.452862) (xy 162.042753 93.42091) + (xy 161.97609 93.354247) (xy 161.897702 93.30187) (xy 161.810603 93.265792) (xy 161.718138 93.2474) (xy 161.623862 93.2474) + (xy 161.531397 93.265792) (xy 161.444298 93.30187) (xy 161.36591 93.354247) (xy 161.299247 93.42091) (xy 161.24687 93.499298) + (xy 161.210792 93.586397) (xy 161.1924 93.678862) (xy 157.854394 93.678862) (xy 157.851753 93.67491) (xy 157.78509 93.608247) + (xy 157.706702 93.55587) (xy 157.619603 93.519792) (xy 157.527138 93.5014) (xy 157.432862 93.5014) (xy 157.340397 93.519792) + (xy 157.253298 93.55587) (xy 157.17491 93.608247) (xy 157.108247 93.67491) (xy 157.05587 93.753298) (xy 157.019792 93.840397) + (xy 157.0014 93.932862) (xy 156.6886 93.932862) (xy 156.670208 93.840397) (xy 156.63413 93.753298) (xy 156.581753 93.67491) + (xy 156.51509 93.608247) (xy 156.436702 93.55587) (xy 156.349603 93.519792) (xy 156.257138 93.5014) (xy 156.162862 93.5014) + (xy 156.070397 93.519792) (xy 155.983298 93.55587) (xy 155.90491 93.608247) (xy 155.838247 93.67491) (xy 155.78587 93.753298) + (xy 155.749792 93.840397) (xy 155.7314 93.932862) (xy 155.4186 93.932862) (xy 155.400208 93.840397) (xy 155.36413 93.753298) + (xy 155.311753 93.67491) (xy 155.24509 93.608247) (xy 155.166702 93.55587) (xy 155.079603 93.519792) (xy 154.987138 93.5014) + (xy 154.892862 93.5014) (xy 154.800397 93.519792) (xy 154.713298 93.55587) (xy 154.63491 93.608247) (xy 154.568247 93.67491) + (xy 154.51587 93.753298) (xy 154.479792 93.840397) (xy 154.4614 93.932862) (xy 154.1486 93.932862) (xy 154.130208 93.840397) + (xy 154.09413 93.753298) (xy 154.041753 93.67491) (xy 153.97509 93.608247) (xy 153.896702 93.55587) (xy 153.809603 93.519792) + (xy 153.717138 93.5014) (xy 153.622862 93.5014) (xy 153.530397 93.519792) (xy 153.443298 93.55587) (xy 153.36491 93.608247) + (xy 153.298247 93.67491) (xy 153.24587 93.753298) (xy 153.209792 93.840397) (xy 153.1914 93.932862) (xy 152.8786 93.932862) + (xy 152.860208 93.840397) (xy 152.82413 93.753298) (xy 152.771753 93.67491) (xy 152.70509 93.608247) (xy 152.626702 93.55587) + (xy 152.539603 93.519792) (xy 152.447138 93.5014) (xy 152.352862 93.5014) (xy 152.260397 93.519792) (xy 152.173298 93.55587) + (xy 152.09491 93.608247) (xy 152.028247 93.67491) (xy 151.97587 93.753298) (xy 151.939792 93.840397) (xy 151.9214 93.932862) + (xy 151.6086 93.932862) (xy 151.590208 93.840397) (xy 151.55413 93.753298) (xy 151.501753 93.67491) (xy 151.43509 93.608247) + (xy 151.356702 93.55587) (xy 151.269603 93.519792) (xy 151.177138 93.5014) (xy 151.082862 93.5014) (xy 150.990397 93.519792) + (xy 150.903298 93.55587) (xy 150.82491 93.608247) (xy 150.758247 93.67491) (xy 150.70587 93.753298) (xy 150.669792 93.840397) + (xy 150.6514 93.932862) (xy 150.3386 93.932862) (xy 150.320208 93.840397) (xy 150.28413 93.753298) (xy 150.231753 93.67491) + (xy 150.16509 93.608247) (xy 150.086702 93.55587) (xy 149.999603 93.519792) (xy 149.907138 93.5014) (xy 149.812862 93.5014) + (xy 149.720397 93.519792) (xy 149.633298 93.55587) (xy 149.55491 93.608247) (xy 149.488247 93.67491) (xy 149.43587 93.753298) + (xy 149.399792 93.840397) (xy 149.3814 93.932862) (xy 149.0686 93.932862) (xy 149.050208 93.840397) (xy 149.01413 93.753298) + (xy 148.961753 93.67491) (xy 148.89509 93.608247) (xy 148.816702 93.55587) (xy 148.729603 93.519792) (xy 148.637138 93.5014) + (xy 148.542862 93.5014) (xy 148.450397 93.519792) (xy 148.363298 93.55587) (xy 148.28491 93.608247) (xy 148.218247 93.67491) + (xy 148.16587 93.753298) (xy 148.129792 93.840397) (xy 148.1114 93.932862) (xy 147.7986 93.932862) (xy 147.780208 93.840397) + (xy 147.74413 93.753298) (xy 147.691753 93.67491) (xy 147.62509 93.608247) (xy 147.546702 93.55587) (xy 147.459603 93.519792) + (xy 147.367138 93.5014) (xy 147.272862 93.5014) (xy 147.180397 93.519792) (xy 147.093298 93.55587) (xy 147.01491 93.608247) + (xy 146.948247 93.67491) (xy 146.89587 93.753298) (xy 146.859792 93.840397) (xy 146.8414 93.932862) (xy 146.5286 93.932862) + (xy 146.510208 93.840397) (xy 146.47413 93.753298) (xy 146.421753 93.67491) (xy 146.35509 93.608247) (xy 146.276702 93.55587) + (xy 146.189603 93.519792) (xy 146.097138 93.5014) (xy 146.002862 93.5014) (xy 145.910397 93.519792) (xy 145.823298 93.55587) + (xy 145.74491 93.608247) (xy 145.678247 93.67491) (xy 145.62587 93.753298) (xy 145.589792 93.840397) (xy 145.5714 93.932862) + (xy 145.2586 93.932862) (xy 145.240208 93.840397) (xy 145.20413 93.753298) (xy 145.151753 93.67491) (xy 145.08509 93.608247) + (xy 145.006702 93.55587) (xy 144.919603 93.519792) (xy 144.827138 93.5014) (xy 144.732862 93.5014) (xy 144.640397 93.519792) + (xy 144.553298 93.55587) (xy 144.47491 93.608247) (xy 144.408247 93.67491) (xy 144.35587 93.753298) (xy 144.319792 93.840397) + (xy 144.3014 93.932862) (xy 143.9886 93.932862) (xy 143.970208 93.840397) (xy 143.93413 93.753298) (xy 143.881753 93.67491) + (xy 143.81509 93.608247) (xy 143.736702 93.55587) (xy 143.649603 93.519792) (xy 143.557138 93.5014) (xy 143.462862 93.5014) + (xy 143.370397 93.519792) (xy 143.283298 93.55587) (xy 143.20491 93.608247) (xy 143.138247 93.67491) (xy 143.08587 93.753298) + (xy 143.049792 93.840397) (xy 143.0314 93.932862) (xy 142.7186 93.932862) (xy 142.700208 93.840397) (xy 142.66413 93.753298) + (xy 142.611753 93.67491) (xy 142.54509 93.608247) (xy 142.466702 93.55587) (xy 142.379603 93.519792) (xy 142.287138 93.5014) + (xy 142.192862 93.5014) (xy 142.100397 93.519792) (xy 142.013298 93.55587) (xy 141.93491 93.608247) (xy 141.868247 93.67491) + (xy 141.81587 93.753298) (xy 141.779792 93.840397) (xy 141.7614 93.932862) (xy 129.475698 93.932862) (xy 129.508287 93.854187) + (xy 129.5286 93.752063) (xy 129.5286 93.647937) (xy 129.508287 93.545813) (xy 129.46844 93.449614) (xy 129.410591 93.363037) + (xy 129.336963 93.289409) (xy 129.250386 93.23156) (xy 129.154187 93.191713) (xy 129.052063 93.1714) (xy 128.947937 93.1714) + (xy 128.845813 93.191713) (xy 128.749614 93.23156) (xy 128.663037 93.289409) (xy 128.589409 93.363037) (xy 128.53156 93.449614) + (xy 128.491713 93.545813) (xy 128.4714 93.647937) (xy 125.4286 93.647937) (xy 125.408287 93.545813) (xy 125.36844 93.449614) + (xy 125.310591 93.363037) (xy 125.236963 93.289409) (xy 125.150386 93.23156) (xy 125.054187 93.191713) (xy 124.952063 93.1714) + (xy 124.847937 93.1714) (xy 124.745813 93.191713) (xy 124.649614 93.23156) (xy 124.563037 93.289409) (xy 124.489409 93.363037) + (xy 124.43156 93.449614) (xy 124.391713 93.545813) (xy 124.3714 93.647937) (xy 118.7286 93.647937) (xy 118.7286 93.638088) + (xy 118.704444 93.516644) (xy 118.657059 93.402246) (xy 118.588266 93.299291) (xy 118.500709 93.211734) (xy 118.397754 93.142941) + (xy 118.283356 93.095556) (xy 118.161912 93.0714) (xy 118.038088 93.0714) (xy 117.916644 93.095556) (xy 117.802246 93.142941) + (xy 117.699291 93.211734) (xy 117.611734 93.299291) (xy 117.542941 93.402246) (xy 117.495556 93.516644) (xy 117.4714 93.638088) + (xy 112.4286 93.638088) (xy 112.404444 93.516644) (xy 112.357059 93.402246) (xy 112.288266 93.299291) (xy 112.200709 93.211734) + (xy 112.097754 93.142941) (xy 111.983356 93.095556) (xy 111.861912 93.0714) (xy 111.738088 93.0714) (xy 111.616644 93.095556) + (xy 111.502246 93.142941) (xy 111.399291 93.211734) (xy 111.311734 93.299291) (xy 111.242941 93.402246) (xy 111.195556 93.516644) + (xy 111.1714 93.638088) (xy 103.5546 93.638088) (xy 103.5546 92.588088) (xy 112.3714 92.588088) (xy 112.3714 92.711912) + (xy 112.395556 92.833356) (xy 112.442941 92.947754) (xy 112.511734 93.050709) (xy 112.599291 93.138266) (xy 112.702246 93.207059) + (xy 112.816644 93.254444) (xy 112.938088 93.2786) (xy 113.061912 93.2786) (xy 113.183356 93.254444) (xy 113.297754 93.207059) + (xy 113.400709 93.138266) (xy 113.488266 93.050709) (xy 113.557059 92.947754) (xy 113.604444 92.833356) (xy 113.6286 92.711912) + (xy 113.6286 92.588088) (xy 116.2714 92.588088) (xy 116.2714 92.711912) (xy 116.295556 92.833356) (xy 116.342941 92.947754) + (xy 116.411734 93.050709) (xy 116.499291 93.138266) (xy 116.602246 93.207059) (xy 116.716644 93.254444) (xy 116.838088 93.2786) + (xy 116.961912 93.2786) (xy 117.083356 93.254444) (xy 117.197754 93.207059) (xy 117.300709 93.138266) (xy 117.388266 93.050709) + (xy 117.392841 93.043862) (xy 135.0304 93.043862) (xy 135.0304 93.138138) (xy 135.048792 93.230603) (xy 135.08487 93.317702) + (xy 135.137247 93.39609) (xy 135.20391 93.462753) (xy 135.282298 93.51513) (xy 135.369397 93.551208) (xy 135.461862 93.5696) + (xy 135.556138 93.5696) (xy 135.648603 93.551208) (xy 135.735702 93.51513) (xy 135.81409 93.462753) (xy 135.880753 93.39609) + (xy 135.93313 93.317702) (xy 135.969208 93.230603) (xy 135.9876 93.138138) (xy 135.9876 93.043862) (xy 135.969208 92.951397) + (xy 135.93313 92.864298) (xy 135.880753 92.78591) (xy 135.81409 92.719247) (xy 135.735702 92.66687) (xy 135.648603 92.630792) + (xy 135.556138 92.6124) (xy 135.461862 92.6124) (xy 135.369397 92.630792) (xy 135.282298 92.66687) (xy 135.20391 92.719247) + (xy 135.137247 92.78591) (xy 135.08487 92.864298) (xy 135.048792 92.951397) (xy 135.0304 93.043862) (xy 117.392841 93.043862) + (xy 117.457059 92.947754) (xy 117.504444 92.833356) (xy 117.5286 92.711912) (xy 117.5286 92.588088) (xy 117.511648 92.502862) + (xy 183.5714 92.502862) (xy 183.5714 92.597138) (xy 183.589792 92.689603) (xy 183.62587 92.776702) (xy 183.678247 92.85509) + (xy 183.74491 92.921753) (xy 183.823298 92.97413) (xy 183.910397 93.010208) (xy 184.002862 93.0286) (xy 184.097138 93.0286) + (xy 184.189603 93.010208) (xy 184.276702 92.97413) (xy 184.35509 92.921753) (xy 184.421753 92.85509) (xy 184.47413 92.776702) + (xy 184.510208 92.689603) (xy 184.5286 92.597138) (xy 184.5286 92.502862) (xy 185.7714 92.502862) (xy 185.7714 92.597138) + (xy 185.789792 92.689603) (xy 185.82587 92.776702) (xy 185.878247 92.85509) (xy 185.94491 92.921753) (xy 186.023298 92.97413) + (xy 186.110397 93.010208) (xy 186.202862 93.0286) (xy 186.297138 93.0286) (xy 186.389603 93.010208) (xy 186.476702 92.97413) + (xy 186.55509 92.921753) (xy 186.621753 92.85509) (xy 186.67413 92.776702) (xy 186.710208 92.689603) (xy 186.7286 92.597138) + (xy 186.7286 92.502862) (xy 191.6714 92.502862) (xy 191.6714 92.597138) (xy 191.689792 92.689603) (xy 191.72587 92.776702) + (xy 191.778247 92.85509) (xy 191.84491 92.921753) (xy 191.923298 92.97413) (xy 192.010397 93.010208) (xy 192.102862 93.0286) + (xy 192.197138 93.0286) (xy 192.289603 93.010208) (xy 192.376702 92.97413) (xy 192.45509 92.921753) (xy 192.521753 92.85509) + (xy 192.57413 92.776702) (xy 192.610208 92.689603) (xy 192.6286 92.597138) (xy 192.6286 92.502862) (xy 192.610208 92.410397) + (xy 192.57413 92.323298) (xy 192.521753 92.24491) (xy 192.45509 92.178247) (xy 192.376702 92.12587) (xy 192.289603 92.089792) + (xy 192.197138 92.0714) (xy 192.102862 92.0714) (xy 192.010397 92.089792) (xy 191.923298 92.12587) (xy 191.84491 92.178247) + (xy 191.778247 92.24491) (xy 191.72587 92.323298) (xy 191.689792 92.410397) (xy 191.6714 92.502862) (xy 186.7286 92.502862) + (xy 186.710208 92.410397) (xy 186.67413 92.323298) (xy 186.621753 92.24491) (xy 186.55509 92.178247) (xy 186.476702 92.12587) + (xy 186.389603 92.089792) (xy 186.297138 92.0714) (xy 186.202862 92.0714) (xy 186.110397 92.089792) (xy 186.023298 92.12587) + (xy 185.94491 92.178247) (xy 185.878247 92.24491) (xy 185.82587 92.323298) (xy 185.789792 92.410397) (xy 185.7714 92.502862) + (xy 184.5286 92.502862) (xy 184.510208 92.410397) (xy 184.47413 92.323298) (xy 184.421753 92.24491) (xy 184.35509 92.178247) + (xy 184.276702 92.12587) (xy 184.189603 92.089792) (xy 184.097138 92.0714) (xy 184.002862 92.0714) (xy 183.910397 92.089792) + (xy 183.823298 92.12587) (xy 183.74491 92.178247) (xy 183.678247 92.24491) (xy 183.62587 92.323298) (xy 183.589792 92.410397) + (xy 183.5714 92.502862) (xy 117.511648 92.502862) (xy 117.504444 92.466644) (xy 117.457059 92.352246) (xy 117.388266 92.249291) + (xy 117.300709 92.161734) (xy 117.197754 92.092941) (xy 117.083356 92.045556) (xy 116.961912 92.0214) (xy 116.838088 92.0214) + (xy 116.716644 92.045556) (xy 116.602246 92.092941) (xy 116.499291 92.161734) (xy 116.411734 92.249291) (xy 116.342941 92.352246) + (xy 116.295556 92.466644) (xy 116.2714 92.588088) (xy 113.6286 92.588088) (xy 113.604444 92.466644) (xy 113.557059 92.352246) + (xy 113.488266 92.249291) (xy 113.400709 92.161734) (xy 113.297754 92.092941) (xy 113.183356 92.045556) (xy 113.061912 92.0214) + (xy 112.938088 92.0214) (xy 112.816644 92.045556) (xy 112.702246 92.092941) (xy 112.599291 92.161734) (xy 112.511734 92.249291) + (xy 112.442941 92.352246) (xy 112.395556 92.466644) (xy 112.3714 92.588088) (xy 103.5546 92.588088) (xy 103.5546 91.452862) + (xy 124.4214 91.452862) (xy 124.4214 91.547138) (xy 124.439792 91.639603) (xy 124.47587 91.726702) (xy 124.528247 91.80509) + (xy 124.59491 91.871753) (xy 124.673298 91.92413) (xy 124.760397 91.960208) (xy 124.852862 91.9786) (xy 124.947138 91.9786) + (xy 125.039603 91.960208) (xy 125.126702 91.92413) (xy 125.20509 91.871753) (xy 125.271753 91.80509) (xy 125.32413 91.726702) + (xy 125.360208 91.639603) (xy 125.3786 91.547138) (xy 125.3786 91.452862) (xy 128.5214 91.452862) (xy 128.5214 91.547138) + (xy 128.539792 91.639603) (xy 128.57587 91.726702) (xy 128.628247 91.80509) (xy 128.69491 91.871753) (xy 128.773298 91.92413) + (xy 128.860397 91.960208) (xy 128.952862 91.9786) (xy 129.047138 91.9786) (xy 129.139603 91.960208) (xy 129.226702 91.92413) + (xy 129.30509 91.871753) (xy 129.371753 91.80509) (xy 129.42413 91.726702) (xy 129.460208 91.639603) (xy 129.4786 91.547138) + (xy 129.4786 91.452862) (xy 129.477621 91.447937) (xy 132.1714 91.447937) (xy 132.1714 91.552063) (xy 132.191713 91.654187) + (xy 132.23156 91.750386) (xy 132.289409 91.836963) (xy 132.363037 91.910591) (xy 132.449614 91.96844) (xy 132.545813 92.008287) + (xy 132.647937 92.0286) (xy 132.752063 92.0286) (xy 132.854187 92.008287) (xy 132.950386 91.96844) (xy 132.956489 91.964362) + (xy 161.7639 91.964362) (xy 161.7639 92.058638) (xy 161.782292 92.151103) (xy 161.81837 92.238202) (xy 161.870747 92.31659) + (xy 161.93741 92.383253) (xy 162.015798 92.43563) (xy 162.102897 92.471708) (xy 162.195362 92.4901) (xy 162.289638 92.4901) + (xy 162.382103 92.471708) (xy 162.469202 92.43563) (xy 162.54759 92.383253) (xy 162.614253 92.31659) (xy 162.66663 92.238202) + (xy 162.702708 92.151103) (xy 162.7211 92.058638) (xy 162.7211 91.964362) (xy 162.702708 91.871897) (xy 162.694824 91.852862) + (xy 189.4714 91.852862) (xy 189.4714 91.947138) (xy 189.489792 92.039603) (xy 189.52587 92.126702) (xy 189.578247 92.20509) + (xy 189.64491 92.271753) (xy 189.723298 92.32413) (xy 189.810397 92.360208) (xy 189.902862 92.3786) (xy 189.997138 92.3786) + (xy 190.089603 92.360208) (xy 190.176702 92.32413) (xy 190.25509 92.271753) (xy 190.321753 92.20509) (xy 190.37413 92.126702) + (xy 190.410208 92.039603) (xy 190.4286 91.947138) (xy 190.4286 91.852862) (xy 190.410208 91.760397) (xy 190.37413 91.673298) + (xy 190.321753 91.59491) (xy 190.25509 91.528247) (xy 190.176702 91.47587) (xy 190.089603 91.439792) (xy 189.997138 91.4214) + (xy 189.902862 91.4214) (xy 189.810397 91.439792) (xy 189.723298 91.47587) (xy 189.64491 91.528247) (xy 189.578247 91.59491) + (xy 189.52587 91.673298) (xy 189.489792 91.760397) (xy 189.4714 91.852862) (xy 162.694824 91.852862) (xy 162.66663 91.784798) + (xy 162.614253 91.70641) (xy 162.54759 91.639747) (xy 162.469202 91.58737) (xy 162.382103 91.551292) (xy 162.289638 91.5329) + (xy 162.195362 91.5329) (xy 162.102897 91.551292) (xy 162.015798 91.58737) (xy 161.93741 91.639747) (xy 161.870747 91.70641) + (xy 161.81837 91.784798) (xy 161.782292 91.871897) (xy 161.7639 91.964362) (xy 132.956489 91.964362) (xy 133.036963 91.910591) + (xy 133.110591 91.836963) (xy 133.16844 91.750386) (xy 133.208287 91.654187) (xy 133.2286 91.552063) (xy 133.2286 91.447937) + (xy 133.208287 91.345813) (xy 133.16844 91.249614) (xy 133.110591 91.163037) (xy 133.100416 91.152862) (xy 191.6714 91.152862) + (xy 191.6714 91.247138) (xy 191.689792 91.339603) (xy 191.72587 91.426702) (xy 191.778247 91.50509) (xy 191.84491 91.571753) + (xy 191.923298 91.62413) (xy 192.010397 91.660208) (xy 192.102862 91.6786) (xy 192.197138 91.6786) (xy 192.289603 91.660208) + (xy 192.376702 91.62413) (xy 192.45509 91.571753) (xy 192.521753 91.50509) (xy 192.57413 91.426702) (xy 192.609565 91.341154) + (xy 199.6564 91.341154) (xy 199.6564 91.538846) (xy 199.694968 91.732739) (xy 199.770621 91.915383) (xy 199.880453 92.079758) + (xy 200.020242 92.219547) (xy 200.184617 92.329379) (xy 200.367261 92.405032) (xy 200.561154 92.4436) (xy 200.758846 92.4436) + (xy 200.952739 92.405032) (xy 201.135383 92.329379) (xy 201.299758 92.219547) (xy 201.356473 92.162832) (xy 202.581537 92.162832) + (xy 202.668539 92.297049) (xy 202.845953 92.384265) (xy 203.036972 92.435192) (xy 203.234257 92.447875) (xy 203.430225 92.421826) + (xy 203.617346 92.358046) (xy 203.731461 92.297049) (xy 203.818463 92.162832) (xy 203.2 91.544369) (xy 202.581537 92.162832) + (xy 201.356473 92.162832) (xy 201.439547 92.079758) (xy 201.549379 91.915383) (xy 201.625032 91.732739) (xy 201.6636 91.538846) + (xy 201.6636 91.474257) (xy 202.192125 91.474257) (xy 202.218174 91.670225) (xy 202.281954 91.857346) (xy 202.342951 91.971461) + (xy 202.477168 92.058463) (xy 203.095631 91.44) (xy 203.304369 91.44) (xy 203.922832 92.058463) (xy 204.057049 91.971461) + (xy 204.144265 91.794047) (xy 204.195192 91.603028) (xy 204.207875 91.405743) (xy 204.19929 91.341154) (xy 204.7364 91.341154) + (xy 204.7364 91.538846) (xy 204.774968 91.732739) (xy 204.850621 91.915383) (xy 204.960453 92.079758) (xy 205.100242 92.219547) + (xy 205.264617 92.329379) (xy 205.447261 92.405032) (xy 205.641154 92.4436) (xy 205.838846 92.4436) (xy 206.032739 92.405032) + (xy 206.215383 92.329379) (xy 206.379758 92.219547) (xy 206.519547 92.079758) (xy 206.629379 91.915383) (xy 206.705032 91.732739) + (xy 206.7436 91.538846) (xy 206.7436 91.341154) (xy 206.705032 91.147261) (xy 206.629379 90.964617) (xy 206.519547 90.800242) + (xy 206.379758 90.660453) (xy 206.215383 90.550621) (xy 206.032739 90.474968) (xy 205.838846 90.4364) (xy 205.641154 90.4364) + (xy 205.447261 90.474968) (xy 205.264617 90.550621) (xy 205.100242 90.660453) (xy 204.960453 90.800242) (xy 204.850621 90.964617) + (xy 204.774968 91.147261) (xy 204.7364 91.341154) (xy 204.19929 91.341154) (xy 204.181826 91.209775) (xy 204.118046 91.022654) + (xy 204.057049 90.908539) (xy 203.922832 90.821537) (xy 203.304369 91.44) (xy 203.095631 91.44) (xy 202.477168 90.821537) + (xy 202.342951 90.908539) (xy 202.255735 91.085953) (xy 202.204808 91.276972) (xy 202.192125 91.474257) (xy 201.6636 91.474257) + (xy 201.6636 91.341154) (xy 201.625032 91.147261) (xy 201.549379 90.964617) (xy 201.439547 90.800242) (xy 201.356473 90.717168) + (xy 202.581537 90.717168) (xy 203.2 91.335631) (xy 203.818463 90.717168) (xy 203.731461 90.582951) (xy 203.554047 90.495735) + (xy 203.363028 90.444808) (xy 203.165743 90.432125) (xy 202.969775 90.458174) (xy 202.782654 90.521954) (xy 202.668539 90.582951) + (xy 202.581537 90.717168) (xy 201.356473 90.717168) (xy 201.299758 90.660453) (xy 201.135383 90.550621) (xy 200.952739 90.474968) + (xy 200.758846 90.4364) (xy 200.561154 90.4364) (xy 200.367261 90.474968) (xy 200.184617 90.550621) (xy 200.020242 90.660453) + (xy 199.880453 90.800242) (xy 199.770621 90.964617) (xy 199.694968 91.147261) (xy 199.6564 91.341154) (xy 192.609565 91.341154) + (xy 192.610208 91.339603) (xy 192.6286 91.247138) (xy 192.6286 91.152862) (xy 192.610208 91.060397) (xy 192.57413 90.973298) + (xy 192.521753 90.89491) (xy 192.45509 90.828247) (xy 192.376702 90.77587) (xy 192.289603 90.739792) (xy 192.197138 90.7214) + (xy 192.102862 90.7214) (xy 192.010397 90.739792) (xy 191.923298 90.77587) (xy 191.84491 90.828247) (xy 191.778247 90.89491) + (xy 191.72587 90.973298) (xy 191.689792 91.060397) (xy 191.6714 91.152862) (xy 133.100416 91.152862) (xy 133.036963 91.089409) + (xy 132.950386 91.03156) (xy 132.854187 90.991713) (xy 132.752063 90.9714) (xy 132.647937 90.9714) (xy 132.545813 90.991713) + (xy 132.449614 91.03156) (xy 132.363037 91.089409) (xy 132.289409 91.163037) (xy 132.23156 91.249614) (xy 132.191713 91.345813) + (xy 132.1714 91.447937) (xy 129.477621 91.447937) (xy 129.460208 91.360397) (xy 129.42413 91.273298) (xy 129.371753 91.19491) + (xy 129.30509 91.128247) (xy 129.226702 91.07587) (xy 129.139603 91.039792) (xy 129.047138 91.0214) (xy 128.952862 91.0214) + (xy 128.860397 91.039792) (xy 128.773298 91.07587) (xy 128.69491 91.128247) (xy 128.628247 91.19491) (xy 128.57587 91.273298) + (xy 128.539792 91.360397) (xy 128.5214 91.452862) (xy 125.3786 91.452862) (xy 125.360208 91.360397) (xy 125.32413 91.273298) + (xy 125.271753 91.19491) (xy 125.20509 91.128247) (xy 125.126702 91.07587) (xy 125.039603 91.039792) (xy 124.947138 91.0214) + (xy 124.852862 91.0214) (xy 124.760397 91.039792) (xy 124.673298 91.07587) (xy 124.59491 91.128247) (xy 124.528247 91.19491) + (xy 124.47587 91.273298) (xy 124.439792 91.360397) (xy 124.4214 91.452862) (xy 103.5546 91.452862) (xy 103.5546 90.122862) + (xy 103.5979 90.122862) (xy 103.5979 90.217138) (xy 103.616292 90.309603) (xy 103.65237 90.396702) (xy 103.704747 90.47509) + (xy 103.77141 90.541753) (xy 103.849798 90.59413) (xy 103.936897 90.630208) (xy 104.029362 90.6486) (xy 104.123638 90.6486) + (xy 104.216103 90.630208) (xy 104.303202 90.59413) (xy 104.38159 90.541753) (xy 104.448253 90.47509) (xy 104.50063 90.396702) + (xy 104.536708 90.309603) (xy 104.548973 90.247937) (xy 125.7214 90.247937) (xy 125.7214 90.352063) (xy 125.741713 90.454187) + (xy 125.78156 90.550386) (xy 125.839409 90.636963) (xy 125.913037 90.710591) (xy 125.999614 90.76844) (xy 126.095813 90.808287) + (xy 126.197937 90.8286) (xy 126.302063 90.8286) (xy 126.404187 90.808287) (xy 126.500386 90.76844) (xy 126.586963 90.710591) + (xy 126.660591 90.636963) (xy 126.71844 90.550386) (xy 126.758287 90.454187) (xy 126.7786 90.352063) (xy 126.7786 90.297937) + (xy 127.0714 90.297937) (xy 127.0714 90.402063) (xy 127.091713 90.504187) (xy 127.13156 90.600386) (xy 127.189409 90.686963) + (xy 127.263037 90.760591) (xy 127.349614 90.81844) (xy 127.445813 90.858287) (xy 127.547937 90.8786) (xy 127.652063 90.8786) + (xy 127.754187 90.858287) (xy 127.850386 90.81844) (xy 127.936963 90.760591) (xy 128.010591 90.686963) (xy 128.06844 90.600386) + (xy 128.108287 90.504187) (xy 128.1286 90.402063) (xy 128.1286 90.297937) (xy 128.118655 90.247937) (xy 129.8214 90.247937) + (xy 129.8214 90.352063) (xy 129.841713 90.454187) (xy 129.88156 90.550386) (xy 129.939409 90.636963) (xy 130.013037 90.710591) + (xy 130.099614 90.76844) (xy 130.195813 90.808287) (xy 130.297937 90.8286) (xy 130.402063 90.8286) (xy 130.504187 90.808287) + (xy 130.600386 90.76844) (xy 130.686963 90.710591) (xy 130.760591 90.636963) (xy 130.81844 90.550386) (xy 130.858287 90.454187) + (xy 130.8786 90.352063) (xy 130.8786 90.297937) (xy 131.1714 90.297937) (xy 131.1714 90.402063) (xy 131.191713 90.504187) + (xy 131.23156 90.600386) (xy 131.289409 90.686963) (xy 131.363037 90.760591) (xy 131.449614 90.81844) (xy 131.545813 90.858287) + (xy 131.647937 90.8786) (xy 131.752063 90.8786) (xy 131.854187 90.858287) (xy 131.950386 90.81844) (xy 132.036963 90.760591) + (xy 132.110591 90.686963) (xy 132.16844 90.600386) (xy 132.208287 90.504187) (xy 132.2286 90.402063) (xy 132.2286 90.297937) + (xy 132.208287 90.195813) (xy 132.17807 90.122862) (xy 137.9514 90.122862) (xy 137.9514 90.217138) (xy 137.969792 90.309603) + (xy 138.00587 90.396702) (xy 138.058247 90.47509) (xy 138.12491 90.541753) (xy 138.203298 90.59413) (xy 138.290397 90.630208) + (xy 138.382862 90.6486) (xy 138.477138 90.6486) (xy 138.569603 90.630208) (xy 138.656702 90.59413) (xy 138.73509 90.541753) + (xy 138.801753 90.47509) (xy 138.85413 90.396702) (xy 138.890208 90.309603) (xy 138.9086 90.217138) (xy 138.9086 90.122862) + (xy 139.2214 90.122862) (xy 139.2214 90.217138) (xy 139.239792 90.309603) (xy 139.27587 90.396702) (xy 139.328247 90.47509) + (xy 139.39491 90.541753) (xy 139.473298 90.59413) (xy 139.560397 90.630208) (xy 139.652862 90.6486) (xy 139.747138 90.6486) + (xy 139.839603 90.630208) (xy 139.926702 90.59413) (xy 140.00509 90.541753) (xy 140.071753 90.47509) (xy 140.12413 90.396702) + (xy 140.160208 90.309603) (xy 140.1786 90.217138) (xy 140.1786 90.122862) (xy 140.4914 90.122862) (xy 140.4914 90.217138) + (xy 140.509792 90.309603) (xy 140.54587 90.396702) (xy 140.598247 90.47509) (xy 140.66491 90.541753) (xy 140.743298 90.59413) + (xy 140.830397 90.630208) (xy 140.922862 90.6486) (xy 141.017138 90.6486) (xy 141.109603 90.630208) (xy 141.196702 90.59413) + (xy 141.27509 90.541753) (xy 141.341753 90.47509) (xy 141.39413 90.396702) (xy 141.430208 90.309603) (xy 141.4486 90.217138) + (xy 141.4486 90.122862) (xy 141.7614 90.122862) (xy 141.7614 90.217138) (xy 141.779792 90.309603) (xy 141.81587 90.396702) + (xy 141.868247 90.47509) (xy 141.93491 90.541753) (xy 142.013298 90.59413) (xy 142.100397 90.630208) (xy 142.192862 90.6486) + (xy 142.287138 90.6486) (xy 142.379603 90.630208) (xy 142.466702 90.59413) (xy 142.54509 90.541753) (xy 142.611753 90.47509) + (xy 142.66413 90.396702) (xy 142.700208 90.309603) (xy 142.7186 90.217138) (xy 142.7186 90.122862) (xy 143.6664 90.122862) + (xy 143.6664 90.217138) (xy 143.684792 90.309603) (xy 143.72087 90.396702) (xy 143.773247 90.47509) (xy 143.83991 90.541753) + (xy 143.918298 90.59413) (xy 144.005397 90.630208) (xy 144.097862 90.6486) (xy 144.192138 90.6486) (xy 144.284603 90.630208) + (xy 144.371702 90.59413) (xy 144.45009 90.541753) (xy 144.516753 90.47509) (xy 144.56913 90.396702) (xy 144.605208 90.309603) + (xy 144.6236 90.217138) (xy 144.6236 90.122862) (xy 144.9364 90.122862) (xy 144.9364 90.217138) (xy 144.954792 90.309603) + (xy 144.99087 90.396702) (xy 145.043247 90.47509) (xy 145.10991 90.541753) (xy 145.188298 90.59413) (xy 145.275397 90.630208) + (xy 145.367862 90.6486) (xy 145.462138 90.6486) (xy 145.554603 90.630208) (xy 145.641702 90.59413) (xy 145.72009 90.541753) + (xy 145.786753 90.47509) (xy 145.83913 90.396702) (xy 145.875208 90.309603) (xy 145.8936 90.217138) (xy 145.8936 90.122862) + (xy 146.2064 90.122862) (xy 146.2064 90.217138) (xy 146.224792 90.309603) (xy 146.26087 90.396702) (xy 146.313247 90.47509) + (xy 146.37991 90.541753) (xy 146.458298 90.59413) (xy 146.545397 90.630208) (xy 146.637862 90.6486) (xy 146.732138 90.6486) + (xy 146.824603 90.630208) (xy 146.911702 90.59413) (xy 146.99009 90.541753) (xy 147.056753 90.47509) (xy 147.10913 90.396702) + (xy 147.145208 90.309603) (xy 147.1636 90.217138) (xy 147.1636 90.122862) (xy 147.4764 90.122862) (xy 147.4764 90.217138) + (xy 147.494792 90.309603) (xy 147.53087 90.396702) (xy 147.583247 90.47509) (xy 147.64991 90.541753) (xy 147.728298 90.59413) + (xy 147.815397 90.630208) (xy 147.907862 90.6486) (xy 148.002138 90.6486) (xy 148.094603 90.630208) (xy 148.181702 90.59413) + (xy 148.26009 90.541753) (xy 148.326753 90.47509) (xy 148.37913 90.396702) (xy 148.415208 90.309603) (xy 148.4336 90.217138) + (xy 148.4336 90.122862) (xy 148.7464 90.122862) (xy 148.7464 90.217138) (xy 148.764792 90.309603) (xy 148.80087 90.396702) + (xy 148.853247 90.47509) (xy 148.91991 90.541753) (xy 148.998298 90.59413) (xy 149.085397 90.630208) (xy 149.177862 90.6486) + (xy 149.272138 90.6486) (xy 149.364603 90.630208) (xy 149.451702 90.59413) (xy 149.53009 90.541753) (xy 149.596753 90.47509) + (xy 149.64913 90.396702) (xy 149.685208 90.309603) (xy 149.7036 90.217138) (xy 149.7036 90.122862) (xy 150.0164 90.122862) + (xy 150.0164 90.217138) (xy 150.034792 90.309603) (xy 150.07087 90.396702) (xy 150.123247 90.47509) (xy 150.18991 90.541753) + (xy 150.268298 90.59413) (xy 150.355397 90.630208) (xy 150.447862 90.6486) (xy 150.542138 90.6486) (xy 150.634603 90.630208) + (xy 150.721702 90.59413) (xy 150.80009 90.541753) (xy 150.866753 90.47509) (xy 150.91913 90.396702) (xy 150.955208 90.309603) + (xy 150.9736 90.217138) (xy 150.9736 90.122862) (xy 151.2864 90.122862) (xy 151.2864 90.217138) (xy 151.304792 90.309603) + (xy 151.34087 90.396702) (xy 151.393247 90.47509) (xy 151.45991 90.541753) (xy 151.538298 90.59413) (xy 151.625397 90.630208) + (xy 151.717862 90.6486) (xy 151.812138 90.6486) (xy 151.904603 90.630208) (xy 151.991702 90.59413) (xy 152.053464 90.552862) + (xy 189.4714 90.552862) (xy 189.4714 90.647138) (xy 189.489792 90.739603) (xy 189.52587 90.826702) (xy 189.578247 90.90509) + (xy 189.64491 90.971753) (xy 189.723298 91.02413) (xy 189.810397 91.060208) (xy 189.902862 91.0786) (xy 189.997138 91.0786) + (xy 190.089603 91.060208) (xy 190.176702 91.02413) (xy 190.25509 90.971753) (xy 190.321753 90.90509) (xy 190.37413 90.826702) + (xy 190.410208 90.739603) (xy 190.4286 90.647138) (xy 190.4286 90.552862) (xy 190.410208 90.460397) (xy 190.37413 90.373298) + (xy 190.321753 90.29491) (xy 190.25509 90.228247) (xy 190.176702 90.17587) (xy 190.089603 90.139792) (xy 189.997138 90.1214) + (xy 189.902862 90.1214) (xy 189.810397 90.139792) (xy 189.723298 90.17587) (xy 189.64491 90.228247) (xy 189.578247 90.29491) + (xy 189.52587 90.373298) (xy 189.489792 90.460397) (xy 189.4714 90.552862) (xy 152.053464 90.552862) (xy 152.07009 90.541753) + (xy 152.136753 90.47509) (xy 152.18913 90.396702) (xy 152.225208 90.309603) (xy 152.2436 90.217138) (xy 152.2436 90.122862) + (xy 152.225208 90.030397) (xy 152.18913 89.943298) (xy 152.162112 89.902862) (xy 191.6714 89.902862) (xy 191.6714 89.997138) + (xy 191.689792 90.089603) (xy 191.72587 90.176702) (xy 191.778247 90.25509) (xy 191.84491 90.321753) (xy 191.923298 90.37413) + (xy 192.010397 90.410208) (xy 192.102862 90.4286) (xy 192.197138 90.4286) (xy 192.289603 90.410208) (xy 192.376702 90.37413) + (xy 192.45509 90.321753) (xy 192.521753 90.25509) (xy 192.57413 90.176702) (xy 192.596431 90.122862) (xy 211.0399 90.122862) + (xy 211.0399 90.217138) (xy 211.058292 90.309603) (xy 211.09437 90.396702) (xy 211.146747 90.47509) (xy 211.21341 90.541753) + (xy 211.291798 90.59413) (xy 211.378897 90.630208) (xy 211.471362 90.6486) (xy 211.565638 90.6486) (xy 211.658103 90.630208) + (xy 211.745202 90.59413) (xy 211.82359 90.541753) (xy 211.890253 90.47509) (xy 211.94263 90.396702) (xy 211.978708 90.309603) + (xy 211.9971 90.217138) (xy 211.9971 90.122862) (xy 211.978708 90.030397) (xy 211.94263 89.943298) (xy 211.890253 89.86491) + (xy 211.82359 89.798247) (xy 211.745202 89.74587) (xy 211.658103 89.709792) (xy 211.565638 89.6914) (xy 211.471362 89.6914) + (xy 211.378897 89.709792) (xy 211.291798 89.74587) (xy 211.21341 89.798247) (xy 211.146747 89.86491) (xy 211.09437 89.943298) + (xy 211.058292 90.030397) (xy 211.0399 90.122862) (xy 192.596431 90.122862) (xy 192.610208 90.089603) (xy 192.6286 89.997138) + (xy 192.6286 89.902862) (xy 192.610208 89.810397) (xy 192.57413 89.723298) (xy 192.521753 89.64491) (xy 192.45509 89.578247) + (xy 192.376702 89.52587) (xy 192.289603 89.489792) (xy 192.197138 89.4714) (xy 192.102862 89.4714) (xy 192.010397 89.489792) + (xy 191.923298 89.52587) (xy 191.84491 89.578247) (xy 191.778247 89.64491) (xy 191.72587 89.723298) (xy 191.689792 89.810397) + (xy 191.6714 89.902862) (xy 152.162112 89.902862) (xy 152.136753 89.86491) (xy 152.07009 89.798247) (xy 151.991702 89.74587) + (xy 151.904603 89.709792) (xy 151.812138 89.6914) (xy 151.717862 89.6914) (xy 151.625397 89.709792) (xy 151.538298 89.74587) + (xy 151.45991 89.798247) (xy 151.393247 89.86491) (xy 151.34087 89.943298) (xy 151.304792 90.030397) (xy 151.2864 90.122862) + (xy 150.9736 90.122862) (xy 150.955208 90.030397) (xy 150.91913 89.943298) (xy 150.866753 89.86491) (xy 150.80009 89.798247) + (xy 150.721702 89.74587) (xy 150.634603 89.709792) (xy 150.542138 89.6914) (xy 150.447862 89.6914) (xy 150.355397 89.709792) + (xy 150.268298 89.74587) (xy 150.18991 89.798247) (xy 150.123247 89.86491) (xy 150.07087 89.943298) (xy 150.034792 90.030397) + (xy 150.0164 90.122862) (xy 149.7036 90.122862) (xy 149.685208 90.030397) (xy 149.64913 89.943298) (xy 149.596753 89.86491) + (xy 149.53009 89.798247) (xy 149.451702 89.74587) (xy 149.364603 89.709792) (xy 149.272138 89.6914) (xy 149.177862 89.6914) + (xy 149.085397 89.709792) (xy 148.998298 89.74587) (xy 148.91991 89.798247) (xy 148.853247 89.86491) (xy 148.80087 89.943298) + (xy 148.764792 90.030397) (xy 148.7464 90.122862) (xy 148.4336 90.122862) (xy 148.415208 90.030397) (xy 148.37913 89.943298) + (xy 148.326753 89.86491) (xy 148.26009 89.798247) (xy 148.181702 89.74587) (xy 148.094603 89.709792) (xy 148.002138 89.6914) + (xy 147.907862 89.6914) (xy 147.815397 89.709792) (xy 147.728298 89.74587) (xy 147.64991 89.798247) (xy 147.583247 89.86491) + (xy 147.53087 89.943298) (xy 147.494792 90.030397) (xy 147.4764 90.122862) (xy 147.1636 90.122862) (xy 147.145208 90.030397) + (xy 147.10913 89.943298) (xy 147.056753 89.86491) (xy 146.99009 89.798247) (xy 146.911702 89.74587) (xy 146.824603 89.709792) + (xy 146.732138 89.6914) (xy 146.637862 89.6914) (xy 146.545397 89.709792) (xy 146.458298 89.74587) (xy 146.37991 89.798247) + (xy 146.313247 89.86491) (xy 146.26087 89.943298) (xy 146.224792 90.030397) (xy 146.2064 90.122862) (xy 145.8936 90.122862) + (xy 145.875208 90.030397) (xy 145.83913 89.943298) (xy 145.786753 89.86491) (xy 145.72009 89.798247) (xy 145.641702 89.74587) + (xy 145.554603 89.709792) (xy 145.462138 89.6914) (xy 145.367862 89.6914) (xy 145.275397 89.709792) (xy 145.188298 89.74587) + (xy 145.10991 89.798247) (xy 145.043247 89.86491) (xy 144.99087 89.943298) (xy 144.954792 90.030397) (xy 144.9364 90.122862) + (xy 144.6236 90.122862) (xy 144.605208 90.030397) (xy 144.56913 89.943298) (xy 144.516753 89.86491) (xy 144.45009 89.798247) + (xy 144.371702 89.74587) (xy 144.284603 89.709792) (xy 144.192138 89.6914) (xy 144.097862 89.6914) (xy 144.005397 89.709792) + (xy 143.918298 89.74587) (xy 143.83991 89.798247) (xy 143.773247 89.86491) (xy 143.72087 89.943298) (xy 143.684792 90.030397) + (xy 143.6664 90.122862) (xy 142.7186 90.122862) (xy 142.700208 90.030397) (xy 142.66413 89.943298) (xy 142.611753 89.86491) + (xy 142.54509 89.798247) (xy 142.466702 89.74587) (xy 142.379603 89.709792) (xy 142.287138 89.6914) (xy 142.192862 89.6914) + (xy 142.100397 89.709792) (xy 142.013298 89.74587) (xy 141.93491 89.798247) (xy 141.868247 89.86491) (xy 141.81587 89.943298) + (xy 141.779792 90.030397) (xy 141.7614 90.122862) (xy 141.4486 90.122862) (xy 141.430208 90.030397) (xy 141.39413 89.943298) + (xy 141.341753 89.86491) (xy 141.27509 89.798247) (xy 141.196702 89.74587) (xy 141.109603 89.709792) (xy 141.017138 89.6914) + (xy 140.922862 89.6914) (xy 140.830397 89.709792) (xy 140.743298 89.74587) (xy 140.66491 89.798247) (xy 140.598247 89.86491) + (xy 140.54587 89.943298) (xy 140.509792 90.030397) (xy 140.4914 90.122862) (xy 140.1786 90.122862) (xy 140.160208 90.030397) + (xy 140.12413 89.943298) (xy 140.071753 89.86491) (xy 140.00509 89.798247) (xy 139.926702 89.74587) (xy 139.839603 89.709792) + (xy 139.747138 89.6914) (xy 139.652862 89.6914) (xy 139.560397 89.709792) (xy 139.473298 89.74587) (xy 139.39491 89.798247) + (xy 139.328247 89.86491) (xy 139.27587 89.943298) (xy 139.239792 90.030397) (xy 139.2214 90.122862) (xy 138.9086 90.122862) + (xy 138.890208 90.030397) (xy 138.85413 89.943298) (xy 138.801753 89.86491) (xy 138.73509 89.798247) (xy 138.656702 89.74587) + (xy 138.569603 89.709792) (xy 138.477138 89.6914) (xy 138.382862 89.6914) (xy 138.290397 89.709792) (xy 138.203298 89.74587) + (xy 138.12491 89.798247) (xy 138.058247 89.86491) (xy 138.00587 89.943298) (xy 137.969792 90.030397) (xy 137.9514 90.122862) + (xy 132.17807 90.122862) (xy 132.16844 90.099614) (xy 132.110591 90.013037) (xy 132.036963 89.939409) (xy 131.950386 89.88156) + (xy 131.854187 89.841713) (xy 131.752063 89.8214) (xy 131.647937 89.8214) (xy 131.545813 89.841713) (xy 131.449614 89.88156) + (xy 131.363037 89.939409) (xy 131.289409 90.013037) (xy 131.23156 90.099614) (xy 131.191713 90.195813) (xy 131.1714 90.297937) + (xy 130.8786 90.297937) (xy 130.8786 90.247937) (xy 130.858287 90.145813) (xy 130.81844 90.049614) (xy 130.760591 89.963037) + (xy 130.686963 89.889409) (xy 130.600386 89.83156) (xy 130.504187 89.791713) (xy 130.402063 89.7714) (xy 130.297937 89.7714) + (xy 130.195813 89.791713) (xy 130.099614 89.83156) (xy 130.013037 89.889409) (xy 129.939409 89.963037) (xy 129.88156 90.049614) + (xy 129.841713 90.145813) (xy 129.8214 90.247937) (xy 128.118655 90.247937) (xy 128.108287 90.195813) (xy 128.06844 90.099614) + (xy 128.010591 90.013037) (xy 127.936963 89.939409) (xy 127.850386 89.88156) (xy 127.754187 89.841713) (xy 127.652063 89.8214) + (xy 127.547937 89.8214) (xy 127.445813 89.841713) (xy 127.349614 89.88156) (xy 127.263037 89.939409) (xy 127.189409 90.013037) + (xy 127.13156 90.099614) (xy 127.091713 90.195813) (xy 127.0714 90.297937) (xy 126.7786 90.297937) (xy 126.7786 90.247937) + (xy 126.758287 90.145813) (xy 126.71844 90.049614) (xy 126.660591 89.963037) (xy 126.586963 89.889409) (xy 126.500386 89.83156) + (xy 126.404187 89.791713) (xy 126.302063 89.7714) (xy 126.197937 89.7714) (xy 126.095813 89.791713) (xy 125.999614 89.83156) + (xy 125.913037 89.889409) (xy 125.839409 89.963037) (xy 125.78156 90.049614) (xy 125.741713 90.145813) (xy 125.7214 90.247937) + (xy 104.548973 90.247937) (xy 104.5551 90.217138) (xy 104.5551 90.122862) (xy 104.536708 90.030397) (xy 104.50063 89.943298) + (xy 104.448253 89.86491) (xy 104.38159 89.798247) (xy 104.303202 89.74587) (xy 104.216103 89.709792) (xy 104.123638 89.6914) + (xy 104.029362 89.6914) (xy 103.936897 89.709792) (xy 103.849798 89.74587) (xy 103.77141 89.798247) (xy 103.704747 89.86491) + (xy 103.65237 89.943298) (xy 103.616292 90.030397) (xy 103.5979 90.122862) (xy 103.5546 90.122862) (xy 103.5546 89.347937) + (xy 124.7214 89.347937) (xy 124.7214 89.452063) (xy 124.741713 89.554187) (xy 124.78156 89.650386) (xy 124.839409 89.736963) + (xy 124.913037 89.810591) (xy 124.999614 89.86844) (xy 125.095813 89.908287) (xy 125.197937 89.9286) (xy 125.302063 89.9286) + (xy 125.404187 89.908287) (xy 125.500386 89.86844) (xy 125.586963 89.810591) (xy 125.660591 89.736963) (xy 125.71844 89.650386) + (xy 125.758287 89.554187) (xy 125.7786 89.452063) (xy 125.7786 89.347937) (xy 127.9214 89.347937) (xy 127.9214 89.452063) + (xy 127.941713 89.554187) (xy 127.98156 89.650386) (xy 128.039409 89.736963) (xy 128.113037 89.810591) (xy 128.199614 89.86844) + (xy 128.295813 89.908287) (xy 128.397937 89.9286) (xy 128.502063 89.9286) (xy 128.604187 89.908287) (xy 128.700386 89.86844) + (xy 128.786963 89.810591) (xy 128.860591 89.736963) (xy 128.91844 89.650386) (xy 128.958287 89.554187) (xy 128.9786 89.452063) + (xy 128.9786 89.347937) (xy 132.0214 89.347937) (xy 132.0214 89.452063) (xy 132.041713 89.554187) (xy 132.08156 89.650386) + (xy 132.139409 89.736963) (xy 132.213037 89.810591) (xy 132.299614 89.86844) (xy 132.395813 89.908287) (xy 132.497937 89.9286) + (xy 132.602063 89.9286) (xy 132.704187 89.908287) (xy 132.800386 89.86844) (xy 132.886963 89.810591) (xy 132.960591 89.736963) + (xy 133.01844 89.650386) (xy 133.058287 89.554187) (xy 133.0786 89.452063) (xy 133.0786 89.347937) (xy 133.058287 89.245813) + (xy 133.01844 89.149614) (xy 132.989874 89.106862) (xy 141.7614 89.106862) (xy 141.7614 89.201138) (xy 141.779792 89.293603) + (xy 141.81587 89.380702) (xy 141.868247 89.45909) (xy 141.93491 89.525753) (xy 142.013298 89.57813) (xy 142.100397 89.614208) + (xy 142.192862 89.6326) (xy 142.287138 89.6326) (xy 142.379603 89.614208) (xy 142.466702 89.57813) (xy 142.54509 89.525753) + (xy 142.611753 89.45909) (xy 142.66413 89.380702) (xy 142.700208 89.293603) (xy 142.7186 89.201138) (xy 142.7186 89.106862) + (xy 143.6664 89.106862) (xy 143.6664 89.201138) (xy 143.684792 89.293603) (xy 143.72087 89.380702) (xy 143.773247 89.45909) + (xy 143.83991 89.525753) (xy 143.918298 89.57813) (xy 144.005397 89.614208) (xy 144.097862 89.6326) (xy 144.192138 89.6326) + (xy 144.284603 89.614208) (xy 144.371702 89.57813) (xy 144.45009 89.525753) (xy 144.516753 89.45909) (xy 144.56913 89.380702) + (xy 144.577348 89.360862) (xy 152.5564 89.360862) (xy 152.5564 89.455138) (xy 152.574792 89.547603) (xy 152.61087 89.634702) + (xy 152.663247 89.71309) (xy 152.72991 89.779753) (xy 152.808298 89.83213) (xy 152.895397 89.868208) (xy 152.987862 89.8866) + (xy 153.082138 89.8866) (xy 153.174603 89.868208) (xy 153.261702 89.83213) (xy 153.34009 89.779753) (xy 153.406753 89.71309) + (xy 153.45913 89.634702) (xy 153.495208 89.547603) (xy 153.5136 89.455138) (xy 153.5136 89.360862) (xy 158.4619 89.360862) + (xy 158.4619 89.455138) (xy 158.480292 89.547603) (xy 158.51637 89.634702) (xy 158.568747 89.71309) (xy 158.63541 89.779753) + (xy 158.713798 89.83213) (xy 158.800897 89.868208) (xy 158.893362 89.8866) (xy 158.987638 89.8866) (xy 159.080103 89.868208) + (xy 159.167202 89.83213) (xy 159.24559 89.779753) (xy 159.312253 89.71309) (xy 159.36463 89.634702) (xy 159.400708 89.547603) + (xy 159.4191 89.455138) (xy 159.4191 89.360862) (xy 159.400708 89.268397) (xy 159.394274 89.252862) (xy 189.4714 89.252862) + (xy 189.4714 89.347138) (xy 189.489792 89.439603) (xy 189.52587 89.526702) (xy 189.578247 89.60509) (xy 189.64491 89.671753) + (xy 189.723298 89.72413) (xy 189.810397 89.760208) (xy 189.902862 89.7786) (xy 189.997138 89.7786) (xy 190.089603 89.760208) + (xy 190.176702 89.72413) (xy 190.25509 89.671753) (xy 190.321753 89.60509) (xy 190.37413 89.526702) (xy 190.410208 89.439603) + (xy 190.4286 89.347138) (xy 190.4286 89.252862) (xy 190.410208 89.160397) (xy 190.37413 89.073298) (xy 190.321753 88.99491) + (xy 190.25509 88.928247) (xy 190.176702 88.87587) (xy 190.089603 88.839792) (xy 189.997138 88.8214) (xy 189.902862 88.8214) + (xy 189.810397 88.839792) (xy 189.723298 88.87587) (xy 189.64491 88.928247) (xy 189.578247 88.99491) (xy 189.52587 89.073298) + (xy 189.489792 89.160397) (xy 189.4714 89.252862) (xy 159.394274 89.252862) (xy 159.36463 89.181298) (xy 159.312253 89.10291) + (xy 159.24559 89.036247) (xy 159.167202 88.98387) (xy 159.080103 88.947792) (xy 158.987638 88.9294) (xy 158.893362 88.9294) + (xy 158.800897 88.947792) (xy 158.713798 88.98387) (xy 158.63541 89.036247) (xy 158.568747 89.10291) (xy 158.51637 89.181298) + (xy 158.480292 89.268397) (xy 158.4619 89.360862) (xy 153.5136 89.360862) (xy 153.495208 89.268397) (xy 153.45913 89.181298) + (xy 153.406753 89.10291) (xy 153.34009 89.036247) (xy 153.261702 88.98387) (xy 153.174603 88.947792) (xy 153.082138 88.9294) + (xy 152.987862 88.9294) (xy 152.895397 88.947792) (xy 152.808298 88.98387) (xy 152.72991 89.036247) (xy 152.663247 89.10291) + (xy 152.61087 89.181298) (xy 152.574792 89.268397) (xy 152.5564 89.360862) (xy 144.577348 89.360862) (xy 144.605208 89.293603) + (xy 144.6236 89.201138) (xy 144.6236 89.106862) (xy 144.605208 89.014397) (xy 144.56913 88.927298) (xy 144.516753 88.84891) + (xy 144.45009 88.782247) (xy 144.371702 88.72987) (xy 144.284603 88.693792) (xy 144.192138 88.6754) (xy 144.097862 88.6754) + (xy 144.005397 88.693792) (xy 143.918298 88.72987) (xy 143.83991 88.782247) (xy 143.773247 88.84891) (xy 143.72087 88.927298) + (xy 143.684792 89.014397) (xy 143.6664 89.106862) (xy 142.7186 89.106862) (xy 142.700208 89.014397) (xy 142.66413 88.927298) + (xy 142.611753 88.84891) (xy 142.54509 88.782247) (xy 142.466702 88.72987) (xy 142.379603 88.693792) (xy 142.287138 88.6754) + (xy 142.192862 88.6754) (xy 142.100397 88.693792) (xy 142.013298 88.72987) (xy 141.93491 88.782247) (xy 141.868247 88.84891) + (xy 141.81587 88.927298) (xy 141.779792 89.014397) (xy 141.7614 89.106862) (xy 132.989874 89.106862) (xy 132.960591 89.063037) + (xy 132.886963 88.989409) (xy 132.800386 88.93156) (xy 132.704187 88.891713) (xy 132.602063 88.8714) (xy 132.497937 88.8714) + (xy 132.395813 88.891713) (xy 132.299614 88.93156) (xy 132.213037 88.989409) (xy 132.139409 89.063037) (xy 132.08156 89.149614) + (xy 132.041713 89.245813) (xy 132.0214 89.347937) (xy 128.9786 89.347937) (xy 128.958287 89.245813) (xy 128.91844 89.149614) + (xy 128.860591 89.063037) (xy 128.786963 88.989409) (xy 128.700386 88.93156) (xy 128.604187 88.891713) (xy 128.502063 88.8714) + (xy 128.397937 88.8714) (xy 128.295813 88.891713) (xy 128.199614 88.93156) (xy 128.113037 88.989409) (xy 128.039409 89.063037) + (xy 127.98156 89.149614) (xy 127.941713 89.245813) (xy 127.9214 89.347937) (xy 125.7786 89.347937) (xy 125.758287 89.245813) + (xy 125.71844 89.149614) (xy 125.660591 89.063037) (xy 125.586963 88.989409) (xy 125.500386 88.93156) (xy 125.404187 88.891713) + (xy 125.302063 88.8714) (xy 125.197937 88.8714) (xy 125.095813 88.891713) (xy 124.999614 88.93156) (xy 124.913037 88.989409) + (xy 124.839409 89.063037) (xy 124.78156 89.149614) (xy 124.741713 89.245813) (xy 124.7214 89.347937) (xy 103.5546 89.347937) + (xy 103.5546 88.447937) (xy 125.7714 88.447937) (xy 125.7714 88.552063) (xy 125.791713 88.654187) (xy 125.83156 88.750386) + (xy 125.889409 88.836963) (xy 125.963037 88.910591) (xy 126.049614 88.96844) (xy 126.145813 89.008287) (xy 126.247937 89.0286) + (xy 126.352063 89.0286) (xy 126.454187 89.008287) (xy 126.550386 88.96844) (xy 126.636963 88.910591) (xy 126.710591 88.836963) + (xy 126.76844 88.750386) (xy 126.808287 88.654187) (xy 126.8286 88.552063) (xy 126.8286 88.447937) (xy 126.8714 88.447937) + (xy 126.8714 88.552063) (xy 126.891713 88.654187) (xy 126.93156 88.750386) (xy 126.989409 88.836963) (xy 127.063037 88.910591) + (xy 127.149614 88.96844) (xy 127.245813 89.008287) (xy 127.347937 89.0286) (xy 127.452063 89.0286) (xy 127.554187 89.008287) + (xy 127.650386 88.96844) (xy 127.736963 88.910591) (xy 127.810591 88.836963) (xy 127.86844 88.750386) (xy 127.908287 88.654187) + (xy 127.9286 88.552063) (xy 127.9286 88.447937) (xy 129.8714 88.447937) (xy 129.8714 88.552063) (xy 129.891713 88.654187) + (xy 129.93156 88.750386) (xy 129.989409 88.836963) (xy 130.063037 88.910591) (xy 130.149614 88.96844) (xy 130.245813 89.008287) + (xy 130.347937 89.0286) (xy 130.452063 89.0286) (xy 130.554187 89.008287) (xy 130.650386 88.96844) (xy 130.736963 88.910591) + (xy 130.810591 88.836963) (xy 130.86844 88.750386) (xy 130.908287 88.654187) (xy 130.9286 88.552063) (xy 130.9286 88.447937) + (xy 130.9714 88.447937) (xy 130.9714 88.552063) (xy 130.991713 88.654187) (xy 131.03156 88.750386) (xy 131.089409 88.836963) + (xy 131.163037 88.910591) (xy 131.249614 88.96844) (xy 131.345813 89.008287) (xy 131.447937 89.0286) (xy 131.552063 89.0286) + (xy 131.654187 89.008287) (xy 131.750386 88.96844) (xy 131.836963 88.910591) (xy 131.910591 88.836963) (xy 131.96844 88.750386) + (xy 132.008287 88.654187) (xy 132.0286 88.552063) (xy 132.0286 88.447937) (xy 132.008287 88.345813) (xy 131.96844 88.249614) + (xy 131.910591 88.163037) (xy 131.836963 88.089409) (xy 131.750386 88.03156) (xy 131.654187 87.991713) (xy 131.552063 87.9714) + (xy 131.447937 87.9714) (xy 131.345813 87.991713) (xy 131.249614 88.03156) (xy 131.163037 88.089409) (xy 131.089409 88.163037) + (xy 131.03156 88.249614) (xy 130.991713 88.345813) (xy 130.9714 88.447937) (xy 130.9286 88.447937) (xy 130.908287 88.345813) + (xy 130.86844 88.249614) (xy 130.810591 88.163037) (xy 130.736963 88.089409) (xy 130.650386 88.03156) (xy 130.554187 87.991713) + (xy 130.452063 87.9714) (xy 130.347937 87.9714) (xy 130.245813 87.991713) (xy 130.149614 88.03156) (xy 130.063037 88.089409) + (xy 129.989409 88.163037) (xy 129.93156 88.249614) (xy 129.891713 88.345813) (xy 129.8714 88.447937) (xy 127.9286 88.447937) + (xy 127.908287 88.345813) (xy 127.86844 88.249614) (xy 127.810591 88.163037) (xy 127.736963 88.089409) (xy 127.650386 88.03156) + (xy 127.554187 87.991713) (xy 127.452063 87.9714) (xy 127.347937 87.9714) (xy 127.245813 87.991713) (xy 127.149614 88.03156) + (xy 127.063037 88.089409) (xy 126.989409 88.163037) (xy 126.93156 88.249614) (xy 126.891713 88.345813) (xy 126.8714 88.447937) + (xy 126.8286 88.447937) (xy 126.808287 88.345813) (xy 126.76844 88.249614) (xy 126.710591 88.163037) (xy 126.636963 88.089409) + (xy 126.550386 88.03156) (xy 126.454187 87.991713) (xy 126.352063 87.9714) (xy 126.247937 87.9714) (xy 126.145813 87.991713) + (xy 126.049614 88.03156) (xy 125.963037 88.089409) (xy 125.889409 88.163037) (xy 125.83156 88.249614) (xy 125.791713 88.345813) + (xy 125.7714 88.447937) (xy 103.5546 88.447937) (xy 103.5546 87.709862) (xy 139.2214 87.709862) (xy 139.2214 87.804138) + (xy 139.239792 87.896603) (xy 139.27587 87.983702) (xy 139.328247 88.06209) (xy 139.39491 88.128753) (xy 139.473298 88.18113) + (xy 139.560397 88.217208) (xy 139.652862 88.2356) (xy 139.747138 88.2356) (xy 139.839603 88.217208) (xy 139.926702 88.18113) + (xy 140.00509 88.128753) (xy 140.042981 88.090862) (xy 140.4914 88.090862) (xy 140.4914 88.185138) (xy 140.509792 88.277603) + (xy 140.54587 88.364702) (xy 140.598247 88.44309) (xy 140.66491 88.509753) (xy 140.743298 88.56213) (xy 140.830397 88.598208) + (xy 140.922862 88.6166) (xy 141.017138 88.6166) (xy 141.109603 88.598208) (xy 141.196702 88.56213) (xy 141.27509 88.509753) + (xy 141.341753 88.44309) (xy 141.39413 88.364702) (xy 141.430208 88.277603) (xy 141.4486 88.185138) (xy 141.4486 88.154362) + (xy 144.9364 88.154362) (xy 144.9364 88.248638) (xy 144.954792 88.341103) (xy 144.99087 88.428202) (xy 145.043247 88.50659) + (xy 145.10991 88.573253) (xy 145.188298 88.62563) (xy 145.275397 88.661708) (xy 145.367862 88.6801) (xy 145.462138 88.6801) + (xy 145.554603 88.661708) (xy 145.641702 88.62563) (xy 145.72009 88.573253) (xy 145.786753 88.50659) (xy 145.83913 88.428202) + (xy 145.87365 88.344862) (xy 152.8104 88.344862) (xy 152.8104 88.439138) (xy 152.828792 88.531603) (xy 152.86487 88.618702) + (xy 152.917247 88.69709) (xy 152.98391 88.763753) (xy 153.062298 88.81613) (xy 153.149397 88.852208) (xy 153.241862 88.8706) + (xy 153.336138 88.8706) (xy 153.428603 88.852208) (xy 153.515702 88.81613) (xy 153.59409 88.763753) (xy 153.660753 88.69709) + (xy 153.71313 88.618702) (xy 153.719691 88.602862) (xy 191.6714 88.602862) (xy 191.6714 88.697138) (xy 191.689792 88.789603) + (xy 191.72587 88.876702) (xy 191.778247 88.95509) (xy 191.84491 89.021753) (xy 191.923298 89.07413) (xy 192.010397 89.110208) + (xy 192.102862 89.1286) (xy 192.197138 89.1286) (xy 192.289603 89.110208) (xy 192.376702 89.07413) (xy 192.45509 89.021753) + (xy 192.521753 88.95509) (xy 192.57413 88.876702) (xy 192.605423 88.801154) (xy 199.6564 88.801154) (xy 199.6564 88.998846) + (xy 199.694968 89.192739) (xy 199.770621 89.375383) (xy 199.880453 89.539758) (xy 200.020242 89.679547) (xy 200.184617 89.789379) + (xy 200.367261 89.865032) (xy 200.561154 89.9036) (xy 200.758846 89.9036) (xy 200.952739 89.865032) (xy 201.135383 89.789379) + (xy 201.299758 89.679547) (xy 201.356473 89.622832) (xy 202.581537 89.622832) (xy 202.668539 89.757049) (xy 202.845953 89.844265) + (xy 203.036972 89.895192) (xy 203.234257 89.907875) (xy 203.430225 89.881826) (xy 203.617346 89.818046) (xy 203.731461 89.757049) + (xy 203.818463 89.622832) (xy 203.2 89.004369) (xy 202.581537 89.622832) (xy 201.356473 89.622832) (xy 201.439547 89.539758) + (xy 201.549379 89.375383) (xy 201.625032 89.192739) (xy 201.6636 88.998846) (xy 201.6636 88.934257) (xy 202.192125 88.934257) + (xy 202.218174 89.130225) (xy 202.281954 89.317346) (xy 202.342951 89.431461) (xy 202.477168 89.518463) (xy 203.095631 88.9) + (xy 203.304369 88.9) (xy 203.922832 89.518463) (xy 204.057049 89.431461) (xy 204.144265 89.254047) (xy 204.195192 89.063028) + (xy 204.207875 88.865743) (xy 204.19929 88.801154) (xy 204.7364 88.801154) (xy 204.7364 88.998846) (xy 204.774968 89.192739) + (xy 204.850621 89.375383) (xy 204.960453 89.539758) (xy 205.100242 89.679547) (xy 205.264617 89.789379) (xy 205.447261 89.865032) + (xy 205.641154 89.9036) (xy 205.838846 89.9036) (xy 206.032739 89.865032) (xy 206.215383 89.789379) (xy 206.379758 89.679547) + (xy 206.519547 89.539758) (xy 206.629379 89.375383) (xy 206.705032 89.192739) (xy 206.7436 88.998846) (xy 206.7436 88.801154) + (xy 206.705032 88.607261) (xy 206.629379 88.424617) (xy 206.519547 88.260242) (xy 206.379758 88.120453) (xy 206.215383 88.010621) + (xy 206.032739 87.934968) (xy 205.838846 87.8964) (xy 205.641154 87.8964) (xy 205.447261 87.934968) (xy 205.264617 88.010621) + (xy 205.100242 88.120453) (xy 204.960453 88.260242) (xy 204.850621 88.424617) (xy 204.774968 88.607261) (xy 204.7364 88.801154) + (xy 204.19929 88.801154) (xy 204.181826 88.669775) (xy 204.118046 88.482654) (xy 204.057049 88.368539) (xy 203.922832 88.281537) + (xy 203.304369 88.9) (xy 203.095631 88.9) (xy 202.477168 88.281537) (xy 202.342951 88.368539) (xy 202.255735 88.545953) + (xy 202.204808 88.736972) (xy 202.192125 88.934257) (xy 201.6636 88.934257) (xy 201.6636 88.801154) (xy 201.625032 88.607261) + (xy 201.549379 88.424617) (xy 201.439547 88.260242) (xy 201.356473 88.177168) (xy 202.581537 88.177168) (xy 203.2 88.795631) + (xy 203.818463 88.177168) (xy 203.731461 88.042951) (xy 203.554047 87.955735) (xy 203.363028 87.904808) (xy 203.165743 87.892125) + (xy 202.969775 87.918174) (xy 202.782654 87.981954) (xy 202.668539 88.042951) (xy 202.581537 88.177168) (xy 201.356473 88.177168) + (xy 201.299758 88.120453) (xy 201.135383 88.010621) (xy 200.952739 87.934968) (xy 200.758846 87.8964) (xy 200.561154 87.8964) + (xy 200.367261 87.934968) (xy 200.184617 88.010621) (xy 200.020242 88.120453) (xy 199.880453 88.260242) (xy 199.770621 88.424617) + (xy 199.694968 88.607261) (xy 199.6564 88.801154) (xy 192.605423 88.801154) (xy 192.610208 88.789603) (xy 192.6286 88.697138) + (xy 192.6286 88.602862) (xy 192.610208 88.510397) (xy 192.57413 88.423298) (xy 192.521753 88.34491) (xy 192.45509 88.278247) + (xy 192.376702 88.22587) (xy 192.289603 88.189792) (xy 192.197138 88.1714) (xy 192.102862 88.1714) (xy 192.010397 88.189792) + (xy 191.923298 88.22587) (xy 191.84491 88.278247) (xy 191.778247 88.34491) (xy 191.72587 88.423298) (xy 191.689792 88.510397) + (xy 191.6714 88.602862) (xy 153.719691 88.602862) (xy 153.749208 88.531603) (xy 153.7676 88.439138) (xy 153.7676 88.344862) + (xy 153.749208 88.252397) (xy 153.71313 88.165298) (xy 153.660753 88.08691) (xy 153.59409 88.020247) (xy 153.515702 87.96787) + (xy 153.428603 87.931792) (xy 153.336138 87.9134) (xy 153.241862 87.9134) (xy 153.149397 87.931792) (xy 153.062298 87.96787) + (xy 152.98391 88.020247) (xy 152.917247 88.08691) (xy 152.86487 88.165298) (xy 152.828792 88.252397) (xy 152.8104 88.344862) + (xy 145.87365 88.344862) (xy 145.875208 88.341103) (xy 145.8936 88.248638) (xy 145.8936 88.154362) (xy 145.875208 88.061897) + (xy 145.83913 87.974798) (xy 145.786753 87.89641) (xy 145.72009 87.829747) (xy 145.641702 87.77737) (xy 145.554603 87.741292) + (xy 145.462138 87.7229) (xy 145.367862 87.7229) (xy 145.275397 87.741292) (xy 145.188298 87.77737) (xy 145.10991 87.829747) + (xy 145.043247 87.89641) (xy 144.99087 87.974798) (xy 144.954792 88.061897) (xy 144.9364 88.154362) (xy 141.4486 88.154362) + (xy 141.4486 88.090862) (xy 141.430208 87.998397) (xy 141.39413 87.911298) (xy 141.341753 87.83291) (xy 141.27509 87.766247) + (xy 141.196702 87.71387) (xy 141.109603 87.677792) (xy 141.017138 87.6594) (xy 140.922862 87.6594) (xy 140.830397 87.677792) + (xy 140.743298 87.71387) (xy 140.66491 87.766247) (xy 140.598247 87.83291) (xy 140.54587 87.911298) (xy 140.509792 87.998397) + (xy 140.4914 88.090862) (xy 140.042981 88.090862) (xy 140.071753 88.06209) (xy 140.12413 87.983702) (xy 140.160208 87.896603) + (xy 140.1786 87.804138) (xy 140.1786 87.709862) (xy 140.160208 87.617397) (xy 140.12413 87.530298) (xy 140.074394 87.455862) + (xy 153.6994 87.455862) (xy 153.6994 87.550138) (xy 153.717792 87.642603) (xy 153.75387 87.729702) (xy 153.806247 87.80809) + (xy 153.87291 87.874753) (xy 153.951298 87.92713) (xy 154.038397 87.963208) (xy 154.130862 87.9816) (xy 154.225138 87.9816) + (xy 154.317603 87.963208) (xy 154.34258 87.952862) (xy 189.4714 87.952862) (xy 189.4714 88.047138) (xy 189.489792 88.139603) + (xy 189.52587 88.226702) (xy 189.578247 88.30509) (xy 189.64491 88.371753) (xy 189.723298 88.42413) (xy 189.810397 88.460208) + (xy 189.902862 88.4786) (xy 189.997138 88.4786) (xy 190.089603 88.460208) (xy 190.176702 88.42413) (xy 190.25509 88.371753) + (xy 190.321753 88.30509) (xy 190.37413 88.226702) (xy 190.410208 88.139603) (xy 190.4286 88.047138) (xy 190.4286 87.952862) + (xy 190.410208 87.860397) (xy 190.37413 87.773298) (xy 190.321753 87.69491) (xy 190.25509 87.628247) (xy 190.176702 87.57587) + (xy 190.089603 87.539792) (xy 189.997138 87.5214) (xy 189.902862 87.5214) (xy 189.810397 87.539792) (xy 189.723298 87.57587) + (xy 189.64491 87.628247) (xy 189.578247 87.69491) (xy 189.52587 87.773298) (xy 189.489792 87.860397) (xy 189.4714 87.952862) + (xy 154.34258 87.952862) (xy 154.404702 87.92713) (xy 154.48309 87.874753) (xy 154.549753 87.80809) (xy 154.60213 87.729702) + (xy 154.638208 87.642603) (xy 154.6566 87.550138) (xy 154.6566 87.455862) (xy 154.638208 87.363397) (xy 154.613134 87.302862) + (xy 192.4714 87.302862) (xy 192.4714 87.397138) (xy 192.489792 87.489603) (xy 192.52587 87.576702) (xy 192.578247 87.65509) + (xy 192.64491 87.721753) (xy 192.723298 87.77413) (xy 192.810397 87.810208) (xy 192.902862 87.8286) (xy 192.997138 87.8286) + (xy 193.089603 87.810208) (xy 193.176702 87.77413) (xy 193.25509 87.721753) (xy 193.321753 87.65509) (xy 193.37413 87.576702) + (xy 193.410208 87.489603) (xy 193.4286 87.397138) (xy 193.4286 87.302862) (xy 193.410208 87.210397) (xy 193.37413 87.123298) + (xy 193.321753 87.04491) (xy 193.25509 86.978247) (xy 193.176702 86.92587) (xy 193.089603 86.889792) (xy 192.997138 86.8714) + (xy 192.902862 86.8714) (xy 192.810397 86.889792) (xy 192.723298 86.92587) (xy 192.64491 86.978247) (xy 192.578247 87.04491) + (xy 192.52587 87.123298) (xy 192.489792 87.210397) (xy 192.4714 87.302862) (xy 154.613134 87.302862) (xy 154.60213 87.276298) + (xy 154.549753 87.19791) (xy 154.48309 87.131247) (xy 154.404702 87.07887) (xy 154.317603 87.042792) (xy 154.225138 87.0244) + (xy 154.130862 87.0244) (xy 154.038397 87.042792) (xy 153.951298 87.07887) (xy 153.87291 87.131247) (xy 153.806247 87.19791) + (xy 153.75387 87.276298) (xy 153.717792 87.363397) (xy 153.6994 87.455862) (xy 140.074394 87.455862) (xy 140.071753 87.45191) + (xy 140.00509 87.385247) (xy 139.926702 87.33287) (xy 139.839603 87.296792) (xy 139.747138 87.2784) (xy 139.652862 87.2784) + (xy 139.560397 87.296792) (xy 139.473298 87.33287) (xy 139.39491 87.385247) (xy 139.328247 87.45191) (xy 139.27587 87.530298) + (xy 139.239792 87.617397) (xy 139.2214 87.709862) (xy 103.5546 87.709862) (xy 103.5546 86.252434) (xy 116.2594 86.252434) + (xy 116.2594 86.447566) (xy 116.297468 86.638947) (xy 116.372142 86.819225) (xy 116.480551 86.981471) (xy 116.618529 87.119449) + (xy 116.780775 87.227858) (xy 116.961053 87.302532) (xy 117.152434 87.3406) (xy 117.347566 87.3406) (xy 117.538947 87.302532) + (xy 117.719225 87.227858) (xy 117.881471 87.119449) (xy 118.019449 86.981471) (xy 118.084334 86.884362) (xy 146.2064 86.884362) + (xy 146.2064 86.978638) (xy 146.224792 87.071103) (xy 146.26087 87.158202) (xy 146.313247 87.23659) (xy 146.37991 87.303253) + (xy 146.458298 87.35563) (xy 146.545397 87.391708) (xy 146.637862 87.4101) (xy 146.732138 87.4101) (xy 146.824603 87.391708) + (xy 146.911702 87.35563) (xy 146.99009 87.303253) (xy 147.056753 87.23659) (xy 147.10913 87.158202) (xy 147.145208 87.071103) + (xy 147.1636 86.978638) (xy 147.1636 86.884362) (xy 147.145208 86.791897) (xy 147.10913 86.704798) (xy 147.056753 86.62641) + (xy 146.997205 86.566862) (xy 152.5564 86.566862) (xy 152.5564 86.661138) (xy 152.574792 86.753603) (xy 152.61087 86.840702) + (xy 152.663247 86.91909) (xy 152.72991 86.985753) (xy 152.808298 87.03813) (xy 152.895397 87.074208) (xy 152.987862 87.0926) + (xy 153.082138 87.0926) (xy 153.174603 87.074208) (xy 153.261702 87.03813) (xy 153.34009 86.985753) (xy 153.406753 86.91909) + (xy 153.45913 86.840702) (xy 153.495208 86.753603) (xy 153.5136 86.661138) (xy 153.5136 86.652862) (xy 183.5714 86.652862) + (xy 183.5714 86.747138) (xy 183.589792 86.839603) (xy 183.62587 86.926702) (xy 183.678247 87.00509) (xy 183.74491 87.071753) + (xy 183.823298 87.12413) (xy 183.910397 87.160208) (xy 184.002862 87.1786) (xy 184.097138 87.1786) (xy 184.189603 87.160208) + (xy 184.276702 87.12413) (xy 184.35509 87.071753) (xy 184.421753 87.00509) (xy 184.47413 86.926702) (xy 184.510208 86.839603) + (xy 184.513935 86.820862) (xy 198.5304 86.820862) (xy 198.5304 86.915138) (xy 198.548792 87.007603) (xy 198.58487 87.094702) + (xy 198.637247 87.17309) (xy 198.70391 87.239753) (xy 198.782298 87.29213) (xy 198.869397 87.328208) (xy 198.961862 87.3466) + (xy 199.056138 87.3466) (xy 199.148603 87.328208) (xy 199.235702 87.29213) (xy 199.31409 87.239753) (xy 199.380753 87.17309) + (xy 199.43313 87.094702) (xy 199.469208 87.007603) (xy 199.4876 86.915138) (xy 199.4876 86.820862) (xy 199.469208 86.728397) + (xy 199.43313 86.641298) (xy 199.380753 86.56291) (xy 199.31409 86.496247) (xy 199.235702 86.44387) (xy 199.148603 86.407792) + (xy 199.056138 86.3894) (xy 198.961862 86.3894) (xy 198.869397 86.407792) (xy 198.782298 86.44387) (xy 198.70391 86.496247) + (xy 198.637247 86.56291) (xy 198.58487 86.641298) (xy 198.548792 86.728397) (xy 198.5304 86.820862) (xy 184.513935 86.820862) + (xy 184.5286 86.747138) (xy 184.5286 86.652862) (xy 184.510208 86.560397) (xy 184.47413 86.473298) (xy 184.421753 86.39491) + (xy 184.35509 86.328247) (xy 184.276702 86.27587) (xy 184.241175 86.261154) (xy 199.6564 86.261154) (xy 199.6564 86.458846) + (xy 199.694968 86.652739) (xy 199.770621 86.835383) (xy 199.880453 86.999758) (xy 200.020242 87.139547) (xy 200.184617 87.249379) + (xy 200.367261 87.325032) (xy 200.561154 87.3636) (xy 200.758846 87.3636) (xy 200.952739 87.325032) (xy 201.135383 87.249379) + (xy 201.299758 87.139547) (xy 201.439547 86.999758) (xy 201.549379 86.835383) (xy 201.625032 86.652739) (xy 201.6636 86.458846) + (xy 201.6636 86.261154) (xy 202.1964 86.261154) (xy 202.1964 86.458846) (xy 202.234968 86.652739) (xy 202.310621 86.835383) + (xy 202.420453 86.999758) (xy 202.560242 87.139547) (xy 202.724617 87.249379) (xy 202.907261 87.325032) (xy 203.101154 87.3636) + (xy 203.298846 87.3636) (xy 203.492739 87.325032) (xy 203.675383 87.249379) (xy 203.839758 87.139547) (xy 203.979547 86.999758) + (xy 204.089379 86.835383) (xy 204.165032 86.652739) (xy 204.2036 86.458846) (xy 204.2036 86.261154) (xy 204.7364 86.261154) + (xy 204.7364 86.458846) (xy 204.774968 86.652739) (xy 204.850621 86.835383) (xy 204.960453 86.999758) (xy 205.100242 87.139547) + (xy 205.264617 87.249379) (xy 205.447261 87.325032) (xy 205.641154 87.3636) (xy 205.838846 87.3636) (xy 206.032739 87.325032) + (xy 206.215383 87.249379) (xy 206.379758 87.139547) (xy 206.519547 86.999758) (xy 206.629379 86.835383) (xy 206.705032 86.652739) + (xy 206.7436 86.458846) (xy 206.7436 86.261154) (xy 206.705032 86.067261) (xy 206.629379 85.884617) (xy 206.519547 85.720242) + (xy 206.379758 85.580453) (xy 206.215383 85.470621) (xy 206.032739 85.394968) (xy 205.838846 85.3564) (xy 205.641154 85.3564) + (xy 205.447261 85.394968) (xy 205.264617 85.470621) (xy 205.100242 85.580453) (xy 204.960453 85.720242) (xy 204.850621 85.884617) + (xy 204.774968 86.067261) (xy 204.7364 86.261154) (xy 204.2036 86.261154) (xy 204.165032 86.067261) (xy 204.089379 85.884617) + (xy 203.979547 85.720242) (xy 203.839758 85.580453) (xy 203.675383 85.470621) (xy 203.492739 85.394968) (xy 203.298846 85.3564) + (xy 203.101154 85.3564) (xy 202.907261 85.394968) (xy 202.724617 85.470621) (xy 202.560242 85.580453) (xy 202.420453 85.720242) + (xy 202.310621 85.884617) (xy 202.234968 86.067261) (xy 202.1964 86.261154) (xy 201.6636 86.261154) (xy 201.625032 86.067261) + (xy 201.549379 85.884617) (xy 201.439547 85.720242) (xy 201.299758 85.580453) (xy 201.135383 85.470621) (xy 200.952739 85.394968) + (xy 200.758846 85.3564) (xy 200.561154 85.3564) (xy 200.367261 85.394968) (xy 200.184617 85.470621) (xy 200.020242 85.580453) + (xy 199.880453 85.720242) (xy 199.770621 85.884617) (xy 199.694968 86.067261) (xy 199.6564 86.261154) (xy 184.241175 86.261154) + (xy 184.189603 86.239792) (xy 184.097138 86.2214) (xy 184.002862 86.2214) (xy 183.910397 86.239792) (xy 183.823298 86.27587) + (xy 183.74491 86.328247) (xy 183.678247 86.39491) (xy 183.62587 86.473298) (xy 183.589792 86.560397) (xy 183.5714 86.652862) + (xy 153.5136 86.652862) (xy 153.5136 86.566862) (xy 153.495208 86.474397) (xy 153.45913 86.387298) (xy 153.406753 86.30891) + (xy 153.34009 86.242247) (xy 153.261702 86.18987) (xy 153.174603 86.153792) (xy 153.082138 86.1354) (xy 152.987862 86.1354) + (xy 152.895397 86.153792) (xy 152.808298 86.18987) (xy 152.72991 86.242247) (xy 152.663247 86.30891) (xy 152.61087 86.387298) + (xy 152.574792 86.474397) (xy 152.5564 86.566862) (xy 146.997205 86.566862) (xy 146.99009 86.559747) (xy 146.911702 86.50737) + (xy 146.824603 86.471292) (xy 146.732138 86.4529) (xy 146.637862 86.4529) (xy 146.545397 86.471292) (xy 146.458298 86.50737) + (xy 146.37991 86.559747) (xy 146.313247 86.62641) (xy 146.26087 86.704798) (xy 146.224792 86.791897) (xy 146.2064 86.884362) + (xy 118.084334 86.884362) (xy 118.127858 86.819225) (xy 118.202532 86.638947) (xy 118.2406 86.447566) (xy 118.2406 86.252434) + (xy 118.202532 86.061053) (xy 118.127858 85.880775) (xy 118.019449 85.718529) (xy 117.881471 85.580551) (xy 117.719225 85.472142) + (xy 117.538947 85.397468) (xy 117.347566 85.3594) (xy 117.152434 85.3594) (xy 116.961053 85.397468) (xy 116.780775 85.472142) + (xy 116.618529 85.580551) (xy 116.480551 85.718529) (xy 116.372142 85.880775) (xy 116.297468 86.061053) (xy 116.2594 86.252434) + (xy 103.5546 86.252434) (xy 103.5546 85.042862) (xy 103.5979 85.042862) (xy 103.5979 85.137138) (xy 103.616292 85.229603) + (xy 103.65237 85.316702) (xy 103.704747 85.39509) (xy 103.77141 85.461753) (xy 103.849798 85.51413) (xy 103.936897 85.550208) + (xy 104.029362 85.5686) (xy 104.123638 85.5686) (xy 104.216103 85.550208) (xy 104.303202 85.51413) (xy 104.38159 85.461753) + (xy 104.448253 85.39509) (xy 104.50063 85.316702) (xy 104.516562 85.278239) (xy 122.9714 85.278239) (xy 122.9714 85.421761) + (xy 122.9994 85.562525) (xy 123.054323 85.695121) (xy 123.13406 85.814455) (xy 123.235545 85.91594) (xy 123.354879 85.995677) + (xy 123.487475 86.0506) (xy 123.628239 86.0786) (xy 123.771761 86.0786) (xy 123.912525 86.0506) (xy 124.045121 85.995677) + (xy 124.164455 85.91594) (xy 124.26594 85.814455) (xy 124.345677 85.695121) (xy 124.379128 85.614362) (xy 147.4764 85.614362) + (xy 147.4764 85.708638) (xy 147.494792 85.801103) (xy 147.53087 85.888202) (xy 147.583247 85.96659) (xy 147.64991 86.033253) + (xy 147.728298 86.08563) (xy 147.815397 86.121708) (xy 147.907862 86.1401) (xy 148.002138 86.1401) (xy 148.094603 86.121708) + (xy 148.181702 86.08563) (xy 148.26009 86.033253) (xy 148.326753 85.96659) (xy 148.37913 85.888202) (xy 148.415208 85.801103) + (xy 148.42709 85.741362) (xy 153.3819 85.741362) (xy 153.3819 85.835638) (xy 153.400292 85.928103) (xy 153.43637 86.015202) + (xy 153.488747 86.09359) (xy 153.55541 86.160253) (xy 153.633798 86.21263) (xy 153.720897 86.248708) (xy 153.813362 86.2671) + (xy 153.907638 86.2671) (xy 154.000103 86.248708) (xy 154.087202 86.21263) (xy 154.16559 86.160253) (xy 154.232253 86.09359) + (xy 154.28463 86.015202) (xy 154.320708 85.928103) (xy 154.3391 85.835638) (xy 154.3391 85.741362) (xy 154.320708 85.648897) + (xy 154.28463 85.561798) (xy 154.232253 85.48341) (xy 154.16559 85.416747) (xy 154.087202 85.36437) (xy 154.000103 85.328292) + (xy 153.907638 85.3099) (xy 153.813362 85.3099) (xy 153.720897 85.328292) (xy 153.633798 85.36437) (xy 153.55541 85.416747) + (xy 153.488747 85.48341) (xy 153.43637 85.561798) (xy 153.400292 85.648897) (xy 153.3819 85.741362) (xy 148.42709 85.741362) + (xy 148.4336 85.708638) (xy 148.4336 85.614362) (xy 148.415208 85.521897) (xy 148.37913 85.434798) (xy 148.326753 85.35641) + (xy 148.26009 85.289747) (xy 148.181702 85.23737) (xy 148.094603 85.201292) (xy 148.002138 85.1829) (xy 147.907862 85.1829) + (xy 147.815397 85.201292) (xy 147.728298 85.23737) (xy 147.64991 85.289747) (xy 147.583247 85.35641) (xy 147.53087 85.434798) + (xy 147.494792 85.521897) (xy 147.4764 85.614362) (xy 124.379128 85.614362) (xy 124.4006 85.562525) (xy 124.4286 85.421761) + (xy 124.4286 85.278239) (xy 124.4006 85.137475) (xy 124.345677 85.004879) (xy 124.26594 84.885545) (xy 124.164455 84.78406) + (xy 124.045121 84.704323) (xy 123.912525 84.6494) (xy 123.771761 84.6214) (xy 123.628239 84.6214) (xy 123.487475 84.6494) + (xy 123.354879 84.704323) (xy 123.235545 84.78406) (xy 123.13406 84.885545) (xy 123.054323 85.004879) (xy 122.9994 85.137475) + (xy 122.9714 85.278239) (xy 104.516562 85.278239) (xy 104.536708 85.229603) (xy 104.5551 85.137138) (xy 104.5551 85.042862) + (xy 104.536708 84.950397) (xy 104.50063 84.863298) (xy 104.448253 84.78491) (xy 104.38159 84.718247) (xy 104.303202 84.66587) + (xy 104.216103 84.629792) (xy 104.123638 84.6114) (xy 104.029362 84.6114) (xy 103.936897 84.629792) (xy 103.849798 84.66587) + (xy 103.77141 84.718247) (xy 103.704747 84.78491) (xy 103.65237 84.863298) (xy 103.616292 84.950397) (xy 103.5979 85.042862) + (xy 103.5546 85.042862) (xy 103.5546 84.344362) (xy 148.7464 84.344362) (xy 148.7464 84.438638) (xy 148.764792 84.531103) + (xy 148.80087 84.618202) (xy 148.853247 84.69659) (xy 148.91991 84.763253) (xy 148.998298 84.81563) (xy 149.085397 84.851708) + (xy 149.177862 84.8701) (xy 149.272138 84.8701) (xy 149.364603 84.851708) (xy 149.451702 84.81563) (xy 149.491763 84.788862) + (xy 152.8104 84.788862) (xy 152.8104 84.883138) (xy 152.828792 84.975603) (xy 152.86487 85.062702) (xy 152.917247 85.14109) + (xy 152.98391 85.207753) (xy 153.062298 85.26013) (xy 153.149397 85.296208) (xy 153.241862 85.3146) (xy 153.336138 85.3146) + (xy 153.428603 85.296208) (xy 153.515702 85.26013) (xy 153.59409 85.207753) (xy 153.660753 85.14109) (xy 153.683957 85.106362) + (xy 198.2129 85.106362) (xy 198.2129 85.200638) (xy 198.231292 85.293103) (xy 198.26737 85.380202) (xy 198.319747 85.45859) + (xy 198.38641 85.525253) (xy 198.464798 85.57763) (xy 198.551897 85.613708) (xy 198.644362 85.6321) (xy 198.738638 85.6321) + (xy 198.831103 85.613708) (xy 198.918202 85.57763) (xy 198.99659 85.525253) (xy 199.063253 85.45859) (xy 199.11563 85.380202) + (xy 199.151708 85.293103) (xy 199.1701 85.200638) (xy 199.1701 85.106362) (xy 199.15747 85.042862) (xy 211.0399 85.042862) + (xy 211.0399 85.137138) (xy 211.058292 85.229603) (xy 211.09437 85.316702) (xy 211.146747 85.39509) (xy 211.21341 85.461753) + (xy 211.291798 85.51413) (xy 211.378897 85.550208) (xy 211.471362 85.5686) (xy 211.565638 85.5686) (xy 211.658103 85.550208) + (xy 211.745202 85.51413) (xy 211.82359 85.461753) (xy 211.890253 85.39509) (xy 211.94263 85.316702) (xy 211.978708 85.229603) + (xy 211.9971 85.137138) (xy 211.9971 85.042862) (xy 211.978708 84.950397) (xy 211.94263 84.863298) (xy 211.890253 84.78491) + (xy 211.82359 84.718247) (xy 211.745202 84.66587) (xy 211.658103 84.629792) (xy 211.565638 84.6114) (xy 211.471362 84.6114) + (xy 211.378897 84.629792) (xy 211.291798 84.66587) (xy 211.21341 84.718247) (xy 211.146747 84.78491) (xy 211.09437 84.863298) + (xy 211.058292 84.950397) (xy 211.0399 85.042862) (xy 199.15747 85.042862) (xy 199.151708 85.013897) (xy 199.11563 84.926798) + (xy 199.063253 84.84841) (xy 198.99659 84.781747) (xy 198.918202 84.72937) (xy 198.831103 84.693292) (xy 198.738638 84.6749) + (xy 198.644362 84.6749) (xy 198.551897 84.693292) (xy 198.464798 84.72937) (xy 198.38641 84.781747) (xy 198.319747 84.84841) + (xy 198.26737 84.926798) (xy 198.231292 85.013897) (xy 198.2129 85.106362) (xy 153.683957 85.106362) (xy 153.71313 85.062702) + (xy 153.749208 84.975603) (xy 153.7676 84.883138) (xy 153.7676 84.788862) (xy 153.749208 84.696397) (xy 153.71313 84.609298) + (xy 153.660753 84.53091) (xy 153.59409 84.464247) (xy 153.515702 84.41187) (xy 153.428603 84.375792) (xy 153.336138 84.3574) + (xy 153.241862 84.3574) (xy 153.149397 84.375792) (xy 153.062298 84.41187) (xy 152.98391 84.464247) (xy 152.917247 84.53091) + (xy 152.86487 84.609298) (xy 152.828792 84.696397) (xy 152.8104 84.788862) (xy 149.491763 84.788862) (xy 149.53009 84.763253) + (xy 149.596753 84.69659) (xy 149.64913 84.618202) (xy 149.685208 84.531103) (xy 149.7036 84.438638) (xy 149.7036 84.344362) + (xy 149.69097 84.280862) (xy 154.0804 84.280862) (xy 154.0804 84.375138) (xy 154.098792 84.467603) (xy 154.13487 84.554702) + (xy 154.187247 84.63309) (xy 154.25391 84.699753) (xy 154.332298 84.75213) (xy 154.419397 84.788208) (xy 154.511862 84.8066) + (xy 154.606138 84.8066) (xy 154.698603 84.788208) (xy 154.785702 84.75213) (xy 154.86409 84.699753) (xy 154.930753 84.63309) + (xy 154.98313 84.554702) (xy 155.019208 84.467603) (xy 155.0376 84.375138) (xy 155.0376 84.280862) (xy 155.019208 84.188397) + (xy 154.98313 84.101298) (xy 154.930753 84.02291) (xy 154.871205 83.963362) (xy 172.6224 83.963362) (xy 172.6224 84.057638) + (xy 172.640792 84.150103) (xy 172.67687 84.237202) (xy 172.729247 84.31559) (xy 172.79591 84.382253) (xy 172.874298 84.43463) + (xy 172.961397 84.470708) (xy 173.053862 84.4891) (xy 173.148138 84.4891) (xy 173.240603 84.470708) (xy 173.327702 84.43463) + (xy 173.40609 84.382253) (xy 173.472753 84.31559) (xy 173.52513 84.237202) (xy 173.561208 84.150103) (xy 173.5796 84.057638) + (xy 173.5796 84.002862) (xy 176.1714 84.002862) (xy 176.1714 84.097138) (xy 176.189792 84.189603) (xy 176.22587 84.276702) + (xy 176.278247 84.35509) (xy 176.34491 84.421753) (xy 176.423298 84.47413) (xy 176.510397 84.510208) (xy 176.602862 84.5286) + (xy 176.697138 84.5286) (xy 176.789603 84.510208) (xy 176.876702 84.47413) (xy 176.95509 84.421753) (xy 177.021753 84.35509) + (xy 177.07413 84.276702) (xy 177.110208 84.189603) (xy 177.1286 84.097138) (xy 177.1286 84.002862) (xy 177.110208 83.910397) + (xy 177.07413 83.823298) (xy 177.021753 83.74491) (xy 176.95509 83.678247) (xy 176.876702 83.62587) (xy 176.789603 83.589792) + (xy 176.697138 83.5714) (xy 176.602862 83.5714) (xy 176.510397 83.589792) (xy 176.423298 83.62587) (xy 176.34491 83.678247) + (xy 176.278247 83.74491) (xy 176.22587 83.823298) (xy 176.189792 83.910397) (xy 176.1714 84.002862) (xy 173.5796 84.002862) + (xy 173.5796 83.963362) (xy 173.561208 83.870897) (xy 173.52513 83.783798) (xy 173.472753 83.70541) (xy 173.40609 83.638747) + (xy 173.327702 83.58637) (xy 173.240603 83.550292) (xy 173.148138 83.5319) (xy 173.053862 83.5319) (xy 172.961397 83.550292) + (xy 172.874298 83.58637) (xy 172.79591 83.638747) (xy 172.729247 83.70541) (xy 172.67687 83.783798) (xy 172.640792 83.870897) + (xy 172.6224 83.963362) (xy 154.871205 83.963362) (xy 154.86409 83.956247) (xy 154.785702 83.90387) (xy 154.698603 83.867792) + (xy 154.606138 83.8494) (xy 154.511862 83.8494) (xy 154.419397 83.867792) (xy 154.332298 83.90387) (xy 154.25391 83.956247) + (xy 154.187247 84.02291) (xy 154.13487 84.101298) (xy 154.098792 84.188397) (xy 154.0804 84.280862) (xy 149.69097 84.280862) + (xy 149.685208 84.251897) (xy 149.64913 84.164798) (xy 149.596753 84.08641) (xy 149.53009 84.019747) (xy 149.451702 83.96737) + (xy 149.364603 83.931292) (xy 149.272138 83.9129) (xy 149.177862 83.9129) (xy 149.085397 83.931292) (xy 148.998298 83.96737) + (xy 148.91991 84.019747) (xy 148.853247 84.08641) (xy 148.80087 84.164798) (xy 148.764792 84.251897) (xy 148.7464 84.344362) + (xy 103.5546 84.344362) (xy 103.5546 83.645862) (xy 150.0164 83.645862) (xy 150.0164 83.740138) (xy 150.034792 83.832603) + (xy 150.07087 83.919702) (xy 150.123247 83.99809) (xy 150.18991 84.064753) (xy 150.268298 84.11713) (xy 150.355397 84.153208) + (xy 150.447862 84.1716) (xy 150.542138 84.1716) (xy 150.634603 84.153208) (xy 150.721702 84.11713) (xy 150.80009 84.064753) + (xy 150.866753 83.99809) (xy 150.91913 83.919702) (xy 150.955208 83.832603) (xy 150.9736 83.740138) (xy 150.9736 83.645862) + (xy 151.2864 83.645862) (xy 151.2864 83.740138) (xy 151.304792 83.832603) (xy 151.34087 83.919702) (xy 151.393247 83.99809) + (xy 151.45991 84.064753) (xy 151.538298 84.11713) (xy 151.625397 84.153208) (xy 151.717862 84.1716) (xy 151.812138 84.1716) + (xy 151.904603 84.153208) (xy 151.991702 84.11713) (xy 152.07009 84.064753) (xy 152.136753 83.99809) (xy 152.18913 83.919702) + (xy 152.225208 83.832603) (xy 152.2436 83.740138) (xy 152.2436 83.645862) (xy 152.225208 83.553397) (xy 152.18913 83.466298) + (xy 152.136753 83.38791) (xy 152.077205 83.328362) (xy 171.8604 83.328362) (xy 171.8604 83.422638) (xy 171.878792 83.515103) + (xy 171.91487 83.602202) (xy 171.967247 83.68059) (xy 172.03391 83.747253) (xy 172.112298 83.79963) (xy 172.199397 83.835708) + (xy 172.291862 83.8541) (xy 172.386138 83.8541) (xy 172.478603 83.835708) (xy 172.565702 83.79963) (xy 172.64409 83.747253) + (xy 172.710753 83.68059) (xy 172.76313 83.602202) (xy 172.799208 83.515103) (xy 172.8176 83.422638) (xy 172.8176 83.402862) + (xy 175.0714 83.402862) (xy 175.0714 83.497138) (xy 175.089792 83.589603) (xy 175.12587 83.676702) (xy 175.178247 83.75509) + (xy 175.24491 83.821753) (xy 175.323298 83.87413) (xy 175.410397 83.910208) (xy 175.502862 83.9286) (xy 175.597138 83.9286) + (xy 175.689603 83.910208) (xy 175.776702 83.87413) (xy 175.85509 83.821753) (xy 175.921753 83.75509) (xy 175.97413 83.676702) + (xy 176.010208 83.589603) (xy 176.0286 83.497138) (xy 176.0286 83.402862) (xy 177.2714 83.402862) (xy 177.2714 83.497138) + (xy 177.289792 83.589603) (xy 177.32587 83.676702) (xy 177.378247 83.75509) (xy 177.44491 83.821753) (xy 177.523298 83.87413) + (xy 177.610397 83.910208) (xy 177.702862 83.9286) (xy 177.797138 83.9286) (xy 177.889603 83.910208) (xy 177.976702 83.87413) + (xy 178.05509 83.821753) (xy 178.121753 83.75509) (xy 178.17413 83.676702) (xy 178.204715 83.602862) (xy 180.9214 83.602862) + (xy 180.9214 83.697138) (xy 180.939792 83.789603) (xy 180.97587 83.876702) (xy 181.028247 83.95509) (xy 181.09491 84.021753) + (xy 181.173298 84.07413) (xy 181.260397 84.110208) (xy 181.352862 84.1286) (xy 181.447138 84.1286) (xy 181.539603 84.110208) + (xy 181.626702 84.07413) (xy 181.70509 84.021753) (xy 181.723981 84.002862) (xy 184.6714 84.002862) (xy 184.6714 84.097138) + (xy 184.689792 84.189603) (xy 184.72587 84.276702) (xy 184.778247 84.35509) (xy 184.84491 84.421753) (xy 184.923298 84.47413) + (xy 185.010397 84.510208) (xy 185.102862 84.5286) (xy 185.197138 84.5286) (xy 185.289603 84.510208) (xy 185.376702 84.47413) + (xy 185.45509 84.421753) (xy 185.521753 84.35509) (xy 185.523241 84.352862) (xy 189.2214 84.352862) (xy 189.2214 84.447138) + (xy 189.239792 84.539603) (xy 189.27587 84.626702) (xy 189.328247 84.70509) (xy 189.39491 84.771753) (xy 189.473298 84.82413) + (xy 189.560397 84.860208) (xy 189.652862 84.8786) (xy 189.747138 84.8786) (xy 189.839603 84.860208) (xy 189.926702 84.82413) + (xy 190.00509 84.771753) (xy 190.071753 84.70509) (xy 190.12413 84.626702) (xy 190.160208 84.539603) (xy 190.1786 84.447138) + (xy 190.1786 84.352862) (xy 190.160208 84.260397) (xy 190.12413 84.173298) (xy 190.071753 84.09491) (xy 190.00509 84.028247) + (xy 189.926702 83.97587) (xy 189.839603 83.939792) (xy 189.747138 83.9214) (xy 189.652862 83.9214) (xy 189.560397 83.939792) + (xy 189.473298 83.97587) (xy 189.39491 84.028247) (xy 189.328247 84.09491) (xy 189.27587 84.173298) (xy 189.239792 84.260397) + (xy 189.2214 84.352862) (xy 185.523241 84.352862) (xy 185.57413 84.276702) (xy 185.610208 84.189603) (xy 185.6286 84.097138) + (xy 185.6286 84.002862) (xy 185.610208 83.910397) (xy 185.57413 83.823298) (xy 185.521753 83.74491) (xy 185.45509 83.678247) + (xy 185.376702 83.62587) (xy 185.289603 83.589792) (xy 185.197138 83.5714) (xy 185.102862 83.5714) (xy 185.010397 83.589792) + (xy 184.923298 83.62587) (xy 184.84491 83.678247) (xy 184.778247 83.74491) (xy 184.72587 83.823298) (xy 184.689792 83.910397) + (xy 184.6714 84.002862) (xy 181.723981 84.002862) (xy 181.771753 83.95509) (xy 181.82413 83.876702) (xy 181.860208 83.789603) + (xy 181.8786 83.697138) (xy 181.8786 83.602862) (xy 181.860208 83.510397) (xy 181.82413 83.423298) (xy 181.810476 83.402862) + (xy 183.5714 83.402862) (xy 183.5714 83.497138) (xy 183.589792 83.589603) (xy 183.62587 83.676702) (xy 183.678247 83.75509) + (xy 183.74491 83.821753) (xy 183.823298 83.87413) (xy 183.910397 83.910208) (xy 184.002862 83.9286) (xy 184.097138 83.9286) + (xy 184.189603 83.910208) (xy 184.276702 83.87413) (xy 184.35509 83.821753) (xy 184.421753 83.75509) (xy 184.47413 83.676702) + (xy 184.510208 83.589603) (xy 184.5286 83.497138) (xy 184.5286 83.402862) (xy 185.7714 83.402862) (xy 185.7714 83.497138) + (xy 185.789792 83.589603) (xy 185.82587 83.676702) (xy 185.878247 83.75509) (xy 185.94491 83.821753) (xy 186.023298 83.87413) + (xy 186.110397 83.910208) (xy 186.202862 83.9286) (xy 186.297138 83.9286) (xy 186.389603 83.910208) (xy 186.476702 83.87413) + (xy 186.55509 83.821753) (xy 186.621753 83.75509) (xy 186.67413 83.676702) (xy 186.710208 83.589603) (xy 186.7286 83.497138) + (xy 186.7286 83.402862) (xy 189.4714 83.402862) (xy 189.4714 83.497138) (xy 189.489792 83.589603) (xy 189.52587 83.676702) + (xy 189.578247 83.75509) (xy 189.64491 83.821753) (xy 189.723298 83.87413) (xy 189.810397 83.910208) (xy 189.902862 83.9286) + (xy 189.997138 83.9286) (xy 190.089603 83.910208) (xy 190.176702 83.87413) (xy 190.25509 83.821753) (xy 190.321753 83.75509) + (xy 190.344428 83.721154) (xy 199.6564 83.721154) (xy 199.6564 83.918846) (xy 199.694968 84.112739) (xy 199.770621 84.295383) + (xy 199.880453 84.459758) (xy 200.020242 84.599547) (xy 200.184617 84.709379) (xy 200.367261 84.785032) (xy 200.561154 84.8236) + (xy 200.758846 84.8236) (xy 200.952739 84.785032) (xy 201.135383 84.709379) (xy 201.299758 84.599547) (xy 201.439547 84.459758) + (xy 201.549379 84.295383) (xy 201.625032 84.112739) (xy 201.6636 83.918846) (xy 201.6636 83.721154) (xy 202.1964 83.721154) + (xy 202.1964 83.918846) (xy 202.234968 84.112739) (xy 202.310621 84.295383) (xy 202.420453 84.459758) (xy 202.560242 84.599547) + (xy 202.724617 84.709379) (xy 202.907261 84.785032) (xy 203.101154 84.8236) (xy 203.298846 84.8236) (xy 203.492739 84.785032) + (xy 203.675383 84.709379) (xy 203.839758 84.599547) (xy 203.979547 84.459758) (xy 204.089379 84.295383) (xy 204.165032 84.112739) + (xy 204.2036 83.918846) (xy 204.2036 83.721154) (xy 204.7364 83.721154) (xy 204.7364 83.918846) (xy 204.774968 84.112739) + (xy 204.850621 84.295383) (xy 204.960453 84.459758) (xy 205.100242 84.599547) (xy 205.264617 84.709379) (xy 205.447261 84.785032) + (xy 205.641154 84.8236) (xy 205.838846 84.8236) (xy 206.032739 84.785032) (xy 206.215383 84.709379) (xy 206.379758 84.599547) + (xy 206.519547 84.459758) (xy 206.629379 84.295383) (xy 206.705032 84.112739) (xy 206.7436 83.918846) (xy 206.7436 83.721154) + (xy 206.705032 83.527261) (xy 206.629379 83.344617) (xy 206.519547 83.180242) (xy 206.379758 83.040453) (xy 206.215383 82.930621) + (xy 206.032739 82.854968) (xy 205.838846 82.8164) (xy 205.641154 82.8164) (xy 205.447261 82.854968) (xy 205.264617 82.930621) + (xy 205.100242 83.040453) (xy 204.960453 83.180242) (xy 204.850621 83.344617) (xy 204.774968 83.527261) (xy 204.7364 83.721154) + (xy 204.2036 83.721154) (xy 204.165032 83.527261) (xy 204.089379 83.344617) (xy 203.979547 83.180242) (xy 203.839758 83.040453) + (xy 203.675383 82.930621) (xy 203.492739 82.854968) (xy 203.298846 82.8164) (xy 203.101154 82.8164) (xy 202.907261 82.854968) + (xy 202.724617 82.930621) (xy 202.560242 83.040453) (xy 202.420453 83.180242) (xy 202.310621 83.344617) (xy 202.234968 83.527261) + (xy 202.1964 83.721154) (xy 201.6636 83.721154) (xy 201.625032 83.527261) (xy 201.549379 83.344617) (xy 201.439547 83.180242) + (xy 201.299758 83.040453) (xy 201.135383 82.930621) (xy 200.952739 82.854968) (xy 200.758846 82.8164) (xy 200.561154 82.8164) + (xy 200.367261 82.854968) (xy 200.184617 82.930621) (xy 200.020242 83.040453) (xy 199.880453 83.180242) (xy 199.770621 83.344617) + (xy 199.694968 83.527261) (xy 199.6564 83.721154) (xy 190.344428 83.721154) (xy 190.37413 83.676702) (xy 190.410208 83.589603) + (xy 190.4286 83.497138) (xy 190.4286 83.402862) (xy 190.410208 83.310397) (xy 190.37413 83.223298) (xy 190.321753 83.14491) + (xy 190.25509 83.078247) (xy 190.176702 83.02587) (xy 190.089603 82.989792) (xy 189.997138 82.9714) (xy 189.902862 82.9714) + (xy 189.810397 82.989792) (xy 189.723298 83.02587) (xy 189.64491 83.078247) (xy 189.578247 83.14491) (xy 189.52587 83.223298) + (xy 189.489792 83.310397) (xy 189.4714 83.402862) (xy 186.7286 83.402862) (xy 186.710208 83.310397) (xy 186.67413 83.223298) + (xy 186.621753 83.14491) (xy 186.55509 83.078247) (xy 186.476702 83.02587) (xy 186.389603 82.989792) (xy 186.297138 82.9714) + (xy 186.202862 82.9714) (xy 186.110397 82.989792) (xy 186.023298 83.02587) (xy 185.94491 83.078247) (xy 185.878247 83.14491) + (xy 185.82587 83.223298) (xy 185.789792 83.310397) (xy 185.7714 83.402862) (xy 184.5286 83.402862) (xy 184.510208 83.310397) + (xy 184.47413 83.223298) (xy 184.421753 83.14491) (xy 184.35509 83.078247) (xy 184.276702 83.02587) (xy 184.189603 82.989792) + (xy 184.097138 82.9714) (xy 184.002862 82.9714) (xy 183.910397 82.989792) (xy 183.823298 83.02587) (xy 183.74491 83.078247) + (xy 183.678247 83.14491) (xy 183.62587 83.223298) (xy 183.589792 83.310397) (xy 183.5714 83.402862) (xy 181.810476 83.402862) + (xy 181.771753 83.34491) (xy 181.70509 83.278247) (xy 181.626702 83.22587) (xy 181.539603 83.189792) (xy 181.447138 83.1714) + (xy 181.352862 83.1714) (xy 181.260397 83.189792) (xy 181.173298 83.22587) (xy 181.09491 83.278247) (xy 181.028247 83.34491) + (xy 180.97587 83.423298) (xy 180.939792 83.510397) (xy 180.9214 83.602862) (xy 178.204715 83.602862) (xy 178.210208 83.589603) + (xy 178.2286 83.497138) (xy 178.2286 83.402862) (xy 178.210208 83.310397) (xy 178.17413 83.223298) (xy 178.121753 83.14491) + (xy 178.05509 83.078247) (xy 177.976702 83.02587) (xy 177.889603 82.989792) (xy 177.797138 82.9714) (xy 177.702862 82.9714) + (xy 177.610397 82.989792) (xy 177.523298 83.02587) (xy 177.44491 83.078247) (xy 177.378247 83.14491) (xy 177.32587 83.223298) + (xy 177.289792 83.310397) (xy 177.2714 83.402862) (xy 176.0286 83.402862) (xy 176.010208 83.310397) (xy 175.97413 83.223298) + (xy 175.921753 83.14491) (xy 175.85509 83.078247) (xy 175.776702 83.02587) (xy 175.689603 82.989792) (xy 175.597138 82.9714) + (xy 175.502862 82.9714) (xy 175.410397 82.989792) (xy 175.323298 83.02587) (xy 175.24491 83.078247) (xy 175.178247 83.14491) + (xy 175.12587 83.223298) (xy 175.089792 83.310397) (xy 175.0714 83.402862) (xy 172.8176 83.402862) (xy 172.8176 83.328362) + (xy 172.799208 83.235897) (xy 172.76313 83.148798) (xy 172.710753 83.07041) (xy 172.64409 83.003747) (xy 172.565702 82.95137) + (xy 172.478603 82.915292) (xy 172.386138 82.8969) (xy 172.291862 82.8969) (xy 172.199397 82.915292) (xy 172.112298 82.95137) + (xy 172.03391 83.003747) (xy 171.967247 83.07041) (xy 171.91487 83.148798) (xy 171.878792 83.235897) (xy 171.8604 83.328362) + (xy 152.077205 83.328362) (xy 152.07009 83.321247) (xy 151.991702 83.26887) (xy 151.904603 83.232792) (xy 151.812138 83.2144) + (xy 151.717862 83.2144) (xy 151.625397 83.232792) (xy 151.538298 83.26887) (xy 151.45991 83.321247) (xy 151.393247 83.38791) + (xy 151.34087 83.466298) (xy 151.304792 83.553397) (xy 151.2864 83.645862) (xy 150.9736 83.645862) (xy 150.955208 83.553397) + (xy 150.91913 83.466298) (xy 150.866753 83.38791) (xy 150.80009 83.321247) (xy 150.721702 83.26887) (xy 150.634603 83.232792) + (xy 150.542138 83.2144) (xy 150.447862 83.2144) (xy 150.355397 83.232792) (xy 150.268298 83.26887) (xy 150.18991 83.321247) + (xy 150.123247 83.38791) (xy 150.07087 83.466298) (xy 150.034792 83.553397) (xy 150.0164 83.645862) (xy 103.5546 83.645862) + (xy 103.5546 82.452434) (xy 116.2594 82.452434) (xy 116.2594 82.647566) (xy 116.297468 82.838947) (xy 116.372142 83.019225) + (xy 116.480551 83.181471) (xy 116.618529 83.319449) (xy 116.780775 83.427858) (xy 116.961053 83.502532) (xy 117.152434 83.5406) + (xy 117.347566 83.5406) (xy 117.538947 83.502532) (xy 117.719225 83.427858) (xy 117.881471 83.319449) (xy 118.019449 83.181471) + (xy 118.127858 83.019225) (xy 118.202532 82.838947) (xy 118.23149 82.693362) (xy 171.0984 82.693362) (xy 171.0984 82.787638) + (xy 171.116792 82.880103) (xy 171.15287 82.967202) (xy 171.205247 83.04559) (xy 171.27191 83.112253) (xy 171.350298 83.16463) + (xy 171.437397 83.200708) (xy 171.529862 83.2191) (xy 171.624138 83.2191) (xy 171.716603 83.200708) (xy 171.803702 83.16463) + (xy 171.88209 83.112253) (xy 171.948753 83.04559) (xy 172.00113 82.967202) (xy 172.037208 82.880103) (xy 172.0556 82.787638) + (xy 172.0556 82.752862) (xy 178.0714 82.752862) (xy 178.0714 82.847138) (xy 178.089792 82.939603) (xy 178.12587 83.026702) + (xy 178.178247 83.10509) (xy 178.24491 83.171753) (xy 178.323298 83.22413) (xy 178.410397 83.260208) (xy 178.502862 83.2786) + (xy 178.597138 83.2786) (xy 178.689603 83.260208) (xy 178.776702 83.22413) (xy 178.85509 83.171753) (xy 178.921753 83.10509) + (xy 178.97413 83.026702) (xy 179.010208 82.939603) (xy 179.0286 82.847138) (xy 179.0286 82.752862) (xy 192.4714 82.752862) + (xy 192.4714 82.847138) (xy 192.489792 82.939603) (xy 192.52587 83.026702) (xy 192.578247 83.10509) (xy 192.64491 83.171753) + (xy 192.723298 83.22413) (xy 192.810397 83.260208) (xy 192.902862 83.2786) (xy 192.997138 83.2786) (xy 193.089603 83.260208) + (xy 193.176702 83.22413) (xy 193.25509 83.171753) (xy 193.321753 83.10509) (xy 193.37413 83.026702) (xy 193.406994 82.947362) + (xy 197.8954 82.947362) (xy 197.8954 83.041638) (xy 197.913792 83.134103) (xy 197.94987 83.221202) (xy 198.002247 83.29959) + (xy 198.06891 83.366253) (xy 198.147298 83.41863) (xy 198.234397 83.454708) (xy 198.326862 83.4731) (xy 198.421138 83.4731) + (xy 198.513603 83.454708) (xy 198.600702 83.41863) (xy 198.67909 83.366253) (xy 198.745753 83.29959) (xy 198.79813 83.221202) + (xy 198.834208 83.134103) (xy 198.8526 83.041638) (xy 198.8526 82.947362) (xy 198.834208 82.854897) (xy 198.79813 82.767798) + (xy 198.745753 82.68941) (xy 198.67909 82.622747) (xy 198.600702 82.57037) (xy 198.513603 82.534292) (xy 198.421138 82.5159) + (xy 198.326862 82.5159) (xy 198.234397 82.534292) (xy 198.147298 82.57037) (xy 198.06891 82.622747) (xy 198.002247 82.68941) + (xy 197.94987 82.767798) (xy 197.913792 82.854897) (xy 197.8954 82.947362) (xy 193.406994 82.947362) (xy 193.410208 82.939603) + (xy 193.4286 82.847138) (xy 193.4286 82.752862) (xy 193.410208 82.660397) (xy 193.37413 82.573298) (xy 193.321753 82.49491) + (xy 193.25509 82.428247) (xy 193.176702 82.37587) (xy 193.089603 82.339792) (xy 192.997138 82.3214) (xy 192.902862 82.3214) + (xy 192.810397 82.339792) (xy 192.723298 82.37587) (xy 192.64491 82.428247) (xy 192.578247 82.49491) (xy 192.52587 82.573298) + (xy 192.489792 82.660397) (xy 192.4714 82.752862) (xy 179.0286 82.752862) (xy 179.010208 82.660397) (xy 178.97413 82.573298) + (xy 178.921753 82.49491) (xy 178.85509 82.428247) (xy 178.776702 82.37587) (xy 178.689603 82.339792) (xy 178.597138 82.3214) + (xy 178.502862 82.3214) (xy 178.410397 82.339792) (xy 178.323298 82.37587) (xy 178.24491 82.428247) (xy 178.178247 82.49491) + (xy 178.12587 82.573298) (xy 178.089792 82.660397) (xy 178.0714 82.752862) (xy 172.0556 82.752862) (xy 172.0556 82.693362) + (xy 172.037208 82.600897) (xy 172.00113 82.513798) (xy 171.948753 82.43541) (xy 171.88209 82.368747) (xy 171.803702 82.31637) + (xy 171.716603 82.280292) (xy 171.624138 82.2619) (xy 171.529862 82.2619) (xy 171.437397 82.280292) (xy 171.350298 82.31637) + (xy 171.27191 82.368747) (xy 171.205247 82.43541) (xy 171.15287 82.513798) (xy 171.116792 82.600897) (xy 171.0984 82.693362) + (xy 118.23149 82.693362) (xy 118.2406 82.647566) (xy 118.2406 82.452434) (xy 118.202532 82.261053) (xy 118.127858 82.080775) + (xy 118.019449 81.918529) (xy 117.881471 81.780551) (xy 117.719225 81.672142) (xy 117.538947 81.597468) (xy 117.347566 81.5594) + (xy 117.152434 81.5594) (xy 116.961053 81.597468) (xy 116.780775 81.672142) (xy 116.618529 81.780551) (xy 116.480551 81.918529) + (xy 116.372142 82.080775) (xy 116.297468 82.261053) (xy 116.2594 82.452434) (xy 103.5546 82.452434) (xy 103.5546 80.878239) + (xy 113.4214 80.878239) (xy 113.4214 81.021761) (xy 113.4494 81.162525) (xy 113.504323 81.295121) (xy 113.58406 81.414455) + (xy 113.685545 81.51594) (xy 113.804879 81.595677) (xy 113.937475 81.6506) (xy 114.078239 81.6786) (xy 114.221761 81.6786) + (xy 114.362525 81.6506) (xy 114.495121 81.595677) (xy 114.562939 81.550362) (xy 142.0789 81.550362) (xy 142.0789 81.644638) + (xy 142.097292 81.737103) (xy 142.13337 81.824202) (xy 142.185747 81.90259) (xy 142.25241 81.969253) (xy 142.330798 82.02163) + (xy 142.417897 82.057708) (xy 142.510362 82.0761) (xy 142.604638 82.0761) (xy 142.693815 82.058362) (xy 151.2864 82.058362) + (xy 151.2864 82.152638) (xy 151.304792 82.245103) (xy 151.34087 82.332202) (xy 151.393247 82.41059) (xy 151.45991 82.477253) + (xy 151.538298 82.52963) (xy 151.625397 82.565708) (xy 151.717862 82.5841) (xy 151.812138 82.5841) (xy 151.904603 82.565708) + (xy 151.991702 82.52963) (xy 152.07009 82.477253) (xy 152.136753 82.41059) (xy 152.18913 82.332202) (xy 152.225208 82.245103) + (xy 152.2436 82.152638) (xy 152.2436 82.058362) (xy 152.225208 81.965897) (xy 152.18913 81.878798) (xy 152.139394 81.804362) + (xy 167.1614 81.804362) (xy 167.1614 81.898638) (xy 167.179792 81.991103) (xy 167.21587 82.078202) (xy 167.268247 82.15659) + (xy 167.33491 82.223253) (xy 167.413298 82.27563) (xy 167.500397 82.311708) (xy 167.592862 82.3301) (xy 167.687138 82.3301) + (xy 167.779603 82.311708) (xy 167.866702 82.27563) (xy 167.94509 82.223253) (xy 168.011753 82.15659) (xy 168.06413 82.078202) + (xy 168.072348 82.058362) (xy 170.3364 82.058362) (xy 170.3364 82.152638) (xy 170.354792 82.245103) (xy 170.39087 82.332202) + (xy 170.443247 82.41059) (xy 170.50991 82.477253) (xy 170.588298 82.52963) (xy 170.675397 82.565708) (xy 170.767862 82.5841) + (xy 170.862138 82.5841) (xy 170.954603 82.565708) (xy 171.041702 82.52963) (xy 171.12009 82.477253) (xy 171.186753 82.41059) + (xy 171.23913 82.332202) (xy 171.275208 82.245103) (xy 171.2936 82.152638) (xy 171.2936 82.102862) (xy 177.2714 82.102862) + (xy 177.2714 82.197138) (xy 177.289792 82.289603) (xy 177.32587 82.376702) (xy 177.378247 82.45509) (xy 177.44491 82.521753) + (xy 177.523298 82.57413) (xy 177.610397 82.610208) (xy 177.702862 82.6286) (xy 177.797138 82.6286) (xy 177.889603 82.610208) + (xy 177.976702 82.57413) (xy 178.05509 82.521753) (xy 178.121753 82.45509) (xy 178.17413 82.376702) (xy 178.210208 82.289603) + (xy 178.2286 82.197138) (xy 178.2286 82.102862) (xy 191.6714 82.102862) (xy 191.6714 82.197138) (xy 191.689792 82.289603) + (xy 191.72587 82.376702) (xy 191.778247 82.45509) (xy 191.84491 82.521753) (xy 191.923298 82.57413) (xy 192.010397 82.610208) + (xy 192.102862 82.6286) (xy 192.197138 82.6286) (xy 192.289603 82.610208) (xy 192.376702 82.57413) (xy 192.45509 82.521753) + (xy 192.521753 82.45509) (xy 192.57413 82.376702) (xy 192.610208 82.289603) (xy 192.6286 82.197138) (xy 192.6286 82.102862) + (xy 192.610208 82.010397) (xy 192.57413 81.923298) (xy 192.521753 81.84491) (xy 192.45509 81.778247) (xy 192.376702 81.72587) + (xy 192.289603 81.689792) (xy 192.197138 81.6714) (xy 192.102862 81.6714) (xy 192.010397 81.689792) (xy 191.923298 81.72587) + (xy 191.84491 81.778247) (xy 191.778247 81.84491) (xy 191.72587 81.923298) (xy 191.689792 82.010397) (xy 191.6714 82.102862) + (xy 178.2286 82.102862) (xy 178.210208 82.010397) (xy 178.17413 81.923298) (xy 178.121753 81.84491) (xy 178.05509 81.778247) + (xy 177.976702 81.72587) (xy 177.889603 81.689792) (xy 177.797138 81.6714) (xy 177.702862 81.6714) (xy 177.610397 81.689792) + (xy 177.523298 81.72587) (xy 177.44491 81.778247) (xy 177.378247 81.84491) (xy 177.32587 81.923298) (xy 177.289792 82.010397) + (xy 177.2714 82.102862) (xy 171.2936 82.102862) (xy 171.2936 82.058362) (xy 171.275208 81.965897) (xy 171.23913 81.878798) + (xy 171.186753 81.80041) (xy 171.12009 81.733747) (xy 171.041702 81.68137) (xy 170.954603 81.645292) (xy 170.862138 81.6269) + (xy 170.767862 81.6269) (xy 170.675397 81.645292) (xy 170.588298 81.68137) (xy 170.50991 81.733747) (xy 170.443247 81.80041) + (xy 170.39087 81.878798) (xy 170.354792 81.965897) (xy 170.3364 82.058362) (xy 168.072348 82.058362) (xy 168.100208 81.991103) + (xy 168.1186 81.898638) (xy 168.1186 81.804362) (xy 168.100208 81.711897) (xy 168.06413 81.624798) (xy 168.011753 81.54641) + (xy 167.94509 81.479747) (xy 167.866702 81.42737) (xy 167.779603 81.391292) (xy 167.687138 81.3729) (xy 167.592862 81.3729) + (xy 167.500397 81.391292) (xy 167.413298 81.42737) (xy 167.33491 81.479747) (xy 167.268247 81.54641) (xy 167.21587 81.624798) + (xy 167.179792 81.711897) (xy 167.1614 81.804362) (xy 152.139394 81.804362) (xy 152.136753 81.80041) (xy 152.07009 81.733747) + (xy 151.991702 81.68137) (xy 151.904603 81.645292) (xy 151.812138 81.6269) (xy 151.717862 81.6269) (xy 151.625397 81.645292) + (xy 151.538298 81.68137) (xy 151.45991 81.733747) (xy 151.393247 81.80041) (xy 151.34087 81.878798) (xy 151.304792 81.965897) + (xy 151.2864 82.058362) (xy 142.693815 82.058362) (xy 142.697103 82.057708) (xy 142.784202 82.02163) (xy 142.86259 81.969253) + (xy 142.929253 81.90259) (xy 142.98163 81.824202) (xy 143.017708 81.737103) (xy 143.0361 81.644638) (xy 143.0361 81.550362) + (xy 143.017708 81.457897) (xy 142.98163 81.370798) (xy 142.974323 81.359862) (xy 144.9364 81.359862) (xy 144.9364 81.454138) + (xy 144.954792 81.546603) (xy 144.99087 81.633702) (xy 145.043247 81.71209) (xy 145.10991 81.778753) (xy 145.188298 81.83113) + (xy 145.275397 81.867208) (xy 145.367862 81.8856) (xy 145.462138 81.8856) (xy 145.554603 81.867208) (xy 145.641702 81.83113) + (xy 145.72009 81.778753) (xy 145.786753 81.71209) (xy 145.83913 81.633702) (xy 145.875208 81.546603) (xy 145.8936 81.454138) + (xy 145.8936 81.359862) (xy 146.2064 81.359862) (xy 146.2064 81.454138) (xy 146.224792 81.546603) (xy 146.26087 81.633702) + (xy 146.313247 81.71209) (xy 146.37991 81.778753) (xy 146.458298 81.83113) (xy 146.545397 81.867208) (xy 146.637862 81.8856) + (xy 146.732138 81.8856) (xy 146.824603 81.867208) (xy 146.911702 81.83113) (xy 146.99009 81.778753) (xy 147.056753 81.71209) + (xy 147.10913 81.633702) (xy 147.145208 81.546603) (xy 147.1636 81.454138) (xy 147.1636 81.359862) (xy 147.4764 81.359862) + (xy 147.4764 81.454138) (xy 147.494792 81.546603) (xy 147.53087 81.633702) (xy 147.583247 81.71209) (xy 147.64991 81.778753) + (xy 147.728298 81.83113) (xy 147.815397 81.867208) (xy 147.907862 81.8856) (xy 148.002138 81.8856) (xy 148.094603 81.867208) + (xy 148.181702 81.83113) (xy 148.26009 81.778753) (xy 148.326753 81.71209) (xy 148.37913 81.633702) (xy 148.415208 81.546603) + (xy 148.4336 81.454138) (xy 148.4336 81.359862) (xy 148.7464 81.359862) (xy 148.7464 81.454138) (xy 148.764792 81.546603) + (xy 148.80087 81.633702) (xy 148.853247 81.71209) (xy 148.91991 81.778753) (xy 148.998298 81.83113) (xy 149.085397 81.867208) + (xy 149.177862 81.8856) (xy 149.272138 81.8856) (xy 149.364603 81.867208) (xy 149.451702 81.83113) (xy 149.53009 81.778753) + (xy 149.596753 81.71209) (xy 149.64913 81.633702) (xy 149.685208 81.546603) (xy 149.7036 81.454138) (xy 149.7036 81.359862) + (xy 150.0164 81.359862) (xy 150.0164 81.454138) (xy 150.034792 81.546603) (xy 150.07087 81.633702) (xy 150.123247 81.71209) + (xy 150.18991 81.778753) (xy 150.268298 81.83113) (xy 150.355397 81.867208) (xy 150.447862 81.8856) (xy 150.542138 81.8856) + (xy 150.634603 81.867208) (xy 150.721702 81.83113) (xy 150.80009 81.778753) (xy 150.866753 81.71209) (xy 150.91913 81.633702) + (xy 150.955208 81.546603) (xy 150.9736 81.454138) (xy 150.9736 81.359862) (xy 150.96097 81.296362) (xy 168.1774 81.296362) + (xy 168.1774 81.390638) (xy 168.195792 81.483103) (xy 168.23187 81.570202) (xy 168.284247 81.64859) (xy 168.35091 81.715253) + (xy 168.429298 81.76763) (xy 168.516397 81.803708) (xy 168.608862 81.8221) (xy 168.703138 81.8221) (xy 168.795603 81.803708) + (xy 168.882702 81.76763) (xy 168.96109 81.715253) (xy 169.027753 81.64859) (xy 169.08013 81.570202) (xy 169.116208 81.483103) + (xy 169.122223 81.452862) (xy 178.0714 81.452862) (xy 178.0714 81.547138) (xy 178.089792 81.639603) (xy 178.12587 81.726702) + (xy 178.178247 81.80509) (xy 178.24491 81.871753) (xy 178.323298 81.92413) (xy 178.410397 81.960208) (xy 178.502862 81.9786) + (xy 178.597138 81.9786) (xy 178.689603 81.960208) (xy 178.776702 81.92413) (xy 178.85509 81.871753) (xy 178.921753 81.80509) + (xy 178.97413 81.726702) (xy 179.010208 81.639603) (xy 179.0286 81.547138) (xy 179.0286 81.452862) (xy 192.4714 81.452862) + (xy 192.4714 81.547138) (xy 192.489792 81.639603) (xy 192.52587 81.726702) (xy 192.578247 81.80509) (xy 192.64491 81.871753) + (xy 192.723298 81.92413) (xy 192.810397 81.960208) (xy 192.902862 81.9786) (xy 192.997138 81.9786) (xy 193.089603 81.960208) + (xy 193.176702 81.92413) (xy 193.25509 81.871753) (xy 193.321753 81.80509) (xy 193.37413 81.726702) (xy 193.410208 81.639603) + (xy 193.4286 81.547138) (xy 193.4286 81.452862) (xy 193.410208 81.360397) (xy 193.37413 81.273298) (xy 193.321753 81.19491) + (xy 193.25509 81.128247) (xy 193.176702 81.07587) (xy 193.089603 81.039792) (xy 192.997138 81.0214) (xy 192.902862 81.0214) + (xy 192.810397 81.039792) (xy 192.723298 81.07587) (xy 192.64491 81.128247) (xy 192.578247 81.19491) (xy 192.52587 81.273298) + (xy 192.489792 81.360397) (xy 192.4714 81.452862) (xy 179.0286 81.452862) (xy 179.010208 81.360397) (xy 178.97413 81.273298) + (xy 178.921753 81.19491) (xy 178.85509 81.128247) (xy 178.776702 81.07587) (xy 178.689603 81.039792) (xy 178.597138 81.0214) + (xy 178.502862 81.0214) (xy 178.410397 81.039792) (xy 178.323298 81.07587) (xy 178.24491 81.128247) (xy 178.178247 81.19491) + (xy 178.12587 81.273298) (xy 178.089792 81.360397) (xy 178.0714 81.452862) (xy 169.122223 81.452862) (xy 169.1346 81.390638) + (xy 169.1346 81.296362) (xy 169.116208 81.203897) (xy 169.08013 81.116798) (xy 169.027753 81.03841) (xy 168.96109 80.971747) + (xy 168.882702 80.91937) (xy 168.795603 80.883292) (xy 168.703138 80.8649) (xy 168.608862 80.8649) (xy 168.516397 80.883292) + (xy 168.429298 80.91937) (xy 168.35091 80.971747) (xy 168.284247 81.03841) (xy 168.23187 81.116798) (xy 168.195792 81.203897) + (xy 168.1774 81.296362) (xy 150.96097 81.296362) (xy 150.955208 81.267397) (xy 150.91913 81.180298) (xy 150.866753 81.10191) + (xy 150.80009 81.035247) (xy 150.721702 80.98287) (xy 150.634603 80.946792) (xy 150.542138 80.9284) (xy 150.447862 80.9284) + (xy 150.355397 80.946792) (xy 150.268298 80.98287) (xy 150.18991 81.035247) (xy 150.123247 81.10191) (xy 150.07087 81.180298) + (xy 150.034792 81.267397) (xy 150.0164 81.359862) (xy 149.7036 81.359862) (xy 149.685208 81.267397) (xy 149.64913 81.180298) + (xy 149.596753 81.10191) (xy 149.53009 81.035247) (xy 149.451702 80.98287) (xy 149.364603 80.946792) (xy 149.272138 80.9284) + (xy 149.177862 80.9284) (xy 149.085397 80.946792) (xy 148.998298 80.98287) (xy 148.91991 81.035247) (xy 148.853247 81.10191) + (xy 148.80087 81.180298) (xy 148.764792 81.267397) (xy 148.7464 81.359862) (xy 148.4336 81.359862) (xy 148.415208 81.267397) + (xy 148.37913 81.180298) (xy 148.326753 81.10191) (xy 148.26009 81.035247) (xy 148.181702 80.98287) (xy 148.094603 80.946792) + (xy 148.002138 80.9284) (xy 147.907862 80.9284) (xy 147.815397 80.946792) (xy 147.728298 80.98287) (xy 147.64991 81.035247) + (xy 147.583247 81.10191) (xy 147.53087 81.180298) (xy 147.494792 81.267397) (xy 147.4764 81.359862) (xy 147.1636 81.359862) + (xy 147.145208 81.267397) (xy 147.10913 81.180298) (xy 147.056753 81.10191) (xy 146.99009 81.035247) (xy 146.911702 80.98287) + (xy 146.824603 80.946792) (xy 146.732138 80.9284) (xy 146.637862 80.9284) (xy 146.545397 80.946792) (xy 146.458298 80.98287) + (xy 146.37991 81.035247) (xy 146.313247 81.10191) (xy 146.26087 81.180298) (xy 146.224792 81.267397) (xy 146.2064 81.359862) + (xy 145.8936 81.359862) (xy 145.875208 81.267397) (xy 145.83913 81.180298) (xy 145.786753 81.10191) (xy 145.72009 81.035247) + (xy 145.641702 80.98287) (xy 145.554603 80.946792) (xy 145.462138 80.9284) (xy 145.367862 80.9284) (xy 145.275397 80.946792) + (xy 145.188298 80.98287) (xy 145.10991 81.035247) (xy 145.043247 81.10191) (xy 144.99087 81.180298) (xy 144.954792 81.267397) + (xy 144.9364 81.359862) (xy 142.974323 81.359862) (xy 142.929253 81.29241) (xy 142.86259 81.225747) (xy 142.784202 81.17337) + (xy 142.697103 81.137292) (xy 142.604638 81.1189) (xy 142.510362 81.1189) (xy 142.417897 81.137292) (xy 142.330798 81.17337) + (xy 142.25241 81.225747) (xy 142.185747 81.29241) (xy 142.13337 81.370798) (xy 142.097292 81.457897) (xy 142.0789 81.550362) + (xy 114.562939 81.550362) (xy 114.614455 81.51594) (xy 114.71594 81.414455) (xy 114.795677 81.295121) (xy 114.8506 81.162525) + (xy 114.8786 81.021761) (xy 114.8786 80.878239) (xy 114.8506 80.737475) (xy 114.845376 80.724862) (xy 128.5534 80.724862) + (xy 128.5534 80.819138) (xy 128.571792 80.911603) (xy 128.60787 80.998702) (xy 128.660247 81.07709) (xy 128.72691 81.143753) + (xy 128.805298 81.19613) (xy 128.892397 81.232208) (xy 128.984862 81.2506) (xy 129.079138 81.2506) (xy 129.171603 81.232208) + (xy 129.258702 81.19613) (xy 129.33709 81.143753) (xy 129.403753 81.07709) (xy 129.45613 80.998702) (xy 129.492208 80.911603) + (xy 129.5106 80.819138) (xy 129.5106 80.724862) (xy 129.492208 80.632397) (xy 129.477903 80.597862) (xy 130.3314 80.597862) + (xy 130.3314 80.692138) (xy 130.349792 80.784603) (xy 130.38587 80.871702) (xy 130.438247 80.95009) (xy 130.50491 81.016753) + (xy 130.583298 81.06913) (xy 130.670397 81.105208) (xy 130.762862 81.1236) (xy 130.857138 81.1236) (xy 130.949603 81.105208) + (xy 131.036702 81.06913) (xy 131.11509 81.016753) (xy 131.181753 80.95009) (xy 131.23413 80.871702) (xy 131.270208 80.784603) + (xy 131.2886 80.692138) (xy 131.2886 80.597862) (xy 131.6014 80.597862) (xy 131.6014 80.692138) (xy 131.619792 80.784603) + (xy 131.65587 80.871702) (xy 131.708247 80.95009) (xy 131.77491 81.016753) (xy 131.853298 81.06913) (xy 131.940397 81.105208) + (xy 132.032862 81.1236) (xy 132.127138 81.1236) (xy 132.219603 81.105208) (xy 132.306702 81.06913) (xy 132.38509 81.016753) + (xy 132.451753 80.95009) (xy 132.50413 80.871702) (xy 132.540208 80.784603) (xy 132.5586 80.692138) (xy 132.5586 80.597862) + (xy 132.8714 80.597862) (xy 132.8714 80.692138) (xy 132.889792 80.784603) (xy 132.92587 80.871702) (xy 132.978247 80.95009) + (xy 133.04491 81.016753) (xy 133.123298 81.06913) (xy 133.210397 81.105208) (xy 133.302862 81.1236) (xy 133.397138 81.1236) + (xy 133.489603 81.105208) (xy 133.576702 81.06913) (xy 133.65509 81.016753) (xy 133.721753 80.95009) (xy 133.77413 80.871702) + (xy 133.810208 80.784603) (xy 133.8286 80.692138) (xy 133.8286 80.597862) (xy 134.1414 80.597862) (xy 134.1414 80.692138) + (xy 134.159792 80.784603) (xy 134.19587 80.871702) (xy 134.248247 80.95009) (xy 134.31491 81.016753) (xy 134.393298 81.06913) + (xy 134.480397 81.105208) (xy 134.572862 81.1236) (xy 134.667138 81.1236) (xy 134.759603 81.105208) (xy 134.846702 81.06913) + (xy 134.92509 81.016753) (xy 134.991753 80.95009) (xy 135.04413 80.871702) (xy 135.080208 80.784603) (xy 135.0986 80.692138) + (xy 135.0986 80.597862) (xy 135.4114 80.597862) (xy 135.4114 80.692138) (xy 135.429792 80.784603) (xy 135.46587 80.871702) + (xy 135.518247 80.95009) (xy 135.58491 81.016753) (xy 135.663298 81.06913) (xy 135.750397 81.105208) (xy 135.842862 81.1236) + (xy 135.937138 81.1236) (xy 136.029603 81.105208) (xy 136.116702 81.06913) (xy 136.19509 81.016753) (xy 136.261753 80.95009) + (xy 136.31413 80.871702) (xy 136.350208 80.784603) (xy 136.3686 80.692138) (xy 136.3686 80.597862) (xy 136.6814 80.597862) + (xy 136.6814 80.692138) (xy 136.699792 80.784603) (xy 136.73587 80.871702) (xy 136.788247 80.95009) (xy 136.85491 81.016753) + (xy 136.933298 81.06913) (xy 137.020397 81.105208) (xy 137.112862 81.1236) (xy 137.207138 81.1236) (xy 137.299603 81.105208) + (xy 137.386702 81.06913) (xy 137.46509 81.016753) (xy 137.531753 80.95009) (xy 137.58413 80.871702) (xy 137.620208 80.784603) + (xy 137.6386 80.692138) (xy 137.6386 80.597862) (xy 137.9514 80.597862) (xy 137.9514 80.692138) (xy 137.969792 80.784603) + (xy 138.00587 80.871702) (xy 138.058247 80.95009) (xy 138.12491 81.016753) (xy 138.203298 81.06913) (xy 138.290397 81.105208) + (xy 138.382862 81.1236) (xy 138.477138 81.1236) (xy 138.569603 81.105208) (xy 138.656702 81.06913) (xy 138.73509 81.016753) + (xy 138.801753 80.95009) (xy 138.85413 80.871702) (xy 138.890208 80.784603) (xy 138.9086 80.692138) (xy 138.9086 80.597862) + (xy 139.2214 80.597862) (xy 139.2214 80.692138) (xy 139.239792 80.784603) (xy 139.27587 80.871702) (xy 139.328247 80.95009) + (xy 139.39491 81.016753) (xy 139.473298 81.06913) (xy 139.560397 81.105208) (xy 139.652862 81.1236) (xy 139.747138 81.1236) + (xy 139.839603 81.105208) (xy 139.926702 81.06913) (xy 140.00509 81.016753) (xy 140.071753 80.95009) (xy 140.12413 80.871702) + (xy 140.160208 80.784603) (xy 140.1786 80.692138) (xy 140.1786 80.661362) (xy 151.8579 80.661362) (xy 151.8579 80.755638) + (xy 151.876292 80.848103) (xy 151.91237 80.935202) (xy 151.964747 81.01359) (xy 152.03141 81.080253) (xy 152.109798 81.13263) + (xy 152.196897 81.168708) (xy 152.289362 81.1871) (xy 152.383638 81.1871) (xy 152.476103 81.168708) (xy 152.563202 81.13263) + (xy 152.64159 81.080253) (xy 152.708253 81.01359) (xy 152.76063 80.935202) (xy 152.796708 80.848103) (xy 152.8151 80.755638) + (xy 152.8151 80.724862) (xy 153.9534 80.724862) (xy 153.9534 80.819138) (xy 153.971792 80.911603) (xy 154.00787 80.998702) + (xy 154.060247 81.07709) (xy 154.12691 81.143753) (xy 154.205298 81.19613) (xy 154.292397 81.232208) (xy 154.384862 81.2506) + (xy 154.479138 81.2506) (xy 154.571603 81.232208) (xy 154.658702 81.19613) (xy 154.73709 81.143753) (xy 154.803753 81.07709) + (xy 154.85613 80.998702) (xy 154.892208 80.911603) (xy 154.9106 80.819138) (xy 154.9106 80.724862) (xy 154.892208 80.632397) + (xy 154.877903 80.597862) (xy 155.7314 80.597862) (xy 155.7314 80.692138) (xy 155.749792 80.784603) (xy 155.78587 80.871702) + (xy 155.838247 80.95009) (xy 155.90491 81.016753) (xy 155.983298 81.06913) (xy 156.070397 81.105208) (xy 156.162862 81.1236) + (xy 156.257138 81.1236) (xy 156.349603 81.105208) (xy 156.436702 81.06913) (xy 156.51509 81.016753) (xy 156.581753 80.95009) + (xy 156.63413 80.871702) (xy 156.670208 80.784603) (xy 156.6886 80.692138) (xy 156.6886 80.597862) (xy 157.0014 80.597862) + (xy 157.0014 80.692138) (xy 157.019792 80.784603) (xy 157.05587 80.871702) (xy 157.108247 80.95009) (xy 157.17491 81.016753) + (xy 157.253298 81.06913) (xy 157.340397 81.105208) (xy 157.432862 81.1236) (xy 157.527138 81.1236) (xy 157.619603 81.105208) + (xy 157.706702 81.06913) (xy 157.78509 81.016753) (xy 157.851753 80.95009) (xy 157.90413 80.871702) (xy 157.940208 80.784603) + (xy 157.9586 80.692138) (xy 157.9586 80.597862) (xy 158.2714 80.597862) (xy 158.2714 80.692138) (xy 158.289792 80.784603) + (xy 158.32587 80.871702) (xy 158.378247 80.95009) (xy 158.44491 81.016753) (xy 158.523298 81.06913) (xy 158.610397 81.105208) + (xy 158.702862 81.1236) (xy 158.797138 81.1236) (xy 158.889603 81.105208) (xy 158.976702 81.06913) (xy 159.05509 81.016753) + (xy 159.121753 80.95009) (xy 159.17413 80.871702) (xy 159.210208 80.784603) (xy 159.2286 80.692138) (xy 159.2286 80.597862) + (xy 159.5414 80.597862) (xy 159.5414 80.692138) (xy 159.559792 80.784603) (xy 159.59587 80.871702) (xy 159.648247 80.95009) + (xy 159.71491 81.016753) (xy 159.793298 81.06913) (xy 159.880397 81.105208) (xy 159.972862 81.1236) (xy 160.067138 81.1236) + (xy 160.159603 81.105208) (xy 160.246702 81.06913) (xy 160.32509 81.016753) (xy 160.391753 80.95009) (xy 160.44413 80.871702) + (xy 160.480208 80.784603) (xy 160.4986 80.692138) (xy 160.4986 80.597862) (xy 160.8114 80.597862) (xy 160.8114 80.692138) + (xy 160.829792 80.784603) (xy 160.86587 80.871702) (xy 160.918247 80.95009) (xy 160.98491 81.016753) (xy 161.063298 81.06913) + (xy 161.150397 81.105208) (xy 161.242862 81.1236) (xy 161.337138 81.1236) (xy 161.429603 81.105208) (xy 161.516702 81.06913) + (xy 161.59509 81.016753) (xy 161.661753 80.95009) (xy 161.71413 80.871702) (xy 161.750208 80.784603) (xy 161.7686 80.692138) + (xy 161.7686 80.597862) (xy 162.0814 80.597862) (xy 162.0814 80.692138) (xy 162.099792 80.784603) (xy 162.13587 80.871702) + (xy 162.188247 80.95009) (xy 162.25491 81.016753) (xy 162.333298 81.06913) (xy 162.420397 81.105208) (xy 162.512862 81.1236) + (xy 162.607138 81.1236) (xy 162.699603 81.105208) (xy 162.786702 81.06913) (xy 162.86509 81.016753) (xy 162.931753 80.95009) + (xy 162.98413 80.871702) (xy 163.020208 80.784603) (xy 163.0386 80.692138) (xy 163.0386 80.597862) (xy 163.3514 80.597862) + (xy 163.3514 80.692138) (xy 163.369792 80.784603) (xy 163.40587 80.871702) (xy 163.458247 80.95009) (xy 163.52491 81.016753) + (xy 163.603298 81.06913) (xy 163.690397 81.105208) (xy 163.782862 81.1236) (xy 163.877138 81.1236) (xy 163.969603 81.105208) + (xy 164.056702 81.06913) (xy 164.13509 81.016753) (xy 164.201753 80.95009) (xy 164.25413 80.871702) (xy 164.290208 80.784603) + (xy 164.3086 80.692138) (xy 164.3086 80.597862) (xy 164.6214 80.597862) (xy 164.6214 80.692138) (xy 164.639792 80.784603) + (xy 164.67587 80.871702) (xy 164.728247 80.95009) (xy 164.79491 81.016753) (xy 164.873298 81.06913) (xy 164.960397 81.105208) + (xy 165.052862 81.1236) (xy 165.147138 81.1236) (xy 165.239603 81.105208) (xy 165.326702 81.06913) (xy 165.40509 81.016753) + (xy 165.471753 80.95009) (xy 165.52413 80.871702) (xy 165.552644 80.802862) (xy 177.2714 80.802862) (xy 177.2714 80.897138) + (xy 177.289792 80.989603) (xy 177.32587 81.076702) (xy 177.378247 81.15509) (xy 177.44491 81.221753) (xy 177.523298 81.27413) + (xy 177.610397 81.310208) (xy 177.702862 81.3286) (xy 177.797138 81.3286) (xy 177.889603 81.310208) (xy 177.976702 81.27413) + (xy 178.05509 81.221753) (xy 178.121753 81.15509) (xy 178.17413 81.076702) (xy 178.210208 80.989603) (xy 178.2286 80.897138) + (xy 178.2286 80.802862) (xy 191.6714 80.802862) (xy 191.6714 80.897138) (xy 191.689792 80.989603) (xy 191.72587 81.076702) + (xy 191.778247 81.15509) (xy 191.84491 81.221753) (xy 191.923298 81.27413) (xy 192.010397 81.310208) (xy 192.102862 81.3286) + (xy 192.197138 81.3286) (xy 192.289603 81.310208) (xy 192.376702 81.27413) (xy 192.45509 81.221753) (xy 192.521753 81.15509) + (xy 192.57413 81.076702) (xy 192.610208 80.989603) (xy 192.6286 80.897138) (xy 192.6286 80.802862) (xy 192.613086 80.724862) + (xy 197.5779 80.724862) (xy 197.5779 80.819138) (xy 197.596292 80.911603) (xy 197.63237 80.998702) (xy 197.684747 81.07709) + (xy 197.75141 81.143753) (xy 197.829798 81.19613) (xy 197.916897 81.232208) (xy 198.009362 81.2506) (xy 198.103638 81.2506) + (xy 198.196103 81.232208) (xy 198.283202 81.19613) (xy 198.305615 81.181154) (xy 199.6564 81.181154) (xy 199.6564 81.378846) + (xy 199.694968 81.572739) (xy 199.770621 81.755383) (xy 199.880453 81.919758) (xy 200.020242 82.059547) (xy 200.184617 82.169379) + (xy 200.367261 82.245032) (xy 200.561154 82.2836) (xy 200.758846 82.2836) (xy 200.952739 82.245032) (xy 201.135383 82.169379) + (xy 201.299758 82.059547) (xy 201.439547 81.919758) (xy 201.549379 81.755383) (xy 201.625032 81.572739) (xy 201.6636 81.378846) + (xy 201.6636 81.181154) (xy 202.1964 81.181154) (xy 202.1964 81.378846) (xy 202.234968 81.572739) (xy 202.310621 81.755383) + (xy 202.420453 81.919758) (xy 202.560242 82.059547) (xy 202.724617 82.169379) (xy 202.907261 82.245032) (xy 203.101154 82.2836) + (xy 203.298846 82.2836) (xy 203.492739 82.245032) (xy 203.675383 82.169379) (xy 203.839758 82.059547) (xy 203.979547 81.919758) + (xy 204.089379 81.755383) (xy 204.165032 81.572739) (xy 204.2036 81.378846) (xy 204.2036 81.181154) (xy 204.7364 81.181154) + (xy 204.7364 81.378846) (xy 204.774968 81.572739) (xy 204.850621 81.755383) (xy 204.960453 81.919758) (xy 205.100242 82.059547) + (xy 205.264617 82.169379) (xy 205.447261 82.245032) (xy 205.641154 82.2836) (xy 205.838846 82.2836) (xy 206.032739 82.245032) + (xy 206.215383 82.169379) (xy 206.379758 82.059547) (xy 206.519547 81.919758) (xy 206.629379 81.755383) (xy 206.705032 81.572739) + (xy 206.7436 81.378846) (xy 206.7436 81.181154) (xy 206.705032 80.987261) (xy 206.629379 80.804617) (xy 206.519547 80.640242) + (xy 206.379758 80.500453) (xy 206.215383 80.390621) (xy 206.032739 80.314968) (xy 205.838846 80.2764) (xy 205.641154 80.2764) + (xy 205.447261 80.314968) (xy 205.264617 80.390621) (xy 205.100242 80.500453) (xy 204.960453 80.640242) (xy 204.850621 80.804617) + (xy 204.774968 80.987261) (xy 204.7364 81.181154) (xy 204.2036 81.181154) (xy 204.165032 80.987261) (xy 204.089379 80.804617) + (xy 203.979547 80.640242) (xy 203.839758 80.500453) (xy 203.675383 80.390621) (xy 203.492739 80.314968) (xy 203.298846 80.2764) + (xy 203.101154 80.2764) (xy 202.907261 80.314968) (xy 202.724617 80.390621) (xy 202.560242 80.500453) (xy 202.420453 80.640242) + (xy 202.310621 80.804617) (xy 202.234968 80.987261) (xy 202.1964 81.181154) (xy 201.6636 81.181154) (xy 201.625032 80.987261) + (xy 201.549379 80.804617) (xy 201.439547 80.640242) (xy 201.299758 80.500453) (xy 201.135383 80.390621) (xy 200.952739 80.314968) + (xy 200.758846 80.2764) (xy 200.561154 80.2764) (xy 200.367261 80.314968) (xy 200.184617 80.390621) (xy 200.020242 80.500453) + (xy 199.880453 80.640242) (xy 199.770621 80.804617) (xy 199.694968 80.987261) (xy 199.6564 81.181154) (xy 198.305615 81.181154) + (xy 198.36159 81.143753) (xy 198.428253 81.07709) (xy 198.48063 80.998702) (xy 198.516708 80.911603) (xy 198.5351 80.819138) + (xy 198.5351 80.724862) (xy 198.516708 80.632397) (xy 198.48063 80.545298) (xy 198.428253 80.46691) (xy 198.36159 80.400247) + (xy 198.283202 80.34787) (xy 198.196103 80.311792) (xy 198.103638 80.2934) (xy 198.009362 80.2934) (xy 197.916897 80.311792) + (xy 197.829798 80.34787) (xy 197.75141 80.400247) (xy 197.684747 80.46691) (xy 197.63237 80.545298) (xy 197.596292 80.632397) + (xy 197.5779 80.724862) (xy 192.613086 80.724862) (xy 192.610208 80.710397) (xy 192.57413 80.623298) (xy 192.521753 80.54491) + (xy 192.45509 80.478247) (xy 192.376702 80.42587) (xy 192.289603 80.389792) (xy 192.197138 80.3714) (xy 192.102862 80.3714) + (xy 192.010397 80.389792) (xy 191.923298 80.42587) (xy 191.84491 80.478247) (xy 191.778247 80.54491) (xy 191.72587 80.623298) + (xy 191.689792 80.710397) (xy 191.6714 80.802862) (xy 178.2286 80.802862) (xy 178.210208 80.710397) (xy 178.17413 80.623298) + (xy 178.121753 80.54491) (xy 178.05509 80.478247) (xy 177.976702 80.42587) (xy 177.889603 80.389792) (xy 177.797138 80.3714) + (xy 177.702862 80.3714) (xy 177.610397 80.389792) (xy 177.523298 80.42587) (xy 177.44491 80.478247) (xy 177.378247 80.54491) + (xy 177.32587 80.623298) (xy 177.289792 80.710397) (xy 177.2714 80.802862) (xy 165.552644 80.802862) (xy 165.560208 80.784603) + (xy 165.5786 80.692138) (xy 165.5786 80.597862) (xy 165.560208 80.505397) (xy 165.52413 80.418298) (xy 165.471753 80.33991) + (xy 165.40509 80.273247) (xy 165.326702 80.22087) (xy 165.239603 80.184792) (xy 165.147138 80.1664) (xy 165.052862 80.1664) + (xy 164.960397 80.184792) (xy 164.873298 80.22087) (xy 164.79491 80.273247) (xy 164.728247 80.33991) (xy 164.67587 80.418298) + (xy 164.639792 80.505397) (xy 164.6214 80.597862) (xy 164.3086 80.597862) (xy 164.290208 80.505397) (xy 164.25413 80.418298) + (xy 164.201753 80.33991) (xy 164.13509 80.273247) (xy 164.056702 80.22087) (xy 163.969603 80.184792) (xy 163.877138 80.1664) + (xy 163.782862 80.1664) (xy 163.690397 80.184792) (xy 163.603298 80.22087) (xy 163.52491 80.273247) (xy 163.458247 80.33991) + (xy 163.40587 80.418298) (xy 163.369792 80.505397) (xy 163.3514 80.597862) (xy 163.0386 80.597862) (xy 163.020208 80.505397) + (xy 162.98413 80.418298) (xy 162.931753 80.33991) (xy 162.86509 80.273247) (xy 162.786702 80.22087) (xy 162.699603 80.184792) + (xy 162.607138 80.1664) (xy 162.512862 80.1664) (xy 162.420397 80.184792) (xy 162.333298 80.22087) (xy 162.25491 80.273247) + (xy 162.188247 80.33991) (xy 162.13587 80.418298) (xy 162.099792 80.505397) (xy 162.0814 80.597862) (xy 161.7686 80.597862) + (xy 161.750208 80.505397) (xy 161.71413 80.418298) (xy 161.661753 80.33991) (xy 161.59509 80.273247) (xy 161.516702 80.22087) + (xy 161.429603 80.184792) (xy 161.337138 80.1664) (xy 161.242862 80.1664) (xy 161.150397 80.184792) (xy 161.063298 80.22087) + (xy 160.98491 80.273247) (xy 160.918247 80.33991) (xy 160.86587 80.418298) (xy 160.829792 80.505397) (xy 160.8114 80.597862) + (xy 160.4986 80.597862) (xy 160.480208 80.505397) (xy 160.44413 80.418298) (xy 160.391753 80.33991) (xy 160.32509 80.273247) + (xy 160.246702 80.22087) (xy 160.159603 80.184792) (xy 160.067138 80.1664) (xy 159.972862 80.1664) (xy 159.880397 80.184792) + (xy 159.793298 80.22087) (xy 159.71491 80.273247) (xy 159.648247 80.33991) (xy 159.59587 80.418298) (xy 159.559792 80.505397) + (xy 159.5414 80.597862) (xy 159.2286 80.597862) (xy 159.210208 80.505397) (xy 159.17413 80.418298) (xy 159.121753 80.33991) + (xy 159.05509 80.273247) (xy 158.976702 80.22087) (xy 158.889603 80.184792) (xy 158.797138 80.1664) (xy 158.702862 80.1664) + (xy 158.610397 80.184792) (xy 158.523298 80.22087) (xy 158.44491 80.273247) (xy 158.378247 80.33991) (xy 158.32587 80.418298) + (xy 158.289792 80.505397) (xy 158.2714 80.597862) (xy 157.9586 80.597862) (xy 157.940208 80.505397) (xy 157.90413 80.418298) + (xy 157.851753 80.33991) (xy 157.78509 80.273247) (xy 157.706702 80.22087) (xy 157.619603 80.184792) (xy 157.527138 80.1664) + (xy 157.432862 80.1664) (xy 157.340397 80.184792) (xy 157.253298 80.22087) (xy 157.17491 80.273247) (xy 157.108247 80.33991) + (xy 157.05587 80.418298) (xy 157.019792 80.505397) (xy 157.0014 80.597862) (xy 156.6886 80.597862) (xy 156.670208 80.505397) + (xy 156.63413 80.418298) (xy 156.581753 80.33991) (xy 156.51509 80.273247) (xy 156.436702 80.22087) (xy 156.349603 80.184792) + (xy 156.257138 80.1664) (xy 156.162862 80.1664) (xy 156.070397 80.184792) (xy 155.983298 80.22087) (xy 155.90491 80.273247) + (xy 155.838247 80.33991) (xy 155.78587 80.418298) (xy 155.749792 80.505397) (xy 155.7314 80.597862) (xy 154.877903 80.597862) + (xy 154.85613 80.545298) (xy 154.803753 80.46691) (xy 154.73709 80.400247) (xy 154.658702 80.34787) (xy 154.571603 80.311792) + (xy 154.479138 80.2934) (xy 154.384862 80.2934) (xy 154.292397 80.311792) (xy 154.205298 80.34787) (xy 154.12691 80.400247) + (xy 154.060247 80.46691) (xy 154.00787 80.545298) (xy 153.971792 80.632397) (xy 153.9534 80.724862) (xy 152.8151 80.724862) + (xy 152.8151 80.661362) (xy 152.796708 80.568897) (xy 152.76063 80.481798) (xy 152.708253 80.40341) (xy 152.64159 80.336747) + (xy 152.563202 80.28437) (xy 152.476103 80.248292) (xy 152.383638 80.2299) (xy 152.289362 80.2299) (xy 152.196897 80.248292) + (xy 152.109798 80.28437) (xy 152.03141 80.336747) (xy 151.964747 80.40341) (xy 151.91237 80.481798) (xy 151.876292 80.568897) + (xy 151.8579 80.661362) (xy 140.1786 80.661362) (xy 140.1786 80.597862) (xy 140.160208 80.505397) (xy 140.12413 80.418298) + (xy 140.071753 80.33991) (xy 140.00509 80.273247) (xy 139.926702 80.22087) (xy 139.839603 80.184792) (xy 139.747138 80.1664) + (xy 139.652862 80.1664) (xy 139.560397 80.184792) (xy 139.473298 80.22087) (xy 139.39491 80.273247) (xy 139.328247 80.33991) + (xy 139.27587 80.418298) (xy 139.239792 80.505397) (xy 139.2214 80.597862) (xy 138.9086 80.597862) (xy 138.890208 80.505397) + (xy 138.85413 80.418298) (xy 138.801753 80.33991) (xy 138.73509 80.273247) (xy 138.656702 80.22087) (xy 138.569603 80.184792) + (xy 138.477138 80.1664) (xy 138.382862 80.1664) (xy 138.290397 80.184792) (xy 138.203298 80.22087) (xy 138.12491 80.273247) + (xy 138.058247 80.33991) (xy 138.00587 80.418298) (xy 137.969792 80.505397) (xy 137.9514 80.597862) (xy 137.6386 80.597862) + (xy 137.620208 80.505397) (xy 137.58413 80.418298) (xy 137.531753 80.33991) (xy 137.46509 80.273247) (xy 137.386702 80.22087) + (xy 137.299603 80.184792) (xy 137.207138 80.1664) (xy 137.112862 80.1664) (xy 137.020397 80.184792) (xy 136.933298 80.22087) + (xy 136.85491 80.273247) (xy 136.788247 80.33991) (xy 136.73587 80.418298) (xy 136.699792 80.505397) (xy 136.6814 80.597862) + (xy 136.3686 80.597862) (xy 136.350208 80.505397) (xy 136.31413 80.418298) (xy 136.261753 80.33991) (xy 136.19509 80.273247) + (xy 136.116702 80.22087) (xy 136.029603 80.184792) (xy 135.937138 80.1664) (xy 135.842862 80.1664) (xy 135.750397 80.184792) + (xy 135.663298 80.22087) (xy 135.58491 80.273247) (xy 135.518247 80.33991) (xy 135.46587 80.418298) (xy 135.429792 80.505397) + (xy 135.4114 80.597862) (xy 135.0986 80.597862) (xy 135.080208 80.505397) (xy 135.04413 80.418298) (xy 134.991753 80.33991) + (xy 134.92509 80.273247) (xy 134.846702 80.22087) (xy 134.759603 80.184792) (xy 134.667138 80.1664) (xy 134.572862 80.1664) + (xy 134.480397 80.184792) (xy 134.393298 80.22087) (xy 134.31491 80.273247) (xy 134.248247 80.33991) (xy 134.19587 80.418298) + (xy 134.159792 80.505397) (xy 134.1414 80.597862) (xy 133.8286 80.597862) (xy 133.810208 80.505397) (xy 133.77413 80.418298) + (xy 133.721753 80.33991) (xy 133.65509 80.273247) (xy 133.576702 80.22087) (xy 133.489603 80.184792) (xy 133.397138 80.1664) + (xy 133.302862 80.1664) (xy 133.210397 80.184792) (xy 133.123298 80.22087) (xy 133.04491 80.273247) (xy 132.978247 80.33991) + (xy 132.92587 80.418298) (xy 132.889792 80.505397) (xy 132.8714 80.597862) (xy 132.5586 80.597862) (xy 132.540208 80.505397) + (xy 132.50413 80.418298) (xy 132.451753 80.33991) (xy 132.38509 80.273247) (xy 132.306702 80.22087) (xy 132.219603 80.184792) + (xy 132.127138 80.1664) (xy 132.032862 80.1664) (xy 131.940397 80.184792) (xy 131.853298 80.22087) (xy 131.77491 80.273247) + (xy 131.708247 80.33991) (xy 131.65587 80.418298) (xy 131.619792 80.505397) (xy 131.6014 80.597862) (xy 131.2886 80.597862) + (xy 131.270208 80.505397) (xy 131.23413 80.418298) (xy 131.181753 80.33991) (xy 131.11509 80.273247) (xy 131.036702 80.22087) + (xy 130.949603 80.184792) (xy 130.857138 80.1664) (xy 130.762862 80.1664) (xy 130.670397 80.184792) (xy 130.583298 80.22087) + (xy 130.50491 80.273247) (xy 130.438247 80.33991) (xy 130.38587 80.418298) (xy 130.349792 80.505397) (xy 130.3314 80.597862) + (xy 129.477903 80.597862) (xy 129.45613 80.545298) (xy 129.403753 80.46691) (xy 129.33709 80.400247) (xy 129.258702 80.34787) + (xy 129.171603 80.311792) (xy 129.079138 80.2934) (xy 128.984862 80.2934) (xy 128.892397 80.311792) (xy 128.805298 80.34787) + (xy 128.72691 80.400247) (xy 128.660247 80.46691) (xy 128.60787 80.545298) (xy 128.571792 80.632397) (xy 128.5534 80.724862) + (xy 114.845376 80.724862) (xy 114.795677 80.604879) (xy 114.71594 80.485545) (xy 114.614455 80.38406) (xy 114.495121 80.304323) + (xy 114.362525 80.2494) (xy 114.221761 80.2214) (xy 114.078239 80.2214) (xy 113.937475 80.2494) (xy 113.804879 80.304323) + (xy 113.685545 80.38406) (xy 113.58406 80.485545) (xy 113.504323 80.604879) (xy 113.4494 80.737475) (xy 113.4214 80.878239) + (xy 103.5546 80.878239) (xy 103.5546 79.962862) (xy 103.5979 79.962862) (xy 103.5979 80.057138) (xy 103.616292 80.149603) + (xy 103.65237 80.236702) (xy 103.704747 80.31509) (xy 103.77141 80.381753) (xy 103.849798 80.43413) (xy 103.936897 80.470208) + (xy 104.029362 80.4886) (xy 104.123638 80.4886) (xy 104.216103 80.470208) (xy 104.303202 80.43413) (xy 104.38159 80.381753) + (xy 104.448253 80.31509) (xy 104.50063 80.236702) (xy 104.536708 80.149603) (xy 104.5551 80.057138) (xy 104.5551 79.962862) + (xy 104.536708 79.870397) (xy 104.50063 79.783298) (xy 104.448253 79.70491) (xy 104.38159 79.638247) (xy 104.303202 79.58587) + (xy 104.28478 79.578239) (xy 114.6714 79.578239) (xy 114.6714 79.721761) (xy 114.6994 79.862525) (xy 114.754323 79.995121) + (xy 114.83406 80.114455) (xy 114.935545 80.21594) (xy 115.054879 80.295677) (xy 115.187475 80.3506) (xy 115.328239 80.3786) + (xy 115.471761 80.3786) (xy 115.612525 80.3506) (xy 115.745121 80.295677) (xy 115.864455 80.21594) (xy 115.927533 80.152862) + (xy 178.0714 80.152862) (xy 178.0714 80.247138) (xy 178.089792 80.339603) (xy 178.12587 80.426702) (xy 178.178247 80.50509) + (xy 178.24491 80.571753) (xy 178.323298 80.62413) (xy 178.410397 80.660208) (xy 178.502862 80.6786) (xy 178.597138 80.6786) + (xy 178.689603 80.660208) (xy 178.776702 80.62413) (xy 178.85509 80.571753) (xy 178.921753 80.50509) (xy 178.97413 80.426702) + (xy 179.010208 80.339603) (xy 179.0286 80.247138) (xy 179.0286 80.152862) (xy 192.4714 80.152862) (xy 192.4714 80.247138) + (xy 192.489792 80.339603) (xy 192.52587 80.426702) (xy 192.578247 80.50509) (xy 192.64491 80.571753) (xy 192.723298 80.62413) + (xy 192.810397 80.660208) (xy 192.902862 80.6786) (xy 192.997138 80.6786) (xy 193.089603 80.660208) (xy 193.176702 80.62413) + (xy 193.25509 80.571753) (xy 193.321753 80.50509) (xy 193.37413 80.426702) (xy 193.410208 80.339603) (xy 193.4286 80.247138) + (xy 193.4286 80.152862) (xy 193.410208 80.060397) (xy 193.37413 79.973298) (xy 193.367157 79.962862) (xy 211.0399 79.962862) + (xy 211.0399 80.057138) (xy 211.058292 80.149603) (xy 211.09437 80.236702) (xy 211.146747 80.31509) (xy 211.21341 80.381753) + (xy 211.291798 80.43413) (xy 211.378897 80.470208) (xy 211.471362 80.4886) (xy 211.565638 80.4886) (xy 211.658103 80.470208) + (xy 211.745202 80.43413) (xy 211.82359 80.381753) (xy 211.890253 80.31509) (xy 211.94263 80.236702) (xy 211.978708 80.149603) + (xy 211.9971 80.057138) (xy 211.9971 79.962862) (xy 211.978708 79.870397) (xy 211.94263 79.783298) (xy 211.890253 79.70491) + (xy 211.82359 79.638247) (xy 211.745202 79.58587) (xy 211.658103 79.549792) (xy 211.565638 79.5314) (xy 211.471362 79.5314) + (xy 211.378897 79.549792) (xy 211.291798 79.58587) (xy 211.21341 79.638247) (xy 211.146747 79.70491) (xy 211.09437 79.783298) + (xy 211.058292 79.870397) (xy 211.0399 79.962862) (xy 193.367157 79.962862) (xy 193.321753 79.89491) (xy 193.25509 79.828247) + (xy 193.176702 79.77587) (xy 193.089603 79.739792) (xy 192.997138 79.7214) (xy 192.902862 79.7214) (xy 192.810397 79.739792) + (xy 192.723298 79.77587) (xy 192.64491 79.828247) (xy 192.578247 79.89491) (xy 192.52587 79.973298) (xy 192.489792 80.060397) + (xy 192.4714 80.152862) (xy 179.0286 80.152862) (xy 179.010208 80.060397) (xy 178.97413 79.973298) (xy 178.921753 79.89491) + (xy 178.85509 79.828247) (xy 178.776702 79.77587) (xy 178.689603 79.739792) (xy 178.597138 79.7214) (xy 178.502862 79.7214) + (xy 178.410397 79.739792) (xy 178.323298 79.77587) (xy 178.24491 79.828247) (xy 178.178247 79.89491) (xy 178.12587 79.973298) + (xy 178.089792 80.060397) (xy 178.0714 80.152862) (xy 115.927533 80.152862) (xy 115.96594 80.114455) (xy 116.045677 79.995121) + (xy 116.1006 79.862525) (xy 116.1286 79.721761) (xy 116.1286 79.578239) (xy 116.113607 79.502862) (xy 177.2714 79.502862) + (xy 177.2714 79.597138) (xy 177.289792 79.689603) (xy 177.32587 79.776702) (xy 177.378247 79.85509) (xy 177.44491 79.921753) + (xy 177.523298 79.97413) (xy 177.610397 80.010208) (xy 177.702862 80.0286) (xy 177.797138 80.0286) (xy 177.889603 80.010208) + (xy 177.976702 79.97413) (xy 178.05509 79.921753) (xy 178.121753 79.85509) (xy 178.17413 79.776702) (xy 178.210208 79.689603) + (xy 178.2286 79.597138) (xy 178.2286 79.502862) (xy 191.6714 79.502862) (xy 191.6714 79.597138) (xy 191.689792 79.689603) + (xy 191.72587 79.776702) (xy 191.778247 79.85509) (xy 191.84491 79.921753) (xy 191.923298 79.97413) (xy 192.010397 80.010208) + (xy 192.102862 80.0286) (xy 192.197138 80.0286) (xy 192.289603 80.010208) (xy 192.376702 79.97413) (xy 192.45509 79.921753) + (xy 192.521753 79.85509) (xy 192.57413 79.776702) (xy 192.610208 79.689603) (xy 192.6286 79.597138) (xy 192.6286 79.502862) + (xy 192.610208 79.410397) (xy 192.57413 79.323298) (xy 192.521753 79.24491) (xy 192.45509 79.178247) (xy 192.376702 79.12587) + (xy 192.289603 79.089792) (xy 192.197138 79.0714) (xy 192.102862 79.0714) (xy 192.010397 79.089792) (xy 191.923298 79.12587) + (xy 191.84491 79.178247) (xy 191.778247 79.24491) (xy 191.72587 79.323298) (xy 191.689792 79.410397) (xy 191.6714 79.502862) + (xy 178.2286 79.502862) (xy 178.210208 79.410397) (xy 178.17413 79.323298) (xy 178.121753 79.24491) (xy 178.05509 79.178247) + (xy 177.976702 79.12587) (xy 177.889603 79.089792) (xy 177.797138 79.0714) (xy 177.702862 79.0714) (xy 177.610397 79.089792) + (xy 177.523298 79.12587) (xy 177.44491 79.178247) (xy 177.378247 79.24491) (xy 177.32587 79.323298) (xy 177.289792 79.410397) + (xy 177.2714 79.502862) (xy 116.113607 79.502862) (xy 116.1006 79.437475) (xy 116.045677 79.304879) (xy 115.96594 79.185545) + (xy 115.864455 79.08406) (xy 115.745121 79.004323) (xy 115.612525 78.9494) (xy 115.471761 78.9214) (xy 115.328239 78.9214) + (xy 115.187475 78.9494) (xy 115.054879 79.004323) (xy 114.935545 79.08406) (xy 114.83406 79.185545) (xy 114.754323 79.304879) + (xy 114.6994 79.437475) (xy 114.6714 79.578239) (xy 104.28478 79.578239) (xy 104.216103 79.549792) (xy 104.123638 79.5314) + (xy 104.029362 79.5314) (xy 103.936897 79.549792) (xy 103.849798 79.58587) (xy 103.77141 79.638247) (xy 103.704747 79.70491) + (xy 103.65237 79.783298) (xy 103.616292 79.870397) (xy 103.5979 79.962862) (xy 103.5546 79.962862) (xy 103.5546 78.278239) + (xy 113.4214 78.278239) (xy 113.4214 78.421761) (xy 113.4494 78.562525) (xy 113.504323 78.695121) (xy 113.58406 78.814455) + (xy 113.685545 78.91594) (xy 113.804879 78.995677) (xy 113.937475 79.0506) (xy 114.078239 79.0786) (xy 114.221761 79.0786) + (xy 114.362525 79.0506) (xy 114.495121 78.995677) (xy 114.614455 78.91594) (xy 114.71594 78.814455) (xy 114.795677 78.695121) + (xy 114.796612 78.692862) (xy 129.6964 78.692862) (xy 129.6964 78.787138) (xy 129.714792 78.879603) (xy 129.75087 78.966702) + (xy 129.803247 79.04509) (xy 129.86991 79.111753) (xy 129.948298 79.16413) (xy 130.035397 79.200208) (xy 130.127862 79.2186) + (xy 130.222138 79.2186) (xy 130.314603 79.200208) (xy 130.401702 79.16413) (xy 130.48009 79.111753) (xy 130.546753 79.04509) + (xy 130.59913 78.966702) (xy 130.635208 78.879603) (xy 130.6536 78.787138) (xy 130.6536 78.692862) (xy 130.64097 78.629362) + (xy 155.1599 78.629362) (xy 155.1599 78.723638) (xy 155.178292 78.816103) (xy 155.21437 78.903202) (xy 155.266747 78.98159) + (xy 155.33341 79.048253) (xy 155.411798 79.10063) (xy 155.498897 79.136708) (xy 155.591362 79.1551) (xy 155.685638 79.1551) + (xy 155.778103 79.136708) (xy 155.865202 79.10063) (xy 155.94359 79.048253) (xy 156.010253 78.98159) (xy 156.06263 78.903202) + (xy 156.083481 78.852862) (xy 178.0714 78.852862) (xy 178.0714 78.947138) (xy 178.089792 79.039603) (xy 178.12587 79.126702) + (xy 178.178247 79.20509) (xy 178.24491 79.271753) (xy 178.323298 79.32413) (xy 178.410397 79.360208) (xy 178.502862 79.3786) + (xy 178.597138 79.3786) (xy 178.689603 79.360208) (xy 178.776702 79.32413) (xy 178.85509 79.271753) (xy 178.921753 79.20509) + (xy 178.97413 79.126702) (xy 179.010208 79.039603) (xy 179.0286 78.947138) (xy 179.0286 78.852862) (xy 192.4714 78.852862) + (xy 192.4714 78.947138) (xy 192.489792 79.039603) (xy 192.52587 79.126702) (xy 192.578247 79.20509) (xy 192.64491 79.271753) + (xy 192.723298 79.32413) (xy 192.810397 79.360208) (xy 192.902862 79.3786) (xy 192.997138 79.3786) (xy 193.089603 79.360208) + (xy 193.176702 79.32413) (xy 193.25509 79.271753) (xy 193.321753 79.20509) (xy 193.37413 79.126702) (xy 193.410208 79.039603) + (xy 193.4286 78.947138) (xy 193.4286 78.852862) (xy 193.410208 78.760397) (xy 193.37413 78.673298) (xy 193.321753 78.59491) + (xy 193.25509 78.528247) (xy 193.176702 78.47587) (xy 193.089603 78.439792) (xy 192.997138 78.4214) (xy 192.902862 78.4214) + (xy 192.810397 78.439792) (xy 192.723298 78.47587) (xy 192.64491 78.528247) (xy 192.578247 78.59491) (xy 192.52587 78.673298) + (xy 192.489792 78.760397) (xy 192.4714 78.852862) (xy 179.0286 78.852862) (xy 179.010208 78.760397) (xy 178.97413 78.673298) + (xy 178.921753 78.59491) (xy 178.85509 78.528247) (xy 178.776702 78.47587) (xy 178.689603 78.439792) (xy 178.597138 78.4214) + (xy 178.502862 78.4214) (xy 178.410397 78.439792) (xy 178.323298 78.47587) (xy 178.24491 78.528247) (xy 178.178247 78.59491) + (xy 178.12587 78.673298) (xy 178.089792 78.760397) (xy 178.0714 78.852862) (xy 156.083481 78.852862) (xy 156.098708 78.816103) + (xy 156.1171 78.723638) (xy 156.1171 78.629362) (xy 156.098708 78.536897) (xy 156.06263 78.449798) (xy 156.010253 78.37141) + (xy 155.94359 78.304747) (xy 155.865202 78.25237) (xy 155.778103 78.216292) (xy 155.710585 78.202862) (xy 177.2714 78.202862) + (xy 177.2714 78.297138) (xy 177.289792 78.389603) (xy 177.32587 78.476702) (xy 177.378247 78.55509) (xy 177.44491 78.621753) + (xy 177.523298 78.67413) (xy 177.610397 78.710208) (xy 177.702862 78.7286) (xy 177.797138 78.7286) (xy 177.889603 78.710208) + (xy 177.976702 78.67413) (xy 178.05509 78.621753) (xy 178.121753 78.55509) (xy 178.17413 78.476702) (xy 178.210208 78.389603) + (xy 178.2286 78.297138) (xy 178.2286 78.202862) (xy 191.6714 78.202862) (xy 191.6714 78.297138) (xy 191.689792 78.389603) + (xy 191.72587 78.476702) (xy 191.778247 78.55509) (xy 191.84491 78.621753) (xy 191.923298 78.67413) (xy 192.010397 78.710208) + (xy 192.102862 78.7286) (xy 192.197138 78.7286) (xy 192.289603 78.710208) (xy 192.376702 78.67413) (xy 192.45509 78.621753) + (xy 192.521753 78.55509) (xy 192.57413 78.476702) (xy 192.610208 78.389603) (xy 192.6286 78.297138) (xy 192.6286 78.202862) + (xy 197.3714 78.202862) (xy 197.3714 78.297138) (xy 197.389792 78.389603) (xy 197.42587 78.476702) (xy 197.478247 78.55509) + (xy 197.54491 78.621753) (xy 197.623298 78.67413) (xy 197.710397 78.710208) (xy 197.802862 78.7286) (xy 197.897138 78.7286) + (xy 197.989603 78.710208) (xy 198.076702 78.67413) (xy 198.126054 78.641154) (xy 199.6564 78.641154) (xy 199.6564 78.838846) + (xy 199.694968 79.032739) (xy 199.770621 79.215383) (xy 199.880453 79.379758) (xy 200.020242 79.519547) (xy 200.184617 79.629379) + (xy 200.367261 79.705032) (xy 200.561154 79.7436) (xy 200.758846 79.7436) (xy 200.952739 79.705032) (xy 201.135383 79.629379) + (xy 201.299758 79.519547) (xy 201.439547 79.379758) (xy 201.549379 79.215383) (xy 201.625032 79.032739) (xy 201.6636 78.838846) + (xy 201.6636 78.641154) (xy 202.1964 78.641154) (xy 202.1964 78.838846) (xy 202.234968 79.032739) (xy 202.310621 79.215383) + (xy 202.420453 79.379758) (xy 202.560242 79.519547) (xy 202.724617 79.629379) (xy 202.907261 79.705032) (xy 203.101154 79.7436) + (xy 203.298846 79.7436) (xy 203.492739 79.705032) (xy 203.675383 79.629379) (xy 203.839758 79.519547) (xy 203.979547 79.379758) + (xy 204.089379 79.215383) (xy 204.165032 79.032739) (xy 204.2036 78.838846) (xy 204.2036 78.641154) (xy 204.7364 78.641154) + (xy 204.7364 78.838846) (xy 204.774968 79.032739) (xy 204.850621 79.215383) (xy 204.960453 79.379758) (xy 205.100242 79.519547) + (xy 205.264617 79.629379) (xy 205.447261 79.705032) (xy 205.641154 79.7436) (xy 205.838846 79.7436) (xy 206.032739 79.705032) + (xy 206.215383 79.629379) (xy 206.379758 79.519547) (xy 206.519547 79.379758) (xy 206.629379 79.215383) (xy 206.705032 79.032739) + (xy 206.7436 78.838846) (xy 206.7436 78.641154) (xy 206.705032 78.447261) (xy 206.629379 78.264617) (xy 206.519547 78.100242) + (xy 206.379758 77.960453) (xy 206.215383 77.850621) (xy 206.032739 77.774968) (xy 205.838846 77.7364) (xy 205.641154 77.7364) + (xy 205.447261 77.774968) (xy 205.264617 77.850621) (xy 205.100242 77.960453) (xy 204.960453 78.100242) (xy 204.850621 78.264617) + (xy 204.774968 78.447261) (xy 204.7364 78.641154) (xy 204.2036 78.641154) (xy 204.165032 78.447261) (xy 204.089379 78.264617) + (xy 203.979547 78.100242) (xy 203.839758 77.960453) (xy 203.675383 77.850621) (xy 203.492739 77.774968) (xy 203.298846 77.7364) + (xy 203.101154 77.7364) (xy 202.907261 77.774968) (xy 202.724617 77.850621) (xy 202.560242 77.960453) (xy 202.420453 78.100242) + (xy 202.310621 78.264617) (xy 202.234968 78.447261) (xy 202.1964 78.641154) (xy 201.6636 78.641154) (xy 201.625032 78.447261) + (xy 201.549379 78.264617) (xy 201.439547 78.100242) (xy 201.299758 77.960453) (xy 201.135383 77.850621) (xy 200.952739 77.774968) + (xy 200.758846 77.7364) (xy 200.561154 77.7364) (xy 200.367261 77.774968) (xy 200.184617 77.850621) (xy 200.020242 77.960453) + (xy 199.880453 78.100242) (xy 199.770621 78.264617) (xy 199.694968 78.447261) (xy 199.6564 78.641154) (xy 198.126054 78.641154) + (xy 198.15509 78.621753) (xy 198.221753 78.55509) (xy 198.27413 78.476702) (xy 198.310208 78.389603) (xy 198.3286 78.297138) + (xy 198.3286 78.202862) (xy 198.310208 78.110397) (xy 198.27413 78.023298) (xy 198.221753 77.94491) (xy 198.15509 77.878247) + (xy 198.076702 77.82587) (xy 197.989603 77.789792) (xy 197.897138 77.7714) (xy 197.802862 77.7714) (xy 197.710397 77.789792) + (xy 197.623298 77.82587) (xy 197.54491 77.878247) (xy 197.478247 77.94491) (xy 197.42587 78.023298) (xy 197.389792 78.110397) + (xy 197.3714 78.202862) (xy 192.6286 78.202862) (xy 192.610208 78.110397) (xy 192.57413 78.023298) (xy 192.521753 77.94491) + (xy 192.45509 77.878247) (xy 192.376702 77.82587) (xy 192.289603 77.789792) (xy 192.197138 77.7714) (xy 192.102862 77.7714) + (xy 192.010397 77.789792) (xy 191.923298 77.82587) (xy 191.84491 77.878247) (xy 191.778247 77.94491) (xy 191.72587 78.023298) + (xy 191.689792 78.110397) (xy 191.6714 78.202862) (xy 178.2286 78.202862) (xy 178.210208 78.110397) (xy 178.17413 78.023298) + (xy 178.121753 77.94491) (xy 178.05509 77.878247) (xy 177.976702 77.82587) (xy 177.889603 77.789792) (xy 177.797138 77.7714) + (xy 177.702862 77.7714) (xy 177.610397 77.789792) (xy 177.523298 77.82587) (xy 177.44491 77.878247) (xy 177.378247 77.94491) + (xy 177.32587 78.023298) (xy 177.289792 78.110397) (xy 177.2714 78.202862) (xy 155.710585 78.202862) (xy 155.685638 78.1979) + (xy 155.591362 78.1979) (xy 155.498897 78.216292) (xy 155.411798 78.25237) (xy 155.33341 78.304747) (xy 155.266747 78.37141) + (xy 155.21437 78.449798) (xy 155.178292 78.536897) (xy 155.1599 78.629362) (xy 130.64097 78.629362) (xy 130.635208 78.600397) + (xy 130.59913 78.513298) (xy 130.546753 78.43491) (xy 130.48009 78.368247) (xy 130.401702 78.31587) (xy 130.314603 78.279792) + (xy 130.222138 78.2614) (xy 130.127862 78.2614) (xy 130.035397 78.279792) (xy 129.948298 78.31587) (xy 129.86991 78.368247) + (xy 129.803247 78.43491) (xy 129.75087 78.513298) (xy 129.714792 78.600397) (xy 129.6964 78.692862) (xy 114.796612 78.692862) + (xy 114.8506 78.562525) (xy 114.8786 78.421761) (xy 114.8786 78.278239) (xy 114.8506 78.137475) (xy 114.795677 78.004879) + (xy 114.71594 77.885545) (xy 114.614455 77.78406) (xy 114.495121 77.704323) (xy 114.362525 77.6494) (xy 114.221761 77.6214) + (xy 114.078239 77.6214) (xy 113.937475 77.6494) (xy 113.804879 77.704323) (xy 113.685545 77.78406) (xy 113.58406 77.885545) + (xy 113.504323 78.004879) (xy 113.4494 78.137475) (xy 113.4214 78.278239) (xy 103.5546 78.278239) (xy 103.5546 77.544937) + (xy 123.4234 77.544937) (xy 123.4234 77.649063) (xy 123.443713 77.751187) (xy 123.48356 77.847386) (xy 123.541409 77.933963) + (xy 123.615037 78.007591) (xy 123.701614 78.06544) (xy 123.797813 78.105287) (xy 123.899937 78.1256) (xy 124.004063 78.1256) + (xy 124.106187 78.105287) (xy 124.202386 78.06544) (xy 124.288963 78.007591) (xy 124.362591 77.933963) (xy 124.42044 77.847386) + (xy 124.460287 77.751187) (xy 124.4806 77.649063) (xy 124.4806 77.544937) (xy 124.460287 77.442813) (xy 124.452024 77.422862) + (xy 129.6964 77.422862) (xy 129.6964 77.517138) (xy 129.714792 77.609603) (xy 129.75087 77.696702) (xy 129.803247 77.77509) + (xy 129.86991 77.841753) (xy 129.948298 77.89413) (xy 130.035397 77.930208) (xy 130.127862 77.9486) (xy 130.222138 77.9486) + (xy 130.314603 77.930208) (xy 130.401702 77.89413) (xy 130.48009 77.841753) (xy 130.546753 77.77509) (xy 130.59913 77.696702) + (xy 130.635208 77.609603) (xy 130.6536 77.517138) (xy 130.6536 77.422862) (xy 130.652621 77.417937) (xy 141.0129 77.417937) + (xy 141.0129 77.522063) (xy 141.033213 77.624187) (xy 141.07306 77.720386) (xy 141.130909 77.806963) (xy 141.204537 77.880591) + (xy 141.291114 77.93844) (xy 141.387313 77.978287) (xy 141.489437 77.9986) (xy 141.593563 77.9986) (xy 141.695687 77.978287) + (xy 141.791886 77.93844) (xy 141.878463 77.880591) (xy 141.952091 77.806963) (xy 142.00994 77.720386) (xy 142.049787 77.624187) + (xy 142.0701 77.522063) (xy 142.0701 77.417937) (xy 143.3624 77.417937) (xy 143.3624 77.522063) (xy 143.382713 77.624187) + (xy 143.42256 77.720386) (xy 143.480409 77.806963) (xy 143.554037 77.880591) (xy 143.640614 77.93844) (xy 143.736813 77.978287) + (xy 143.838937 77.9986) (xy 143.943063 77.9986) (xy 144.045187 77.978287) (xy 144.141386 77.93844) (xy 144.227963 77.880591) + (xy 144.301591 77.806963) (xy 144.35944 77.720386) (xy 144.399287 77.624187) (xy 144.4196 77.522063) (xy 144.4196 77.417937) + (xy 144.399287 77.315813) (xy 144.35944 77.219614) (xy 144.325529 77.168862) (xy 144.6189 77.168862) (xy 144.6189 77.263138) + (xy 144.637292 77.355603) (xy 144.67337 77.442702) (xy 144.725747 77.52109) (xy 144.79241 77.587753) (xy 144.870798 77.64013) + (xy 144.957897 77.676208) (xy 145.050362 77.6946) (xy 145.144638 77.6946) (xy 145.237103 77.676208) (xy 145.324202 77.64013) + (xy 145.364263 77.613362) (xy 155.1599 77.613362) (xy 155.1599 77.707638) (xy 155.178292 77.800103) (xy 155.21437 77.887202) + (xy 155.266747 77.96559) (xy 155.33341 78.032253) (xy 155.411798 78.08463) (xy 155.498897 78.120708) (xy 155.591362 78.1391) + (xy 155.685638 78.1391) (xy 155.778103 78.120708) (xy 155.865202 78.08463) (xy 155.94359 78.032253) (xy 156.010253 77.96559) + (xy 156.06263 77.887202) (xy 156.098708 77.800103) (xy 156.1171 77.707638) (xy 156.1171 77.613362) (xy 156.098708 77.520897) + (xy 156.06263 77.433798) (xy 156.052033 77.417937) (xy 166.4129 77.417937) (xy 166.4129 77.522063) (xy 166.433213 77.624187) + (xy 166.47306 77.720386) (xy 166.530909 77.806963) (xy 166.604537 77.880591) (xy 166.691114 77.93844) (xy 166.787313 77.978287) + (xy 166.889437 77.9986) (xy 166.993563 77.9986) (xy 167.095687 77.978287) (xy 167.191886 77.93844) (xy 167.278463 77.880591) + (xy 167.352091 77.806963) (xy 167.40994 77.720386) (xy 167.449787 77.624187) (xy 167.4701 77.522063) (xy 167.4701 77.417937) + (xy 168.7624 77.417937) (xy 168.7624 77.522063) (xy 168.782713 77.624187) (xy 168.82256 77.720386) (xy 168.880409 77.806963) + (xy 168.954037 77.880591) (xy 169.040614 77.93844) (xy 169.136813 77.978287) (xy 169.238937 77.9986) (xy 169.343063 77.9986) + (xy 169.445187 77.978287) (xy 169.541386 77.93844) (xy 169.627963 77.880591) (xy 169.701591 77.806963) (xy 169.75944 77.720386) + (xy 169.799287 77.624187) (xy 169.813473 77.552862) (xy 175.0714 77.552862) (xy 175.0714 77.647138) (xy 175.089792 77.739603) + (xy 175.12587 77.826702) (xy 175.178247 77.90509) (xy 175.24491 77.971753) (xy 175.323298 78.02413) (xy 175.410397 78.060208) + (xy 175.502862 78.0786) (xy 175.597138 78.0786) (xy 175.689603 78.060208) (xy 175.776702 78.02413) (xy 175.85509 77.971753) + (xy 175.921753 77.90509) (xy 175.97413 77.826702) (xy 176.010208 77.739603) (xy 176.0286 77.647138) (xy 176.0286 77.552862) + (xy 176.010208 77.460397) (xy 175.97413 77.373298) (xy 175.921753 77.29491) (xy 175.85509 77.228247) (xy 175.776702 77.17587) + (xy 175.689603 77.139792) (xy 175.597138 77.1214) (xy 175.502862 77.1214) (xy 175.410397 77.139792) (xy 175.323298 77.17587) + (xy 175.24491 77.228247) (xy 175.178247 77.29491) (xy 175.12587 77.373298) (xy 175.089792 77.460397) (xy 175.0714 77.552862) + (xy 169.813473 77.552862) (xy 169.8196 77.522063) (xy 169.8196 77.417937) (xy 169.799287 77.315813) (xy 169.75944 77.219614) + (xy 169.701591 77.133037) (xy 169.627963 77.059409) (xy 169.541386 77.00156) (xy 169.445187 76.961713) (xy 169.400689 76.952862) + (xy 176.1714 76.952862) (xy 176.1714 77.047138) (xy 176.189792 77.139603) (xy 176.22587 77.226702) (xy 176.278247 77.30509) + (xy 176.34491 77.371753) (xy 176.423298 77.42413) (xy 176.510397 77.460208) (xy 176.602862 77.4786) (xy 176.697138 77.4786) + (xy 176.789603 77.460208) (xy 176.876702 77.42413) (xy 176.95509 77.371753) (xy 177.021753 77.30509) (xy 177.07413 77.226702) + (xy 177.110208 77.139603) (xy 177.1286 77.047138) (xy 177.1286 76.952862) (xy 180.7214 76.952862) (xy 180.7214 77.047138) + (xy 180.739792 77.139603) (xy 180.77587 77.226702) (xy 180.828247 77.30509) (xy 180.89491 77.371753) (xy 180.973298 77.42413) + (xy 181.060397 77.460208) (xy 181.152862 77.4786) (xy 181.247138 77.4786) (xy 181.339603 77.460208) (xy 181.426702 77.42413) + (xy 181.50509 77.371753) (xy 181.571753 77.30509) (xy 181.62413 77.226702) (xy 181.660208 77.139603) (xy 181.6786 77.047138) + (xy 181.6786 76.952862) (xy 181.660208 76.860397) (xy 181.62413 76.773298) (xy 181.571753 76.69491) (xy 181.50509 76.628247) + (xy 181.426702 76.57587) (xy 181.339603 76.539792) (xy 181.247138 76.5214) (xy 181.152862 76.5214) (xy 181.060397 76.539792) + (xy 180.973298 76.57587) (xy 180.89491 76.628247) (xy 180.828247 76.69491) (xy 180.77587 76.773298) (xy 180.739792 76.860397) + (xy 180.7214 76.952862) (xy 177.1286 76.952862) (xy 177.110208 76.860397) (xy 177.07413 76.773298) (xy 177.021753 76.69491) + (xy 176.95509 76.628247) (xy 176.876702 76.57587) (xy 176.789603 76.539792) (xy 176.697138 76.5214) (xy 176.602862 76.5214) + (xy 176.510397 76.539792) (xy 176.423298 76.57587) (xy 176.34491 76.628247) (xy 176.278247 76.69491) (xy 176.22587 76.773298) + (xy 176.189792 76.860397) (xy 176.1714 76.952862) (xy 169.400689 76.952862) (xy 169.343063 76.9414) (xy 169.238937 76.9414) + (xy 169.136813 76.961713) (xy 169.040614 77.00156) (xy 168.954037 77.059409) (xy 168.880409 77.133037) (xy 168.82256 77.219614) + (xy 168.782713 77.315813) (xy 168.7624 77.417937) (xy 167.4701 77.417937) (xy 167.449787 77.315813) (xy 167.40994 77.219614) + (xy 167.352091 77.133037) (xy 167.278463 77.059409) (xy 167.191886 77.00156) (xy 167.095687 76.961713) (xy 166.993563 76.9414) + (xy 166.889437 76.9414) (xy 166.787313 76.961713) (xy 166.691114 77.00156) (xy 166.604537 77.059409) (xy 166.530909 77.133037) + (xy 166.47306 77.219614) (xy 166.433213 77.315813) (xy 166.4129 77.417937) (xy 156.052033 77.417937) (xy 156.010253 77.35541) + (xy 155.94359 77.288747) (xy 155.865202 77.23637) (xy 155.778103 77.200292) (xy 155.685638 77.1819) (xy 155.591362 77.1819) + (xy 155.498897 77.200292) (xy 155.411798 77.23637) (xy 155.33341 77.288747) (xy 155.266747 77.35541) (xy 155.21437 77.433798) + (xy 155.178292 77.520897) (xy 155.1599 77.613362) (xy 145.364263 77.613362) (xy 145.40259 77.587753) (xy 145.469253 77.52109) + (xy 145.52163 77.442702) (xy 145.557708 77.355603) (xy 145.5761 77.263138) (xy 145.5761 77.168862) (xy 145.557708 77.076397) + (xy 145.52163 76.989298) (xy 145.469253 76.91091) (xy 145.40259 76.844247) (xy 145.324202 76.79187) (xy 145.237103 76.755792) + (xy 145.144638 76.7374) (xy 145.050362 76.7374) (xy 144.957897 76.755792) (xy 144.870798 76.79187) (xy 144.79241 76.844247) + (xy 144.725747 76.91091) (xy 144.67337 76.989298) (xy 144.637292 77.076397) (xy 144.6189 77.168862) (xy 144.325529 77.168862) + (xy 144.301591 77.133037) (xy 144.227963 77.059409) (xy 144.141386 77.00156) (xy 144.045187 76.961713) (xy 143.943063 76.9414) + (xy 143.838937 76.9414) (xy 143.736813 76.961713) (xy 143.640614 77.00156) (xy 143.554037 77.059409) (xy 143.480409 77.133037) + (xy 143.42256 77.219614) (xy 143.382713 77.315813) (xy 143.3624 77.417937) (xy 142.0701 77.417937) (xy 142.049787 77.315813) + (xy 142.00994 77.219614) (xy 141.952091 77.133037) (xy 141.878463 77.059409) (xy 141.791886 77.00156) (xy 141.695687 76.961713) + (xy 141.593563 76.9414) (xy 141.489437 76.9414) (xy 141.387313 76.961713) (xy 141.291114 77.00156) (xy 141.204537 77.059409) + (xy 141.130909 77.133037) (xy 141.07306 77.219614) (xy 141.033213 77.315813) (xy 141.0129 77.417937) (xy 130.652621 77.417937) + (xy 130.635208 77.330397) (xy 130.59913 77.243298) (xy 130.546753 77.16491) (xy 130.48009 77.098247) (xy 130.401702 77.04587) + (xy 130.314603 77.009792) (xy 130.222138 76.9914) (xy 130.127862 76.9914) (xy 130.035397 77.009792) (xy 129.948298 77.04587) + (xy 129.86991 77.098247) (xy 129.803247 77.16491) (xy 129.75087 77.243298) (xy 129.714792 77.330397) (xy 129.6964 77.422862) + (xy 124.452024 77.422862) (xy 124.42044 77.346614) (xy 124.362591 77.260037) (xy 124.288963 77.186409) (xy 124.202386 77.12856) + (xy 124.106187 77.088713) (xy 124.004063 77.0684) (xy 123.899937 77.0684) (xy 123.797813 77.088713) (xy 123.701614 77.12856) + (xy 123.615037 77.186409) (xy 123.541409 77.260037) (xy 123.48356 77.346614) (xy 123.443713 77.442813) (xy 123.4234 77.544937) + (xy 103.5546 77.544937) (xy 103.5546 76.265088) (xy 113.2904 76.265088) (xy 113.2904 76.388912) (xy 113.314556 76.510356) + (xy 113.361941 76.624754) (xy 113.430734 76.727709) (xy 113.518291 76.815266) (xy 113.621246 76.884059) (xy 113.735644 76.931444) + (xy 113.857088 76.9556) (xy 113.980912 76.9556) (xy 114.102356 76.931444) (xy 114.216754 76.884059) (xy 114.319709 76.815266) + (xy 114.407266 76.727709) (xy 114.476059 76.624754) (xy 114.489445 76.592437) (xy 122.2804 76.592437) (xy 122.2804 76.696563) + (xy 122.300713 76.798687) (xy 122.34056 76.894886) (xy 122.398409 76.981463) (xy 122.472037 77.055091) (xy 122.558614 77.11294) + (xy 122.654813 77.152787) (xy 122.756937 77.1731) (xy 122.861063 77.1731) (xy 122.963187 77.152787) (xy 123.059386 77.11294) + (xy 123.145963 77.055091) (xy 123.219591 76.981463) (xy 123.27744 76.894886) (xy 123.317287 76.798687) (xy 123.3376 76.696563) + (xy 123.3376 76.592437) (xy 124.5664 76.592437) (xy 124.5664 76.696563) (xy 124.586713 76.798687) (xy 124.62656 76.894886) + (xy 124.684409 76.981463) (xy 124.758037 77.055091) (xy 124.844614 77.11294) (xy 124.940813 77.152787) (xy 125.042937 77.1731) + (xy 125.147063 77.1731) (xy 125.249187 77.152787) (xy 125.345386 77.11294) (xy 125.431963 77.055091) (xy 125.505591 76.981463) + (xy 125.56344 76.894886) (xy 125.603287 76.798687) (xy 125.6236 76.696563) (xy 125.6236 76.592437) (xy 125.603287 76.490313) + (xy 125.56344 76.394114) (xy 125.505591 76.307537) (xy 125.431963 76.233909) (xy 125.345386 76.17606) (xy 125.289382 76.152862) + (xy 129.6964 76.152862) (xy 129.6964 76.247138) (xy 129.714792 76.339603) (xy 129.75087 76.426702) (xy 129.803247 76.50509) + (xy 129.86991 76.571753) (xy 129.948298 76.62413) (xy 130.035397 76.660208) (xy 130.127862 76.6786) (xy 130.222138 76.6786) + (xy 130.314603 76.660208) (xy 130.401702 76.62413) (xy 130.48009 76.571753) (xy 130.546753 76.50509) (xy 130.59913 76.426702) + (xy 130.609388 76.401937) (xy 147.6804 76.401937) (xy 147.6804 76.506063) (xy 147.700713 76.608187) (xy 147.74056 76.704386) + (xy 147.798409 76.790963) (xy 147.872037 76.864591) (xy 147.958614 76.92244) (xy 148.054813 76.962287) (xy 148.156937 76.9826) + (xy 148.261063 76.9826) (xy 148.363187 76.962287) (xy 148.459386 76.92244) (xy 148.545963 76.864591) (xy 148.619591 76.790963) + (xy 148.67744 76.704386) (xy 148.717287 76.608187) (xy 148.7376 76.506063) (xy 148.7376 76.401937) (xy 149.9664 76.401937) + (xy 149.9664 76.506063) (xy 149.986713 76.608187) (xy 150.02656 76.704386) (xy 150.084409 76.790963) (xy 150.158037 76.864591) + (xy 150.244614 76.92244) (xy 150.340813 76.962287) (xy 150.442937 76.9826) (xy 150.547063 76.9826) (xy 150.649187 76.962287) + (xy 150.745386 76.92244) (xy 150.831963 76.864591) (xy 150.905591 76.790963) (xy 150.96344 76.704386) (xy 151.003287 76.608187) + (xy 151.0236 76.506063) (xy 151.0236 76.401937) (xy 151.003287 76.299813) (xy 150.96344 76.203614) (xy 150.905591 76.117037) + (xy 150.831963 76.043409) (xy 150.745386 75.98556) (xy 150.689382 75.962362) (xy 155.0964 75.962362) (xy 155.0964 76.056638) + (xy 155.114792 76.149103) (xy 155.15087 76.236202) (xy 155.203247 76.31459) (xy 155.26991 76.381253) (xy 155.348298 76.43363) + (xy 155.435397 76.469708) (xy 155.527862 76.4881) (xy 155.622138 76.4881) (xy 155.714603 76.469708) (xy 155.801702 76.43363) + (xy 155.88009 76.381253) (xy 155.946753 76.31459) (xy 155.969957 76.279862) (xy 165.3199 76.279862) (xy 165.3199 76.374138) + (xy 165.338292 76.466603) (xy 165.37437 76.553702) (xy 165.426747 76.63209) (xy 165.49341 76.698753) (xy 165.571798 76.75113) + (xy 165.658897 76.787208) (xy 165.751362 76.8056) (xy 165.845638 76.8056) (xy 165.938103 76.787208) (xy 166.025202 76.75113) + (xy 166.10359 76.698753) (xy 166.170253 76.63209) (xy 166.22263 76.553702) (xy 166.258708 76.466603) (xy 166.2771 76.374138) + (xy 166.2771 76.279862) (xy 166.27173 76.252862) (xy 183.9214 76.252862) (xy 183.9214 76.347138) (xy 183.939792 76.439603) + (xy 183.97587 76.526702) (xy 184.028247 76.60509) (xy 184.09491 76.671753) (xy 184.173298 76.72413) (xy 184.260397 76.760208) + (xy 184.352862 76.7786) (xy 184.447138 76.7786) (xy 184.539603 76.760208) (xy 184.626702 76.72413) (xy 184.70509 76.671753) + (xy 184.771753 76.60509) (xy 184.82413 76.526702) (xy 184.860208 76.439603) (xy 184.8786 76.347138) (xy 184.8786 76.252862) + (xy 184.860208 76.160397) (xy 184.834336 76.097937) (xy 188.1714 76.097937) (xy 188.1714 76.202063) (xy 188.191713 76.304187) + (xy 188.23156 76.400386) (xy 188.289409 76.486963) (xy 188.363037 76.560591) (xy 188.449614 76.61844) (xy 188.545813 76.658287) + (xy 188.647937 76.6786) (xy 188.752063 76.6786) (xy 188.854187 76.658287) (xy 188.950386 76.61844) (xy 189.036963 76.560591) + (xy 189.110591 76.486963) (xy 189.16844 76.400386) (xy 189.208287 76.304187) (xy 189.2286 76.202063) (xy 189.2286 76.097937) + (xy 189.208287 75.995813) (xy 189.16844 75.899614) (xy 189.110591 75.813037) (xy 189.036963 75.739409) (xy 188.950386 75.68156) + (xy 188.854187 75.641713) (xy 188.752063 75.6214) (xy 188.647937 75.6214) (xy 188.545813 75.641713) (xy 188.449614 75.68156) + (xy 188.363037 75.739409) (xy 188.289409 75.813037) (xy 188.23156 75.899614) (xy 188.191713 75.995813) (xy 188.1714 76.097937) + (xy 184.834336 76.097937) (xy 184.82413 76.073298) (xy 184.771753 75.99491) (xy 184.70509 75.928247) (xy 184.626702 75.87587) + (xy 184.539603 75.839792) (xy 184.447138 75.8214) (xy 184.352862 75.8214) (xy 184.260397 75.839792) (xy 184.173298 75.87587) + (xy 184.09491 75.928247) (xy 184.028247 75.99491) (xy 183.97587 76.073298) (xy 183.939792 76.160397) (xy 183.9214 76.252862) + (xy 166.27173 76.252862) (xy 166.258708 76.187397) (xy 166.22263 76.100298) (xy 166.170253 76.02191) (xy 166.10359 75.955247) + (xy 166.025202 75.90287) (xy 165.938103 75.866792) (xy 165.845638 75.8484) (xy 165.751362 75.8484) (xy 165.658897 75.866792) + (xy 165.571798 75.90287) (xy 165.49341 75.955247) (xy 165.426747 76.02191) (xy 165.37437 76.100298) (xy 165.338292 76.187397) + (xy 165.3199 76.279862) (xy 155.969957 76.279862) (xy 155.99913 76.236202) (xy 156.035208 76.149103) (xy 156.0536 76.056638) + (xy 156.0536 75.962362) (xy 156.035208 75.869897) (xy 155.99913 75.782798) (xy 155.946753 75.70441) (xy 155.887205 75.644862) + (xy 158.4619 75.644862) (xy 158.4619 75.739138) (xy 158.480292 75.831603) (xy 158.51637 75.918702) (xy 158.568747 75.99709) + (xy 158.63541 76.063753) (xy 158.713798 76.11613) (xy 158.800897 76.152208) (xy 158.893362 76.1706) (xy 158.987638 76.1706) + (xy 159.080103 76.152208) (xy 159.167202 76.11613) (xy 159.24559 76.063753) (xy 159.312253 75.99709) (xy 159.36463 75.918702) + (xy 159.400708 75.831603) (xy 159.4191 75.739138) (xy 159.4191 75.644862) (xy 159.400708 75.552397) (xy 159.36463 75.465298) + (xy 159.312253 75.38691) (xy 159.24559 75.320247) (xy 159.167202 75.26787) (xy 159.080103 75.231792) (xy 158.987638 75.2134) + (xy 158.893362 75.2134) (xy 158.800897 75.231792) (xy 158.713798 75.26787) (xy 158.63541 75.320247) (xy 158.568747 75.38691) + (xy 158.51637 75.465298) (xy 158.480292 75.552397) (xy 158.4619 75.644862) (xy 155.887205 75.644862) (xy 155.88009 75.637747) + (xy 155.801702 75.58537) (xy 155.714603 75.549292) (xy 155.622138 75.5309) (xy 155.527862 75.5309) (xy 155.435397 75.549292) + (xy 155.348298 75.58537) (xy 155.26991 75.637747) (xy 155.203247 75.70441) (xy 155.15087 75.782798) (xy 155.114792 75.869897) + (xy 155.0964 75.962362) (xy 150.689382 75.962362) (xy 150.649187 75.945713) (xy 150.547063 75.9254) (xy 150.442937 75.9254) + (xy 150.340813 75.945713) (xy 150.244614 75.98556) (xy 150.158037 76.043409) (xy 150.084409 76.117037) (xy 150.02656 76.203614) + (xy 149.986713 76.299813) (xy 149.9664 76.401937) (xy 148.7376 76.401937) (xy 148.717287 76.299813) (xy 148.67744 76.203614) + (xy 148.619591 76.117037) (xy 148.545963 76.043409) (xy 148.459386 75.98556) (xy 148.363187 75.945713) (xy 148.261063 75.9254) + (xy 148.156937 75.9254) (xy 148.054813 75.945713) (xy 147.958614 75.98556) (xy 147.872037 76.043409) (xy 147.798409 76.117037) + (xy 147.74056 76.203614) (xy 147.700713 76.299813) (xy 147.6804 76.401937) (xy 130.609388 76.401937) (xy 130.635208 76.339603) + (xy 130.6536 76.247138) (xy 130.6536 76.152862) (xy 130.635208 76.060397) (xy 130.59913 75.973298) (xy 130.546753 75.89491) + (xy 130.48009 75.828247) (xy 130.401702 75.77587) (xy 130.314603 75.739792) (xy 130.222138 75.7214) (xy 130.127862 75.7214) + (xy 130.035397 75.739792) (xy 129.948298 75.77587) (xy 129.86991 75.828247) (xy 129.803247 75.89491) (xy 129.75087 75.973298) + (xy 129.714792 76.060397) (xy 129.6964 76.152862) (xy 125.289382 76.152862) (xy 125.249187 76.136213) (xy 125.147063 76.1159) + (xy 125.042937 76.1159) (xy 124.940813 76.136213) (xy 124.844614 76.17606) (xy 124.758037 76.233909) (xy 124.684409 76.307537) + (xy 124.62656 76.394114) (xy 124.586713 76.490313) (xy 124.5664 76.592437) (xy 123.3376 76.592437) (xy 123.317287 76.490313) + (xy 123.27744 76.394114) (xy 123.219591 76.307537) (xy 123.145963 76.233909) (xy 123.059386 76.17606) (xy 122.963187 76.136213) + (xy 122.861063 76.1159) (xy 122.756937 76.1159) (xy 122.654813 76.136213) (xy 122.558614 76.17606) (xy 122.472037 76.233909) + (xy 122.398409 76.307537) (xy 122.34056 76.394114) (xy 122.300713 76.490313) (xy 122.2804 76.592437) (xy 114.489445 76.592437) + (xy 114.523444 76.510356) (xy 114.5476 76.388912) (xy 114.5476 76.265088) (xy 114.523444 76.143644) (xy 114.476059 76.029246) + (xy 114.407266 75.926291) (xy 114.319709 75.838734) (xy 114.216754 75.769941) (xy 114.102356 75.722556) (xy 113.980912 75.6984) + (xy 113.857088 75.6984) (xy 113.735644 75.722556) (xy 113.621246 75.769941) (xy 113.518291 75.838734) (xy 113.430734 75.926291) + (xy 113.361941 76.029246) (xy 113.314556 76.143644) (xy 113.2904 76.265088) (xy 103.5546 76.265088) (xy 103.5546 74.882862) + (xy 103.5979 74.882862) (xy 103.5979 74.977138) (xy 103.616292 75.069603) (xy 103.65237 75.156702) (xy 103.704747 75.23509) + (xy 103.77141 75.301753) (xy 103.849798 75.35413) (xy 103.936897 75.390208) (xy 104.029362 75.4086) (xy 104.123638 75.4086) + (xy 104.216103 75.390208) (xy 104.303202 75.35413) (xy 104.38159 75.301753) (xy 104.448253 75.23509) (xy 104.50063 75.156702) + (xy 104.536708 75.069603) (xy 104.538898 75.058588) (xy 114.5604 75.058588) (xy 114.5604 75.182412) (xy 114.584556 75.303856) + (xy 114.631941 75.418254) (xy 114.700734 75.521209) (xy 114.788291 75.608766) (xy 114.891246 75.677559) (xy 115.005644 75.724944) + (xy 115.127088 75.7491) (xy 115.250912 75.7491) (xy 115.372356 75.724944) (xy 115.486754 75.677559) (xy 115.589709 75.608766) + (xy 115.677266 75.521209) (xy 115.746059 75.418254) (xy 115.793444 75.303856) (xy 115.801399 75.263862) (xy 138.5864 75.263862) + (xy 138.5864 75.358138) (xy 138.604792 75.450603) (xy 138.64087 75.537702) (xy 138.693247 75.61609) (xy 138.75991 75.682753) + (xy 138.838298 75.73513) (xy 138.925397 75.771208) (xy 139.017862 75.7896) (xy 139.112138 75.7896) (xy 139.204603 75.771208) + (xy 139.291702 75.73513) (xy 139.37009 75.682753) (xy 139.436753 75.61609) (xy 139.48913 75.537702) (xy 139.525208 75.450603) + (xy 139.53709 75.390862) (xy 140.3009 75.390862) (xy 140.3009 75.485138) (xy 140.319292 75.577603) (xy 140.35537 75.664702) + (xy 140.407747 75.74309) (xy 140.47441 75.809753) (xy 140.552798 75.86213) (xy 140.639897 75.898208) (xy 140.732362 75.9166) + (xy 140.826638 75.9166) (xy 140.919103 75.898208) (xy 141.006202 75.86213) (xy 141.08459 75.809753) (xy 141.151253 75.74309) + (xy 141.20363 75.664702) (xy 141.239708 75.577603) (xy 141.25159 75.517862) (xy 143.4124 75.517862) (xy 143.4124 75.612138) + (xy 143.430792 75.704603) (xy 143.46687 75.791702) (xy 143.519247 75.87009) (xy 143.58591 75.936753) (xy 143.664298 75.98913) + (xy 143.751397 76.025208) (xy 143.843862 76.0436) (xy 143.938138 76.0436) (xy 144.030603 76.025208) (xy 144.117702 75.98913) + (xy 144.19609 75.936753) (xy 144.262753 75.87009) (xy 144.31513 75.791702) (xy 144.351208 75.704603) (xy 144.3696 75.612138) + (xy 144.3696 75.517862) (xy 144.351208 75.425397) (xy 144.31513 75.338298) (xy 144.262753 75.25991) (xy 144.19609 75.193247) + (xy 144.117702 75.14087) (xy 144.030603 75.104792) (xy 143.938138 75.0864) (xy 143.843862 75.0864) (xy 143.751397 75.104792) + (xy 143.664298 75.14087) (xy 143.58591 75.193247) (xy 143.519247 75.25991) (xy 143.46687 75.338298) (xy 143.430792 75.425397) + (xy 143.4124 75.517862) (xy 141.25159 75.517862) (xy 141.2581 75.485138) (xy 141.2581 75.390862) (xy 141.239708 75.298397) + (xy 141.20363 75.211298) (xy 141.151253 75.13291) (xy 141.08459 75.066247) (xy 141.006202 75.01387) (xy 140.919103 74.977792) + (xy 140.826638 74.9594) (xy 140.732362 74.9594) (xy 140.639897 74.977792) (xy 140.552798 75.01387) (xy 140.47441 75.066247) + (xy 140.407747 75.13291) (xy 140.35537 75.211298) (xy 140.319292 75.298397) (xy 140.3009 75.390862) (xy 139.53709 75.390862) + (xy 139.5436 75.358138) (xy 139.5436 75.263862) (xy 139.525208 75.171397) (xy 139.48913 75.084298) (xy 139.436753 75.00591) + (xy 139.37009 74.939247) (xy 139.315636 74.902862) (xy 176.1714 74.902862) (xy 176.1714 74.997138) (xy 176.189792 75.089603) + (xy 176.22587 75.176702) (xy 176.278247 75.25509) (xy 176.34491 75.321753) (xy 176.423298 75.37413) (xy 176.510397 75.410208) + (xy 176.602862 75.4286) (xy 176.697138 75.4286) (xy 176.789603 75.410208) (xy 176.876702 75.37413) (xy 176.95509 75.321753) + (xy 177.021753 75.25509) (xy 177.07413 75.176702) (xy 177.084004 75.152862) (xy 181.1214 75.152862) (xy 181.1214 75.247138) + (xy 181.139792 75.339603) (xy 181.17587 75.426702) (xy 181.228247 75.50509) (xy 181.29491 75.571753) (xy 181.373298 75.62413) + (xy 181.460397 75.660208) (xy 181.552862 75.6786) (xy 181.647138 75.6786) (xy 181.739603 75.660208) (xy 181.826702 75.62413) + (xy 181.90509 75.571753) (xy 181.971753 75.50509) (xy 182.02413 75.426702) (xy 182.060208 75.339603) (xy 182.0786 75.247138) + (xy 182.0786 75.152862) (xy 182.060208 75.060397) (xy 182.02413 74.973298) (xy 181.977067 74.902862) (xy 184.6714 74.902862) + (xy 184.6714 74.997138) (xy 184.689792 75.089603) (xy 184.72587 75.176702) (xy 184.778247 75.25509) (xy 184.84491 75.321753) + (xy 184.923298 75.37413) (xy 185.010397 75.410208) (xy 185.102862 75.4286) (xy 185.197138 75.4286) (xy 185.289603 75.410208) + (xy 185.376702 75.37413) (xy 185.45509 75.321753) (xy 185.521753 75.25509) (xy 185.523241 75.252862) (xy 189.2214 75.252862) + (xy 189.2214 75.347138) (xy 189.239792 75.439603) (xy 189.27587 75.526702) (xy 189.328247 75.60509) (xy 189.39491 75.671753) + (xy 189.473298 75.72413) (xy 189.560397 75.760208) (xy 189.652862 75.7786) (xy 189.747138 75.7786) (xy 189.839603 75.760208) + (xy 189.926702 75.72413) (xy 190.00509 75.671753) (xy 190.023981 75.652862) (xy 196.9214 75.652862) (xy 196.9214 75.747138) + (xy 196.939792 75.839603) (xy 196.97587 75.926702) (xy 197.028247 76.00509) (xy 197.09491 76.071753) (xy 197.173298 76.12413) + (xy 197.260397 76.160208) (xy 197.352862 76.1786) (xy 197.447138 76.1786) (xy 197.539603 76.160208) (xy 197.626702 76.12413) + (xy 197.661088 76.101154) (xy 199.6564 76.101154) (xy 199.6564 76.298846) (xy 199.694968 76.492739) (xy 199.770621 76.675383) + (xy 199.880453 76.839758) (xy 200.020242 76.979547) (xy 200.184617 77.089379) (xy 200.367261 77.165032) (xy 200.561154 77.2036) + (xy 200.758846 77.2036) (xy 200.952739 77.165032) (xy 201.135383 77.089379) (xy 201.299758 76.979547) (xy 201.439547 76.839758) + (xy 201.549379 76.675383) (xy 201.625032 76.492739) (xy 201.6636 76.298846) (xy 201.6636 76.101154) (xy 202.1964 76.101154) + (xy 202.1964 76.298846) (xy 202.234968 76.492739) (xy 202.310621 76.675383) (xy 202.420453 76.839758) (xy 202.560242 76.979547) + (xy 202.724617 77.089379) (xy 202.907261 77.165032) (xy 203.101154 77.2036) (xy 203.298846 77.2036) (xy 203.492739 77.165032) + (xy 203.675383 77.089379) (xy 203.839758 76.979547) (xy 203.979547 76.839758) (xy 204.089379 76.675383) (xy 204.165032 76.492739) + (xy 204.2036 76.298846) (xy 204.2036 76.101154) (xy 204.7364 76.101154) (xy 204.7364 76.298846) (xy 204.774968 76.492739) + (xy 204.850621 76.675383) (xy 204.960453 76.839758) (xy 205.100242 76.979547) (xy 205.264617 77.089379) (xy 205.447261 77.165032) + (xy 205.641154 77.2036) (xy 205.838846 77.2036) (xy 206.032739 77.165032) (xy 206.215383 77.089379) (xy 206.379758 76.979547) + (xy 206.519547 76.839758) (xy 206.629379 76.675383) (xy 206.705032 76.492739) (xy 206.7436 76.298846) (xy 206.7436 76.101154) + (xy 206.705032 75.907261) (xy 206.629379 75.724617) (xy 206.519547 75.560242) (xy 206.379758 75.420453) (xy 206.215383 75.310621) + (xy 206.032739 75.234968) (xy 205.838846 75.1964) (xy 205.641154 75.1964) (xy 205.447261 75.234968) (xy 205.264617 75.310621) + (xy 205.100242 75.420453) (xy 204.960453 75.560242) (xy 204.850621 75.724617) (xy 204.774968 75.907261) (xy 204.7364 76.101154) + (xy 204.2036 76.101154) (xy 204.165032 75.907261) (xy 204.089379 75.724617) (xy 203.979547 75.560242) (xy 203.839758 75.420453) + (xy 203.675383 75.310621) (xy 203.492739 75.234968) (xy 203.298846 75.1964) (xy 203.101154 75.1964) (xy 202.907261 75.234968) + (xy 202.724617 75.310621) (xy 202.560242 75.420453) (xy 202.420453 75.560242) (xy 202.310621 75.724617) (xy 202.234968 75.907261) + (xy 202.1964 76.101154) (xy 201.6636 76.101154) (xy 201.625032 75.907261) (xy 201.549379 75.724617) (xy 201.439547 75.560242) + (xy 201.299758 75.420453) (xy 201.135383 75.310621) (xy 200.952739 75.234968) (xy 200.758846 75.1964) (xy 200.561154 75.1964) + (xy 200.367261 75.234968) (xy 200.184617 75.310621) (xy 200.020242 75.420453) (xy 199.880453 75.560242) (xy 199.770621 75.724617) + (xy 199.694968 75.907261) (xy 199.6564 76.101154) (xy 197.661088 76.101154) (xy 197.70509 76.071753) (xy 197.771753 76.00509) + (xy 197.82413 75.926702) (xy 197.860208 75.839603) (xy 197.8786 75.747138) (xy 197.8786 75.652862) (xy 197.860208 75.560397) + (xy 197.82413 75.473298) (xy 197.771753 75.39491) (xy 197.70509 75.328247) (xy 197.626702 75.27587) (xy 197.539603 75.239792) + (xy 197.447138 75.2214) (xy 197.352862 75.2214) (xy 197.260397 75.239792) (xy 197.173298 75.27587) (xy 197.09491 75.328247) + (xy 197.028247 75.39491) (xy 196.97587 75.473298) (xy 196.939792 75.560397) (xy 196.9214 75.652862) (xy 190.023981 75.652862) + (xy 190.071753 75.60509) (xy 190.12413 75.526702) (xy 190.160208 75.439603) (xy 190.1786 75.347138) (xy 190.1786 75.252862) + (xy 190.160208 75.160397) (xy 190.12413 75.073298) (xy 190.071753 74.99491) (xy 190.00509 74.928247) (xy 189.937167 74.882862) + (xy 211.0399 74.882862) (xy 211.0399 74.977138) (xy 211.058292 75.069603) (xy 211.09437 75.156702) (xy 211.146747 75.23509) + (xy 211.21341 75.301753) (xy 211.291798 75.35413) (xy 211.378897 75.390208) (xy 211.471362 75.4086) (xy 211.565638 75.4086) + (xy 211.658103 75.390208) (xy 211.745202 75.35413) (xy 211.82359 75.301753) (xy 211.890253 75.23509) (xy 211.94263 75.156702) + (xy 211.978708 75.069603) (xy 211.9971 74.977138) (xy 211.9971 74.882862) (xy 211.978708 74.790397) (xy 211.94263 74.703298) + (xy 211.890253 74.62491) (xy 211.82359 74.558247) (xy 211.745202 74.50587) (xy 211.658103 74.469792) (xy 211.565638 74.4514) + (xy 211.471362 74.4514) (xy 211.378897 74.469792) (xy 211.291798 74.50587) (xy 211.21341 74.558247) (xy 211.146747 74.62491) + (xy 211.09437 74.703298) (xy 211.058292 74.790397) (xy 211.0399 74.882862) (xy 189.937167 74.882862) (xy 189.926702 74.87587) + (xy 189.839603 74.839792) (xy 189.747138 74.8214) (xy 189.652862 74.8214) (xy 189.560397 74.839792) (xy 189.473298 74.87587) + (xy 189.39491 74.928247) (xy 189.328247 74.99491) (xy 189.27587 75.073298) (xy 189.239792 75.160397) (xy 189.2214 75.252862) + (xy 185.523241 75.252862) (xy 185.57413 75.176702) (xy 185.610208 75.089603) (xy 185.6286 74.997138) (xy 185.6286 74.902862) + (xy 185.610208 74.810397) (xy 185.57413 74.723298) (xy 185.521753 74.64491) (xy 185.45509 74.578247) (xy 185.376702 74.52587) + (xy 185.289603 74.489792) (xy 185.197138 74.4714) (xy 185.102862 74.4714) (xy 185.010397 74.489792) (xy 184.923298 74.52587) + (xy 184.84491 74.578247) (xy 184.778247 74.64491) (xy 184.72587 74.723298) (xy 184.689792 74.810397) (xy 184.6714 74.902862) + (xy 181.977067 74.902862) (xy 181.971753 74.89491) (xy 181.90509 74.828247) (xy 181.826702 74.77587) (xy 181.739603 74.739792) + (xy 181.647138 74.7214) (xy 181.552862 74.7214) (xy 181.460397 74.739792) (xy 181.373298 74.77587) (xy 181.29491 74.828247) + (xy 181.228247 74.89491) (xy 181.17587 74.973298) (xy 181.139792 75.060397) (xy 181.1214 75.152862) (xy 177.084004 75.152862) + (xy 177.110208 75.089603) (xy 177.1286 74.997138) (xy 177.1286 74.902862) (xy 177.110208 74.810397) (xy 177.07413 74.723298) + (xy 177.021753 74.64491) (xy 176.95509 74.578247) (xy 176.876702 74.52587) (xy 176.789603 74.489792) (xy 176.697138 74.4714) + (xy 176.602862 74.4714) (xy 176.510397 74.489792) (xy 176.423298 74.52587) (xy 176.34491 74.578247) (xy 176.278247 74.64491) + (xy 176.22587 74.723298) (xy 176.189792 74.810397) (xy 176.1714 74.902862) (xy 139.315636 74.902862) (xy 139.291702 74.88687) + (xy 139.204603 74.850792) (xy 139.112138 74.8324) (xy 139.017862 74.8324) (xy 138.925397 74.850792) (xy 138.838298 74.88687) + (xy 138.75991 74.939247) (xy 138.693247 75.00591) (xy 138.64087 75.084298) (xy 138.604792 75.171397) (xy 138.5864 75.263862) + (xy 115.801399 75.263862) (xy 115.8176 75.182412) (xy 115.8176 75.058588) (xy 115.793444 74.937144) (xy 115.746059 74.822746) + (xy 115.677266 74.719791) (xy 115.589709 74.632234) (xy 115.486754 74.563441) (xy 115.372356 74.516056) (xy 115.250912 74.4919) + (xy 115.127088 74.4919) (xy 115.005644 74.516056) (xy 114.891246 74.563441) (xy 114.788291 74.632234) (xy 114.700734 74.719791) + (xy 114.631941 74.822746) (xy 114.584556 74.937144) (xy 114.5604 75.058588) (xy 104.538898 75.058588) (xy 104.5551 74.977138) + (xy 104.5551 74.882862) (xy 104.536708 74.790397) (xy 104.50063 74.703298) (xy 104.448253 74.62491) (xy 104.38159 74.558247) + (xy 104.303202 74.50587) (xy 104.216103 74.469792) (xy 104.123638 74.4514) (xy 104.029362 74.4514) (xy 103.936897 74.469792) + (xy 103.849798 74.50587) (xy 103.77141 74.558247) (xy 103.704747 74.62491) (xy 103.65237 74.703298) (xy 103.616292 74.790397) + (xy 103.5979 74.882862) (xy 103.5546 74.882862) (xy 103.5546 73.852088) (xy 113.2904 73.852088) (xy 113.2904 73.975912) + (xy 113.314556 74.097356) (xy 113.361941 74.211754) (xy 113.430734 74.314709) (xy 113.518291 74.402266) (xy 113.621246 74.471059) + (xy 113.735644 74.518444) (xy 113.857088 74.5426) (xy 113.980912 74.5426) (xy 114.102356 74.518444) (xy 114.216754 74.471059) + (xy 114.319709 74.402266) (xy 114.407266 74.314709) (xy 114.476059 74.211754) (xy 114.523444 74.097356) (xy 114.5476 73.975912) + (xy 114.5476 73.852088) (xy 117.0369 73.852088) (xy 117.0369 73.975912) (xy 117.061056 74.097356) (xy 117.108441 74.211754) + (xy 117.177234 74.314709) (xy 117.264791 74.402266) (xy 117.367746 74.471059) (xy 117.482144 74.518444) (xy 117.603588 74.5426) + (xy 117.727412 74.5426) (xy 117.848856 74.518444) (xy 117.963254 74.471059) (xy 118.066209 74.402266) (xy 118.153766 74.314709) + (xy 118.222559 74.211754) (xy 118.233905 74.184362) (xy 145.1904 74.184362) (xy 145.1904 74.278638) (xy 145.208792 74.371103) + (xy 145.24487 74.458202) (xy 145.297247 74.53659) (xy 145.36391 74.603253) (xy 145.442298 74.65563) (xy 145.529397 74.691708) + (xy 145.621862 74.7101) (xy 145.716138 74.7101) (xy 145.808603 74.691708) (xy 145.895702 74.65563) (xy 145.97409 74.603253) + (xy 146.040753 74.53659) (xy 146.09313 74.458202) (xy 146.129208 74.371103) (xy 146.1476 74.278638) (xy 146.1476 74.184362) + (xy 146.145074 74.171662) (xy 151.5404 74.171662) (xy 151.5404 74.265938) (xy 151.558792 74.358403) (xy 151.59487 74.445502) + (xy 151.647247 74.52389) (xy 151.71391 74.590553) (xy 151.792298 74.64293) (xy 151.879397 74.679008) (xy 151.971862 74.6974) + (xy 152.066138 74.6974) (xy 152.158603 74.679008) (xy 152.245702 74.64293) (xy 152.32409 74.590553) (xy 152.390753 74.52389) + (xy 152.44313 74.445502) (xy 152.479208 74.358403) (xy 152.490255 74.302862) (xy 175.0714 74.302862) (xy 175.0714 74.397138) + (xy 175.089792 74.489603) (xy 175.12587 74.576702) (xy 175.178247 74.65509) (xy 175.24491 74.721753) (xy 175.323298 74.77413) + (xy 175.410397 74.810208) (xy 175.502862 74.8286) (xy 175.597138 74.8286) (xy 175.689603 74.810208) (xy 175.776702 74.77413) + (xy 175.85509 74.721753) (xy 175.921753 74.65509) (xy 175.97413 74.576702) (xy 176.010208 74.489603) (xy 176.0286 74.397138) + (xy 176.0286 74.302862) (xy 177.2714 74.302862) (xy 177.2714 74.397138) (xy 177.289792 74.489603) (xy 177.32587 74.576702) + (xy 177.378247 74.65509) (xy 177.44491 74.721753) (xy 177.523298 74.77413) (xy 177.610397 74.810208) (xy 177.702862 74.8286) + (xy 177.797138 74.8286) (xy 177.889603 74.810208) (xy 177.976702 74.77413) (xy 178.05509 74.721753) (xy 178.121753 74.65509) + (xy 178.17413 74.576702) (xy 178.210208 74.489603) (xy 178.2286 74.397138) (xy 178.2286 74.302862) (xy 183.5714 74.302862) + (xy 183.5714 74.397138) (xy 183.589792 74.489603) (xy 183.62587 74.576702) (xy 183.678247 74.65509) (xy 183.74491 74.721753) + (xy 183.823298 74.77413) (xy 183.910397 74.810208) (xy 184.002862 74.8286) (xy 184.097138 74.8286) (xy 184.189603 74.810208) + (xy 184.276702 74.77413) (xy 184.35509 74.721753) (xy 184.421753 74.65509) (xy 184.47413 74.576702) (xy 184.510208 74.489603) + (xy 184.5286 74.397138) (xy 184.5286 74.302862) (xy 185.7714 74.302862) (xy 185.7714 74.397138) (xy 185.789792 74.489603) + (xy 185.82587 74.576702) (xy 185.878247 74.65509) (xy 185.94491 74.721753) (xy 186.023298 74.77413) (xy 186.110397 74.810208) + (xy 186.202862 74.8286) (xy 186.297138 74.8286) (xy 186.389603 74.810208) (xy 186.476702 74.77413) (xy 186.55509 74.721753) + (xy 186.621753 74.65509) (xy 186.67413 74.576702) (xy 186.710208 74.489603) (xy 186.7286 74.397138) (xy 186.7286 74.302862) + (xy 189.4714 74.302862) (xy 189.4714 74.397138) (xy 189.489792 74.489603) (xy 189.52587 74.576702) (xy 189.578247 74.65509) + (xy 189.64491 74.721753) (xy 189.723298 74.77413) (xy 189.810397 74.810208) (xy 189.902862 74.8286) (xy 189.997138 74.8286) + (xy 190.089603 74.810208) (xy 190.176702 74.77413) (xy 190.25509 74.721753) (xy 190.321753 74.65509) (xy 190.37413 74.576702) + (xy 190.410208 74.489603) (xy 190.4286 74.397138) (xy 190.4286 74.302862) (xy 190.410208 74.210397) (xy 190.37413 74.123298) + (xy 190.321753 74.04491) (xy 190.25509 73.978247) (xy 190.176702 73.92587) (xy 190.089603 73.889792) (xy 189.997138 73.8714) + (xy 189.902862 73.8714) (xy 189.810397 73.889792) (xy 189.723298 73.92587) (xy 189.64491 73.978247) (xy 189.578247 74.04491) + (xy 189.52587 74.123298) (xy 189.489792 74.210397) (xy 189.4714 74.302862) (xy 186.7286 74.302862) (xy 186.710208 74.210397) + (xy 186.67413 74.123298) (xy 186.621753 74.04491) (xy 186.55509 73.978247) (xy 186.476702 73.92587) (xy 186.389603 73.889792) + (xy 186.297138 73.8714) (xy 186.202862 73.8714) (xy 186.110397 73.889792) (xy 186.023298 73.92587) (xy 185.94491 73.978247) + (xy 185.878247 74.04491) (xy 185.82587 74.123298) (xy 185.789792 74.210397) (xy 185.7714 74.302862) (xy 184.5286 74.302862) + (xy 184.510208 74.210397) (xy 184.47413 74.123298) (xy 184.421753 74.04491) (xy 184.35509 73.978247) (xy 184.276702 73.92587) + (xy 184.189603 73.889792) (xy 184.097138 73.8714) (xy 184.002862 73.8714) (xy 183.910397 73.889792) (xy 183.823298 73.92587) + (xy 183.74491 73.978247) (xy 183.678247 74.04491) (xy 183.62587 74.123298) (xy 183.589792 74.210397) (xy 183.5714 74.302862) + (xy 178.2286 74.302862) (xy 178.210208 74.210397) (xy 178.17413 74.123298) (xy 178.121753 74.04491) (xy 178.05509 73.978247) + (xy 177.976702 73.92587) (xy 177.889603 73.889792) (xy 177.797138 73.8714) (xy 177.702862 73.8714) (xy 177.610397 73.889792) + (xy 177.523298 73.92587) (xy 177.44491 73.978247) (xy 177.378247 74.04491) (xy 177.32587 74.123298) (xy 177.289792 74.210397) + (xy 177.2714 74.302862) (xy 176.0286 74.302862) (xy 176.010208 74.210397) (xy 175.97413 74.123298) (xy 175.921753 74.04491) + (xy 175.85509 73.978247) (xy 175.776702 73.92587) (xy 175.689603 73.889792) (xy 175.597138 73.8714) (xy 175.502862 73.8714) + (xy 175.410397 73.889792) (xy 175.323298 73.92587) (xy 175.24491 73.978247) (xy 175.178247 74.04491) (xy 175.12587 74.123298) + (xy 175.089792 74.210397) (xy 175.0714 74.302862) (xy 152.490255 74.302862) (xy 152.4976 74.265938) (xy 152.4976 74.171662) + (xy 152.479208 74.079197) (xy 152.44313 73.992098) (xy 152.390753 73.91371) (xy 152.32409 73.847047) (xy 152.245702 73.79467) + (xy 152.158603 73.758592) (xy 152.066138 73.7402) (xy 151.971862 73.7402) (xy 151.879397 73.758592) (xy 151.792298 73.79467) + (xy 151.71391 73.847047) (xy 151.647247 73.91371) (xy 151.59487 73.992098) (xy 151.558792 74.079197) (xy 151.5404 74.171662) + (xy 146.145074 74.171662) (xy 146.129208 74.091897) (xy 146.09313 74.004798) (xy 146.040753 73.92641) (xy 145.97409 73.859747) + (xy 145.895702 73.80737) (xy 145.808603 73.771292) (xy 145.716138 73.7529) (xy 145.621862 73.7529) (xy 145.529397 73.771292) + (xy 145.442298 73.80737) (xy 145.36391 73.859747) (xy 145.297247 73.92641) (xy 145.24487 74.004798) (xy 145.208792 74.091897) + (xy 145.1904 74.184362) (xy 118.233905 74.184362) (xy 118.269944 74.097356) (xy 118.2941 73.975912) (xy 118.2941 73.852088) + (xy 118.269944 73.730644) (xy 118.222559 73.616246) (xy 118.220298 73.612862) (xy 129.6964 73.612862) (xy 129.6964 73.707138) + (xy 129.714792 73.799603) (xy 129.75087 73.886702) (xy 129.803247 73.96509) (xy 129.86991 74.031753) (xy 129.948298 74.08413) + (xy 130.035397 74.120208) (xy 130.127862 74.1386) (xy 130.222138 74.1386) (xy 130.314603 74.120208) (xy 130.401702 74.08413) + (xy 130.48009 74.031753) (xy 130.546753 73.96509) (xy 130.59913 73.886702) (xy 130.635208 73.799603) (xy 130.6536 73.707138) + (xy 130.6536 73.612862) (xy 130.635208 73.520397) (xy 130.634055 73.517612) (xy 152.27065 73.517612) (xy 152.27065 73.611888) + (xy 152.289042 73.704353) (xy 152.32512 73.791452) (xy 152.377497 73.86984) (xy 152.44416 73.936503) (xy 152.522548 73.98888) + (xy 152.609647 74.024958) (xy 152.702112 74.04335) (xy 152.796388 74.04335) (xy 152.888853 74.024958) (xy 152.975952 73.98888) + (xy 153.05434 73.936503) (xy 153.121003 73.86984) (xy 153.17338 73.791452) (xy 153.209458 73.704353) (xy 153.219699 73.652862) + (xy 173.7214 73.652862) (xy 173.7214 73.747138) (xy 173.739792 73.839603) (xy 173.77587 73.926702) (xy 173.828247 74.00509) + (xy 173.89491 74.071753) (xy 173.973298 74.12413) (xy 174.060397 74.160208) (xy 174.152862 74.1786) (xy 174.247138 74.1786) + (xy 174.339603 74.160208) (xy 174.426702 74.12413) (xy 174.50509 74.071753) (xy 174.571753 74.00509) (xy 174.62413 73.926702) + (xy 174.660208 73.839603) (xy 174.6786 73.747138) (xy 174.6786 73.652862) (xy 178.0714 73.652862) (xy 178.0714 73.747138) + (xy 178.089792 73.839603) (xy 178.12587 73.926702) (xy 178.178247 74.00509) (xy 178.24491 74.071753) (xy 178.323298 74.12413) + (xy 178.410397 74.160208) (xy 178.502862 74.1786) (xy 178.597138 74.1786) (xy 178.689603 74.160208) (xy 178.776702 74.12413) + (xy 178.85509 74.071753) (xy 178.921753 74.00509) (xy 178.97413 73.926702) (xy 179.010208 73.839603) (xy 179.0286 73.747138) + (xy 179.0286 73.652862) (xy 192.4714 73.652862) (xy 192.4714 73.747138) (xy 192.489792 73.839603) (xy 192.52587 73.926702) + (xy 192.578247 74.00509) (xy 192.64491 74.071753) (xy 192.723298 74.12413) (xy 192.810397 74.160208) (xy 192.902862 74.1786) + (xy 192.997138 74.1786) (xy 193.089603 74.160208) (xy 193.176702 74.12413) (xy 193.208531 74.102862) (xy 193.6714 74.102862) + (xy 193.6714 74.197138) (xy 193.689792 74.289603) (xy 193.72587 74.376702) (xy 193.778247 74.45509) (xy 193.84491 74.521753) + (xy 193.923298 74.57413) (xy 194.010397 74.610208) (xy 194.102862 74.6286) (xy 194.197138 74.6286) (xy 194.289603 74.610208) + (xy 194.376702 74.57413) (xy 194.45509 74.521753) (xy 194.521753 74.45509) (xy 194.57413 74.376702) (xy 194.610208 74.289603) + (xy 194.6286 74.197138) (xy 194.6286 74.120862) (xy 196.6254 74.120862) (xy 196.6254 74.215138) (xy 196.643792 74.307603) + (xy 196.67987 74.394702) (xy 196.732247 74.47309) (xy 196.79891 74.539753) (xy 196.877298 74.59213) (xy 196.964397 74.628208) + (xy 197.056862 74.6466) (xy 197.151138 74.6466) (xy 197.243603 74.628208) (xy 197.330702 74.59213) (xy 197.40909 74.539753) + (xy 197.475753 74.47309) (xy 197.52813 74.394702) (xy 197.564208 74.307603) (xy 197.5826 74.215138) (xy 197.5826 74.120862) + (xy 197.564208 74.028397) (xy 197.52813 73.941298) (xy 197.475753 73.86291) (xy 197.40909 73.796247) (xy 197.330702 73.74387) + (xy 197.243603 73.707792) (xy 197.151138 73.6894) (xy 197.056862 73.6894) (xy 196.964397 73.707792) (xy 196.877298 73.74387) + (xy 196.79891 73.796247) (xy 196.732247 73.86291) (xy 196.67987 73.941298) (xy 196.643792 74.028397) (xy 196.6254 74.120862) + (xy 194.6286 74.120862) (xy 194.6286 74.102862) (xy 194.610208 74.010397) (xy 194.57413 73.923298) (xy 194.521753 73.84491) + (xy 194.45509 73.778247) (xy 194.376702 73.72587) (xy 194.289603 73.689792) (xy 194.197138 73.6714) (xy 194.102862 73.6714) + (xy 194.010397 73.689792) (xy 193.923298 73.72587) (xy 193.84491 73.778247) (xy 193.778247 73.84491) (xy 193.72587 73.923298) + (xy 193.689792 74.010397) (xy 193.6714 74.102862) (xy 193.208531 74.102862) (xy 193.25509 74.071753) (xy 193.321753 74.00509) + (xy 193.37413 73.926702) (xy 193.410208 73.839603) (xy 193.4286 73.747138) (xy 193.4286 73.652862) (xy 193.410359 73.561154) + (xy 199.6564 73.561154) (xy 199.6564 73.758846) (xy 199.694968 73.952739) (xy 199.770621 74.135383) (xy 199.880453 74.299758) + (xy 200.020242 74.439547) (xy 200.184617 74.549379) (xy 200.367261 74.625032) (xy 200.561154 74.6636) (xy 200.758846 74.6636) + (xy 200.952739 74.625032) (xy 201.135383 74.549379) (xy 201.299758 74.439547) (xy 201.439547 74.299758) (xy 201.549379 74.135383) + (xy 201.625032 73.952739) (xy 201.6636 73.758846) (xy 201.6636 73.561154) (xy 202.1964 73.561154) (xy 202.1964 73.758846) + (xy 202.234968 73.952739) (xy 202.310621 74.135383) (xy 202.420453 74.299758) (xy 202.560242 74.439547) (xy 202.724617 74.549379) + (xy 202.907261 74.625032) (xy 203.101154 74.6636) (xy 203.298846 74.6636) (xy 203.492739 74.625032) (xy 203.675383 74.549379) + (xy 203.839758 74.439547) (xy 203.979547 74.299758) (xy 204.089379 74.135383) (xy 204.165032 73.952739) (xy 204.2036 73.758846) + (xy 204.2036 73.561154) (xy 204.7364 73.561154) (xy 204.7364 73.758846) (xy 204.774968 73.952739) (xy 204.850621 74.135383) + (xy 204.960453 74.299758) (xy 205.100242 74.439547) (xy 205.264617 74.549379) (xy 205.447261 74.625032) (xy 205.641154 74.6636) + (xy 205.838846 74.6636) (xy 206.032739 74.625032) (xy 206.215383 74.549379) (xy 206.379758 74.439547) (xy 206.519547 74.299758) + (xy 206.629379 74.135383) (xy 206.705032 73.952739) (xy 206.7436 73.758846) (xy 206.7436 73.561154) (xy 206.705032 73.367261) + (xy 206.629379 73.184617) (xy 206.519547 73.020242) (xy 206.379758 72.880453) (xy 206.215383 72.770621) (xy 206.032739 72.694968) + (xy 205.838846 72.6564) (xy 205.641154 72.6564) (xy 205.447261 72.694968) (xy 205.264617 72.770621) (xy 205.100242 72.880453) + (xy 204.960453 73.020242) (xy 204.850621 73.184617) (xy 204.774968 73.367261) (xy 204.7364 73.561154) (xy 204.2036 73.561154) + (xy 204.165032 73.367261) (xy 204.089379 73.184617) (xy 203.979547 73.020242) (xy 203.839758 72.880453) (xy 203.675383 72.770621) + (xy 203.492739 72.694968) (xy 203.298846 72.6564) (xy 203.101154 72.6564) (xy 202.907261 72.694968) (xy 202.724617 72.770621) + (xy 202.560242 72.880453) (xy 202.420453 73.020242) (xy 202.310621 73.184617) (xy 202.234968 73.367261) (xy 202.1964 73.561154) + (xy 201.6636 73.561154) (xy 201.625032 73.367261) (xy 201.549379 73.184617) (xy 201.439547 73.020242) (xy 201.299758 72.880453) + (xy 201.135383 72.770621) (xy 200.952739 72.694968) (xy 200.758846 72.6564) (xy 200.561154 72.6564) (xy 200.367261 72.694968) + (xy 200.184617 72.770621) (xy 200.020242 72.880453) (xy 199.880453 73.020242) (xy 199.770621 73.184617) (xy 199.694968 73.367261) + (xy 199.6564 73.561154) (xy 193.410359 73.561154) (xy 193.410208 73.560397) (xy 193.37413 73.473298) (xy 193.321753 73.39491) + (xy 193.25509 73.328247) (xy 193.176702 73.27587) (xy 193.089603 73.239792) (xy 192.997138 73.2214) (xy 192.902862 73.2214) + (xy 192.810397 73.239792) (xy 192.723298 73.27587) (xy 192.64491 73.328247) (xy 192.578247 73.39491) (xy 192.52587 73.473298) + (xy 192.489792 73.560397) (xy 192.4714 73.652862) (xy 179.0286 73.652862) (xy 179.010208 73.560397) (xy 178.97413 73.473298) + (xy 178.921753 73.39491) (xy 178.85509 73.328247) (xy 178.776702 73.27587) (xy 178.689603 73.239792) (xy 178.597138 73.2214) + (xy 178.502862 73.2214) (xy 178.410397 73.239792) (xy 178.323298 73.27587) (xy 178.24491 73.328247) (xy 178.178247 73.39491) + (xy 178.12587 73.473298) (xy 178.089792 73.560397) (xy 178.0714 73.652862) (xy 174.6786 73.652862) (xy 174.660208 73.560397) + (xy 174.62413 73.473298) (xy 174.571753 73.39491) (xy 174.50509 73.328247) (xy 174.426702 73.27587) (xy 174.339603 73.239792) + (xy 174.247138 73.2214) (xy 174.152862 73.2214) (xy 174.060397 73.239792) (xy 173.973298 73.27587) (xy 173.89491 73.328247) + (xy 173.828247 73.39491) (xy 173.77587 73.473298) (xy 173.739792 73.560397) (xy 173.7214 73.652862) (xy 153.219699 73.652862) + (xy 153.22785 73.611888) (xy 153.22785 73.517612) (xy 153.209458 73.425147) (xy 153.17338 73.338048) (xy 153.121003 73.25966) + (xy 153.05434 73.192997) (xy 152.975952 73.14062) (xy 152.888853 73.104542) (xy 152.796388 73.08615) (xy 152.702112 73.08615) + (xy 152.609647 73.104542) (xy 152.522548 73.14062) (xy 152.44416 73.192997) (xy 152.377497 73.25966) (xy 152.32512 73.338048) + (xy 152.289042 73.425147) (xy 152.27065 73.517612) (xy 130.634055 73.517612) (xy 130.59913 73.433298) (xy 130.546753 73.35491) + (xy 130.48009 73.288247) (xy 130.401702 73.23587) (xy 130.314603 73.199792) (xy 130.222138 73.1814) (xy 130.127862 73.1814) + (xy 130.035397 73.199792) (xy 129.948298 73.23587) (xy 129.86991 73.288247) (xy 129.803247 73.35491) (xy 129.75087 73.433298) + (xy 129.714792 73.520397) (xy 129.6964 73.612862) (xy 118.220298 73.612862) (xy 118.153766 73.513291) (xy 118.066209 73.425734) + (xy 117.963254 73.356941) (xy 117.848856 73.309556) (xy 117.727412 73.2854) (xy 117.603588 73.2854) (xy 117.482144 73.309556) + (xy 117.367746 73.356941) (xy 117.264791 73.425734) (xy 117.177234 73.513291) (xy 117.108441 73.616246) (xy 117.061056 73.730644) + (xy 117.0369 73.852088) (xy 114.5476 73.852088) (xy 114.523444 73.730644) (xy 114.476059 73.616246) (xy 114.407266 73.513291) + (xy 114.319709 73.425734) (xy 114.216754 73.356941) (xy 114.102356 73.309556) (xy 113.980912 73.2854) (xy 113.857088 73.2854) + (xy 113.735644 73.309556) (xy 113.621246 73.356941) (xy 113.518291 73.425734) (xy 113.430734 73.513291) (xy 113.361941 73.616246) + (xy 113.314556 73.730644) (xy 113.2904 73.852088) (xy 103.5546 73.852088) (xy 103.5546 72.645588) (xy 114.5604 72.645588) + (xy 114.5604 72.769412) (xy 114.584556 72.890856) (xy 114.631941 73.005254) (xy 114.700734 73.108209) (xy 114.788291 73.195766) + (xy 114.891246 73.264559) (xy 115.005644 73.311944) (xy 115.127088 73.3361) (xy 115.250912 73.3361) (xy 115.372356 73.311944) + (xy 115.486754 73.264559) (xy 115.589709 73.195766) (xy 115.677266 73.108209) (xy 115.746059 73.005254) (xy 115.793444 72.890856) + (xy 115.8176 72.769412) (xy 115.8176 72.660362) (xy 161.7004 72.660362) (xy 161.7004 72.754638) (xy 161.718792 72.847103) + (xy 161.75487 72.934202) (xy 161.807247 73.01259) (xy 161.87391 73.079253) (xy 161.952298 73.13163) (xy 162.039397 73.167708) + (xy 162.131862 73.1861) (xy 162.226138 73.1861) (xy 162.318603 73.167708) (xy 162.405702 73.13163) (xy 162.48409 73.079253) + (xy 162.550753 73.01259) (xy 162.60313 72.934202) (xy 162.63765 72.850862) (xy 162.9704 72.850862) (xy 162.9704 72.945138) + (xy 162.988792 73.037603) (xy 163.02487 73.124702) (xy 163.077247 73.20309) (xy 163.14391 73.269753) (xy 163.222298 73.32213) + (xy 163.309397 73.358208) (xy 163.401862 73.3766) (xy 163.496138 73.3766) (xy 163.588603 73.358208) (xy 163.675702 73.32213) + (xy 163.75409 73.269753) (xy 163.820753 73.20309) (xy 163.87313 73.124702) (xy 163.909208 73.037603) (xy 163.9276 72.945138) + (xy 163.9276 72.850862) (xy 163.909208 72.758397) (xy 163.87313 72.671298) (xy 163.823394 72.596862) (xy 164.1134 72.596862) + (xy 164.1134 72.691138) (xy 164.131792 72.783603) (xy 164.16787 72.870702) (xy 164.220247 72.94909) (xy 164.28691 73.015753) + (xy 164.365298 73.06813) (xy 164.452397 73.104208) (xy 164.544862 73.1226) (xy 164.639138 73.1226) (xy 164.731603 73.104208) + (xy 164.818702 73.06813) (xy 164.89709 73.015753) (xy 164.909981 73.002862) (xy 172.9214 73.002862) (xy 172.9214 73.097138) + (xy 172.939792 73.189603) (xy 172.97587 73.276702) (xy 173.028247 73.35509) (xy 173.09491 73.421753) (xy 173.173298 73.47413) + (xy 173.260397 73.510208) (xy 173.352862 73.5286) (xy 173.447138 73.5286) (xy 173.539603 73.510208) (xy 173.626702 73.47413) + (xy 173.70509 73.421753) (xy 173.771753 73.35509) (xy 173.82413 73.276702) (xy 173.860208 73.189603) (xy 173.8786 73.097138) + (xy 173.8786 73.002862) (xy 177.2714 73.002862) (xy 177.2714 73.097138) (xy 177.289792 73.189603) (xy 177.32587 73.276702) + (xy 177.378247 73.35509) (xy 177.44491 73.421753) (xy 177.523298 73.47413) (xy 177.610397 73.510208) (xy 177.702862 73.5286) + (xy 177.797138 73.5286) (xy 177.889603 73.510208) (xy 177.976702 73.47413) (xy 178.05509 73.421753) (xy 178.121753 73.35509) + (xy 178.17413 73.276702) (xy 178.210208 73.189603) (xy 178.2286 73.097138) (xy 178.2286 73.002862) (xy 191.6714 73.002862) + (xy 191.6714 73.097138) (xy 191.689792 73.189603) (xy 191.72587 73.276702) (xy 191.778247 73.35509) (xy 191.84491 73.421753) + (xy 191.923298 73.47413) (xy 192.010397 73.510208) (xy 192.102862 73.5286) (xy 192.197138 73.5286) (xy 192.289603 73.510208) + (xy 192.376702 73.47413) (xy 192.45509 73.421753) (xy 192.521753 73.35509) (xy 192.57413 73.276702) (xy 192.610208 73.189603) + (xy 192.6286 73.097138) (xy 192.6286 73.002862) (xy 192.610208 72.910397) (xy 192.57413 72.823298) (xy 192.521753 72.74491) + (xy 192.45509 72.678247) (xy 192.376702 72.62587) (xy 192.289603 72.589792) (xy 192.197138 72.5714) (xy 192.102862 72.5714) + (xy 192.010397 72.589792) (xy 191.923298 72.62587) (xy 191.84491 72.678247) (xy 191.778247 72.74491) (xy 191.72587 72.823298) + (xy 191.689792 72.910397) (xy 191.6714 73.002862) (xy 178.2286 73.002862) (xy 178.210208 72.910397) (xy 178.17413 72.823298) + (xy 178.121753 72.74491) (xy 178.05509 72.678247) (xy 177.976702 72.62587) (xy 177.889603 72.589792) (xy 177.797138 72.5714) + (xy 177.702862 72.5714) (xy 177.610397 72.589792) (xy 177.523298 72.62587) (xy 177.44491 72.678247) (xy 177.378247 72.74491) + (xy 177.32587 72.823298) (xy 177.289792 72.910397) (xy 177.2714 73.002862) (xy 173.8786 73.002862) (xy 173.860208 72.910397) + (xy 173.82413 72.823298) (xy 173.771753 72.74491) (xy 173.70509 72.678247) (xy 173.626702 72.62587) (xy 173.539603 72.589792) + (xy 173.447138 72.5714) (xy 173.352862 72.5714) (xy 173.260397 72.589792) (xy 173.173298 72.62587) (xy 173.09491 72.678247) + (xy 173.028247 72.74491) (xy 172.97587 72.823298) (xy 172.939792 72.910397) (xy 172.9214 73.002862) (xy 164.909981 73.002862) + (xy 164.963753 72.94909) (xy 165.01613 72.870702) (xy 165.052208 72.783603) (xy 165.0706 72.691138) (xy 165.0706 72.596862) + (xy 165.052208 72.504397) (xy 165.037903 72.469862) (xy 165.3834 72.469862) (xy 165.3834 72.564138) (xy 165.401792 72.656603) + (xy 165.43787 72.743702) (xy 165.490247 72.82209) (xy 165.55691 72.888753) (xy 165.635298 72.94113) (xy 165.722397 72.977208) + (xy 165.814862 72.9956) (xy 165.909138 72.9956) (xy 166.001603 72.977208) (xy 166.088702 72.94113) (xy 166.16709 72.888753) + (xy 166.233753 72.82209) (xy 166.28613 72.743702) (xy 166.322208 72.656603) (xy 166.3406 72.564138) (xy 166.3406 72.469862) + (xy 166.322208 72.377397) (xy 166.312046 72.352862) (xy 173.7214 72.352862) (xy 173.7214 72.447138) (xy 173.739792 72.539603) + (xy 173.77587 72.626702) (xy 173.828247 72.70509) (xy 173.89491 72.771753) (xy 173.973298 72.82413) (xy 174.060397 72.860208) + (xy 174.152862 72.8786) (xy 174.247138 72.8786) (xy 174.339603 72.860208) (xy 174.426702 72.82413) (xy 174.50509 72.771753) + (xy 174.571753 72.70509) (xy 174.62413 72.626702) (xy 174.660208 72.539603) (xy 174.6786 72.447138) (xy 174.6786 72.352862) + (xy 178.0714 72.352862) (xy 178.0714 72.447138) (xy 178.089792 72.539603) (xy 178.12587 72.626702) (xy 178.178247 72.70509) + (xy 178.24491 72.771753) (xy 178.323298 72.82413) (xy 178.410397 72.860208) (xy 178.502862 72.8786) (xy 178.597138 72.8786) + (xy 178.689603 72.860208) (xy 178.776702 72.82413) (xy 178.85509 72.771753) (xy 178.921753 72.70509) (xy 178.97413 72.626702) + (xy 179.010208 72.539603) (xy 179.0286 72.447138) (xy 179.0286 72.352862) (xy 192.4714 72.352862) (xy 192.4714 72.447138) + (xy 192.489792 72.539603) (xy 192.52587 72.626702) (xy 192.578247 72.70509) (xy 192.64491 72.771753) (xy 192.723298 72.82413) + (xy 192.810397 72.860208) (xy 192.902862 72.8786) (xy 192.997138 72.8786) (xy 193.089603 72.860208) (xy 193.176702 72.82413) + (xy 193.25509 72.771753) (xy 193.321753 72.70509) (xy 193.37413 72.626702) (xy 193.410208 72.539603) (xy 193.4286 72.447138) + (xy 193.4286 72.352862) (xy 193.410208 72.260397) (xy 193.37413 72.173298) (xy 193.321753 72.09491) (xy 193.25509 72.028247) + (xy 193.176702 71.97587) (xy 193.089603 71.939792) (xy 192.997138 71.9214) (xy 192.902862 71.9214) (xy 192.810397 71.939792) + (xy 192.723298 71.97587) (xy 192.64491 72.028247) (xy 192.578247 72.09491) (xy 192.52587 72.173298) (xy 192.489792 72.260397) + (xy 192.4714 72.352862) (xy 179.0286 72.352862) (xy 179.010208 72.260397) (xy 178.97413 72.173298) (xy 178.921753 72.09491) + (xy 178.85509 72.028247) (xy 178.776702 71.97587) (xy 178.689603 71.939792) (xy 178.597138 71.9214) (xy 178.502862 71.9214) + (xy 178.410397 71.939792) (xy 178.323298 71.97587) (xy 178.24491 72.028247) (xy 178.178247 72.09491) (xy 178.12587 72.173298) + (xy 178.089792 72.260397) (xy 178.0714 72.352862) (xy 174.6786 72.352862) (xy 174.660208 72.260397) (xy 174.62413 72.173298) + (xy 174.571753 72.09491) (xy 174.50509 72.028247) (xy 174.426702 71.97587) (xy 174.339603 71.939792) (xy 174.247138 71.9214) + (xy 174.152862 71.9214) (xy 174.060397 71.939792) (xy 173.973298 71.97587) (xy 173.89491 72.028247) (xy 173.828247 72.09491) + (xy 173.77587 72.173298) (xy 173.739792 72.260397) (xy 173.7214 72.352862) (xy 166.312046 72.352862) (xy 166.28613 72.290298) + (xy 166.233753 72.21191) (xy 166.16709 72.145247) (xy 166.088702 72.09287) (xy 166.001603 72.056792) (xy 165.909138 72.0384) + (xy 165.814862 72.0384) (xy 165.722397 72.056792) (xy 165.635298 72.09287) (xy 165.55691 72.145247) (xy 165.490247 72.21191) + (xy 165.43787 72.290298) (xy 165.401792 72.377397) (xy 165.3834 72.469862) (xy 165.037903 72.469862) (xy 165.01613 72.417298) + (xy 164.963753 72.33891) (xy 164.89709 72.272247) (xy 164.818702 72.21987) (xy 164.731603 72.183792) (xy 164.639138 72.1654) + (xy 164.544862 72.1654) (xy 164.452397 72.183792) (xy 164.365298 72.21987) (xy 164.28691 72.272247) (xy 164.220247 72.33891) + (xy 164.16787 72.417298) (xy 164.131792 72.504397) (xy 164.1134 72.596862) (xy 163.823394 72.596862) (xy 163.820753 72.59291) + (xy 163.75409 72.526247) (xy 163.675702 72.47387) (xy 163.588603 72.437792) (xy 163.496138 72.4194) (xy 163.401862 72.4194) + (xy 163.309397 72.437792) (xy 163.222298 72.47387) (xy 163.14391 72.526247) (xy 163.077247 72.59291) (xy 163.02487 72.671298) + (xy 162.988792 72.758397) (xy 162.9704 72.850862) (xy 162.63765 72.850862) (xy 162.639208 72.847103) (xy 162.6576 72.754638) + (xy 162.6576 72.660362) (xy 162.639208 72.567897) (xy 162.60313 72.480798) (xy 162.550753 72.40241) (xy 162.48409 72.335747) + (xy 162.405702 72.28337) (xy 162.318603 72.247292) (xy 162.226138 72.2289) (xy 162.131862 72.2289) (xy 162.039397 72.247292) + (xy 161.952298 72.28337) (xy 161.87391 72.335747) (xy 161.807247 72.40241) (xy 161.75487 72.480798) (xy 161.718792 72.567897) + (xy 161.7004 72.660362) (xy 115.8176 72.660362) (xy 115.8176 72.645588) (xy 115.793444 72.524144) (xy 115.746059 72.409746) + (xy 115.677266 72.306791) (xy 115.589709 72.219234) (xy 115.486754 72.150441) (xy 115.372356 72.103056) (xy 115.250912 72.0789) + (xy 115.127088 72.0789) (xy 115.005644 72.103056) (xy 114.891246 72.150441) (xy 114.788291 72.219234) (xy 114.700734 72.306791) + (xy 114.631941 72.409746) (xy 114.584556 72.524144) (xy 114.5604 72.645588) (xy 103.5546 72.645588) (xy 103.5546 71.756588) + (xy 111.0679 71.756588) (xy 111.0679 71.880412) (xy 111.092056 72.001856) (xy 111.139441 72.116254) (xy 111.208234 72.219209) + (xy 111.295791 72.306766) (xy 111.398746 72.375559) (xy 111.513144 72.422944) (xy 111.634588 72.4471) (xy 111.758412 72.4471) + (xy 111.879856 72.422944) (xy 111.994254 72.375559) (xy 112.097209 72.306766) (xy 112.184766 72.219209) (xy 112.253559 72.116254) + (xy 112.300944 72.001856) (xy 112.3251 71.880412) (xy 112.3251 71.756588) (xy 113.4809 71.756588) (xy 113.4809 71.880412) + (xy 113.505056 72.001856) (xy 113.552441 72.116254) (xy 113.621234 72.219209) (xy 113.708791 72.306766) (xy 113.811746 72.375559) + (xy 113.926144 72.422944) (xy 114.047588 72.4471) (xy 114.171412 72.4471) (xy 114.292856 72.422944) (xy 114.407254 72.375559) + (xy 114.510209 72.306766) (xy 114.597766 72.219209) (xy 114.666559 72.116254) (xy 114.713944 72.001856) (xy 114.7381 71.880412) + (xy 114.7381 71.756588) (xy 114.713944 71.635144) (xy 114.69146 71.580862) (xy 128.5534 71.580862) (xy 128.5534 71.675138) + (xy 128.571792 71.767603) (xy 128.60787 71.854702) (xy 128.660247 71.93309) (xy 128.72691 71.999753) (xy 128.805298 72.05213) + (xy 128.892397 72.088208) (xy 128.984862 72.1066) (xy 129.079138 72.1066) (xy 129.171603 72.088208) (xy 129.258702 72.05213) + (xy 129.33709 71.999753) (xy 129.403753 71.93309) (xy 129.45613 71.854702) (xy 129.492208 71.767603) (xy 129.50409 71.707862) + (xy 130.3314 71.707862) (xy 130.3314 71.802138) (xy 130.349792 71.894603) (xy 130.38587 71.981702) (xy 130.438247 72.06009) + (xy 130.50491 72.126753) (xy 130.583298 72.17913) (xy 130.670397 72.215208) (xy 130.762862 72.2336) (xy 130.857138 72.2336) + (xy 130.949603 72.215208) (xy 131.036702 72.17913) (xy 131.11509 72.126753) (xy 131.181753 72.06009) (xy 131.23413 71.981702) + (xy 131.270208 71.894603) (xy 131.2886 71.802138) (xy 131.2886 71.707862) (xy 131.6014 71.707862) (xy 131.6014 71.802138) + (xy 131.619792 71.894603) (xy 131.65587 71.981702) (xy 131.708247 72.06009) (xy 131.77491 72.126753) (xy 131.853298 72.17913) + (xy 131.940397 72.215208) (xy 132.032862 72.2336) (xy 132.127138 72.2336) (xy 132.219603 72.215208) (xy 132.306702 72.17913) + (xy 132.38509 72.126753) (xy 132.451753 72.06009) (xy 132.50413 71.981702) (xy 132.540208 71.894603) (xy 132.5586 71.802138) + (xy 132.5586 71.707862) (xy 132.8714 71.707862) (xy 132.8714 71.802138) (xy 132.889792 71.894603) (xy 132.92587 71.981702) + (xy 132.978247 72.06009) (xy 133.04491 72.126753) (xy 133.123298 72.17913) (xy 133.210397 72.215208) (xy 133.302862 72.2336) + (xy 133.397138 72.2336) (xy 133.489603 72.215208) (xy 133.576702 72.17913) (xy 133.65509 72.126753) (xy 133.721753 72.06009) + (xy 133.77413 71.981702) (xy 133.810208 71.894603) (xy 133.8286 71.802138) (xy 133.8286 71.707862) (xy 134.1414 71.707862) + (xy 134.1414 71.802138) (xy 134.159792 71.894603) (xy 134.19587 71.981702) (xy 134.248247 72.06009) (xy 134.31491 72.126753) + (xy 134.393298 72.17913) (xy 134.480397 72.215208) (xy 134.572862 72.2336) (xy 134.667138 72.2336) (xy 134.759603 72.215208) + (xy 134.846702 72.17913) (xy 134.92509 72.126753) (xy 134.991753 72.06009) (xy 135.04413 71.981702) (xy 135.080208 71.894603) + (xy 135.0986 71.802138) (xy 135.0986 71.707862) (xy 135.4114 71.707862) (xy 135.4114 71.802138) (xy 135.429792 71.894603) + (xy 135.46587 71.981702) (xy 135.518247 72.06009) (xy 135.58491 72.126753) (xy 135.663298 72.17913) (xy 135.750397 72.215208) + (xy 135.842862 72.2336) (xy 135.937138 72.2336) (xy 136.029603 72.215208) (xy 136.116702 72.17913) (xy 136.19509 72.126753) + (xy 136.261753 72.06009) (xy 136.31413 71.981702) (xy 136.350208 71.894603) (xy 136.3686 71.802138) (xy 136.3686 71.707862) + (xy 136.6814 71.707862) (xy 136.6814 71.802138) (xy 136.699792 71.894603) (xy 136.73587 71.981702) (xy 136.788247 72.06009) + (xy 136.85491 72.126753) (xy 136.933298 72.17913) (xy 137.020397 72.215208) (xy 137.112862 72.2336) (xy 137.207138 72.2336) + (xy 137.299603 72.215208) (xy 137.386702 72.17913) (xy 137.46509 72.126753) (xy 137.531753 72.06009) (xy 137.58413 71.981702) + (xy 137.620208 71.894603) (xy 137.6386 71.802138) (xy 137.6386 71.707862) (xy 137.9514 71.707862) (xy 137.9514 71.802138) + (xy 137.969792 71.894603) (xy 138.00587 71.981702) (xy 138.058247 72.06009) (xy 138.12491 72.126753) (xy 138.203298 72.17913) + (xy 138.290397 72.215208) (xy 138.382862 72.2336) (xy 138.477138 72.2336) (xy 138.569603 72.215208) (xy 138.656702 72.17913) + (xy 138.73509 72.126753) (xy 138.801753 72.06009) (xy 138.85413 71.981702) (xy 138.890208 71.894603) (xy 138.9086 71.802138) + (xy 138.9086 71.707862) (xy 139.2214 71.707862) (xy 139.2214 71.802138) (xy 139.239792 71.894603) (xy 139.27587 71.981702) + (xy 139.328247 72.06009) (xy 139.39491 72.126753) (xy 139.473298 72.17913) (xy 139.560397 72.215208) (xy 139.652862 72.2336) + (xy 139.747138 72.2336) (xy 139.839603 72.215208) (xy 139.926702 72.17913) (xy 140.00509 72.126753) (xy 140.071753 72.06009) + (xy 140.12413 71.981702) (xy 140.160208 71.894603) (xy 140.1786 71.802138) (xy 140.1786 71.707862) (xy 140.160208 71.615397) + (xy 140.145903 71.580862) (xy 153.9534 71.580862) (xy 153.9534 71.675138) (xy 153.971792 71.767603) (xy 154.00787 71.854702) + (xy 154.060247 71.93309) (xy 154.12691 71.999753) (xy 154.205298 72.05213) (xy 154.292397 72.088208) (xy 154.384862 72.1066) + (xy 154.479138 72.1066) (xy 154.571603 72.088208) (xy 154.658702 72.05213) (xy 154.73709 71.999753) (xy 154.803753 71.93309) + (xy 154.85613 71.854702) (xy 154.892208 71.767603) (xy 154.90409 71.707862) (xy 155.7314 71.707862) (xy 155.7314 71.802138) + (xy 155.749792 71.894603) (xy 155.78587 71.981702) (xy 155.838247 72.06009) (xy 155.90491 72.126753) (xy 155.983298 72.17913) + (xy 156.070397 72.215208) (xy 156.162862 72.2336) (xy 156.257138 72.2336) (xy 156.349603 72.215208) (xy 156.436702 72.17913) + (xy 156.51509 72.126753) (xy 156.581753 72.06009) (xy 156.63413 71.981702) (xy 156.670208 71.894603) (xy 156.6886 71.802138) + (xy 156.6886 71.707862) (xy 157.0014 71.707862) (xy 157.0014 71.802138) (xy 157.019792 71.894603) (xy 157.05587 71.981702) + (xy 157.108247 72.06009) (xy 157.17491 72.126753) (xy 157.253298 72.17913) (xy 157.340397 72.215208) (xy 157.432862 72.2336) + (xy 157.527138 72.2336) (xy 157.619603 72.215208) (xy 157.706702 72.17913) (xy 157.78509 72.126753) (xy 157.851753 72.06009) + (xy 157.90413 71.981702) (xy 157.940208 71.894603) (xy 157.9586 71.802138) (xy 157.9586 71.707862) (xy 158.2714 71.707862) + (xy 158.2714 71.802138) (xy 158.289792 71.894603) (xy 158.32587 71.981702) (xy 158.378247 72.06009) (xy 158.44491 72.126753) + (xy 158.523298 72.17913) (xy 158.610397 72.215208) (xy 158.702862 72.2336) (xy 158.797138 72.2336) (xy 158.889603 72.215208) + (xy 158.976702 72.17913) (xy 159.05509 72.126753) (xy 159.121753 72.06009) (xy 159.17413 71.981702) (xy 159.210208 71.894603) + (xy 159.2286 71.802138) (xy 159.2286 71.707862) (xy 159.5414 71.707862) (xy 159.5414 71.802138) (xy 159.559792 71.894603) + (xy 159.59587 71.981702) (xy 159.648247 72.06009) (xy 159.71491 72.126753) (xy 159.793298 72.17913) (xy 159.880397 72.215208) + (xy 159.972862 72.2336) (xy 160.067138 72.2336) (xy 160.159603 72.215208) (xy 160.246702 72.17913) (xy 160.32509 72.126753) + (xy 160.391753 72.06009) (xy 160.44413 71.981702) (xy 160.480208 71.894603) (xy 160.4986 71.802138) (xy 160.4986 71.707862) + (xy 160.8114 71.707862) (xy 160.8114 71.802138) (xy 160.829792 71.894603) (xy 160.86587 71.981702) (xy 160.918247 72.06009) + (xy 160.98491 72.126753) (xy 161.063298 72.17913) (xy 161.150397 72.215208) (xy 161.242862 72.2336) (xy 161.337138 72.2336) + (xy 161.429603 72.215208) (xy 161.516702 72.17913) (xy 161.59509 72.126753) (xy 161.661753 72.06009) (xy 161.71413 71.981702) + (xy 161.750208 71.894603) (xy 161.7686 71.802138) (xy 161.7686 71.707862) (xy 162.1449 71.707862) (xy 162.1449 71.802138) + (xy 162.163292 71.894603) (xy 162.19937 71.981702) (xy 162.251747 72.06009) (xy 162.31841 72.126753) (xy 162.396798 72.17913) + (xy 162.483897 72.215208) (xy 162.576362 72.2336) (xy 162.670638 72.2336) (xy 162.763103 72.215208) (xy 162.850202 72.17913) + (xy 162.92859 72.126753) (xy 162.995253 72.06009) (xy 163.04763 71.981702) (xy 163.083708 71.894603) (xy 163.1021 71.802138) + (xy 163.1021 71.707862) (xy 163.3514 71.707862) (xy 163.3514 71.802138) (xy 163.369792 71.894603) (xy 163.40587 71.981702) + (xy 163.458247 72.06009) (xy 163.52491 72.126753) (xy 163.603298 72.17913) (xy 163.690397 72.215208) (xy 163.782862 72.2336) + (xy 163.877138 72.2336) (xy 163.969603 72.215208) (xy 164.056702 72.17913) (xy 164.13509 72.126753) (xy 164.201753 72.06009) + (xy 164.25413 71.981702) (xy 164.290208 71.894603) (xy 164.3086 71.802138) (xy 164.3086 71.707862) (xy 164.6214 71.707862) + (xy 164.6214 71.802138) (xy 164.639792 71.894603) (xy 164.67587 71.981702) (xy 164.728247 72.06009) (xy 164.79491 72.126753) + (xy 164.873298 72.17913) (xy 164.960397 72.215208) (xy 165.052862 72.2336) (xy 165.147138 72.2336) (xy 165.239603 72.215208) + (xy 165.326702 72.17913) (xy 165.40509 72.126753) (xy 165.471753 72.06009) (xy 165.52413 71.981702) (xy 165.560208 71.894603) + (xy 165.5786 71.802138) (xy 165.5786 71.707862) (xy 165.577606 71.702862) (xy 172.9214 71.702862) (xy 172.9214 71.797138) + (xy 172.939792 71.889603) (xy 172.97587 71.976702) (xy 173.028247 72.05509) (xy 173.09491 72.121753) (xy 173.173298 72.17413) + (xy 173.260397 72.210208) (xy 173.352862 72.2286) (xy 173.447138 72.2286) (xy 173.539603 72.210208) (xy 173.626702 72.17413) + (xy 173.70509 72.121753) (xy 173.771753 72.05509) (xy 173.82413 71.976702) (xy 173.860208 71.889603) (xy 173.8786 71.797138) + (xy 173.8786 71.702862) (xy 177.2714 71.702862) (xy 177.2714 71.797138) (xy 177.289792 71.889603) (xy 177.32587 71.976702) + (xy 177.378247 72.05509) (xy 177.44491 72.121753) (xy 177.523298 72.17413) (xy 177.610397 72.210208) (xy 177.702862 72.2286) + (xy 177.797138 72.2286) (xy 177.889603 72.210208) (xy 177.976702 72.17413) (xy 178.05509 72.121753) (xy 178.121753 72.05509) + (xy 178.17413 71.976702) (xy 178.210208 71.889603) (xy 178.2286 71.797138) (xy 178.2286 71.702862) (xy 191.6714 71.702862) + (xy 191.6714 71.797138) (xy 191.689792 71.889603) (xy 191.72587 71.976702) (xy 191.778247 72.05509) (xy 191.84491 72.121753) + (xy 191.923298 72.17413) (xy 192.010397 72.210208) (xy 192.102862 72.2286) (xy 192.197138 72.2286) (xy 192.289603 72.210208) + (xy 192.376702 72.17413) (xy 192.45509 72.121753) (xy 192.521753 72.05509) (xy 192.57413 71.976702) (xy 192.610208 71.889603) + (xy 192.621096 71.834862) (xy 196.3079 71.834862) (xy 196.3079 71.929138) (xy 196.326292 72.021603) (xy 196.36237 72.108702) + (xy 196.414747 72.18709) (xy 196.48141 72.253753) (xy 196.559798 72.30613) (xy 196.646897 72.342208) (xy 196.739362 72.3606) + (xy 196.833638 72.3606) (xy 196.926103 72.342208) (xy 197.013202 72.30613) (xy 197.09159 72.253753) (xy 197.158253 72.18709) + (xy 197.21063 72.108702) (xy 197.246708 72.021603) (xy 197.2651 71.929138) (xy 197.2651 71.834862) (xy 197.246708 71.742397) + (xy 197.21063 71.655298) (xy 197.158253 71.57691) (xy 197.09159 71.510247) (xy 197.013202 71.45787) (xy 196.926103 71.421792) + (xy 196.833638 71.4034) (xy 196.739362 71.4034) (xy 196.646897 71.421792) (xy 196.559798 71.45787) (xy 196.48141 71.510247) + (xy 196.414747 71.57691) (xy 196.36237 71.655298) (xy 196.326292 71.742397) (xy 196.3079 71.834862) (xy 192.621096 71.834862) + (xy 192.6286 71.797138) (xy 192.6286 71.702862) (xy 192.610208 71.610397) (xy 192.57413 71.523298) (xy 192.521753 71.44491) + (xy 192.45509 71.378247) (xy 192.376702 71.32587) (xy 192.289603 71.289792) (xy 192.197138 71.2714) (xy 192.102862 71.2714) + (xy 192.010397 71.289792) (xy 191.923298 71.32587) (xy 191.84491 71.378247) (xy 191.778247 71.44491) (xy 191.72587 71.523298) + (xy 191.689792 71.610397) (xy 191.6714 71.702862) (xy 178.2286 71.702862) (xy 178.210208 71.610397) (xy 178.17413 71.523298) + (xy 178.121753 71.44491) (xy 178.05509 71.378247) (xy 177.976702 71.32587) (xy 177.889603 71.289792) (xy 177.797138 71.2714) + (xy 177.702862 71.2714) (xy 177.610397 71.289792) (xy 177.523298 71.32587) (xy 177.44491 71.378247) (xy 177.378247 71.44491) + (xy 177.32587 71.523298) (xy 177.289792 71.610397) (xy 177.2714 71.702862) (xy 173.8786 71.702862) (xy 173.860208 71.610397) + (xy 173.82413 71.523298) (xy 173.771753 71.44491) (xy 173.70509 71.378247) (xy 173.626702 71.32587) (xy 173.539603 71.289792) + (xy 173.447138 71.2714) (xy 173.352862 71.2714) (xy 173.260397 71.289792) (xy 173.173298 71.32587) (xy 173.09491 71.378247) + (xy 173.028247 71.44491) (xy 172.97587 71.523298) (xy 172.939792 71.610397) (xy 172.9214 71.702862) (xy 165.577606 71.702862) + (xy 165.560208 71.615397) (xy 165.52413 71.528298) (xy 165.471753 71.44991) (xy 165.40509 71.383247) (xy 165.326702 71.33087) + (xy 165.239603 71.294792) (xy 165.147138 71.2764) (xy 165.052862 71.2764) (xy 164.960397 71.294792) (xy 164.873298 71.33087) + (xy 164.79491 71.383247) (xy 164.728247 71.44991) (xy 164.67587 71.528298) (xy 164.639792 71.615397) (xy 164.6214 71.707862) + (xy 164.3086 71.707862) (xy 164.290208 71.615397) (xy 164.25413 71.528298) (xy 164.201753 71.44991) (xy 164.13509 71.383247) + (xy 164.056702 71.33087) (xy 163.969603 71.294792) (xy 163.877138 71.2764) (xy 163.782862 71.2764) (xy 163.690397 71.294792) + (xy 163.603298 71.33087) (xy 163.52491 71.383247) (xy 163.458247 71.44991) (xy 163.40587 71.528298) (xy 163.369792 71.615397) + (xy 163.3514 71.707862) (xy 163.1021 71.707862) (xy 163.083708 71.615397) (xy 163.04763 71.528298) (xy 162.995253 71.44991) + (xy 162.92859 71.383247) (xy 162.850202 71.33087) (xy 162.763103 71.294792) (xy 162.670638 71.2764) (xy 162.576362 71.2764) + (xy 162.483897 71.294792) (xy 162.396798 71.33087) (xy 162.31841 71.383247) (xy 162.251747 71.44991) (xy 162.19937 71.528298) + (xy 162.163292 71.615397) (xy 162.1449 71.707862) (xy 161.7686 71.707862) (xy 161.750208 71.615397) (xy 161.71413 71.528298) + (xy 161.661753 71.44991) (xy 161.59509 71.383247) (xy 161.516702 71.33087) (xy 161.429603 71.294792) (xy 161.337138 71.2764) + (xy 161.242862 71.2764) (xy 161.150397 71.294792) (xy 161.063298 71.33087) (xy 160.98491 71.383247) (xy 160.918247 71.44991) + (xy 160.86587 71.528298) (xy 160.829792 71.615397) (xy 160.8114 71.707862) (xy 160.4986 71.707862) (xy 160.480208 71.615397) + (xy 160.44413 71.528298) (xy 160.391753 71.44991) (xy 160.32509 71.383247) (xy 160.246702 71.33087) (xy 160.159603 71.294792) + (xy 160.067138 71.2764) (xy 159.972862 71.2764) (xy 159.880397 71.294792) (xy 159.793298 71.33087) (xy 159.71491 71.383247) + (xy 159.648247 71.44991) (xy 159.59587 71.528298) (xy 159.559792 71.615397) (xy 159.5414 71.707862) (xy 159.2286 71.707862) + (xy 159.210208 71.615397) (xy 159.17413 71.528298) (xy 159.121753 71.44991) (xy 159.05509 71.383247) (xy 158.976702 71.33087) + (xy 158.889603 71.294792) (xy 158.797138 71.2764) (xy 158.702862 71.2764) (xy 158.610397 71.294792) (xy 158.523298 71.33087) + (xy 158.44491 71.383247) (xy 158.378247 71.44991) (xy 158.32587 71.528298) (xy 158.289792 71.615397) (xy 158.2714 71.707862) + (xy 157.9586 71.707862) (xy 157.940208 71.615397) (xy 157.90413 71.528298) (xy 157.851753 71.44991) (xy 157.78509 71.383247) + (xy 157.706702 71.33087) (xy 157.619603 71.294792) (xy 157.527138 71.2764) (xy 157.432862 71.2764) (xy 157.340397 71.294792) + (xy 157.253298 71.33087) (xy 157.17491 71.383247) (xy 157.108247 71.44991) (xy 157.05587 71.528298) (xy 157.019792 71.615397) + (xy 157.0014 71.707862) (xy 156.6886 71.707862) (xy 156.670208 71.615397) (xy 156.63413 71.528298) (xy 156.581753 71.44991) + (xy 156.51509 71.383247) (xy 156.436702 71.33087) (xy 156.349603 71.294792) (xy 156.257138 71.2764) (xy 156.162862 71.2764) + (xy 156.070397 71.294792) (xy 155.983298 71.33087) (xy 155.90491 71.383247) (xy 155.838247 71.44991) (xy 155.78587 71.528298) + (xy 155.749792 71.615397) (xy 155.7314 71.707862) (xy 154.90409 71.707862) (xy 154.9106 71.675138) (xy 154.9106 71.580862) + (xy 154.892208 71.488397) (xy 154.85613 71.401298) (xy 154.803753 71.32291) (xy 154.73709 71.256247) (xy 154.658702 71.20387) + (xy 154.571603 71.167792) (xy 154.479138 71.1494) (xy 154.384862 71.1494) (xy 154.292397 71.167792) (xy 154.205298 71.20387) + (xy 154.12691 71.256247) (xy 154.060247 71.32291) (xy 154.00787 71.401298) (xy 153.971792 71.488397) (xy 153.9534 71.580862) + (xy 140.145903 71.580862) (xy 140.12413 71.528298) (xy 140.071753 71.44991) (xy 140.00509 71.383247) (xy 139.926702 71.33087) + (xy 139.839603 71.294792) (xy 139.747138 71.2764) (xy 139.652862 71.2764) (xy 139.560397 71.294792) (xy 139.473298 71.33087) + (xy 139.39491 71.383247) (xy 139.328247 71.44991) (xy 139.27587 71.528298) (xy 139.239792 71.615397) (xy 139.2214 71.707862) + (xy 138.9086 71.707862) (xy 138.890208 71.615397) (xy 138.85413 71.528298) (xy 138.801753 71.44991) (xy 138.73509 71.383247) + (xy 138.656702 71.33087) (xy 138.569603 71.294792) (xy 138.477138 71.2764) (xy 138.382862 71.2764) (xy 138.290397 71.294792) + (xy 138.203298 71.33087) (xy 138.12491 71.383247) (xy 138.058247 71.44991) (xy 138.00587 71.528298) (xy 137.969792 71.615397) + (xy 137.9514 71.707862) (xy 137.6386 71.707862) (xy 137.620208 71.615397) (xy 137.58413 71.528298) (xy 137.531753 71.44991) + (xy 137.46509 71.383247) (xy 137.386702 71.33087) (xy 137.299603 71.294792) (xy 137.207138 71.2764) (xy 137.112862 71.2764) + (xy 137.020397 71.294792) (xy 136.933298 71.33087) (xy 136.85491 71.383247) (xy 136.788247 71.44991) (xy 136.73587 71.528298) + (xy 136.699792 71.615397) (xy 136.6814 71.707862) (xy 136.3686 71.707862) (xy 136.350208 71.615397) (xy 136.31413 71.528298) + (xy 136.261753 71.44991) (xy 136.19509 71.383247) (xy 136.116702 71.33087) (xy 136.029603 71.294792) (xy 135.937138 71.2764) + (xy 135.842862 71.2764) (xy 135.750397 71.294792) (xy 135.663298 71.33087) (xy 135.58491 71.383247) (xy 135.518247 71.44991) + (xy 135.46587 71.528298) (xy 135.429792 71.615397) (xy 135.4114 71.707862) (xy 135.0986 71.707862) (xy 135.080208 71.615397) + (xy 135.04413 71.528298) (xy 134.991753 71.44991) (xy 134.92509 71.383247) (xy 134.846702 71.33087) (xy 134.759603 71.294792) + (xy 134.667138 71.2764) (xy 134.572862 71.2764) (xy 134.480397 71.294792) (xy 134.393298 71.33087) (xy 134.31491 71.383247) + (xy 134.248247 71.44991) (xy 134.19587 71.528298) (xy 134.159792 71.615397) (xy 134.1414 71.707862) (xy 133.8286 71.707862) + (xy 133.810208 71.615397) (xy 133.77413 71.528298) (xy 133.721753 71.44991) (xy 133.65509 71.383247) (xy 133.576702 71.33087) + (xy 133.489603 71.294792) (xy 133.397138 71.2764) (xy 133.302862 71.2764) (xy 133.210397 71.294792) (xy 133.123298 71.33087) + (xy 133.04491 71.383247) (xy 132.978247 71.44991) (xy 132.92587 71.528298) (xy 132.889792 71.615397) (xy 132.8714 71.707862) + (xy 132.5586 71.707862) (xy 132.540208 71.615397) (xy 132.50413 71.528298) (xy 132.451753 71.44991) (xy 132.38509 71.383247) + (xy 132.306702 71.33087) (xy 132.219603 71.294792) (xy 132.127138 71.2764) (xy 132.032862 71.2764) (xy 131.940397 71.294792) + (xy 131.853298 71.33087) (xy 131.77491 71.383247) (xy 131.708247 71.44991) (xy 131.65587 71.528298) (xy 131.619792 71.615397) + (xy 131.6014 71.707862) (xy 131.2886 71.707862) (xy 131.270208 71.615397) (xy 131.23413 71.528298) (xy 131.181753 71.44991) + (xy 131.11509 71.383247) (xy 131.036702 71.33087) (xy 130.949603 71.294792) (xy 130.857138 71.2764) (xy 130.762862 71.2764) + (xy 130.670397 71.294792) (xy 130.583298 71.33087) (xy 130.50491 71.383247) (xy 130.438247 71.44991) (xy 130.38587 71.528298) + (xy 130.349792 71.615397) (xy 130.3314 71.707862) (xy 129.50409 71.707862) (xy 129.5106 71.675138) (xy 129.5106 71.580862) + (xy 129.492208 71.488397) (xy 129.45613 71.401298) (xy 129.403753 71.32291) (xy 129.33709 71.256247) (xy 129.258702 71.20387) + (xy 129.171603 71.167792) (xy 129.079138 71.1494) (xy 128.984862 71.1494) (xy 128.892397 71.167792) (xy 128.805298 71.20387) + (xy 128.72691 71.256247) (xy 128.660247 71.32291) (xy 128.60787 71.401298) (xy 128.571792 71.488397) (xy 128.5534 71.580862) + (xy 114.69146 71.580862) (xy 114.666559 71.520746) (xy 114.597766 71.417791) (xy 114.510209 71.330234) (xy 114.407254 71.261441) + (xy 114.292856 71.214056) (xy 114.171412 71.1899) (xy 114.047588 71.1899) (xy 113.926144 71.214056) (xy 113.811746 71.261441) + (xy 113.708791 71.330234) (xy 113.621234 71.417791) (xy 113.552441 71.520746) (xy 113.505056 71.635144) (xy 113.4809 71.756588) + (xy 112.3251 71.756588) (xy 112.300944 71.635144) (xy 112.253559 71.520746) (xy 112.184766 71.417791) (xy 112.097209 71.330234) + (xy 111.994254 71.261441) (xy 111.879856 71.214056) (xy 111.758412 71.1899) (xy 111.634588 71.1899) (xy 111.513144 71.214056) + (xy 111.398746 71.261441) (xy 111.295791 71.330234) (xy 111.208234 71.417791) (xy 111.139441 71.520746) (xy 111.092056 71.635144) + (xy 111.0679 71.756588) (xy 103.5546 71.756588) (xy 103.5546 69.802862) (xy 103.5979 69.802862) (xy 103.5979 69.897138) + (xy 103.616292 69.989603) (xy 103.65237 70.076702) (xy 103.704747 70.15509) (xy 103.77141 70.221753) (xy 103.849798 70.27413) + (xy 103.936897 70.310208) (xy 104.029362 70.3286) (xy 104.123638 70.3286) (xy 104.216103 70.310208) (xy 104.303202 70.27413) + (xy 104.38159 70.221753) (xy 104.400909 70.202434) (xy 114.0594 70.202434) (xy 114.0594 70.397566) (xy 114.097468 70.588947) + (xy 114.172142 70.769225) (xy 114.280551 70.931471) (xy 114.418529 71.069449) (xy 114.580775 71.177858) (xy 114.761053 71.252532) + (xy 114.952434 71.2906) (xy 115.147566 71.2906) (xy 115.338947 71.252532) (xy 115.519225 71.177858) (xy 115.681471 71.069449) + (xy 115.748058 71.002862) (xy 127.1214 71.002862) (xy 127.1214 71.097138) (xy 127.139792 71.189603) (xy 127.17587 71.276702) + (xy 127.228247 71.35509) (xy 127.29491 71.421753) (xy 127.373298 71.47413) (xy 127.460397 71.510208) (xy 127.552862 71.5286) + (xy 127.647138 71.5286) (xy 127.739603 71.510208) (xy 127.826702 71.47413) (xy 127.90509 71.421753) (xy 127.971753 71.35509) + (xy 128.02413 71.276702) (xy 128.060208 71.189603) (xy 128.0786 71.097138) (xy 128.0786 71.002862) (xy 128.060208 70.910397) + (xy 128.02413 70.823298) (xy 127.971753 70.74491) (xy 127.90509 70.678247) (xy 127.830432 70.628362) (xy 146.2064 70.628362) + (xy 146.2064 70.722638) (xy 146.224792 70.815103) (xy 146.26087 70.902202) (xy 146.313247 70.98059) (xy 146.37991 71.047253) + (xy 146.458298 71.09963) (xy 146.545397 71.135708) (xy 146.637862 71.1541) (xy 146.732138 71.1541) (xy 146.824603 71.135708) + (xy 146.911702 71.09963) (xy 146.981695 71.052862) (xy 173.7214 71.052862) (xy 173.7214 71.147138) (xy 173.739792 71.239603) + (xy 173.77587 71.326702) (xy 173.828247 71.40509) (xy 173.89491 71.471753) (xy 173.973298 71.52413) (xy 174.060397 71.560208) + (xy 174.152862 71.5786) (xy 174.247138 71.5786) (xy 174.339603 71.560208) (xy 174.426702 71.52413) (xy 174.50509 71.471753) + (xy 174.571753 71.40509) (xy 174.62413 71.326702) (xy 174.660208 71.239603) (xy 174.6786 71.147138) (xy 174.6786 71.052862) + (xy 178.0714 71.052862) (xy 178.0714 71.147138) (xy 178.089792 71.239603) (xy 178.12587 71.326702) (xy 178.178247 71.40509) + (xy 178.24491 71.471753) (xy 178.323298 71.52413) (xy 178.410397 71.560208) (xy 178.502862 71.5786) (xy 178.597138 71.5786) + (xy 178.689603 71.560208) (xy 178.776702 71.52413) (xy 178.85509 71.471753) (xy 178.921753 71.40509) (xy 178.97413 71.326702) + (xy 179.010208 71.239603) (xy 179.0286 71.147138) (xy 179.0286 71.052862) (xy 192.4714 71.052862) (xy 192.4714 71.147138) + (xy 192.489792 71.239603) (xy 192.52587 71.326702) (xy 192.578247 71.40509) (xy 192.64491 71.471753) (xy 192.723298 71.52413) + (xy 192.810397 71.560208) (xy 192.902862 71.5786) (xy 192.997138 71.5786) (xy 193.089603 71.560208) (xy 193.176702 71.52413) + (xy 193.25509 71.471753) (xy 193.321753 71.40509) (xy 193.37413 71.326702) (xy 193.410208 71.239603) (xy 193.4286 71.147138) + (xy 193.4286 71.052862) (xy 193.410208 70.960397) (xy 193.37413 70.873298) (xy 193.321753 70.79491) (xy 193.25509 70.728247) + (xy 193.176702 70.67587) (xy 193.089603 70.639792) (xy 192.997138 70.6214) (xy 192.902862 70.6214) (xy 192.810397 70.639792) + (xy 192.723298 70.67587) (xy 192.64491 70.728247) (xy 192.578247 70.79491) (xy 192.52587 70.873298) (xy 192.489792 70.960397) + (xy 192.4714 71.052862) (xy 179.0286 71.052862) (xy 179.010208 70.960397) (xy 178.97413 70.873298) (xy 178.921753 70.79491) + (xy 178.85509 70.728247) (xy 178.776702 70.67587) (xy 178.689603 70.639792) (xy 178.597138 70.6214) (xy 178.502862 70.6214) + (xy 178.410397 70.639792) (xy 178.323298 70.67587) (xy 178.24491 70.728247) (xy 178.178247 70.79491) (xy 178.12587 70.873298) + (xy 178.089792 70.960397) (xy 178.0714 71.052862) (xy 174.6786 71.052862) (xy 174.660208 70.960397) (xy 174.62413 70.873298) + (xy 174.571753 70.79491) (xy 174.50509 70.728247) (xy 174.426702 70.67587) (xy 174.339603 70.639792) (xy 174.247138 70.6214) + (xy 174.152862 70.6214) (xy 174.060397 70.639792) (xy 173.973298 70.67587) (xy 173.89491 70.728247) (xy 173.828247 70.79491) + (xy 173.77587 70.873298) (xy 173.739792 70.960397) (xy 173.7214 71.052862) (xy 146.981695 71.052862) (xy 146.99009 71.047253) + (xy 147.056753 70.98059) (xy 147.10913 70.902202) (xy 147.145208 70.815103) (xy 147.1636 70.722638) (xy 147.1636 70.628362) + (xy 147.145208 70.535897) (xy 147.10913 70.448798) (xy 147.078437 70.402862) (xy 172.9214 70.402862) (xy 172.9214 70.497138) + (xy 172.939792 70.589603) (xy 172.97587 70.676702) (xy 173.028247 70.75509) (xy 173.09491 70.821753) (xy 173.173298 70.87413) + (xy 173.260397 70.910208) (xy 173.352862 70.9286) (xy 173.447138 70.9286) (xy 173.539603 70.910208) (xy 173.626702 70.87413) + (xy 173.70509 70.821753) (xy 173.771753 70.75509) (xy 173.82413 70.676702) (xy 173.860208 70.589603) (xy 173.8786 70.497138) + (xy 173.8786 70.402862) (xy 177.2714 70.402862) (xy 177.2714 70.497138) (xy 177.289792 70.589603) (xy 177.32587 70.676702) + (xy 177.378247 70.75509) (xy 177.44491 70.821753) (xy 177.523298 70.87413) (xy 177.610397 70.910208) (xy 177.702862 70.9286) + (xy 177.797138 70.9286) (xy 177.889603 70.910208) (xy 177.976702 70.87413) (xy 178.05509 70.821753) (xy 178.121753 70.75509) + (xy 178.17413 70.676702) (xy 178.210208 70.589603) (xy 178.2286 70.497138) (xy 178.2286 70.402862) (xy 191.6714 70.402862) + (xy 191.6714 70.497138) (xy 191.689792 70.589603) (xy 191.72587 70.676702) (xy 191.778247 70.75509) (xy 191.84491 70.821753) + (xy 191.923298 70.87413) (xy 192.010397 70.910208) (xy 192.102862 70.9286) (xy 192.197138 70.9286) (xy 192.289603 70.910208) + (xy 192.376702 70.87413) (xy 192.45509 70.821753) (xy 192.521753 70.75509) (xy 192.57413 70.676702) (xy 192.610208 70.589603) + (xy 192.617516 70.552862) (xy 194.3714 70.552862) (xy 194.3714 70.647138) (xy 194.389792 70.739603) (xy 194.42587 70.826702) + (xy 194.478247 70.90509) (xy 194.54491 70.971753) (xy 194.623298 71.02413) (xy 194.710397 71.060208) (xy 194.802862 71.0786) + (xy 194.897138 71.0786) (xy 194.989603 71.060208) (xy 195.076702 71.02413) (xy 195.081155 71.021154) (xy 199.6564 71.021154) + (xy 199.6564 71.218846) (xy 199.694968 71.412739) (xy 199.770621 71.595383) (xy 199.880453 71.759758) (xy 200.020242 71.899547) + (xy 200.184617 72.009379) (xy 200.367261 72.085032) (xy 200.561154 72.1236) (xy 200.758846 72.1236) (xy 200.952739 72.085032) + (xy 201.135383 72.009379) (xy 201.299758 71.899547) (xy 201.439547 71.759758) (xy 201.549379 71.595383) (xy 201.625032 71.412739) + (xy 201.6636 71.218846) (xy 201.6636 71.021154) (xy 202.1964 71.021154) (xy 202.1964 71.218846) (xy 202.234968 71.412739) + (xy 202.310621 71.595383) (xy 202.420453 71.759758) (xy 202.560242 71.899547) (xy 202.724617 72.009379) (xy 202.907261 72.085032) + (xy 203.101154 72.1236) (xy 203.298846 72.1236) (xy 203.492739 72.085032) (xy 203.675383 72.009379) (xy 203.839758 71.899547) + (xy 203.979547 71.759758) (xy 204.089379 71.595383) (xy 204.165032 71.412739) (xy 204.2036 71.218846) (xy 204.2036 71.021154) + (xy 204.7364 71.021154) (xy 204.7364 71.218846) (xy 204.774968 71.412739) (xy 204.850621 71.595383) (xy 204.960453 71.759758) + (xy 205.100242 71.899547) (xy 205.264617 72.009379) (xy 205.447261 72.085032) (xy 205.641154 72.1236) (xy 205.838846 72.1236) + (xy 206.032739 72.085032) (xy 206.215383 72.009379) (xy 206.379758 71.899547) (xy 206.519547 71.759758) (xy 206.629379 71.595383) + (xy 206.705032 71.412739) (xy 206.7436 71.218846) (xy 206.7436 71.021154) (xy 206.705032 70.827261) (xy 206.629379 70.644617) + (xy 206.519547 70.480242) (xy 206.379758 70.340453) (xy 206.215383 70.230621) (xy 206.032739 70.154968) (xy 205.838846 70.1164) + (xy 205.641154 70.1164) (xy 205.447261 70.154968) (xy 205.264617 70.230621) (xy 205.100242 70.340453) (xy 204.960453 70.480242) + (xy 204.850621 70.644617) (xy 204.774968 70.827261) (xy 204.7364 71.021154) (xy 204.2036 71.021154) (xy 204.165032 70.827261) + (xy 204.089379 70.644617) (xy 203.979547 70.480242) (xy 203.839758 70.340453) (xy 203.675383 70.230621) (xy 203.492739 70.154968) + (xy 203.298846 70.1164) (xy 203.101154 70.1164) (xy 202.907261 70.154968) (xy 202.724617 70.230621) (xy 202.560242 70.340453) + (xy 202.420453 70.480242) (xy 202.310621 70.644617) (xy 202.234968 70.827261) (xy 202.1964 71.021154) (xy 201.6636 71.021154) + (xy 201.625032 70.827261) (xy 201.549379 70.644617) (xy 201.439547 70.480242) (xy 201.299758 70.340453) (xy 201.135383 70.230621) + (xy 200.952739 70.154968) (xy 200.758846 70.1164) (xy 200.561154 70.1164) (xy 200.367261 70.154968) (xy 200.184617 70.230621) + (xy 200.020242 70.340453) (xy 199.880453 70.480242) (xy 199.770621 70.644617) (xy 199.694968 70.827261) (xy 199.6564 71.021154) + (xy 195.081155 71.021154) (xy 195.15509 70.971753) (xy 195.221753 70.90509) (xy 195.27413 70.826702) (xy 195.310208 70.739603) + (xy 195.3286 70.647138) (xy 195.3286 70.552862) (xy 195.310208 70.460397) (xy 195.27413 70.373298) (xy 195.221753 70.29491) + (xy 195.15509 70.228247) (xy 195.076702 70.17587) (xy 194.989603 70.139792) (xy 194.897138 70.1214) (xy 194.802862 70.1214) + (xy 194.710397 70.139792) (xy 194.623298 70.17587) (xy 194.54491 70.228247) (xy 194.478247 70.29491) (xy 194.42587 70.373298) + (xy 194.389792 70.460397) (xy 194.3714 70.552862) (xy 192.617516 70.552862) (xy 192.6286 70.497138) (xy 192.6286 70.402862) + (xy 192.610208 70.310397) (xy 192.57413 70.223298) (xy 192.521753 70.14491) (xy 192.45509 70.078247) (xy 192.376702 70.02587) + (xy 192.289603 69.989792) (xy 192.197138 69.9714) (xy 192.102862 69.9714) (xy 192.010397 69.989792) (xy 191.923298 70.02587) + (xy 191.84491 70.078247) (xy 191.778247 70.14491) (xy 191.72587 70.223298) (xy 191.689792 70.310397) (xy 191.6714 70.402862) + (xy 178.2286 70.402862) (xy 178.210208 70.310397) (xy 178.17413 70.223298) (xy 178.121753 70.14491) (xy 178.05509 70.078247) + (xy 177.976702 70.02587) (xy 177.889603 69.989792) (xy 177.797138 69.9714) (xy 177.702862 69.9714) (xy 177.610397 69.989792) + (xy 177.523298 70.02587) (xy 177.44491 70.078247) (xy 177.378247 70.14491) (xy 177.32587 70.223298) (xy 177.289792 70.310397) + (xy 177.2714 70.402862) (xy 173.8786 70.402862) (xy 173.860208 70.310397) (xy 173.82413 70.223298) (xy 173.771753 70.14491) + (xy 173.70509 70.078247) (xy 173.626702 70.02587) (xy 173.539603 69.989792) (xy 173.447138 69.9714) (xy 173.352862 69.9714) + (xy 173.260397 69.989792) (xy 173.173298 70.02587) (xy 173.09491 70.078247) (xy 173.028247 70.14491) (xy 172.97587 70.223298) + (xy 172.939792 70.310397) (xy 172.9214 70.402862) (xy 147.078437 70.402862) (xy 147.056753 70.37041) (xy 146.99009 70.303747) + (xy 146.911702 70.25137) (xy 146.824603 70.215292) (xy 146.732138 70.1969) (xy 146.637862 70.1969) (xy 146.545397 70.215292) + (xy 146.458298 70.25137) (xy 146.37991 70.303747) (xy 146.313247 70.37041) (xy 146.26087 70.448798) (xy 146.224792 70.535897) + (xy 146.2064 70.628362) (xy 127.830432 70.628362) (xy 127.826702 70.62587) (xy 127.739603 70.589792) (xy 127.647138 70.5714) + (xy 127.552862 70.5714) (xy 127.460397 70.589792) (xy 127.373298 70.62587) (xy 127.29491 70.678247) (xy 127.228247 70.74491) + (xy 127.17587 70.823298) (xy 127.139792 70.910397) (xy 127.1214 71.002862) (xy 115.748058 71.002862) (xy 115.819449 70.931471) + (xy 115.927858 70.769225) (xy 116.002532 70.588947) (xy 116.0406 70.397566) (xy 116.0406 70.202434) (xy 116.002532 70.011053) + (xy 115.927858 69.830775) (xy 115.819449 69.668529) (xy 115.681471 69.530551) (xy 115.519225 69.422142) (xy 115.365248 69.358362) + (xy 131.6014 69.358362) (xy 131.6014 69.452638) (xy 131.619792 69.545103) (xy 131.65587 69.632202) (xy 131.708247 69.71059) + (xy 131.77491 69.777253) (xy 131.853298 69.82963) (xy 131.940397 69.865708) (xy 132.032862 69.8841) (xy 132.127138 69.8841) + (xy 132.219603 69.865708) (xy 132.306702 69.82963) (xy 132.38509 69.777253) (xy 132.451753 69.71059) (xy 132.50413 69.632202) + (xy 132.540208 69.545103) (xy 132.5586 69.452638) (xy 132.5586 69.358362) (xy 134.1414 69.358362) (xy 134.1414 69.452638) + (xy 134.159792 69.545103) (xy 134.19587 69.632202) (xy 134.248247 69.71059) (xy 134.31491 69.777253) (xy 134.393298 69.82963) + (xy 134.480397 69.865708) (xy 134.572862 69.8841) (xy 134.667138 69.8841) (xy 134.759603 69.865708) (xy 134.846702 69.82963) + (xy 134.92509 69.777253) (xy 134.991753 69.71059) (xy 135.04413 69.632202) (xy 135.080208 69.545103) (xy 135.0986 69.452638) + (xy 135.0986 69.358362) (xy 135.4114 69.358362) (xy 135.4114 69.452638) (xy 135.429792 69.545103) (xy 135.46587 69.632202) + (xy 135.518247 69.71059) (xy 135.58491 69.777253) (xy 135.663298 69.82963) (xy 135.750397 69.865708) (xy 135.842862 69.8841) + (xy 135.937138 69.8841) (xy 136.029603 69.865708) (xy 136.116702 69.82963) (xy 136.19509 69.777253) (xy 136.261753 69.71059) + (xy 136.31413 69.632202) (xy 136.350208 69.545103) (xy 136.3686 69.452638) (xy 136.3686 69.358362) (xy 136.6814 69.358362) + (xy 136.6814 69.452638) (xy 136.699792 69.545103) (xy 136.73587 69.632202) (xy 136.788247 69.71059) (xy 136.85491 69.777253) + (xy 136.933298 69.82963) (xy 137.020397 69.865708) (xy 137.112862 69.8841) (xy 137.207138 69.8841) (xy 137.299603 69.865708) + (xy 137.386702 69.82963) (xy 137.46509 69.777253) (xy 137.531753 69.71059) (xy 137.58413 69.632202) (xy 137.620208 69.545103) + (xy 137.6386 69.452638) (xy 137.6386 69.358362) (xy 137.9514 69.358362) (xy 137.9514 69.452638) (xy 137.969792 69.545103) + (xy 138.00587 69.632202) (xy 138.058247 69.71059) (xy 138.12491 69.777253) (xy 138.203298 69.82963) (xy 138.290397 69.865708) + (xy 138.382862 69.8841) (xy 138.477138 69.8841) (xy 138.569603 69.865708) (xy 138.656702 69.82963) (xy 138.73509 69.777253) + (xy 138.801753 69.71059) (xy 138.85413 69.632202) (xy 138.890208 69.545103) (xy 138.9086 69.452638) (xy 138.9086 69.358362) + (xy 139.2214 69.358362) (xy 139.2214 69.452638) (xy 139.239792 69.545103) (xy 139.27587 69.632202) (xy 139.328247 69.71059) + (xy 139.39491 69.777253) (xy 139.473298 69.82963) (xy 139.560397 69.865708) (xy 139.652862 69.8841) (xy 139.747138 69.8841) + (xy 139.839603 69.865708) (xy 139.926702 69.82963) (xy 140.00509 69.777253) (xy 140.071753 69.71059) (xy 140.12413 69.632202) + (xy 140.160208 69.545103) (xy 140.1786 69.452638) (xy 140.1786 69.358362) (xy 160.9384 69.358362) (xy 160.9384 69.452638) + (xy 160.956792 69.545103) (xy 160.99287 69.632202) (xy 161.045247 69.71059) (xy 161.11191 69.777253) (xy 161.190298 69.82963) + (xy 161.277397 69.865708) (xy 161.369862 69.8841) (xy 161.464138 69.8841) (xy 161.556603 69.865708) (xy 161.643702 69.82963) + (xy 161.72209 69.777253) (xy 161.788753 69.71059) (xy 161.84113 69.632202) (xy 161.877208 69.545103) (xy 161.8956 69.452638) + (xy 161.8956 69.358362) (xy 162.0814 69.358362) (xy 162.0814 69.452638) (xy 162.099792 69.545103) (xy 162.13587 69.632202) + (xy 162.188247 69.71059) (xy 162.25491 69.777253) (xy 162.333298 69.82963) (xy 162.420397 69.865708) (xy 162.512862 69.8841) + (xy 162.607138 69.8841) (xy 162.699603 69.865708) (xy 162.786702 69.82963) (xy 162.86509 69.777253) (xy 162.931753 69.71059) + (xy 162.98413 69.632202) (xy 163.020208 69.545103) (xy 163.0386 69.452638) (xy 163.0386 69.358362) (xy 163.3514 69.358362) + (xy 163.3514 69.452638) (xy 163.369792 69.545103) (xy 163.40587 69.632202) (xy 163.458247 69.71059) (xy 163.52491 69.777253) + (xy 163.603298 69.82963) (xy 163.690397 69.865708) (xy 163.782862 69.8841) (xy 163.877138 69.8841) (xy 163.969603 69.865708) + (xy 164.056702 69.82963) (xy 164.13509 69.777253) (xy 164.201753 69.71059) (xy 164.25413 69.632202) (xy 164.290208 69.545103) + (xy 164.3086 69.452638) (xy 164.3086 69.358362) (xy 164.6214 69.358362) (xy 164.6214 69.452638) (xy 164.639792 69.545103) + (xy 164.67587 69.632202) (xy 164.728247 69.71059) (xy 164.79491 69.777253) (xy 164.873298 69.82963) (xy 164.960397 69.865708) + (xy 165.052862 69.8841) (xy 165.147138 69.8841) (xy 165.239603 69.865708) (xy 165.326702 69.82963) (xy 165.40509 69.777253) + (xy 165.429481 69.752862) (xy 173.7214 69.752862) (xy 173.7214 69.847138) (xy 173.739792 69.939603) (xy 173.77587 70.026702) + (xy 173.828247 70.10509) (xy 173.89491 70.171753) (xy 173.973298 70.22413) (xy 174.060397 70.260208) (xy 174.152862 70.2786) + (xy 174.247138 70.2786) (xy 174.339603 70.260208) (xy 174.426702 70.22413) (xy 174.50509 70.171753) (xy 174.571753 70.10509) + (xy 174.62413 70.026702) (xy 174.660208 69.939603) (xy 174.6786 69.847138) (xy 174.6786 69.752862) (xy 178.0714 69.752862) + (xy 178.0714 69.847138) (xy 178.089792 69.939603) (xy 178.12587 70.026702) (xy 178.178247 70.10509) (xy 178.24491 70.171753) + (xy 178.323298 70.22413) (xy 178.410397 70.260208) (xy 178.502862 70.2786) (xy 178.597138 70.2786) (xy 178.689603 70.260208) + (xy 178.776702 70.22413) (xy 178.85509 70.171753) (xy 178.921753 70.10509) (xy 178.97413 70.026702) (xy 179.010208 69.939603) + (xy 179.0286 69.847138) (xy 179.0286 69.752862) (xy 192.4714 69.752862) (xy 192.4714 69.847138) (xy 192.489792 69.939603) + (xy 192.52587 70.026702) (xy 192.578247 70.10509) (xy 192.64491 70.171753) (xy 192.723298 70.22413) (xy 192.810397 70.260208) + (xy 192.902862 70.2786) (xy 192.997138 70.2786) (xy 193.089603 70.260208) (xy 193.176702 70.22413) (xy 193.25509 70.171753) + (xy 193.321753 70.10509) (xy 193.37413 70.026702) (xy 193.410208 69.939603) (xy 193.4286 69.847138) (xy 193.4286 69.802862) + (xy 211.0399 69.802862) (xy 211.0399 69.897138) (xy 211.058292 69.989603) (xy 211.09437 70.076702) (xy 211.146747 70.15509) + (xy 211.21341 70.221753) (xy 211.291798 70.27413) (xy 211.378897 70.310208) (xy 211.471362 70.3286) (xy 211.565638 70.3286) + (xy 211.658103 70.310208) (xy 211.745202 70.27413) (xy 211.82359 70.221753) (xy 211.890253 70.15509) (xy 211.94263 70.076702) + (xy 211.978708 69.989603) (xy 211.9971 69.897138) (xy 211.9971 69.802862) (xy 211.978708 69.710397) (xy 211.94263 69.623298) + (xy 211.890253 69.54491) (xy 211.82359 69.478247) (xy 211.745202 69.42587) (xy 211.658103 69.389792) (xy 211.565638 69.3714) + (xy 211.471362 69.3714) (xy 211.378897 69.389792) (xy 211.291798 69.42587) (xy 211.21341 69.478247) (xy 211.146747 69.54491) + (xy 211.09437 69.623298) (xy 211.058292 69.710397) (xy 211.0399 69.802862) (xy 193.4286 69.802862) (xy 193.4286 69.752862) + (xy 193.410208 69.660397) (xy 193.37413 69.573298) (xy 193.321753 69.49491) (xy 193.25509 69.428247) (xy 193.176702 69.37587) + (xy 193.089603 69.339792) (xy 192.997138 69.3214) (xy 192.902862 69.3214) (xy 192.810397 69.339792) (xy 192.723298 69.37587) + (xy 192.64491 69.428247) (xy 192.578247 69.49491) (xy 192.52587 69.573298) (xy 192.489792 69.660397) (xy 192.4714 69.752862) + (xy 179.0286 69.752862) (xy 179.010208 69.660397) (xy 178.97413 69.573298) (xy 178.921753 69.49491) (xy 178.85509 69.428247) + (xy 178.776702 69.37587) (xy 178.689603 69.339792) (xy 178.597138 69.3214) (xy 178.502862 69.3214) (xy 178.410397 69.339792) + (xy 178.323298 69.37587) (xy 178.24491 69.428247) (xy 178.178247 69.49491) (xy 178.12587 69.573298) (xy 178.089792 69.660397) + (xy 178.0714 69.752862) (xy 174.6786 69.752862) (xy 174.660208 69.660397) (xy 174.62413 69.573298) (xy 174.571753 69.49491) + (xy 174.50509 69.428247) (xy 174.426702 69.37587) (xy 174.339603 69.339792) (xy 174.247138 69.3214) (xy 174.152862 69.3214) + (xy 174.060397 69.339792) (xy 173.973298 69.37587) (xy 173.89491 69.428247) (xy 173.828247 69.49491) (xy 173.77587 69.573298) + (xy 173.739792 69.660397) (xy 173.7214 69.752862) (xy 165.429481 69.752862) (xy 165.471753 69.71059) (xy 165.52413 69.632202) + (xy 165.560208 69.545103) (xy 165.5786 69.452638) (xy 165.5786 69.358362) (xy 165.560208 69.265897) (xy 165.52413 69.178798) + (xy 165.473392 69.102862) (xy 172.9214 69.102862) (xy 172.9214 69.197138) (xy 172.939792 69.289603) (xy 172.97587 69.376702) + (xy 173.028247 69.45509) (xy 173.09491 69.521753) (xy 173.173298 69.57413) (xy 173.260397 69.610208) (xy 173.352862 69.6286) + (xy 173.447138 69.6286) (xy 173.539603 69.610208) (xy 173.626702 69.57413) (xy 173.70509 69.521753) (xy 173.771753 69.45509) + (xy 173.82413 69.376702) (xy 173.860208 69.289603) (xy 173.8786 69.197138) (xy 173.8786 69.102862) (xy 177.2714 69.102862) + (xy 177.2714 69.197138) (xy 177.289792 69.289603) (xy 177.32587 69.376702) (xy 177.378247 69.45509) (xy 177.44491 69.521753) + (xy 177.523298 69.57413) (xy 177.610397 69.610208) (xy 177.702862 69.6286) (xy 177.797138 69.6286) (xy 177.889603 69.610208) + (xy 177.976702 69.57413) (xy 178.05509 69.521753) (xy 178.121753 69.45509) (xy 178.17413 69.376702) (xy 178.210208 69.289603) + (xy 178.2286 69.197138) (xy 178.2286 69.102862) (xy 191.6714 69.102862) (xy 191.6714 69.197138) (xy 191.689792 69.289603) + (xy 191.72587 69.376702) (xy 191.778247 69.45509) (xy 191.84491 69.521753) (xy 191.923298 69.57413) (xy 192.010397 69.610208) + (xy 192.102862 69.6286) (xy 192.197138 69.6286) (xy 192.289603 69.610208) (xy 192.376702 69.57413) (xy 192.45509 69.521753) + (xy 192.521753 69.45509) (xy 192.57413 69.376702) (xy 192.610208 69.289603) (xy 192.6286 69.197138) (xy 192.6286 69.102862) + (xy 192.610208 69.010397) (xy 192.57413 68.923298) (xy 192.521753 68.84491) (xy 192.45509 68.778247) (xy 192.376702 68.72587) + (xy 192.289603 68.689792) (xy 192.197138 68.6714) (xy 192.102862 68.6714) (xy 192.010397 68.689792) (xy 191.923298 68.72587) + (xy 191.84491 68.778247) (xy 191.778247 68.84491) (xy 191.72587 68.923298) (xy 191.689792 69.010397) (xy 191.6714 69.102862) + (xy 178.2286 69.102862) (xy 178.210208 69.010397) (xy 178.17413 68.923298) (xy 178.121753 68.84491) (xy 178.05509 68.778247) + (xy 177.976702 68.72587) (xy 177.889603 68.689792) (xy 177.797138 68.6714) (xy 177.702862 68.6714) (xy 177.610397 68.689792) + (xy 177.523298 68.72587) (xy 177.44491 68.778247) (xy 177.378247 68.84491) (xy 177.32587 68.923298) (xy 177.289792 69.010397) + (xy 177.2714 69.102862) (xy 173.8786 69.102862) (xy 173.860208 69.010397) (xy 173.82413 68.923298) (xy 173.771753 68.84491) + (xy 173.70509 68.778247) (xy 173.626702 68.72587) (xy 173.539603 68.689792) (xy 173.447138 68.6714) (xy 173.352862 68.6714) + (xy 173.260397 68.689792) (xy 173.173298 68.72587) (xy 173.09491 68.778247) (xy 173.028247 68.84491) (xy 172.97587 68.923298) + (xy 172.939792 69.010397) (xy 172.9214 69.102862) (xy 165.473392 69.102862) (xy 165.471753 69.10041) (xy 165.40509 69.033747) + (xy 165.326702 68.98137) (xy 165.239603 68.945292) (xy 165.147138 68.9269) (xy 165.052862 68.9269) (xy 164.960397 68.945292) + (xy 164.873298 68.98137) (xy 164.79491 69.033747) (xy 164.728247 69.10041) (xy 164.67587 69.178798) (xy 164.639792 69.265897) + (xy 164.6214 69.358362) (xy 164.3086 69.358362) (xy 164.290208 69.265897) (xy 164.25413 69.178798) (xy 164.201753 69.10041) + (xy 164.13509 69.033747) (xy 164.056702 68.98137) (xy 163.969603 68.945292) (xy 163.877138 68.9269) (xy 163.782862 68.9269) + (xy 163.690397 68.945292) (xy 163.603298 68.98137) (xy 163.52491 69.033747) (xy 163.458247 69.10041) (xy 163.40587 69.178798) + (xy 163.369792 69.265897) (xy 163.3514 69.358362) (xy 163.0386 69.358362) (xy 163.020208 69.265897) (xy 162.98413 69.178798) + (xy 162.931753 69.10041) (xy 162.86509 69.033747) (xy 162.786702 68.98137) (xy 162.699603 68.945292) (xy 162.607138 68.9269) + (xy 162.512862 68.9269) (xy 162.420397 68.945292) (xy 162.333298 68.98137) (xy 162.25491 69.033747) (xy 162.188247 69.10041) + (xy 162.13587 69.178798) (xy 162.099792 69.265897) (xy 162.0814 69.358362) (xy 161.8956 69.358362) (xy 161.877208 69.265897) + (xy 161.84113 69.178798) (xy 161.788753 69.10041) (xy 161.72209 69.033747) (xy 161.643702 68.98137) (xy 161.556603 68.945292) + (xy 161.464138 68.9269) (xy 161.369862 68.9269) (xy 161.277397 68.945292) (xy 161.190298 68.98137) (xy 161.11191 69.033747) + (xy 161.045247 69.10041) (xy 160.99287 69.178798) (xy 160.956792 69.265897) (xy 160.9384 69.358362) (xy 140.1786 69.358362) + (xy 140.160208 69.265897) (xy 140.12413 69.178798) (xy 140.071753 69.10041) (xy 140.00509 69.033747) (xy 139.926702 68.98137) + (xy 139.839603 68.945292) (xy 139.747138 68.9269) (xy 139.652862 68.9269) (xy 139.560397 68.945292) (xy 139.473298 68.98137) + (xy 139.39491 69.033747) (xy 139.328247 69.10041) (xy 139.27587 69.178798) (xy 139.239792 69.265897) (xy 139.2214 69.358362) + (xy 138.9086 69.358362) (xy 138.890208 69.265897) (xy 138.85413 69.178798) (xy 138.801753 69.10041) (xy 138.73509 69.033747) + (xy 138.656702 68.98137) (xy 138.569603 68.945292) (xy 138.477138 68.9269) (xy 138.382862 68.9269) (xy 138.290397 68.945292) + (xy 138.203298 68.98137) (xy 138.12491 69.033747) (xy 138.058247 69.10041) (xy 138.00587 69.178798) (xy 137.969792 69.265897) + (xy 137.9514 69.358362) (xy 137.6386 69.358362) (xy 137.620208 69.265897) (xy 137.58413 69.178798) (xy 137.531753 69.10041) + (xy 137.46509 69.033747) (xy 137.386702 68.98137) (xy 137.299603 68.945292) (xy 137.207138 68.9269) (xy 137.112862 68.9269) + (xy 137.020397 68.945292) (xy 136.933298 68.98137) (xy 136.85491 69.033747) (xy 136.788247 69.10041) (xy 136.73587 69.178798) + (xy 136.699792 69.265897) (xy 136.6814 69.358362) (xy 136.3686 69.358362) (xy 136.350208 69.265897) (xy 136.31413 69.178798) + (xy 136.261753 69.10041) (xy 136.19509 69.033747) (xy 136.116702 68.98137) (xy 136.029603 68.945292) (xy 135.937138 68.9269) + (xy 135.842862 68.9269) (xy 135.750397 68.945292) (xy 135.663298 68.98137) (xy 135.58491 69.033747) (xy 135.518247 69.10041) + (xy 135.46587 69.178798) (xy 135.429792 69.265897) (xy 135.4114 69.358362) (xy 135.0986 69.358362) (xy 135.080208 69.265897) + (xy 135.04413 69.178798) (xy 134.991753 69.10041) (xy 134.92509 69.033747) (xy 134.846702 68.98137) (xy 134.759603 68.945292) + (xy 134.667138 68.9269) (xy 134.572862 68.9269) (xy 134.480397 68.945292) (xy 134.393298 68.98137) (xy 134.31491 69.033747) + (xy 134.248247 69.10041) (xy 134.19587 69.178798) (xy 134.159792 69.265897) (xy 134.1414 69.358362) (xy 132.5586 69.358362) + (xy 132.540208 69.265897) (xy 132.50413 69.178798) (xy 132.451753 69.10041) (xy 132.38509 69.033747) (xy 132.306702 68.98137) + (xy 132.219603 68.945292) (xy 132.127138 68.9269) (xy 132.032862 68.9269) (xy 131.940397 68.945292) (xy 131.853298 68.98137) + (xy 131.77491 69.033747) (xy 131.708247 69.10041) (xy 131.65587 69.178798) (xy 131.619792 69.265897) (xy 131.6014 69.358362) + (xy 115.365248 69.358362) (xy 115.338947 69.347468) (xy 115.147566 69.3094) (xy 114.952434 69.3094) (xy 114.761053 69.347468) + (xy 114.580775 69.422142) (xy 114.418529 69.530551) (xy 114.280551 69.668529) (xy 114.172142 69.830775) (xy 114.097468 70.011053) + (xy 114.0594 70.202434) (xy 104.400909 70.202434) (xy 104.448253 70.15509) (xy 104.50063 70.076702) (xy 104.536708 69.989603) + (xy 104.5551 69.897138) (xy 104.5551 69.802862) (xy 104.536708 69.710397) (xy 104.50063 69.623298) (xy 104.448253 69.54491) + (xy 104.38159 69.478247) (xy 104.303202 69.42587) (xy 104.216103 69.389792) (xy 104.123638 69.3714) (xy 104.029362 69.3714) + (xy 103.936897 69.389792) (xy 103.849798 69.42587) (xy 103.77141 69.478247) (xy 103.704747 69.54491) (xy 103.65237 69.623298) + (xy 103.616292 69.710397) (xy 103.5979 69.802862) (xy 103.5546 69.802862) (xy 103.5546 67.552434) (xy 116.7094 67.552434) + (xy 116.7094 67.747566) (xy 116.747468 67.938947) (xy 116.822142 68.119225) (xy 116.930551 68.281471) (xy 117.068529 68.419449) + (xy 117.230775 68.527858) (xy 117.411053 68.602532) (xy 117.602434 68.6406) (xy 117.797566 68.6406) (xy 117.988947 68.602532) + (xy 118.169225 68.527858) (xy 118.331471 68.419449) (xy 118.408558 68.342362) (xy 131.4744 68.342362) (xy 131.4744 68.436638) + (xy 131.492792 68.529103) (xy 131.52887 68.616202) (xy 131.581247 68.69459) (xy 131.64791 68.761253) (xy 131.726298 68.81363) + (xy 131.813397 68.849708) (xy 131.905862 68.8681) (xy 132.000138 68.8681) (xy 132.092603 68.849708) (xy 132.179702 68.81363) + (xy 132.25809 68.761253) (xy 132.324753 68.69459) (xy 132.37713 68.616202) (xy 132.413208 68.529103) (xy 132.4316 68.436638) + (xy 132.4316 68.342362) (xy 135.4114 68.342362) (xy 135.4114 68.436638) (xy 135.429792 68.529103) (xy 135.46587 68.616202) + (xy 135.518247 68.69459) (xy 135.58491 68.761253) (xy 135.663298 68.81363) (xy 135.750397 68.849708) (xy 135.842862 68.8681) + (xy 135.937138 68.8681) (xy 136.029603 68.849708) (xy 136.116702 68.81363) (xy 136.19509 68.761253) (xy 136.261753 68.69459) + (xy 136.31413 68.616202) (xy 136.350208 68.529103) (xy 136.3686 68.436638) (xy 136.3686 68.342362) (xy 136.350208 68.249897) + (xy 136.31413 68.162798) (xy 136.261753 68.08441) (xy 136.19509 68.017747) (xy 136.116702 67.96537) (xy 136.029603 67.929292) + (xy 135.937138 67.9109) (xy 135.842862 67.9109) (xy 135.750397 67.929292) (xy 135.663298 67.96537) (xy 135.58491 68.017747) + (xy 135.518247 68.08441) (xy 135.46587 68.162798) (xy 135.429792 68.249897) (xy 135.4114 68.342362) (xy 132.4316 68.342362) + (xy 132.413208 68.249897) (xy 132.37713 68.162798) (xy 132.324753 68.08441) (xy 132.25809 68.017747) (xy 132.179702 67.96537) + (xy 132.092603 67.929292) (xy 132.000138 67.9109) (xy 131.905862 67.9109) (xy 131.813397 67.929292) (xy 131.726298 67.96537) + (xy 131.64791 68.017747) (xy 131.581247 68.08441) (xy 131.52887 68.162798) (xy 131.492792 68.249897) (xy 131.4744 68.342362) + (xy 118.408558 68.342362) (xy 118.469449 68.281471) (xy 118.577858 68.119225) (xy 118.652532 67.938947) (xy 118.6906 67.747566) + (xy 118.6906 67.707362) (xy 136.6814 67.707362) (xy 136.6814 67.801638) (xy 136.699792 67.894103) (xy 136.73587 67.981202) + (xy 136.788247 68.05959) (xy 136.85491 68.126253) (xy 136.933298 68.17863) (xy 137.020397 68.214708) (xy 137.112862 68.2331) + (xy 137.207138 68.2331) (xy 137.296315 68.215362) (xy 163.3514 68.215362) (xy 163.3514 68.309638) (xy 163.369792 68.402103) + (xy 163.40587 68.489202) (xy 163.458247 68.56759) (xy 163.52491 68.634253) (xy 163.603298 68.68663) (xy 163.690397 68.722708) + (xy 163.782862 68.7411) (xy 163.877138 68.7411) (xy 163.969603 68.722708) (xy 164.056702 68.68663) (xy 164.13509 68.634253) + (xy 164.201753 68.56759) (xy 164.25413 68.489202) (xy 164.269182 68.452862) (xy 175.0714 68.452862) (xy 175.0714 68.547138) + (xy 175.089792 68.639603) (xy 175.12587 68.726702) (xy 175.178247 68.80509) (xy 175.24491 68.871753) (xy 175.323298 68.92413) + (xy 175.410397 68.960208) (xy 175.502862 68.9786) (xy 175.597138 68.9786) (xy 175.689603 68.960208) (xy 175.776702 68.92413) + (xy 175.85509 68.871753) (xy 175.921753 68.80509) (xy 175.97413 68.726702) (xy 176.010208 68.639603) (xy 176.0286 68.547138) + (xy 176.0286 68.452862) (xy 176.010208 68.360397) (xy 175.97413 68.273298) (xy 175.921753 68.19491) (xy 175.85509 68.128247) + (xy 175.776702 68.07587) (xy 175.689603 68.039792) (xy 175.597138 68.0214) (xy 175.502862 68.0214) (xy 175.410397 68.039792) + (xy 175.323298 68.07587) (xy 175.24491 68.128247) (xy 175.178247 68.19491) (xy 175.12587 68.273298) (xy 175.089792 68.360397) + (xy 175.0714 68.452862) (xy 164.269182 68.452862) (xy 164.290208 68.402103) (xy 164.3086 68.309638) (xy 164.3086 68.215362) + (xy 164.290208 68.122897) (xy 164.25413 68.035798) (xy 164.201753 67.95741) (xy 164.13509 67.890747) (xy 164.056702 67.83837) + (xy 163.969603 67.802292) (xy 163.877138 67.7839) (xy 163.782862 67.7839) (xy 163.690397 67.802292) (xy 163.603298 67.83837) + (xy 163.52491 67.890747) (xy 163.458247 67.95741) (xy 163.40587 68.035798) (xy 163.369792 68.122897) (xy 163.3514 68.215362) + (xy 137.296315 68.215362) (xy 137.299603 68.214708) (xy 137.386702 68.17863) (xy 137.46509 68.126253) (xy 137.531753 68.05959) + (xy 137.58413 67.981202) (xy 137.620208 67.894103) (xy 137.6386 67.801638) (xy 137.6386 67.707362) (xy 137.620208 67.614897) + (xy 137.58413 67.527798) (xy 137.531753 67.44941) (xy 137.46509 67.382747) (xy 137.386702 67.33037) (xy 137.299603 67.294292) + (xy 137.207138 67.2759) (xy 137.112862 67.2759) (xy 137.020397 67.294292) (xy 136.933298 67.33037) (xy 136.85491 67.382747) + (xy 136.788247 67.44941) (xy 136.73587 67.527798) (xy 136.699792 67.614897) (xy 136.6814 67.707362) (xy 118.6906 67.707362) + (xy 118.6906 67.552434) (xy 118.652532 67.361053) (xy 118.577858 67.180775) (xy 118.469449 67.018529) (xy 118.331471 66.880551) + (xy 118.169225 66.772142) (xy 117.988947 66.697468) (xy 117.797566 66.6594) (xy 117.602434 66.6594) (xy 117.411053 66.697468) + (xy 117.230775 66.772142) (xy 117.068529 66.880551) (xy 116.930551 67.018529) (xy 116.822142 67.180775) (xy 116.747468 67.361053) + (xy 116.7094 67.552434) (xy 103.5546 67.552434) (xy 103.5546 65.752434) (xy 118.5094 65.752434) (xy 118.5094 65.947566) + (xy 118.547468 66.138947) (xy 118.622142 66.319225) (xy 118.730551 66.481471) (xy 118.868529 66.619449) (xy 119.030775 66.727858) + (xy 119.211053 66.802532) (xy 119.402434 66.8406) (xy 119.597566 66.8406) (xy 119.788947 66.802532) (xy 119.969225 66.727858) + (xy 120.131471 66.619449) (xy 120.269449 66.481471) (xy 120.28885 66.452434) (xy 121.8594 66.452434) (xy 121.8594 66.647566) + (xy 121.897468 66.838947) (xy 121.972142 67.019225) (xy 122.080551 67.181471) (xy 122.218529 67.319449) (xy 122.380775 67.427858) + (xy 122.561053 67.502532) (xy 122.752434 67.5406) (xy 122.947566 67.5406) (xy 123.138947 67.502532) (xy 123.319225 67.427858) + (xy 123.481471 67.319449) (xy 123.619449 67.181471) (xy 123.692353 67.072362) (xy 137.9514 67.072362) (xy 137.9514 67.166638) + (xy 137.969792 67.259103) (xy 138.00587 67.346202) (xy 138.058247 67.42459) (xy 138.12491 67.491253) (xy 138.203298 67.54363) + (xy 138.290397 67.579708) (xy 138.382862 67.5981) (xy 138.477138 67.5981) (xy 138.569603 67.579708) (xy 138.656702 67.54363) + (xy 138.73509 67.491253) (xy 138.772981 67.453362) (xy 164.6214 67.453362) (xy 164.6214 67.547638) (xy 164.639792 67.640103) + (xy 164.67587 67.727202) (xy 164.728247 67.80559) (xy 164.79491 67.872253) (xy 164.873298 67.92463) (xy 164.960397 67.960708) + (xy 165.052862 67.9791) (xy 165.147138 67.9791) (xy 165.239603 67.960708) (xy 165.326702 67.92463) (xy 165.40509 67.872253) + (xy 165.424481 67.852862) (xy 176.1714 67.852862) (xy 176.1714 67.947138) (xy 176.189792 68.039603) (xy 176.22587 68.126702) + (xy 176.278247 68.20509) (xy 176.34491 68.271753) (xy 176.423298 68.32413) (xy 176.510397 68.360208) (xy 176.602862 68.3786) + (xy 176.697138 68.3786) (xy 176.789603 68.360208) (xy 176.876702 68.32413) (xy 176.95509 68.271753) (xy 177.021753 68.20509) + (xy 177.07413 68.126702) (xy 177.110208 68.039603) (xy 177.1286 67.947138) (xy 177.1286 67.852862) (xy 180.7214 67.852862) + (xy 180.7214 67.947138) (xy 180.739792 68.039603) (xy 180.77587 68.126702) (xy 180.828247 68.20509) (xy 180.89491 68.271753) + (xy 180.973298 68.32413) (xy 181.060397 68.360208) (xy 181.152862 68.3786) (xy 181.247138 68.3786) (xy 181.339603 68.360208) + (xy 181.426702 68.32413) (xy 181.50509 68.271753) (xy 181.571753 68.20509) (xy 181.62413 68.126702) (xy 181.660208 68.039603) + (xy 181.667516 68.002862) (xy 196.3214 68.002862) (xy 196.3214 68.097138) (xy 196.339792 68.189603) (xy 196.37587 68.276702) + (xy 196.428247 68.35509) (xy 196.49491 68.421753) (xy 196.573298 68.47413) (xy 196.660397 68.510208) (xy 196.752862 68.5286) + (xy 196.847138 68.5286) (xy 196.939603 68.510208) (xy 197.009744 68.481154) (xy 199.6564 68.481154) (xy 199.6564 68.678846) + (xy 199.694968 68.872739) (xy 199.770621 69.055383) (xy 199.880453 69.219758) (xy 200.020242 69.359547) (xy 200.184617 69.469379) + (xy 200.367261 69.545032) (xy 200.561154 69.5836) (xy 200.758846 69.5836) (xy 200.952739 69.545032) (xy 201.135383 69.469379) + (xy 201.299758 69.359547) (xy 201.439547 69.219758) (xy 201.549379 69.055383) (xy 201.625032 68.872739) (xy 201.6636 68.678846) + (xy 201.6636 68.481154) (xy 202.1964 68.481154) (xy 202.1964 68.678846) (xy 202.234968 68.872739) (xy 202.310621 69.055383) + (xy 202.420453 69.219758) (xy 202.560242 69.359547) (xy 202.724617 69.469379) (xy 202.907261 69.545032) (xy 203.101154 69.5836) + (xy 203.298846 69.5836) (xy 203.492739 69.545032) (xy 203.675383 69.469379) (xy 203.839758 69.359547) (xy 203.979547 69.219758) + (xy 204.089379 69.055383) (xy 204.165032 68.872739) (xy 204.2036 68.678846) (xy 204.2036 68.481154) (xy 204.7364 68.481154) + (xy 204.7364 68.678846) (xy 204.774968 68.872739) (xy 204.850621 69.055383) (xy 204.960453 69.219758) (xy 205.100242 69.359547) + (xy 205.264617 69.469379) (xy 205.447261 69.545032) (xy 205.641154 69.5836) (xy 205.838846 69.5836) (xy 206.032739 69.545032) + (xy 206.215383 69.469379) (xy 206.379758 69.359547) (xy 206.519547 69.219758) (xy 206.629379 69.055383) (xy 206.705032 68.872739) + (xy 206.7436 68.678846) (xy 206.7436 68.481154) (xy 206.705032 68.287261) (xy 206.629379 68.104617) (xy 206.519547 67.940242) + (xy 206.379758 67.800453) (xy 206.215383 67.690621) (xy 206.032739 67.614968) (xy 205.838846 67.5764) (xy 205.641154 67.5764) + (xy 205.447261 67.614968) (xy 205.264617 67.690621) (xy 205.100242 67.800453) (xy 204.960453 67.940242) (xy 204.850621 68.104617) + (xy 204.774968 68.287261) (xy 204.7364 68.481154) (xy 204.2036 68.481154) (xy 204.165032 68.287261) (xy 204.089379 68.104617) + (xy 203.979547 67.940242) (xy 203.839758 67.800453) (xy 203.675383 67.690621) (xy 203.492739 67.614968) (xy 203.298846 67.5764) + (xy 203.101154 67.5764) (xy 202.907261 67.614968) (xy 202.724617 67.690621) (xy 202.560242 67.800453) (xy 202.420453 67.940242) + (xy 202.310621 68.104617) (xy 202.234968 68.287261) (xy 202.1964 68.481154) (xy 201.6636 68.481154) (xy 201.625032 68.287261) + (xy 201.549379 68.104617) (xy 201.439547 67.940242) (xy 201.299758 67.800453) (xy 201.135383 67.690621) (xy 200.952739 67.614968) + (xy 200.758846 67.5764) (xy 200.561154 67.5764) (xy 200.367261 67.614968) (xy 200.184617 67.690621) (xy 200.020242 67.800453) + (xy 199.880453 67.940242) (xy 199.770621 68.104617) (xy 199.694968 68.287261) (xy 199.6564 68.481154) (xy 197.009744 68.481154) + (xy 197.026702 68.47413) (xy 197.10509 68.421753) (xy 197.171753 68.35509) (xy 197.22413 68.276702) (xy 197.260208 68.189603) + (xy 197.2786 68.097138) (xy 197.2786 68.002862) (xy 197.260208 67.910397) (xy 197.22413 67.823298) (xy 197.171753 67.74491) + (xy 197.10509 67.678247) (xy 197.026702 67.62587) (xy 196.939603 67.589792) (xy 196.847138 67.5714) (xy 196.752862 67.5714) + (xy 196.660397 67.589792) (xy 196.573298 67.62587) (xy 196.49491 67.678247) (xy 196.428247 67.74491) (xy 196.37587 67.823298) + (xy 196.339792 67.910397) (xy 196.3214 68.002862) (xy 181.667516 68.002862) (xy 181.6786 67.947138) (xy 181.6786 67.852862) + (xy 181.660208 67.760397) (xy 181.62413 67.673298) (xy 181.571753 67.59491) (xy 181.50509 67.528247) (xy 181.426702 67.47587) + (xy 181.339603 67.439792) (xy 181.247138 67.4214) (xy 181.152862 67.4214) (xy 181.060397 67.439792) (xy 180.973298 67.47587) + (xy 180.89491 67.528247) (xy 180.828247 67.59491) (xy 180.77587 67.673298) (xy 180.739792 67.760397) (xy 180.7214 67.852862) + (xy 177.1286 67.852862) (xy 177.110208 67.760397) (xy 177.07413 67.673298) (xy 177.021753 67.59491) (xy 176.95509 67.528247) + (xy 176.876702 67.47587) (xy 176.789603 67.439792) (xy 176.697138 67.4214) (xy 176.602862 67.4214) (xy 176.510397 67.439792) + (xy 176.423298 67.47587) (xy 176.34491 67.528247) (xy 176.278247 67.59491) (xy 176.22587 67.673298) (xy 176.189792 67.760397) + (xy 176.1714 67.852862) (xy 165.424481 67.852862) (xy 165.471753 67.80559) (xy 165.52413 67.727202) (xy 165.560208 67.640103) + (xy 165.5786 67.547638) (xy 165.5786 67.453362) (xy 165.560208 67.360897) (xy 165.52413 67.273798) (xy 165.476733 67.202862) + (xy 184.2714 67.202862) (xy 184.2714 67.297138) (xy 184.289792 67.389603) (xy 184.32587 67.476702) (xy 184.378247 67.55509) + (xy 184.44491 67.621753) (xy 184.523298 67.67413) (xy 184.610397 67.710208) (xy 184.702862 67.7286) (xy 184.797138 67.7286) + (xy 184.889603 67.710208) (xy 184.976702 67.67413) (xy 185.05509 67.621753) (xy 185.121753 67.55509) (xy 185.17413 67.476702) + (xy 185.210208 67.389603) (xy 185.2286 67.297138) (xy 185.2286 67.202862) (xy 185.210208 67.110397) (xy 185.17413 67.023298) + (xy 185.121753 66.94491) (xy 185.05509 66.878247) (xy 184.976702 66.82587) (xy 184.889603 66.789792) (xy 184.797138 66.7714) + (xy 184.702862 66.7714) (xy 184.610397 66.789792) (xy 184.523298 66.82587) (xy 184.44491 66.878247) (xy 184.378247 66.94491) + (xy 184.32587 67.023298) (xy 184.289792 67.110397) (xy 184.2714 67.202862) (xy 165.476733 67.202862) (xy 165.471753 67.19541) + (xy 165.40509 67.128747) (xy 165.326702 67.07637) (xy 165.239603 67.040292) (xy 165.147138 67.0219) (xy 165.052862 67.0219) + (xy 164.960397 67.040292) (xy 164.873298 67.07637) (xy 164.79491 67.128747) (xy 164.728247 67.19541) (xy 164.67587 67.273798) + (xy 164.639792 67.360897) (xy 164.6214 67.453362) (xy 138.772981 67.453362) (xy 138.801753 67.42459) (xy 138.85413 67.346202) + (xy 138.890208 67.259103) (xy 138.9086 67.166638) (xy 138.9086 67.072362) (xy 138.890208 66.979897) (xy 138.85413 66.892798) + (xy 138.801753 66.81441) (xy 138.73509 66.747747) (xy 138.656702 66.69537) (xy 138.569603 66.659292) (xy 138.477138 66.6409) + (xy 138.382862 66.6409) (xy 138.290397 66.659292) (xy 138.203298 66.69537) (xy 138.12491 66.747747) (xy 138.058247 66.81441) + (xy 138.00587 66.892798) (xy 137.969792 66.979897) (xy 137.9514 67.072362) (xy 123.692353 67.072362) (xy 123.727858 67.019225) + (xy 123.802532 66.838947) (xy 123.8406 66.647566) (xy 123.8406 66.452434) (xy 123.837602 66.437362) (xy 139.2214 66.437362) + (xy 139.2214 66.531638) (xy 139.239792 66.624103) (xy 139.27587 66.711202) (xy 139.328247 66.78959) (xy 139.39491 66.856253) + (xy 139.473298 66.90863) (xy 139.560397 66.944708) (xy 139.652862 66.9631) (xy 139.747138 66.9631) (xy 139.839603 66.944708) + (xy 139.926702 66.90863) (xy 140.00509 66.856253) (xy 140.071753 66.78959) (xy 140.12413 66.711202) (xy 140.160208 66.624103) + (xy 140.1786 66.531638) (xy 140.1786 66.437362) (xy 140.160208 66.344897) (xy 140.12413 66.257798) (xy 140.071753 66.17941) + (xy 140.045205 66.152862) (xy 180.7214 66.152862) (xy 180.7214 66.247138) (xy 180.739792 66.339603) (xy 180.77587 66.426702) + (xy 180.828247 66.50509) (xy 180.89491 66.571753) (xy 180.973298 66.62413) (xy 181.060397 66.660208) (xy 181.152862 66.6786) + (xy 181.247138 66.6786) (xy 181.339603 66.660208) (xy 181.426702 66.62413) (xy 181.50509 66.571753) (xy 181.571753 66.50509) + (xy 181.62413 66.426702) (xy 181.660208 66.339603) (xy 181.6786 66.247138) (xy 181.6786 66.152862) (xy 181.660208 66.060397) + (xy 181.62413 65.973298) (xy 181.571753 65.89491) (xy 181.50509 65.828247) (xy 181.467099 65.802862) (xy 184.6714 65.802862) + (xy 184.6714 65.897138) (xy 184.689792 65.989603) (xy 184.72587 66.076702) (xy 184.778247 66.15509) (xy 184.84491 66.221753) + (xy 184.923298 66.27413) (xy 185.010397 66.310208) (xy 185.102862 66.3286) (xy 185.197138 66.3286) (xy 185.289603 66.310208) + (xy 185.376702 66.27413) (xy 185.45509 66.221753) (xy 185.521753 66.15509) (xy 185.523241 66.152862) (xy 189.2214 66.152862) + (xy 189.2214 66.247138) (xy 189.239792 66.339603) (xy 189.27587 66.426702) (xy 189.328247 66.50509) (xy 189.39491 66.571753) + (xy 189.473298 66.62413) (xy 189.560397 66.660208) (xy 189.652862 66.6786) (xy 189.747138 66.6786) (xy 189.839603 66.660208) + (xy 189.926702 66.62413) (xy 190.00509 66.571753) (xy 190.071753 66.50509) (xy 190.12413 66.426702) (xy 190.160208 66.339603) + (xy 190.1786 66.247138) (xy 190.1786 66.152862) (xy 190.160208 66.060397) (xy 190.12413 65.973298) (xy 190.071753 65.89491) + (xy 190.00509 65.828247) (xy 189.926702 65.77587) (xy 189.839603 65.739792) (xy 189.747138 65.7214) (xy 189.652862 65.7214) + (xy 189.560397 65.739792) (xy 189.473298 65.77587) (xy 189.39491 65.828247) (xy 189.328247 65.89491) (xy 189.27587 65.973298) + (xy 189.239792 66.060397) (xy 189.2214 66.152862) (xy 185.523241 66.152862) (xy 185.57413 66.076702) (xy 185.610208 65.989603) + (xy 185.6286 65.897138) (xy 185.6286 65.802862) (xy 185.610208 65.710397) (xy 185.57413 65.623298) (xy 185.521753 65.54491) + (xy 185.45509 65.478247) (xy 185.376702 65.42587) (xy 185.289603 65.389792) (xy 185.197138 65.3714) (xy 185.102862 65.3714) + (xy 185.010397 65.389792) (xy 184.923298 65.42587) (xy 184.84491 65.478247) (xy 184.778247 65.54491) (xy 184.72587 65.623298) + (xy 184.689792 65.710397) (xy 184.6714 65.802862) (xy 181.467099 65.802862) (xy 181.426702 65.77587) (xy 181.339603 65.739792) + (xy 181.247138 65.7214) (xy 181.152862 65.7214) (xy 181.060397 65.739792) (xy 180.973298 65.77587) (xy 180.89491 65.828247) + (xy 180.828247 65.89491) (xy 180.77587 65.973298) (xy 180.739792 66.060397) (xy 180.7214 66.152862) (xy 140.045205 66.152862) + (xy 140.00509 66.112747) (xy 139.926702 66.06037) (xy 139.839603 66.024292) (xy 139.747138 66.0059) (xy 139.652862 66.0059) + (xy 139.560397 66.024292) (xy 139.473298 66.06037) (xy 139.39491 66.112747) (xy 139.328247 66.17941) (xy 139.27587 66.257798) + (xy 139.239792 66.344897) (xy 139.2214 66.437362) (xy 123.837602 66.437362) (xy 123.802532 66.261053) (xy 123.727858 66.080775) + (xy 123.619449 65.918529) (xy 123.481471 65.780551) (xy 123.319225 65.672142) (xy 123.138947 65.597468) (xy 122.947566 65.5594) + (xy 122.752434 65.5594) (xy 122.561053 65.597468) (xy 122.380775 65.672142) (xy 122.218529 65.780551) (xy 122.080551 65.918529) + (xy 121.972142 66.080775) (xy 121.897468 66.261053) (xy 121.8594 66.452434) (xy 120.28885 66.452434) (xy 120.377858 66.319225) + (xy 120.452532 66.138947) (xy 120.4906 65.947566) (xy 120.4906 65.752434) (xy 120.452532 65.561053) (xy 120.377858 65.380775) + (xy 120.269449 65.218529) (xy 120.131471 65.080551) (xy 119.969225 64.972142) (xy 119.788947 64.897468) (xy 119.597566 64.8594) + (xy 119.402434 64.8594) (xy 119.211053 64.897468) (xy 119.030775 64.972142) (xy 118.868529 65.080551) (xy 118.730551 65.218529) + (xy 118.622142 65.380775) (xy 118.547468 65.561053) (xy 118.5094 65.752434) (xy 103.5546 65.752434) (xy 103.5546 64.722862) + (xy 103.5979 64.722862) (xy 103.5979 64.817138) (xy 103.616292 64.909603) (xy 103.65237 64.996702) (xy 103.704747 65.07509) + (xy 103.77141 65.141753) (xy 103.849798 65.19413) (xy 103.936897 65.230208) (xy 104.029362 65.2486) (xy 104.123638 65.2486) + (xy 104.216103 65.230208) (xy 104.303202 65.19413) (xy 104.38159 65.141753) (xy 104.448253 65.07509) (xy 104.50063 64.996702) + (xy 104.536708 64.909603) (xy 104.5551 64.817138) (xy 104.5551 64.722862) (xy 104.536708 64.630397) (xy 104.504415 64.552434) + (xy 122.7094 64.552434) (xy 122.7094 64.747566) (xy 122.747468 64.938947) (xy 122.822142 65.119225) (xy 122.930551 65.281471) + (xy 123.068529 65.419449) (xy 123.230775 65.527858) (xy 123.411053 65.602532) (xy 123.602434 65.6406) (xy 123.797566 65.6406) + (xy 123.988947 65.602532) (xy 124.169225 65.527858) (xy 124.331471 65.419449) (xy 124.469449 65.281471) (xy 124.521973 65.202862) + (xy 183.5714 65.202862) (xy 183.5714 65.297138) (xy 183.589792 65.389603) (xy 183.62587 65.476702) (xy 183.678247 65.55509) + (xy 183.74491 65.621753) (xy 183.823298 65.67413) (xy 183.910397 65.710208) (xy 184.002862 65.7286) (xy 184.097138 65.7286) + (xy 184.189603 65.710208) (xy 184.276702 65.67413) (xy 184.35509 65.621753) (xy 184.421753 65.55509) (xy 184.47413 65.476702) + (xy 184.510208 65.389603) (xy 184.5286 65.297138) (xy 184.5286 65.202862) (xy 185.7714 65.202862) (xy 185.7714 65.297138) + (xy 185.789792 65.389603) (xy 185.82587 65.476702) (xy 185.878247 65.55509) (xy 185.94491 65.621753) (xy 186.023298 65.67413) + (xy 186.110397 65.710208) (xy 186.202862 65.7286) (xy 186.297138 65.7286) (xy 186.389603 65.710208) (xy 186.476702 65.67413) + (xy 186.55509 65.621753) (xy 186.621753 65.55509) (xy 186.67413 65.476702) (xy 186.710208 65.389603) (xy 186.7286 65.297138) + (xy 186.7286 65.202862) (xy 191.6714 65.202862) (xy 191.6714 65.297138) (xy 191.689792 65.389603) (xy 191.72587 65.476702) + (xy 191.778247 65.55509) (xy 191.84491 65.621753) (xy 191.923298 65.67413) (xy 192.010397 65.710208) (xy 192.102862 65.7286) + (xy 192.197138 65.7286) (xy 192.289603 65.710208) (xy 192.376702 65.67413) (xy 192.45509 65.621753) (xy 192.521753 65.55509) + (xy 192.55665 65.502862) (xy 198.1214 65.502862) (xy 198.1214 65.597138) (xy 198.139792 65.689603) (xy 198.17587 65.776702) + (xy 198.228247 65.85509) (xy 198.29491 65.921753) (xy 198.373298 65.97413) (xy 198.460397 66.010208) (xy 198.552862 66.0286) + (xy 198.647138 66.0286) (xy 198.739603 66.010208) (xy 198.826702 65.97413) (xy 198.876054 65.941154) (xy 199.6564 65.941154) + (xy 199.6564 66.138846) (xy 199.694968 66.332739) (xy 199.770621 66.515383) (xy 199.880453 66.679758) (xy 200.020242 66.819547) + (xy 200.184617 66.929379) (xy 200.367261 67.005032) (xy 200.561154 67.0436) (xy 200.758846 67.0436) (xy 200.952739 67.005032) + (xy 201.135383 66.929379) (xy 201.299758 66.819547) (xy 201.439547 66.679758) (xy 201.549379 66.515383) (xy 201.625032 66.332739) + (xy 201.6636 66.138846) (xy 201.6636 65.941154) (xy 202.1964 65.941154) (xy 202.1964 66.138846) (xy 202.234968 66.332739) + (xy 202.310621 66.515383) (xy 202.420453 66.679758) (xy 202.560242 66.819547) (xy 202.724617 66.929379) (xy 202.907261 67.005032) + (xy 203.101154 67.0436) (xy 203.298846 67.0436) (xy 203.492739 67.005032) (xy 203.675383 66.929379) (xy 203.839758 66.819547) + (xy 203.979547 66.679758) (xy 204.089379 66.515383) (xy 204.165032 66.332739) (xy 204.2036 66.138846) (xy 204.2036 65.941154) + (xy 204.7364 65.941154) (xy 204.7364 66.138846) (xy 204.774968 66.332739) (xy 204.850621 66.515383) (xy 204.960453 66.679758) + (xy 205.100242 66.819547) (xy 205.264617 66.929379) (xy 205.447261 67.005032) (xy 205.641154 67.0436) (xy 205.838846 67.0436) + (xy 206.032739 67.005032) (xy 206.215383 66.929379) (xy 206.379758 66.819547) (xy 206.519547 66.679758) (xy 206.629379 66.515383) + (xy 206.705032 66.332739) (xy 206.7436 66.138846) (xy 206.7436 65.941154) (xy 206.705032 65.747261) (xy 206.629379 65.564617) + (xy 206.519547 65.400242) (xy 206.379758 65.260453) (xy 206.215383 65.150621) (xy 206.032739 65.074968) (xy 205.838846 65.0364) + (xy 205.641154 65.0364) (xy 205.447261 65.074968) (xy 205.264617 65.150621) (xy 205.100242 65.260453) (xy 204.960453 65.400242) + (xy 204.850621 65.564617) (xy 204.774968 65.747261) (xy 204.7364 65.941154) (xy 204.2036 65.941154) (xy 204.165032 65.747261) + (xy 204.089379 65.564617) (xy 203.979547 65.400242) (xy 203.839758 65.260453) (xy 203.675383 65.150621) (xy 203.492739 65.074968) + (xy 203.298846 65.0364) (xy 203.101154 65.0364) (xy 202.907261 65.074968) (xy 202.724617 65.150621) (xy 202.560242 65.260453) + (xy 202.420453 65.400242) (xy 202.310621 65.564617) (xy 202.234968 65.747261) (xy 202.1964 65.941154) (xy 201.6636 65.941154) + (xy 201.625032 65.747261) (xy 201.549379 65.564617) (xy 201.439547 65.400242) (xy 201.299758 65.260453) (xy 201.135383 65.150621) + (xy 200.952739 65.074968) (xy 200.758846 65.0364) (xy 200.561154 65.0364) (xy 200.367261 65.074968) (xy 200.184617 65.150621) + (xy 200.020242 65.260453) (xy 199.880453 65.400242) (xy 199.770621 65.564617) (xy 199.694968 65.747261) (xy 199.6564 65.941154) + (xy 198.876054 65.941154) (xy 198.90509 65.921753) (xy 198.971753 65.85509) (xy 199.02413 65.776702) (xy 199.060208 65.689603) + (xy 199.0786 65.597138) (xy 199.0786 65.502862) (xy 199.060208 65.410397) (xy 199.02413 65.323298) (xy 198.971753 65.24491) + (xy 198.90509 65.178247) (xy 198.826702 65.12587) (xy 198.739603 65.089792) (xy 198.647138 65.0714) (xy 198.552862 65.0714) + (xy 198.460397 65.089792) (xy 198.373298 65.12587) (xy 198.29491 65.178247) (xy 198.228247 65.24491) (xy 198.17587 65.323298) + (xy 198.139792 65.410397) (xy 198.1214 65.502862) (xy 192.55665 65.502862) (xy 192.57413 65.476702) (xy 192.610208 65.389603) + (xy 192.6286 65.297138) (xy 192.6286 65.202862) (xy 192.610208 65.110397) (xy 192.57413 65.023298) (xy 192.521753 64.94491) + (xy 192.45509 64.878247) (xy 192.376702 64.82587) (xy 192.289603 64.789792) (xy 192.197138 64.7714) (xy 192.102862 64.7714) + (xy 192.010397 64.789792) (xy 191.923298 64.82587) (xy 191.84491 64.878247) (xy 191.778247 64.94491) (xy 191.72587 65.023298) + (xy 191.689792 65.110397) (xy 191.6714 65.202862) (xy 186.7286 65.202862) (xy 186.710208 65.110397) (xy 186.67413 65.023298) + (xy 186.621753 64.94491) (xy 186.55509 64.878247) (xy 186.476702 64.82587) (xy 186.389603 64.789792) (xy 186.297138 64.7714) + (xy 186.202862 64.7714) (xy 186.110397 64.789792) (xy 186.023298 64.82587) (xy 185.94491 64.878247) (xy 185.878247 64.94491) + (xy 185.82587 65.023298) (xy 185.789792 65.110397) (xy 185.7714 65.202862) (xy 184.5286 65.202862) (xy 184.510208 65.110397) + (xy 184.47413 65.023298) (xy 184.421753 64.94491) (xy 184.35509 64.878247) (xy 184.276702 64.82587) (xy 184.189603 64.789792) + (xy 184.097138 64.7714) (xy 184.002862 64.7714) (xy 183.910397 64.789792) (xy 183.823298 64.82587) (xy 183.74491 64.878247) + (xy 183.678247 64.94491) (xy 183.62587 65.023298) (xy 183.589792 65.110397) (xy 183.5714 65.202862) (xy 124.521973 65.202862) + (xy 124.577858 65.119225) (xy 124.652532 64.938947) (xy 124.6906 64.747566) (xy 124.6906 64.552434) (xy 124.652532 64.361053) + (xy 124.644583 64.341862) (xy 131.7284 64.341862) (xy 131.7284 64.436138) (xy 131.746792 64.528603) (xy 131.78287 64.615702) + (xy 131.835247 64.69409) (xy 131.90191 64.760753) (xy 131.980298 64.81313) (xy 132.067397 64.849208) (xy 132.159862 64.8676) + (xy 132.254138 64.8676) (xy 132.346603 64.849208) (xy 132.433702 64.81313) (xy 132.51209 64.760753) (xy 132.578753 64.69409) + (xy 132.63113 64.615702) (xy 132.667208 64.528603) (xy 132.6856 64.436138) (xy 132.6856 64.341862) (xy 132.667208 64.249397) + (xy 132.63113 64.162298) (xy 132.581394 64.087862) (xy 164.6214 64.087862) (xy 164.6214 64.182138) (xy 164.639792 64.274603) + (xy 164.67587 64.361702) (xy 164.728247 64.44009) (xy 164.79491 64.506753) (xy 164.873298 64.55913) (xy 164.960397 64.595208) + (xy 165.052862 64.6136) (xy 165.147138 64.6136) (xy 165.239603 64.595208) (xy 165.326702 64.55913) (xy 165.336082 64.552862) + (xy 189.4714 64.552862) (xy 189.4714 64.647138) (xy 189.489792 64.739603) (xy 189.52587 64.826702) (xy 189.578247 64.90509) + (xy 189.64491 64.971753) (xy 189.723298 65.02413) (xy 189.810397 65.060208) (xy 189.902862 65.0786) (xy 189.997138 65.0786) + (xy 190.089603 65.060208) (xy 190.176702 65.02413) (xy 190.25509 64.971753) (xy 190.321753 64.90509) (xy 190.37413 64.826702) + (xy 190.410208 64.739603) (xy 190.413537 64.722862) (xy 211.0399 64.722862) (xy 211.0399 64.817138) (xy 211.058292 64.909603) + (xy 211.09437 64.996702) (xy 211.146747 65.07509) (xy 211.21341 65.141753) (xy 211.291798 65.19413) (xy 211.378897 65.230208) + (xy 211.471362 65.2486) (xy 211.565638 65.2486) (xy 211.658103 65.230208) (xy 211.745202 65.19413) (xy 211.82359 65.141753) + (xy 211.890253 65.07509) (xy 211.94263 64.996702) (xy 211.978708 64.909603) (xy 211.9971 64.817138) (xy 211.9971 64.722862) + (xy 211.978708 64.630397) (xy 211.94263 64.543298) (xy 211.890253 64.46491) (xy 211.82359 64.398247) (xy 211.745202 64.34587) + (xy 211.658103 64.309792) (xy 211.565638 64.2914) (xy 211.471362 64.2914) (xy 211.378897 64.309792) (xy 211.291798 64.34587) + (xy 211.21341 64.398247) (xy 211.146747 64.46491) (xy 211.09437 64.543298) (xy 211.058292 64.630397) (xy 211.0399 64.722862) + (xy 190.413537 64.722862) (xy 190.4286 64.647138) (xy 190.4286 64.552862) (xy 190.410208 64.460397) (xy 190.37413 64.373298) + (xy 190.321753 64.29491) (xy 190.25509 64.228247) (xy 190.176702 64.17587) (xy 190.089603 64.139792) (xy 189.997138 64.1214) + (xy 189.902862 64.1214) (xy 189.810397 64.139792) (xy 189.723298 64.17587) (xy 189.64491 64.228247) (xy 189.578247 64.29491) + (xy 189.52587 64.373298) (xy 189.489792 64.460397) (xy 189.4714 64.552862) (xy 165.336082 64.552862) (xy 165.40509 64.506753) + (xy 165.471753 64.44009) (xy 165.52413 64.361702) (xy 165.560208 64.274603) (xy 165.5786 64.182138) (xy 165.5786 64.087862) + (xy 165.560208 63.995397) (xy 165.52413 63.908298) (xy 165.520498 63.902862) (xy 191.6714 63.902862) (xy 191.6714 63.997138) + (xy 191.689792 64.089603) (xy 191.72587 64.176702) (xy 191.778247 64.25509) (xy 191.84491 64.321753) (xy 191.923298 64.37413) + (xy 192.010397 64.410208) (xy 192.102862 64.4286) (xy 192.197138 64.4286) (xy 192.289603 64.410208) (xy 192.376702 64.37413) + (xy 192.45509 64.321753) (xy 192.521753 64.25509) (xy 192.57413 64.176702) (xy 192.610208 64.089603) (xy 192.6286 63.997138) + (xy 192.6286 63.902862) (xy 192.618655 63.852862) (xy 198.3714 63.852862) (xy 198.3714 63.947138) (xy 198.389792 64.039603) + (xy 198.42587 64.126702) (xy 198.478247 64.20509) (xy 198.54491 64.271753) (xy 198.623298 64.32413) (xy 198.710397 64.360208) + (xy 198.802862 64.3786) (xy 198.897138 64.3786) (xy 198.989603 64.360208) (xy 199.076702 64.32413) (xy 199.15509 64.271753) + (xy 199.221753 64.20509) (xy 199.27413 64.126702) (xy 199.310208 64.039603) (xy 199.3286 63.947138) (xy 199.3286 63.852862) + (xy 199.310208 63.760397) (xy 199.27413 63.673298) (xy 199.221753 63.59491) (xy 199.15509 63.528247) (xy 199.076702 63.47587) + (xy 198.989603 63.439792) (xy 198.897138 63.4214) (xy 198.802862 63.4214) (xy 198.710397 63.439792) (xy 198.623298 63.47587) + (xy 198.54491 63.528247) (xy 198.478247 63.59491) (xy 198.42587 63.673298) (xy 198.389792 63.760397) (xy 198.3714 63.852862) + (xy 192.618655 63.852862) (xy 192.610208 63.810397) (xy 192.57413 63.723298) (xy 192.521753 63.64491) (xy 192.45509 63.578247) + (xy 192.376702 63.52587) (xy 192.289603 63.489792) (xy 192.197138 63.4714) (xy 192.102862 63.4714) (xy 192.010397 63.489792) + (xy 191.923298 63.52587) (xy 191.84491 63.578247) (xy 191.778247 63.64491) (xy 191.72587 63.723298) (xy 191.689792 63.810397) + (xy 191.6714 63.902862) (xy 165.520498 63.902862) (xy 165.471753 63.82991) (xy 165.40509 63.763247) (xy 165.326702 63.71087) + (xy 165.239603 63.674792) (xy 165.147138 63.6564) (xy 165.052862 63.6564) (xy 164.960397 63.674792) (xy 164.873298 63.71087) + (xy 164.79491 63.763247) (xy 164.728247 63.82991) (xy 164.67587 63.908298) (xy 164.639792 63.995397) (xy 164.6214 64.087862) + (xy 132.581394 64.087862) (xy 132.578753 64.08391) (xy 132.51209 64.017247) (xy 132.433702 63.96487) (xy 132.346603 63.928792) + (xy 132.254138 63.9104) (xy 132.159862 63.9104) (xy 132.067397 63.928792) (xy 131.980298 63.96487) (xy 131.90191 64.017247) + (xy 131.835247 64.08391) (xy 131.78287 64.162298) (xy 131.746792 64.249397) (xy 131.7284 64.341862) (xy 124.644583 64.341862) + (xy 124.577858 64.180775) (xy 124.469449 64.018529) (xy 124.331471 63.880551) (xy 124.169225 63.772142) (xy 123.988947 63.697468) + (xy 123.797566 63.6594) (xy 123.602434 63.6594) (xy 123.411053 63.697468) (xy 123.230775 63.772142) (xy 123.068529 63.880551) + (xy 122.930551 64.018529) (xy 122.822142 64.180775) (xy 122.747468 64.361053) (xy 122.7094 64.552434) (xy 104.504415 64.552434) + (xy 104.50063 64.543298) (xy 104.448253 64.46491) (xy 104.38159 64.398247) (xy 104.303202 64.34587) (xy 104.216103 64.309792) + (xy 104.123638 64.2914) (xy 104.029362 64.2914) (xy 103.936897 64.309792) (xy 103.849798 64.34587) (xy 103.77141 64.398247) + (xy 103.704747 64.46491) (xy 103.65237 64.543298) (xy 103.616292 64.630397) (xy 103.5979 64.722862) (xy 103.5546 64.722862) + (xy 103.5546 62.402434) (xy 117.8094 62.402434) (xy 117.8094 62.597566) (xy 117.847468 62.788947) (xy 117.922142 62.969225) + (xy 118.030551 63.131471) (xy 118.168529 63.269449) (xy 118.330775 63.377858) (xy 118.511053 63.452532) (xy 118.702434 63.4906) + (xy 118.897566 63.4906) (xy 119.088947 63.452532) (xy 119.269225 63.377858) (xy 119.431471 63.269449) (xy 119.569449 63.131471) + (xy 119.58885 63.102434) (xy 121.1594 63.102434) (xy 121.1594 63.297566) (xy 121.197468 63.488947) (xy 121.272142 63.669225) + (xy 121.380551 63.831471) (xy 121.518529 63.969449) (xy 121.680775 64.077858) (xy 121.861053 64.152532) (xy 122.052434 64.1906) + (xy 122.247566 64.1906) (xy 122.438947 64.152532) (xy 122.619225 64.077858) (xy 122.781471 63.969449) (xy 122.919449 63.831471) + (xy 123.027858 63.669225) (xy 123.102532 63.488947) (xy 123.1406 63.297566) (xy 123.1406 63.257437) (xy 127.1064 63.257437) + (xy 127.1064 63.361563) (xy 127.126713 63.463687) (xy 127.16656 63.559886) (xy 127.224409 63.646463) (xy 127.298037 63.720091) + (xy 127.384614 63.77794) (xy 127.480813 63.817787) (xy 127.582937 63.8381) (xy 127.687063 63.8381) (xy 127.789187 63.817787) + (xy 127.885386 63.77794) (xy 127.971963 63.720091) (xy 128.045591 63.646463) (xy 128.10344 63.559886) (xy 128.143287 63.463687) + (xy 128.1636 63.361563) (xy 128.1636 63.257437) (xy 143.6164 63.257437) (xy 143.6164 63.361563) (xy 143.636713 63.463687) + (xy 143.67656 63.559886) (xy 143.734409 63.646463) (xy 143.808037 63.720091) (xy 143.894614 63.77794) (xy 143.990813 63.817787) + (xy 144.092937 63.8381) (xy 144.197063 63.8381) (xy 144.299187 63.817787) (xy 144.395386 63.77794) (xy 144.481963 63.720091) + (xy 144.555591 63.646463) (xy 144.61344 63.559886) (xy 144.653287 63.463687) (xy 144.6736 63.361563) (xy 144.6736 63.257437) + (xy 152.5064 63.257437) (xy 152.5064 63.361563) (xy 152.526713 63.463687) (xy 152.56656 63.559886) (xy 152.624409 63.646463) + (xy 152.698037 63.720091) (xy 152.784614 63.77794) (xy 152.880813 63.817787) (xy 152.982937 63.8381) (xy 153.087063 63.8381) + (xy 153.189187 63.817787) (xy 153.285386 63.77794) (xy 153.371963 63.720091) (xy 153.445591 63.646463) (xy 153.50344 63.559886) + (xy 153.543287 63.463687) (xy 153.5636 63.361563) (xy 153.5636 63.257437) (xy 153.55195 63.198862) (xy 163.9864 63.198862) + (xy 163.9864 63.293138) (xy 164.004792 63.385603) (xy 164.04087 63.472702) (xy 164.093247 63.55109) (xy 164.15991 63.617753) + (xy 164.238298 63.67013) (xy 164.325397 63.706208) (xy 164.417862 63.7246) (xy 164.512138 63.7246) (xy 164.604603 63.706208) + (xy 164.691702 63.67013) (xy 164.77009 63.617753) (xy 164.836753 63.55109) (xy 164.88913 63.472702) (xy 164.925208 63.385603) + (xy 164.9436 63.293138) (xy 164.9436 63.257437) (xy 169.0164 63.257437) (xy 169.0164 63.361563) (xy 169.036713 63.463687) + (xy 169.07656 63.559886) (xy 169.134409 63.646463) (xy 169.208037 63.720091) (xy 169.294614 63.77794) (xy 169.390813 63.817787) + (xy 169.492937 63.8381) (xy 169.597063 63.8381) (xy 169.699187 63.817787) (xy 169.795386 63.77794) (xy 169.881963 63.720091) + (xy 169.955591 63.646463) (xy 170.01344 63.559886) (xy 170.053287 63.463687) (xy 170.0736 63.361563) (xy 170.0736 63.257437) + (xy 170.072691 63.252862) (xy 189.4714 63.252862) (xy 189.4714 63.347138) (xy 189.489792 63.439603) (xy 189.52587 63.526702) + (xy 189.578247 63.60509) (xy 189.64491 63.671753) (xy 189.723298 63.72413) (xy 189.810397 63.760208) (xy 189.902862 63.7786) + (xy 189.997138 63.7786) (xy 190.089603 63.760208) (xy 190.176702 63.72413) (xy 190.25509 63.671753) (xy 190.321753 63.60509) + (xy 190.37413 63.526702) (xy 190.410208 63.439603) (xy 190.417855 63.401154) (xy 199.6564 63.401154) (xy 199.6564 63.598846) + (xy 199.694968 63.792739) (xy 199.770621 63.975383) (xy 199.880453 64.139758) (xy 200.020242 64.279547) (xy 200.184617 64.389379) + (xy 200.367261 64.465032) (xy 200.561154 64.5036) (xy 200.758846 64.5036) (xy 200.952739 64.465032) (xy 201.135383 64.389379) + (xy 201.299758 64.279547) (xy 201.439547 64.139758) (xy 201.549379 63.975383) (xy 201.625032 63.792739) (xy 201.6636 63.598846) + (xy 201.6636 63.401154) (xy 202.1964 63.401154) (xy 202.1964 63.598846) (xy 202.234968 63.792739) (xy 202.310621 63.975383) + (xy 202.420453 64.139758) (xy 202.560242 64.279547) (xy 202.724617 64.389379) (xy 202.907261 64.465032) (xy 203.101154 64.5036) + (xy 203.298846 64.5036) (xy 203.492739 64.465032) (xy 203.675383 64.389379) (xy 203.839758 64.279547) (xy 203.979547 64.139758) + (xy 204.089379 63.975383) (xy 204.165032 63.792739) (xy 204.2036 63.598846) (xy 204.2036 63.401154) (xy 204.7364 63.401154) + (xy 204.7364 63.598846) (xy 204.774968 63.792739) (xy 204.850621 63.975383) (xy 204.960453 64.139758) (xy 205.100242 64.279547) + (xy 205.264617 64.389379) (xy 205.447261 64.465032) (xy 205.641154 64.5036) (xy 205.838846 64.5036) (xy 206.032739 64.465032) + (xy 206.215383 64.389379) (xy 206.379758 64.279547) (xy 206.519547 64.139758) (xy 206.629379 63.975383) (xy 206.705032 63.792739) + (xy 206.7436 63.598846) (xy 206.7436 63.401154) (xy 206.705032 63.207261) (xy 206.629379 63.024617) (xy 206.519547 62.860242) + (xy 206.379758 62.720453) (xy 206.215383 62.610621) (xy 206.032739 62.534968) (xy 205.838846 62.4964) (xy 205.641154 62.4964) + (xy 205.447261 62.534968) (xy 205.264617 62.610621) (xy 205.100242 62.720453) (xy 204.960453 62.860242) (xy 204.850621 63.024617) + (xy 204.774968 63.207261) (xy 204.7364 63.401154) (xy 204.2036 63.401154) (xy 204.165032 63.207261) (xy 204.089379 63.024617) + (xy 203.979547 62.860242) (xy 203.839758 62.720453) (xy 203.675383 62.610621) (xy 203.492739 62.534968) (xy 203.298846 62.4964) + (xy 203.101154 62.4964) (xy 202.907261 62.534968) (xy 202.724617 62.610621) (xy 202.560242 62.720453) (xy 202.420453 62.860242) + (xy 202.310621 63.024617) (xy 202.234968 63.207261) (xy 202.1964 63.401154) (xy 201.6636 63.401154) (xy 201.625032 63.207261) + (xy 201.549379 63.024617) (xy 201.439547 62.860242) (xy 201.299758 62.720453) (xy 201.135383 62.610621) (xy 200.952739 62.534968) + (xy 200.758846 62.4964) (xy 200.561154 62.4964) (xy 200.367261 62.534968) (xy 200.184617 62.610621) (xy 200.020242 62.720453) + (xy 199.880453 62.860242) (xy 199.770621 63.024617) (xy 199.694968 63.207261) (xy 199.6564 63.401154) (xy 190.417855 63.401154) + (xy 190.4286 63.347138) (xy 190.4286 63.252862) (xy 190.410208 63.160397) (xy 190.37413 63.073298) (xy 190.321753 62.99491) + (xy 190.25509 62.928247) (xy 190.176702 62.87587) (xy 190.089603 62.839792) (xy 189.997138 62.8214) (xy 189.902862 62.8214) + (xy 189.810397 62.839792) (xy 189.723298 62.87587) (xy 189.64491 62.928247) (xy 189.578247 62.99491) (xy 189.52587 63.073298) + (xy 189.489792 63.160397) (xy 189.4714 63.252862) (xy 170.072691 63.252862) (xy 170.053287 63.155313) (xy 170.01344 63.059114) + (xy 169.955591 62.972537) (xy 169.881963 62.898909) (xy 169.795386 62.84106) (xy 169.699187 62.801213) (xy 169.597063 62.7809) + (xy 169.492937 62.7809) (xy 169.390813 62.801213) (xy 169.294614 62.84106) (xy 169.208037 62.898909) (xy 169.134409 62.972537) + (xy 169.07656 63.059114) (xy 169.036713 63.155313) (xy 169.0164 63.257437) (xy 164.9436 63.257437) (xy 164.9436 63.198862) + (xy 164.925208 63.106397) (xy 164.88913 63.019298) (xy 164.836753 62.94091) (xy 164.77009 62.874247) (xy 164.691702 62.82187) + (xy 164.604603 62.785792) (xy 164.512138 62.7674) (xy 164.417862 62.7674) (xy 164.325397 62.785792) (xy 164.238298 62.82187) + (xy 164.15991 62.874247) (xy 164.093247 62.94091) (xy 164.04087 63.019298) (xy 164.004792 63.106397) (xy 163.9864 63.198862) + (xy 153.55195 63.198862) (xy 153.543287 63.155313) (xy 153.50344 63.059114) (xy 153.445591 62.972537) (xy 153.371963 62.898909) + (xy 153.285386 62.84106) (xy 153.189187 62.801213) (xy 153.087063 62.7809) (xy 152.982937 62.7809) (xy 152.880813 62.801213) + (xy 152.784614 62.84106) (xy 152.698037 62.898909) (xy 152.624409 62.972537) (xy 152.56656 63.059114) (xy 152.526713 63.155313) + (xy 152.5064 63.257437) (xy 144.6736 63.257437) (xy 144.653287 63.155313) (xy 144.61344 63.059114) (xy 144.555591 62.972537) + (xy 144.481963 62.898909) (xy 144.395386 62.84106) (xy 144.299187 62.801213) (xy 144.197063 62.7809) (xy 144.092937 62.7809) + (xy 143.990813 62.801213) (xy 143.894614 62.84106) (xy 143.808037 62.898909) (xy 143.734409 62.972537) (xy 143.67656 63.059114) + (xy 143.636713 63.155313) (xy 143.6164 63.257437) (xy 128.1636 63.257437) (xy 128.143287 63.155313) (xy 128.10344 63.059114) + (xy 128.045591 62.972537) (xy 127.971963 62.898909) (xy 127.885386 62.84106) (xy 127.789187 62.801213) (xy 127.687063 62.7809) + (xy 127.582937 62.7809) (xy 127.480813 62.801213) (xy 127.384614 62.84106) (xy 127.298037 62.898909) (xy 127.224409 62.972537) + (xy 127.16656 63.059114) (xy 127.126713 63.155313) (xy 127.1064 63.257437) (xy 123.1406 63.257437) (xy 123.1406 63.102434) + (xy 123.102532 62.911053) (xy 123.027858 62.730775) (xy 122.94239 62.602862) (xy 191.6714 62.602862) (xy 191.6714 62.697138) + (xy 191.689792 62.789603) (xy 191.72587 62.876702) (xy 191.778247 62.95509) (xy 191.84491 63.021753) (xy 191.923298 63.07413) + (xy 192.010397 63.110208) (xy 192.102862 63.1286) (xy 192.197138 63.1286) (xy 192.289603 63.110208) (xy 192.376702 63.07413) + (xy 192.45509 63.021753) (xy 192.521753 62.95509) (xy 192.57413 62.876702) (xy 192.610208 62.789603) (xy 192.6286 62.697138) + (xy 192.6286 62.602862) (xy 192.610208 62.510397) (xy 192.57413 62.423298) (xy 192.521753 62.34491) (xy 192.479705 62.302862) + (xy 198.0714 62.302862) (xy 198.0714 62.397138) (xy 198.089792 62.489603) (xy 198.12587 62.576702) (xy 198.178247 62.65509) + (xy 198.24491 62.721753) (xy 198.323298 62.77413) (xy 198.410397 62.810208) (xy 198.502862 62.8286) (xy 198.597138 62.8286) + (xy 198.689603 62.810208) (xy 198.776702 62.77413) (xy 198.85509 62.721753) (xy 198.921753 62.65509) (xy 198.97413 62.576702) + (xy 199.010208 62.489603) (xy 199.0286 62.397138) (xy 199.0286 62.302862) (xy 199.010208 62.210397) (xy 198.97413 62.123298) + (xy 198.921753 62.04491) (xy 198.85509 61.978247) (xy 198.776702 61.92587) (xy 198.689603 61.889792) (xy 198.597138 61.8714) + (xy 198.502862 61.8714) (xy 198.410397 61.889792) (xy 198.323298 61.92587) (xy 198.24491 61.978247) (xy 198.178247 62.04491) + (xy 198.12587 62.123298) (xy 198.089792 62.210397) (xy 198.0714 62.302862) (xy 192.479705 62.302862) (xy 192.45509 62.278247) + (xy 192.376702 62.22587) (xy 192.289603 62.189792) (xy 192.197138 62.1714) (xy 192.102862 62.1714) (xy 192.010397 62.189792) + (xy 191.923298 62.22587) (xy 191.84491 62.278247) (xy 191.778247 62.34491) (xy 191.72587 62.423298) (xy 191.689792 62.510397) + (xy 191.6714 62.602862) (xy 122.94239 62.602862) (xy 122.919449 62.568529) (xy 122.781471 62.430551) (xy 122.619225 62.322142) + (xy 122.438947 62.247468) (xy 122.247566 62.2094) (xy 122.052434 62.2094) (xy 121.861053 62.247468) (xy 121.680775 62.322142) + (xy 121.518529 62.430551) (xy 121.380551 62.568529) (xy 121.272142 62.730775) (xy 121.197468 62.911053) (xy 121.1594 63.102434) + (xy 119.58885 63.102434) (xy 119.677858 62.969225) (xy 119.752532 62.788947) (xy 119.7906 62.597566) (xy 119.7906 62.402434) + (xy 119.752532 62.211053) (xy 119.677858 62.030775) (xy 119.569449 61.868529) (xy 119.431471 61.730551) (xy 119.269225 61.622142) + (xy 119.100936 61.552434) (xy 119.7094 61.552434) (xy 119.7094 61.747566) (xy 119.747468 61.938947) (xy 119.822142 62.119225) + (xy 119.930551 62.281471) (xy 120.068529 62.419449) (xy 120.230775 62.527858) (xy 120.411053 62.602532) (xy 120.602434 62.6406) + (xy 120.797566 62.6406) (xy 120.988947 62.602532) (xy 121.169225 62.527858) (xy 121.331471 62.419449) (xy 121.469449 62.281471) + (xy 121.577858 62.119225) (xy 121.646768 61.952862) (xy 189.4714 61.952862) (xy 189.4714 62.047138) (xy 189.489792 62.139603) + (xy 189.52587 62.226702) (xy 189.578247 62.30509) (xy 189.64491 62.371753) (xy 189.723298 62.42413) (xy 189.810397 62.460208) + (xy 189.902862 62.4786) (xy 189.997138 62.4786) (xy 190.089603 62.460208) (xy 190.176702 62.42413) (xy 190.25509 62.371753) + (xy 190.321753 62.30509) (xy 190.37413 62.226702) (xy 190.410208 62.139603) (xy 190.4286 62.047138) (xy 190.4286 61.952862) + (xy 190.410208 61.860397) (xy 190.37413 61.773298) (xy 190.321753 61.69491) (xy 190.25509 61.628247) (xy 190.176702 61.57587) + (xy 190.089603 61.539792) (xy 189.997138 61.5214) (xy 189.902862 61.5214) (xy 189.810397 61.539792) (xy 189.723298 61.57587) + (xy 189.64491 61.628247) (xy 189.578247 61.69491) (xy 189.52587 61.773298) (xy 189.489792 61.860397) (xy 189.4714 61.952862) + (xy 121.646768 61.952862) (xy 121.652532 61.938947) (xy 121.6906 61.747566) (xy 121.6906 61.552434) (xy 121.652532 61.361053) + (xy 121.622661 61.288937) (xy 126.3444 61.288937) (xy 126.3444 61.393063) (xy 126.364713 61.495187) (xy 126.40456 61.591386) + (xy 126.462409 61.677963) (xy 126.536037 61.751591) (xy 126.622614 61.80944) (xy 126.718813 61.849287) (xy 126.820937 61.8696) + (xy 126.925063 61.8696) (xy 127.027187 61.849287) (xy 127.123386 61.80944) (xy 127.209963 61.751591) (xy 127.283591 61.677963) + (xy 127.34144 61.591386) (xy 127.381287 61.495187) (xy 127.4016 61.393063) (xy 127.4016 61.288937) (xy 144.3784 61.288937) + (xy 144.3784 61.393063) (xy 144.398713 61.495187) (xy 144.43856 61.591386) (xy 144.496409 61.677963) (xy 144.570037 61.751591) + (xy 144.656614 61.80944) (xy 144.752813 61.849287) (xy 144.854937 61.8696) (xy 144.959063 61.8696) (xy 145.061187 61.849287) + (xy 145.157386 61.80944) (xy 145.243963 61.751591) (xy 145.317591 61.677963) (xy 145.37544 61.591386) (xy 145.415287 61.495187) + (xy 145.4356 61.393063) (xy 145.4356 61.288937) (xy 151.7444 61.288937) (xy 151.7444 61.393063) (xy 151.764713 61.495187) + (xy 151.80456 61.591386) (xy 151.862409 61.677963) (xy 151.936037 61.751591) (xy 152.022614 61.80944) (xy 152.118813 61.849287) + (xy 152.220937 61.8696) (xy 152.325063 61.8696) (xy 152.427187 61.849287) (xy 152.523386 61.80944) (xy 152.609963 61.751591) + (xy 152.683591 61.677963) (xy 152.74144 61.591386) (xy 152.781287 61.495187) (xy 152.8016 61.393063) (xy 152.8016 61.288937) + (xy 169.7784 61.288937) (xy 169.7784 61.393063) (xy 169.798713 61.495187) (xy 169.83856 61.591386) (xy 169.896409 61.677963) + (xy 169.970037 61.751591) (xy 170.056614 61.80944) (xy 170.152813 61.849287) (xy 170.254937 61.8696) (xy 170.359063 61.8696) + (xy 170.461187 61.849287) (xy 170.557386 61.80944) (xy 170.643963 61.751591) (xy 170.717591 61.677963) (xy 170.77544 61.591386) + (xy 170.815287 61.495187) (xy 170.8356 61.393063) (xy 170.8356 61.302862) (xy 191.6714 61.302862) (xy 191.6714 61.397138) + (xy 191.689792 61.489603) (xy 191.72587 61.576702) (xy 191.778247 61.65509) (xy 191.84491 61.721753) (xy 191.923298 61.77413) + (xy 192.010397 61.810208) (xy 192.102862 61.8286) (xy 192.197138 61.8286) (xy 192.289603 61.810208) (xy 192.376702 61.77413) + (xy 192.45509 61.721753) (xy 192.521753 61.65509) (xy 192.57413 61.576702) (xy 192.610208 61.489603) (xy 192.6286 61.397138) + (xy 192.6286 61.302862) (xy 192.610208 61.210397) (xy 192.57413 61.123298) (xy 192.521753 61.04491) (xy 192.45509 60.978247) + (xy 192.376702 60.92587) (xy 192.289603 60.889792) (xy 192.197138 60.8714) (xy 192.102862 60.8714) (xy 192.010397 60.889792) + (xy 191.923298 60.92587) (xy 191.84491 60.978247) (xy 191.778247 61.04491) (xy 191.72587 61.123298) (xy 191.689792 61.210397) + (xy 191.6714 61.302862) (xy 170.8356 61.302862) (xy 170.8356 61.288937) (xy 170.815287 61.186813) (xy 170.77544 61.090614) + (xy 170.717591 61.004037) (xy 170.643963 60.930409) (xy 170.557386 60.87256) (xy 170.461187 60.832713) (xy 170.359063 60.8124) + (xy 170.254937 60.8124) (xy 170.152813 60.832713) (xy 170.056614 60.87256) (xy 169.970037 60.930409) (xy 169.896409 61.004037) + (xy 169.83856 61.090614) (xy 169.798713 61.186813) (xy 169.7784 61.288937) (xy 152.8016 61.288937) (xy 152.781287 61.186813) + (xy 152.74144 61.090614) (xy 152.683591 61.004037) (xy 152.609963 60.930409) (xy 152.523386 60.87256) (xy 152.427187 60.832713) + (xy 152.325063 60.8124) (xy 152.220937 60.8124) (xy 152.118813 60.832713) (xy 152.022614 60.87256) (xy 151.936037 60.930409) + (xy 151.862409 61.004037) (xy 151.80456 61.090614) (xy 151.764713 61.186813) (xy 151.7444 61.288937) (xy 145.4356 61.288937) + (xy 145.415287 61.186813) (xy 145.37544 61.090614) (xy 145.317591 61.004037) (xy 145.243963 60.930409) (xy 145.157386 60.87256) + (xy 145.061187 60.832713) (xy 144.959063 60.8124) (xy 144.854937 60.8124) (xy 144.752813 60.832713) (xy 144.656614 60.87256) + (xy 144.570037 60.930409) (xy 144.496409 61.004037) (xy 144.43856 61.090614) (xy 144.398713 61.186813) (xy 144.3784 61.288937) + (xy 127.4016 61.288937) (xy 127.381287 61.186813) (xy 127.34144 61.090614) (xy 127.283591 61.004037) (xy 127.209963 60.930409) + (xy 127.123386 60.87256) (xy 127.027187 60.832713) (xy 126.925063 60.8124) (xy 126.820937 60.8124) (xy 126.718813 60.832713) + (xy 126.622614 60.87256) (xy 126.536037 60.930409) (xy 126.462409 61.004037) (xy 126.40456 61.090614) (xy 126.364713 61.186813) + (xy 126.3444 61.288937) (xy 121.622661 61.288937) (xy 121.577858 61.180775) (xy 121.469449 61.018529) (xy 121.331471 60.880551) + (xy 121.169225 60.772142) (xy 120.988947 60.697468) (xy 120.797566 60.6594) (xy 120.602434 60.6594) (xy 120.411053 60.697468) + (xy 120.230775 60.772142) (xy 120.068529 60.880551) (xy 119.930551 61.018529) (xy 119.822142 61.180775) (xy 119.747468 61.361053) + (xy 119.7094 61.552434) (xy 119.100936 61.552434) (xy 119.088947 61.547468) (xy 118.897566 61.5094) (xy 118.702434 61.5094) + (xy 118.511053 61.547468) (xy 118.330775 61.622142) (xy 118.168529 61.730551) (xy 118.030551 61.868529) (xy 117.922142 62.030775) + (xy 117.847468 62.211053) (xy 117.8094 62.402434) (xy 103.5546 62.402434) (xy 103.5546 60.652862) (xy 189.4714 60.652862) + (xy 189.4714 60.747138) (xy 189.489792 60.839603) (xy 189.52587 60.926702) (xy 189.578247 61.00509) (xy 189.64491 61.071753) + (xy 189.723298 61.12413) (xy 189.810397 61.160208) (xy 189.902862 61.1786) (xy 189.997138 61.1786) (xy 190.089603 61.160208) + (xy 190.176702 61.12413) (xy 190.25509 61.071753) (xy 190.321753 61.00509) (xy 190.37413 60.926702) (xy 190.401281 60.861154) + (xy 199.6564 60.861154) (xy 199.6564 61.058846) (xy 199.694968 61.252739) (xy 199.770621 61.435383) (xy 199.880453 61.599758) + (xy 200.020242 61.739547) (xy 200.184617 61.849379) (xy 200.367261 61.925032) (xy 200.561154 61.9636) (xy 200.758846 61.9636) + (xy 200.952739 61.925032) (xy 201.135383 61.849379) (xy 201.299758 61.739547) (xy 201.439547 61.599758) (xy 201.549379 61.435383) + (xy 201.625032 61.252739) (xy 201.6636 61.058846) (xy 201.6636 60.861154) (xy 202.1964 60.861154) (xy 202.1964 61.058846) + (xy 202.234968 61.252739) (xy 202.310621 61.435383) (xy 202.420453 61.599758) (xy 202.560242 61.739547) (xy 202.724617 61.849379) + (xy 202.907261 61.925032) (xy 203.101154 61.9636) (xy 203.298846 61.9636) (xy 203.492739 61.925032) (xy 203.675383 61.849379) + (xy 203.839758 61.739547) (xy 203.979547 61.599758) (xy 204.089379 61.435383) (xy 204.165032 61.252739) (xy 204.2036 61.058846) + (xy 204.2036 60.861154) (xy 204.7364 60.861154) (xy 204.7364 61.058846) (xy 204.774968 61.252739) (xy 204.850621 61.435383) + (xy 204.960453 61.599758) (xy 205.100242 61.739547) (xy 205.264617 61.849379) (xy 205.447261 61.925032) (xy 205.641154 61.9636) + (xy 205.838846 61.9636) (xy 206.032739 61.925032) (xy 206.215383 61.849379) (xy 206.379758 61.739547) (xy 206.519547 61.599758) + (xy 206.629379 61.435383) (xy 206.705032 61.252739) (xy 206.7436 61.058846) (xy 206.7436 60.861154) (xy 206.705032 60.667261) + (xy 206.629379 60.484617) (xy 206.519547 60.320242) (xy 206.379758 60.180453) (xy 206.215383 60.070621) (xy 206.032739 59.994968) + (xy 205.838846 59.9564) (xy 205.641154 59.9564) (xy 205.447261 59.994968) (xy 205.264617 60.070621) (xy 205.100242 60.180453) + (xy 204.960453 60.320242) (xy 204.850621 60.484617) (xy 204.774968 60.667261) (xy 204.7364 60.861154) (xy 204.2036 60.861154) + (xy 204.165032 60.667261) (xy 204.089379 60.484617) (xy 203.979547 60.320242) (xy 203.839758 60.180453) (xy 203.675383 60.070621) + (xy 203.492739 59.994968) (xy 203.298846 59.9564) (xy 203.101154 59.9564) (xy 202.907261 59.994968) (xy 202.724617 60.070621) + (xy 202.560242 60.180453) (xy 202.420453 60.320242) (xy 202.310621 60.484617) (xy 202.234968 60.667261) (xy 202.1964 60.861154) + (xy 201.6636 60.861154) (xy 201.625032 60.667261) (xy 201.549379 60.484617) (xy 201.439547 60.320242) (xy 201.299758 60.180453) + (xy 201.135383 60.070621) (xy 200.952739 59.994968) (xy 200.758846 59.9564) (xy 200.561154 59.9564) (xy 200.367261 59.994968) + (xy 200.184617 60.070621) (xy 200.020242 60.180453) (xy 199.880453 60.320242) (xy 199.770621 60.484617) (xy 199.694968 60.667261) + (xy 199.6564 60.861154) (xy 190.401281 60.861154) (xy 190.410208 60.839603) (xy 190.4286 60.747138) (xy 190.4286 60.652862) + (xy 190.410208 60.560397) (xy 190.37413 60.473298) (xy 190.321753 60.39491) (xy 190.25509 60.328247) (xy 190.176702 60.27587) + (xy 190.089603 60.239792) (xy 189.997138 60.2214) (xy 189.902862 60.2214) (xy 189.810397 60.239792) (xy 189.723298 60.27587) + (xy 189.64491 60.328247) (xy 189.578247 60.39491) (xy 189.52587 60.473298) (xy 189.489792 60.560397) (xy 189.4714 60.652862) + (xy 103.5546 60.652862) (xy 103.5546 59.642862) (xy 103.5979 59.642862) (xy 103.5979 59.737138) (xy 103.616292 59.829603) + (xy 103.65237 59.916702) (xy 103.704747 59.99509) (xy 103.77141 60.061753) (xy 103.849798 60.11413) (xy 103.936897 60.150208) + (xy 104.029362 60.1686) (xy 104.123638 60.1686) (xy 104.216103 60.150208) (xy 104.303202 60.11413) (xy 104.38159 60.061753) + (xy 104.440481 60.002862) (xy 192.4714 60.002862) (xy 192.4714 60.097138) (xy 192.489792 60.189603) (xy 192.52587 60.276702) + (xy 192.578247 60.35509) (xy 192.64491 60.421753) (xy 192.723298 60.47413) (xy 192.810397 60.510208) (xy 192.902862 60.5286) + (xy 192.997138 60.5286) (xy 193.089603 60.510208) (xy 193.176702 60.47413) (xy 193.25509 60.421753) (xy 193.321753 60.35509) + (xy 193.37413 60.276702) (xy 193.410208 60.189603) (xy 193.4286 60.097138) (xy 193.4286 60.002862) (xy 193.410208 59.910397) + (xy 193.37413 59.823298) (xy 193.321753 59.74491) (xy 193.25509 59.678247) (xy 193.202133 59.642862) (xy 211.0399 59.642862) + (xy 211.0399 59.737138) (xy 211.058292 59.829603) (xy 211.09437 59.916702) (xy 211.146747 59.99509) (xy 211.21341 60.061753) + (xy 211.291798 60.11413) (xy 211.378897 60.150208) (xy 211.471362 60.1686) (xy 211.565638 60.1686) (xy 211.658103 60.150208) + (xy 211.745202 60.11413) (xy 211.82359 60.061753) (xy 211.890253 59.99509) (xy 211.94263 59.916702) (xy 211.978708 59.829603) + (xy 211.9971 59.737138) (xy 211.9971 59.642862) (xy 211.978708 59.550397) (xy 211.94263 59.463298) (xy 211.890253 59.38491) + (xy 211.82359 59.318247) (xy 211.745202 59.26587) (xy 211.658103 59.229792) (xy 211.565638 59.2114) (xy 211.471362 59.2114) + (xy 211.378897 59.229792) (xy 211.291798 59.26587) (xy 211.21341 59.318247) (xy 211.146747 59.38491) (xy 211.09437 59.463298) + (xy 211.058292 59.550397) (xy 211.0399 59.642862) (xy 193.202133 59.642862) (xy 193.176702 59.62587) (xy 193.089603 59.589792) + (xy 192.997138 59.5714) (xy 192.902862 59.5714) (xy 192.810397 59.589792) (xy 192.723298 59.62587) (xy 192.64491 59.678247) + (xy 192.578247 59.74491) (xy 192.52587 59.823298) (xy 192.489792 59.910397) (xy 192.4714 60.002862) (xy 104.440481 60.002862) + (xy 104.448253 59.99509) (xy 104.50063 59.916702) (xy 104.536708 59.829603) (xy 104.5551 59.737138) (xy 104.5551 59.642862) + (xy 104.536708 59.550397) (xy 104.50063 59.463298) (xy 104.448253 59.38491) (xy 104.38378 59.320437) (xy 127.1064 59.320437) + (xy 127.1064 59.424563) (xy 127.126713 59.526687) (xy 127.16656 59.622886) (xy 127.224409 59.709463) (xy 127.298037 59.783091) + (xy 127.384614 59.84094) (xy 127.480813 59.880787) (xy 127.582937 59.9011) (xy 127.687063 59.9011) (xy 127.789187 59.880787) + (xy 127.885386 59.84094) (xy 127.971963 59.783091) (xy 128.045591 59.709463) (xy 128.10344 59.622886) (xy 128.143287 59.526687) + (xy 128.1636 59.424563) (xy 128.1636 59.388862) (xy 128.4264 59.388862) (xy 128.4264 59.483138) (xy 128.444792 59.575603) + (xy 128.48087 59.662702) (xy 128.533247 59.74109) (xy 128.59991 59.807753) (xy 128.678298 59.86013) (xy 128.765397 59.896208) + (xy 128.857862 59.9146) (xy 128.952138 59.9146) (xy 129.044603 59.896208) (xy 129.131702 59.86013) (xy 129.21009 59.807753) + (xy 129.276753 59.74109) (xy 129.32913 59.662702) (xy 129.365208 59.575603) (xy 129.3836 59.483138) (xy 129.3836 59.388862) + (xy 129.6964 59.388862) (xy 129.6964 59.483138) (xy 129.714792 59.575603) (xy 129.75087 59.662702) (xy 129.803247 59.74109) + (xy 129.86991 59.807753) (xy 129.948298 59.86013) (xy 130.035397 59.896208) (xy 130.127862 59.9146) (xy 130.222138 59.9146) + (xy 130.314603 59.896208) (xy 130.401702 59.86013) (xy 130.48009 59.807753) (xy 130.546753 59.74109) (xy 130.59913 59.662702) + (xy 130.635208 59.575603) (xy 130.6536 59.483138) (xy 130.6536 59.388862) (xy 130.9664 59.388862) (xy 130.9664 59.483138) + (xy 130.984792 59.575603) (xy 131.02087 59.662702) (xy 131.073247 59.74109) (xy 131.13991 59.807753) (xy 131.218298 59.86013) + (xy 131.305397 59.896208) (xy 131.397862 59.9146) (xy 131.492138 59.9146) (xy 131.584603 59.896208) (xy 131.671702 59.86013) + (xy 131.75009 59.807753) (xy 131.816753 59.74109) (xy 131.86913 59.662702) (xy 131.905208 59.575603) (xy 131.9236 59.483138) + (xy 131.9236 59.388862) (xy 132.2364 59.388862) (xy 132.2364 59.483138) (xy 132.254792 59.575603) (xy 132.29087 59.662702) + (xy 132.343247 59.74109) (xy 132.40991 59.807753) (xy 132.488298 59.86013) (xy 132.575397 59.896208) (xy 132.667862 59.9146) + (xy 132.762138 59.9146) (xy 132.854603 59.896208) (xy 132.941702 59.86013) (xy 133.02009 59.807753) (xy 133.086753 59.74109) + (xy 133.13913 59.662702) (xy 133.175208 59.575603) (xy 133.1936 59.483138) (xy 133.1936 59.388862) (xy 133.5064 59.388862) + (xy 133.5064 59.483138) (xy 133.524792 59.575603) (xy 133.56087 59.662702) (xy 133.613247 59.74109) (xy 133.67991 59.807753) + (xy 133.758298 59.86013) (xy 133.845397 59.896208) (xy 133.937862 59.9146) (xy 134.032138 59.9146) (xy 134.124603 59.896208) + (xy 134.211702 59.86013) (xy 134.29009 59.807753) (xy 134.356753 59.74109) (xy 134.40913 59.662702) (xy 134.445208 59.575603) + (xy 134.4636 59.483138) (xy 134.4636 59.388862) (xy 134.7764 59.388862) (xy 134.7764 59.483138) (xy 134.794792 59.575603) + (xy 134.83087 59.662702) (xy 134.883247 59.74109) (xy 134.94991 59.807753) (xy 135.028298 59.86013) (xy 135.115397 59.896208) + (xy 135.207862 59.9146) (xy 135.302138 59.9146) (xy 135.394603 59.896208) (xy 135.481702 59.86013) (xy 135.56009 59.807753) + (xy 135.626753 59.74109) (xy 135.67913 59.662702) (xy 135.715208 59.575603) (xy 135.7336 59.483138) (xy 135.7336 59.388862) + (xy 136.1734 59.388862) (xy 136.1734 59.483138) (xy 136.191792 59.575603) (xy 136.22787 59.662702) (xy 136.280247 59.74109) + (xy 136.34691 59.807753) (xy 136.425298 59.86013) (xy 136.512397 59.896208) (xy 136.604862 59.9146) (xy 136.699138 59.9146) + (xy 136.791603 59.896208) (xy 136.878702 59.86013) (xy 136.95709 59.807753) (xy 137.023753 59.74109) (xy 137.07613 59.662702) + (xy 137.112208 59.575603) (xy 137.1306 59.483138) (xy 137.1306 59.388862) (xy 137.3164 59.388862) (xy 137.3164 59.483138) + (xy 137.334792 59.575603) (xy 137.37087 59.662702) (xy 137.423247 59.74109) (xy 137.48991 59.807753) (xy 137.568298 59.86013) + (xy 137.655397 59.896208) (xy 137.747862 59.9146) (xy 137.842138 59.9146) (xy 137.934603 59.896208) (xy 138.021702 59.86013) + (xy 138.10009 59.807753) (xy 138.166753 59.74109) (xy 138.21913 59.662702) (xy 138.255208 59.575603) (xy 138.2736 59.483138) + (xy 138.2736 59.388862) (xy 138.25999 59.320437) (xy 143.6164 59.320437) (xy 143.6164 59.424563) (xy 143.636713 59.526687) + (xy 143.67656 59.622886) (xy 143.734409 59.709463) (xy 143.808037 59.783091) (xy 143.894614 59.84094) (xy 143.990813 59.880787) + (xy 144.092937 59.9011) (xy 144.197063 59.9011) (xy 144.299187 59.880787) (xy 144.395386 59.84094) (xy 144.481963 59.783091) + (xy 144.555591 59.709463) (xy 144.61344 59.622886) (xy 144.653287 59.526687) (xy 144.6736 59.424563) (xy 144.6736 59.320437) + (xy 152.5064 59.320437) (xy 152.5064 59.424563) (xy 152.526713 59.526687) (xy 152.56656 59.622886) (xy 152.624409 59.709463) + (xy 152.698037 59.783091) (xy 152.784614 59.84094) (xy 152.880813 59.880787) (xy 152.982937 59.9011) (xy 153.087063 59.9011) + (xy 153.189187 59.880787) (xy 153.285386 59.84094) (xy 153.371963 59.783091) (xy 153.445591 59.709463) (xy 153.50344 59.622886) + (xy 153.543287 59.526687) (xy 153.5636 59.424563) (xy 153.5636 59.388862) (xy 153.8264 59.388862) (xy 153.8264 59.483138) + (xy 153.844792 59.575603) (xy 153.88087 59.662702) (xy 153.933247 59.74109) (xy 153.99991 59.807753) (xy 154.078298 59.86013) + (xy 154.165397 59.896208) (xy 154.257862 59.9146) (xy 154.352138 59.9146) (xy 154.444603 59.896208) (xy 154.531702 59.86013) + (xy 154.61009 59.807753) (xy 154.676753 59.74109) (xy 154.72913 59.662702) (xy 154.765208 59.575603) (xy 154.7836 59.483138) + (xy 154.7836 59.388862) (xy 155.0964 59.388862) (xy 155.0964 59.483138) (xy 155.114792 59.575603) (xy 155.15087 59.662702) + (xy 155.203247 59.74109) (xy 155.26991 59.807753) (xy 155.348298 59.86013) (xy 155.435397 59.896208) (xy 155.527862 59.9146) + (xy 155.622138 59.9146) (xy 155.714603 59.896208) (xy 155.801702 59.86013) (xy 155.88009 59.807753) (xy 155.946753 59.74109) + (xy 155.99913 59.662702) (xy 156.035208 59.575603) (xy 156.0536 59.483138) (xy 156.0536 59.388862) (xy 156.3664 59.388862) + (xy 156.3664 59.483138) (xy 156.384792 59.575603) (xy 156.42087 59.662702) (xy 156.473247 59.74109) (xy 156.53991 59.807753) + (xy 156.618298 59.86013) (xy 156.705397 59.896208) (xy 156.797862 59.9146) (xy 156.892138 59.9146) (xy 156.984603 59.896208) + (xy 157.071702 59.86013) (xy 157.15009 59.807753) (xy 157.216753 59.74109) (xy 157.26913 59.662702) (xy 157.305208 59.575603) + (xy 157.3236 59.483138) (xy 157.3236 59.388862) (xy 157.6364 59.388862) (xy 157.6364 59.483138) (xy 157.654792 59.575603) + (xy 157.69087 59.662702) (xy 157.743247 59.74109) (xy 157.80991 59.807753) (xy 157.888298 59.86013) (xy 157.975397 59.896208) + (xy 158.067862 59.9146) (xy 158.162138 59.9146) (xy 158.254603 59.896208) (xy 158.341702 59.86013) (xy 158.42009 59.807753) + (xy 158.486753 59.74109) (xy 158.53913 59.662702) (xy 158.575208 59.575603) (xy 158.5936 59.483138) (xy 158.5936 59.388862) + (xy 158.9064 59.388862) (xy 158.9064 59.483138) (xy 158.924792 59.575603) (xy 158.96087 59.662702) (xy 159.013247 59.74109) + (xy 159.07991 59.807753) (xy 159.158298 59.86013) (xy 159.245397 59.896208) (xy 159.337862 59.9146) (xy 159.432138 59.9146) + (xy 159.524603 59.896208) (xy 159.611702 59.86013) (xy 159.69009 59.807753) (xy 159.756753 59.74109) (xy 159.80913 59.662702) + (xy 159.845208 59.575603) (xy 159.8636 59.483138) (xy 159.8636 59.388862) (xy 160.1764 59.388862) (xy 160.1764 59.483138) + (xy 160.194792 59.575603) (xy 160.23087 59.662702) (xy 160.283247 59.74109) (xy 160.34991 59.807753) (xy 160.428298 59.86013) + (xy 160.515397 59.896208) (xy 160.607862 59.9146) (xy 160.702138 59.9146) (xy 160.794603 59.896208) (xy 160.881702 59.86013) + (xy 160.96009 59.807753) (xy 161.026753 59.74109) (xy 161.07913 59.662702) (xy 161.115208 59.575603) (xy 161.1336 59.483138) + (xy 161.1336 59.388862) (xy 161.4464 59.388862) (xy 161.4464 59.483138) (xy 161.464792 59.575603) (xy 161.50087 59.662702) + (xy 161.553247 59.74109) (xy 161.61991 59.807753) (xy 161.698298 59.86013) (xy 161.785397 59.896208) (xy 161.877862 59.9146) + (xy 161.972138 59.9146) (xy 162.064603 59.896208) (xy 162.151702 59.86013) (xy 162.23009 59.807753) (xy 162.296753 59.74109) + (xy 162.34913 59.662702) (xy 162.385208 59.575603) (xy 162.4036 59.483138) (xy 162.4036 59.388862) (xy 165.2564 59.388862) + (xy 165.2564 59.483138) (xy 165.274792 59.575603) (xy 165.31087 59.662702) (xy 165.363247 59.74109) (xy 165.42991 59.807753) + (xy 165.508298 59.86013) (xy 165.595397 59.896208) (xy 165.687862 59.9146) (xy 165.782138 59.9146) (xy 165.874603 59.896208) + (xy 165.961702 59.86013) (xy 166.04009 59.807753) (xy 166.106753 59.74109) (xy 166.15913 59.662702) (xy 166.195208 59.575603) + (xy 166.2136 59.483138) (xy 166.2136 59.388862) (xy 166.5264 59.388862) (xy 166.5264 59.483138) (xy 166.544792 59.575603) + (xy 166.58087 59.662702) (xy 166.633247 59.74109) (xy 166.69991 59.807753) (xy 166.778298 59.86013) (xy 166.865397 59.896208) + (xy 166.957862 59.9146) (xy 167.052138 59.9146) (xy 167.144603 59.896208) (xy 167.231702 59.86013) (xy 167.31009 59.807753) + (xy 167.376753 59.74109) (xy 167.42913 59.662702) (xy 167.465208 59.575603) (xy 167.4836 59.483138) (xy 167.4836 59.388862) + (xy 167.7964 59.388862) (xy 167.7964 59.483138) (xy 167.814792 59.575603) (xy 167.85087 59.662702) (xy 167.903247 59.74109) + (xy 167.96991 59.807753) (xy 168.048298 59.86013) (xy 168.135397 59.896208) (xy 168.227862 59.9146) (xy 168.322138 59.9146) + (xy 168.414603 59.896208) (xy 168.501702 59.86013) (xy 168.58009 59.807753) (xy 168.646753 59.74109) (xy 168.69913 59.662702) + (xy 168.735208 59.575603) (xy 168.7536 59.483138) (xy 168.7536 59.388862) (xy 168.73999 59.320437) (xy 169.0164 59.320437) + (xy 169.0164 59.424563) (xy 169.036713 59.526687) (xy 169.07656 59.622886) (xy 169.134409 59.709463) (xy 169.208037 59.783091) + (xy 169.294614 59.84094) (xy 169.390813 59.880787) (xy 169.492937 59.9011) (xy 169.597063 59.9011) (xy 169.699187 59.880787) + (xy 169.795386 59.84094) (xy 169.881963 59.783091) (xy 169.955591 59.709463) (xy 170.01344 59.622886) (xy 170.053287 59.526687) + (xy 170.0736 59.424563) (xy 170.0736 59.352862) (xy 185.7714 59.352862) (xy 185.7714 59.447138) (xy 185.789792 59.539603) + (xy 185.82587 59.626702) (xy 185.878247 59.70509) (xy 185.94491 59.771753) (xy 186.023298 59.82413) (xy 186.110397 59.860208) + (xy 186.202862 59.8786) (xy 186.297138 59.8786) (xy 186.389603 59.860208) (xy 186.476702 59.82413) (xy 186.55509 59.771753) + (xy 186.621753 59.70509) (xy 186.67413 59.626702) (xy 186.710208 59.539603) (xy 186.7286 59.447138) (xy 186.7286 59.352862) + (xy 186.710208 59.260397) (xy 186.67413 59.173298) (xy 186.621753 59.09491) (xy 186.55509 59.028247) (xy 186.476702 58.97587) + (xy 186.389603 58.939792) (xy 186.297138 58.9214) (xy 186.202862 58.9214) (xy 186.110397 58.939792) (xy 186.023298 58.97587) + (xy 185.94491 59.028247) (xy 185.878247 59.09491) (xy 185.82587 59.173298) (xy 185.789792 59.260397) (xy 185.7714 59.352862) + (xy 170.0736 59.352862) (xy 170.0736 59.320437) (xy 170.053287 59.218313) (xy 170.01344 59.122114) (xy 169.955591 59.035537) + (xy 169.881963 58.961909) (xy 169.795386 58.90406) (xy 169.699187 58.864213) (xy 169.597063 58.8439) (xy 169.492937 58.8439) + (xy 169.390813 58.864213) (xy 169.294614 58.90406) (xy 169.208037 58.961909) (xy 169.134409 59.035537) (xy 169.07656 59.122114) + (xy 169.036713 59.218313) (xy 169.0164 59.320437) (xy 168.73999 59.320437) (xy 168.735208 59.296397) (xy 168.69913 59.209298) + (xy 168.646753 59.13091) (xy 168.58009 59.064247) (xy 168.501702 59.01187) (xy 168.414603 58.975792) (xy 168.322138 58.9574) + (xy 168.227862 58.9574) (xy 168.135397 58.975792) (xy 168.048298 59.01187) (xy 167.96991 59.064247) (xy 167.903247 59.13091) + (xy 167.85087 59.209298) (xy 167.814792 59.296397) (xy 167.7964 59.388862) (xy 167.4836 59.388862) (xy 167.465208 59.296397) + (xy 167.42913 59.209298) (xy 167.376753 59.13091) (xy 167.31009 59.064247) (xy 167.231702 59.01187) (xy 167.144603 58.975792) + (xy 167.052138 58.9574) (xy 166.957862 58.9574) (xy 166.865397 58.975792) (xy 166.778298 59.01187) (xy 166.69991 59.064247) + (xy 166.633247 59.13091) (xy 166.58087 59.209298) (xy 166.544792 59.296397) (xy 166.5264 59.388862) (xy 166.2136 59.388862) + (xy 166.195208 59.296397) (xy 166.15913 59.209298) (xy 166.106753 59.13091) (xy 166.04009 59.064247) (xy 165.961702 59.01187) + (xy 165.874603 58.975792) (xy 165.782138 58.9574) (xy 165.687862 58.9574) (xy 165.595397 58.975792) (xy 165.508298 59.01187) + (xy 165.42991 59.064247) (xy 165.363247 59.13091) (xy 165.31087 59.209298) (xy 165.274792 59.296397) (xy 165.2564 59.388862) + (xy 162.4036 59.388862) (xy 162.385208 59.296397) (xy 162.34913 59.209298) (xy 162.296753 59.13091) (xy 162.23009 59.064247) + (xy 162.151702 59.01187) (xy 162.064603 58.975792) (xy 161.972138 58.9574) (xy 161.877862 58.9574) (xy 161.785397 58.975792) + (xy 161.698298 59.01187) (xy 161.61991 59.064247) (xy 161.553247 59.13091) (xy 161.50087 59.209298) (xy 161.464792 59.296397) + (xy 161.4464 59.388862) (xy 161.1336 59.388862) (xy 161.115208 59.296397) (xy 161.07913 59.209298) (xy 161.026753 59.13091) + (xy 160.96009 59.064247) (xy 160.881702 59.01187) (xy 160.794603 58.975792) (xy 160.702138 58.9574) (xy 160.607862 58.9574) + (xy 160.515397 58.975792) (xy 160.428298 59.01187) (xy 160.34991 59.064247) (xy 160.283247 59.13091) (xy 160.23087 59.209298) + (xy 160.194792 59.296397) (xy 160.1764 59.388862) (xy 159.8636 59.388862) (xy 159.845208 59.296397) (xy 159.80913 59.209298) + (xy 159.756753 59.13091) (xy 159.69009 59.064247) (xy 159.611702 59.01187) (xy 159.524603 58.975792) (xy 159.432138 58.9574) + (xy 159.337862 58.9574) (xy 159.245397 58.975792) (xy 159.158298 59.01187) (xy 159.07991 59.064247) (xy 159.013247 59.13091) + (xy 158.96087 59.209298) (xy 158.924792 59.296397) (xy 158.9064 59.388862) (xy 158.5936 59.388862) (xy 158.575208 59.296397) + (xy 158.53913 59.209298) (xy 158.486753 59.13091) (xy 158.42009 59.064247) (xy 158.341702 59.01187) (xy 158.254603 58.975792) + (xy 158.162138 58.9574) (xy 158.067862 58.9574) (xy 157.975397 58.975792) (xy 157.888298 59.01187) (xy 157.80991 59.064247) + (xy 157.743247 59.13091) (xy 157.69087 59.209298) (xy 157.654792 59.296397) (xy 157.6364 59.388862) (xy 157.3236 59.388862) + (xy 157.305208 59.296397) (xy 157.26913 59.209298) (xy 157.216753 59.13091) (xy 157.15009 59.064247) (xy 157.071702 59.01187) + (xy 156.984603 58.975792) (xy 156.892138 58.9574) (xy 156.797862 58.9574) (xy 156.705397 58.975792) (xy 156.618298 59.01187) + (xy 156.53991 59.064247) (xy 156.473247 59.13091) (xy 156.42087 59.209298) (xy 156.384792 59.296397) (xy 156.3664 59.388862) + (xy 156.0536 59.388862) (xy 156.035208 59.296397) (xy 155.99913 59.209298) (xy 155.946753 59.13091) (xy 155.88009 59.064247) + (xy 155.801702 59.01187) (xy 155.714603 58.975792) (xy 155.622138 58.9574) (xy 155.527862 58.9574) (xy 155.435397 58.975792) + (xy 155.348298 59.01187) (xy 155.26991 59.064247) (xy 155.203247 59.13091) (xy 155.15087 59.209298) (xy 155.114792 59.296397) + (xy 155.0964 59.388862) (xy 154.7836 59.388862) (xy 154.765208 59.296397) (xy 154.72913 59.209298) (xy 154.676753 59.13091) + (xy 154.61009 59.064247) (xy 154.531702 59.01187) (xy 154.444603 58.975792) (xy 154.352138 58.9574) (xy 154.257862 58.9574) + (xy 154.165397 58.975792) (xy 154.078298 59.01187) (xy 153.99991 59.064247) (xy 153.933247 59.13091) (xy 153.88087 59.209298) + (xy 153.844792 59.296397) (xy 153.8264 59.388862) (xy 153.5636 59.388862) (xy 153.5636 59.320437) (xy 153.543287 59.218313) + (xy 153.50344 59.122114) (xy 153.445591 59.035537) (xy 153.371963 58.961909) (xy 153.285386 58.90406) (xy 153.189187 58.864213) + (xy 153.087063 58.8439) (xy 152.982937 58.8439) (xy 152.880813 58.864213) (xy 152.784614 58.90406) (xy 152.698037 58.961909) + (xy 152.624409 59.035537) (xy 152.56656 59.122114) (xy 152.526713 59.218313) (xy 152.5064 59.320437) (xy 144.6736 59.320437) + (xy 144.653287 59.218313) (xy 144.61344 59.122114) (xy 144.555591 59.035537) (xy 144.481963 58.961909) (xy 144.395386 58.90406) + (xy 144.299187 58.864213) (xy 144.197063 58.8439) (xy 144.092937 58.8439) (xy 143.990813 58.864213) (xy 143.894614 58.90406) + (xy 143.808037 58.961909) (xy 143.734409 59.035537) (xy 143.67656 59.122114) (xy 143.636713 59.218313) (xy 143.6164 59.320437) + (xy 138.25999 59.320437) (xy 138.255208 59.296397) (xy 138.21913 59.209298) (xy 138.166753 59.13091) (xy 138.10009 59.064247) + (xy 138.021702 59.01187) (xy 137.934603 58.975792) (xy 137.842138 58.9574) (xy 137.747862 58.9574) (xy 137.655397 58.975792) + (xy 137.568298 59.01187) (xy 137.48991 59.064247) (xy 137.423247 59.13091) (xy 137.37087 59.209298) (xy 137.334792 59.296397) + (xy 137.3164 59.388862) (xy 137.1306 59.388862) (xy 137.112208 59.296397) (xy 137.07613 59.209298) (xy 137.023753 59.13091) + (xy 136.95709 59.064247) (xy 136.878702 59.01187) (xy 136.791603 58.975792) (xy 136.699138 58.9574) (xy 136.604862 58.9574) + (xy 136.512397 58.975792) (xy 136.425298 59.01187) (xy 136.34691 59.064247) (xy 136.280247 59.13091) (xy 136.22787 59.209298) + (xy 136.191792 59.296397) (xy 136.1734 59.388862) (xy 135.7336 59.388862) (xy 135.715208 59.296397) (xy 135.67913 59.209298) + (xy 135.626753 59.13091) (xy 135.56009 59.064247) (xy 135.481702 59.01187) (xy 135.394603 58.975792) (xy 135.302138 58.9574) + (xy 135.207862 58.9574) (xy 135.115397 58.975792) (xy 135.028298 59.01187) (xy 134.94991 59.064247) (xy 134.883247 59.13091) + (xy 134.83087 59.209298) (xy 134.794792 59.296397) (xy 134.7764 59.388862) (xy 134.4636 59.388862) (xy 134.445208 59.296397) + (xy 134.40913 59.209298) (xy 134.356753 59.13091) (xy 134.29009 59.064247) (xy 134.211702 59.01187) (xy 134.124603 58.975792) + (xy 134.032138 58.9574) (xy 133.937862 58.9574) (xy 133.845397 58.975792) (xy 133.758298 59.01187) (xy 133.67991 59.064247) + (xy 133.613247 59.13091) (xy 133.56087 59.209298) (xy 133.524792 59.296397) (xy 133.5064 59.388862) (xy 133.1936 59.388862) + (xy 133.175208 59.296397) (xy 133.13913 59.209298) (xy 133.086753 59.13091) (xy 133.02009 59.064247) (xy 132.941702 59.01187) + (xy 132.854603 58.975792) (xy 132.762138 58.9574) (xy 132.667862 58.9574) (xy 132.575397 58.975792) (xy 132.488298 59.01187) + (xy 132.40991 59.064247) (xy 132.343247 59.13091) (xy 132.29087 59.209298) (xy 132.254792 59.296397) (xy 132.2364 59.388862) + (xy 131.9236 59.388862) (xy 131.905208 59.296397) (xy 131.86913 59.209298) (xy 131.816753 59.13091) (xy 131.75009 59.064247) + (xy 131.671702 59.01187) (xy 131.584603 58.975792) (xy 131.492138 58.9574) (xy 131.397862 58.9574) (xy 131.305397 58.975792) + (xy 131.218298 59.01187) (xy 131.13991 59.064247) (xy 131.073247 59.13091) (xy 131.02087 59.209298) (xy 130.984792 59.296397) + (xy 130.9664 59.388862) (xy 130.6536 59.388862) (xy 130.635208 59.296397) (xy 130.59913 59.209298) (xy 130.546753 59.13091) + (xy 130.48009 59.064247) (xy 130.401702 59.01187) (xy 130.314603 58.975792) (xy 130.222138 58.9574) (xy 130.127862 58.9574) + (xy 130.035397 58.975792) (xy 129.948298 59.01187) (xy 129.86991 59.064247) (xy 129.803247 59.13091) (xy 129.75087 59.209298) + (xy 129.714792 59.296397) (xy 129.6964 59.388862) (xy 129.3836 59.388862) (xy 129.365208 59.296397) (xy 129.32913 59.209298) + (xy 129.276753 59.13091) (xy 129.21009 59.064247) (xy 129.131702 59.01187) (xy 129.044603 58.975792) (xy 128.952138 58.9574) + (xy 128.857862 58.9574) (xy 128.765397 58.975792) (xy 128.678298 59.01187) (xy 128.59991 59.064247) (xy 128.533247 59.13091) + (xy 128.48087 59.209298) (xy 128.444792 59.296397) (xy 128.4264 59.388862) (xy 128.1636 59.388862) (xy 128.1636 59.320437) + (xy 128.143287 59.218313) (xy 128.10344 59.122114) (xy 128.045591 59.035537) (xy 127.971963 58.961909) (xy 127.885386 58.90406) + (xy 127.789187 58.864213) (xy 127.687063 58.8439) (xy 127.582937 58.8439) (xy 127.480813 58.864213) (xy 127.384614 58.90406) + (xy 127.298037 58.961909) (xy 127.224409 59.035537) (xy 127.16656 59.122114) (xy 127.126713 59.218313) (xy 127.1064 59.320437) + (xy 104.38378 59.320437) (xy 104.38159 59.318247) (xy 104.303202 59.26587) (xy 104.216103 59.229792) (xy 104.123638 59.2114) + (xy 104.029362 59.2114) (xy 103.936897 59.229792) (xy 103.849798 59.26587) (xy 103.77141 59.318247) (xy 103.704747 59.38491) + (xy 103.65237 59.463298) (xy 103.616292 59.550397) (xy 103.5979 59.642862) (xy 103.5546 59.642862) (xy 103.5546 57.605937) + (xy 146.1564 57.605937) (xy 146.1564 57.710063) (xy 146.176713 57.812187) (xy 146.21656 57.908386) (xy 146.274409 57.994963) + (xy 146.348037 58.068591) (xy 146.434614 58.12644) (xy 146.530813 58.166287) (xy 146.632937 58.1866) (xy 146.737063 58.1866) + (xy 146.839187 58.166287) (xy 146.935386 58.12644) (xy 147.021963 58.068591) (xy 147.095591 57.994963) (xy 147.15344 57.908386) + (xy 147.193287 57.812187) (xy 147.2136 57.710063) (xy 147.2136 57.605937) (xy 149.9664 57.605937) (xy 149.9664 57.710063) + (xy 149.986713 57.812187) (xy 150.02656 57.908386) (xy 150.084409 57.994963) (xy 150.158037 58.068591) (xy 150.244614 58.12644) + (xy 150.340813 58.166287) (xy 150.442937 58.1866) (xy 150.547063 58.1866) (xy 150.649187 58.166287) (xy 150.745386 58.12644) + (xy 150.831963 58.068591) (xy 150.905591 57.994963) (xy 150.96344 57.908386) (xy 150.981468 57.864862) (xy 162.7164 57.864862) + (xy 162.7164 57.959138) (xy 162.734792 58.051603) (xy 162.77087 58.138702) (xy 162.823247 58.21709) (xy 162.88991 58.283753) + (xy 162.968298 58.33613) (xy 163.055397 58.372208) (xy 163.147862 58.3906) (xy 163.242138 58.3906) (xy 163.334603 58.372208) + (xy 163.421702 58.33613) (xy 163.50009 58.283753) (xy 163.566753 58.21709) (xy 163.61913 58.138702) (xy 163.655208 58.051603) + (xy 163.6736 57.959138) (xy 163.6736 57.864862) (xy 163.655208 57.772397) (xy 163.61913 57.685298) (xy 163.566753 57.60691) + (xy 163.56578 57.605937) (xy 171.5564 57.605937) (xy 171.5564 57.710063) (xy 171.576713 57.812187) (xy 171.61656 57.908386) + (xy 171.674409 57.994963) (xy 171.748037 58.068591) (xy 171.834614 58.12644) (xy 171.930813 58.166287) (xy 172.032937 58.1866) + (xy 172.137063 58.1866) (xy 172.239187 58.166287) (xy 172.335386 58.12644) (xy 172.421963 58.068591) (xy 172.495591 57.994963) + (xy 172.55344 57.908386) (xy 172.557768 57.897937) (xy 188.1714 57.897937) (xy 188.1714 58.002063) (xy 188.191713 58.104187) + (xy 188.23156 58.200386) (xy 188.289409 58.286963) (xy 188.363037 58.360591) (xy 188.449614 58.41844) (xy 188.545813 58.458287) + (xy 188.647937 58.4786) (xy 188.752063 58.4786) (xy 188.854187 58.458287) (xy 188.950386 58.41844) (xy 189.036963 58.360591) + (xy 189.0764 58.321154) (xy 199.6564 58.321154) (xy 199.6564 58.518846) (xy 199.694968 58.712739) (xy 199.770621 58.895383) + (xy 199.880453 59.059758) (xy 200.020242 59.199547) (xy 200.184617 59.309379) (xy 200.367261 59.385032) (xy 200.561154 59.4236) + (xy 200.758846 59.4236) (xy 200.952739 59.385032) (xy 201.135383 59.309379) (xy 201.299758 59.199547) (xy 201.439547 59.059758) + (xy 201.549379 58.895383) (xy 201.625032 58.712739) (xy 201.6636 58.518846) (xy 201.6636 58.321154) (xy 202.1964 58.321154) + (xy 202.1964 58.518846) (xy 202.234968 58.712739) (xy 202.310621 58.895383) (xy 202.420453 59.059758) (xy 202.560242 59.199547) + (xy 202.724617 59.309379) (xy 202.907261 59.385032) (xy 203.101154 59.4236) (xy 203.298846 59.4236) (xy 203.492739 59.385032) + (xy 203.675383 59.309379) (xy 203.839758 59.199547) (xy 203.979547 59.059758) (xy 204.089379 58.895383) (xy 204.165032 58.712739) + (xy 204.2036 58.518846) (xy 204.2036 58.321154) (xy 204.7364 58.321154) (xy 204.7364 58.518846) (xy 204.774968 58.712739) + (xy 204.850621 58.895383) (xy 204.960453 59.059758) (xy 205.100242 59.199547) (xy 205.264617 59.309379) (xy 205.447261 59.385032) + (xy 205.641154 59.4236) (xy 205.838846 59.4236) (xy 206.032739 59.385032) (xy 206.215383 59.309379) (xy 206.379758 59.199547) + (xy 206.519547 59.059758) (xy 206.629379 58.895383) (xy 206.705032 58.712739) (xy 206.7436 58.518846) (xy 206.7436 58.321154) + (xy 206.705032 58.127261) (xy 206.629379 57.944617) (xy 206.519547 57.780242) (xy 206.379758 57.640453) (xy 206.215383 57.530621) + (xy 206.032739 57.454968) (xy 205.838846 57.4164) (xy 205.641154 57.4164) (xy 205.447261 57.454968) (xy 205.264617 57.530621) + (xy 205.100242 57.640453) (xy 204.960453 57.780242) (xy 204.850621 57.944617) (xy 204.774968 58.127261) (xy 204.7364 58.321154) + (xy 204.2036 58.321154) (xy 204.165032 58.127261) (xy 204.089379 57.944617) (xy 203.979547 57.780242) (xy 203.839758 57.640453) + (xy 203.675383 57.530621) (xy 203.492739 57.454968) (xy 203.298846 57.4164) (xy 203.101154 57.4164) (xy 202.907261 57.454968) + (xy 202.724617 57.530621) (xy 202.560242 57.640453) (xy 202.420453 57.780242) (xy 202.310621 57.944617) (xy 202.234968 58.127261) + (xy 202.1964 58.321154) (xy 201.6636 58.321154) (xy 201.625032 58.127261) (xy 201.549379 57.944617) (xy 201.439547 57.780242) + (xy 201.299758 57.640453) (xy 201.135383 57.530621) (xy 200.952739 57.454968) (xy 200.758846 57.4164) (xy 200.561154 57.4164) + (xy 200.367261 57.454968) (xy 200.184617 57.530621) (xy 200.020242 57.640453) (xy 199.880453 57.780242) (xy 199.770621 57.944617) + (xy 199.694968 58.127261) (xy 199.6564 58.321154) (xy 189.0764 58.321154) (xy 189.110591 58.286963) (xy 189.16844 58.200386) + (xy 189.208287 58.104187) (xy 189.2286 58.002063) (xy 189.2286 57.897937) (xy 189.208287 57.795813) (xy 189.16844 57.699614) + (xy 189.110591 57.613037) (xy 189.036963 57.539409) (xy 188.950386 57.48156) (xy 188.854187 57.441713) (xy 188.752063 57.4214) + (xy 188.647937 57.4214) (xy 188.545813 57.441713) (xy 188.449614 57.48156) (xy 188.363037 57.539409) (xy 188.289409 57.613037) + (xy 188.23156 57.699614) (xy 188.191713 57.795813) (xy 188.1714 57.897937) (xy 172.557768 57.897937) (xy 172.593287 57.812187) + (xy 172.6136 57.710063) (xy 172.6136 57.605937) (xy 172.593287 57.503813) (xy 172.55344 57.407614) (xy 172.495591 57.321037) + (xy 172.421963 57.247409) (xy 172.335386 57.18956) (xy 172.239187 57.149713) (xy 172.137063 57.1294) (xy 172.032937 57.1294) + (xy 171.930813 57.149713) (xy 171.834614 57.18956) (xy 171.748037 57.247409) (xy 171.674409 57.321037) (xy 171.61656 57.407614) + (xy 171.576713 57.503813) (xy 171.5564 57.605937) (xy 163.56578 57.605937) (xy 163.50009 57.540247) (xy 163.421702 57.48787) + (xy 163.334603 57.451792) (xy 163.242138 57.4334) (xy 163.147862 57.4334) (xy 163.055397 57.451792) (xy 162.968298 57.48787) + (xy 162.88991 57.540247) (xy 162.823247 57.60691) (xy 162.77087 57.685298) (xy 162.734792 57.772397) (xy 162.7164 57.864862) + (xy 150.981468 57.864862) (xy 151.003287 57.812187) (xy 151.0236 57.710063) (xy 151.0236 57.605937) (xy 151.003287 57.503813) + (xy 150.96344 57.407614) (xy 150.905591 57.321037) (xy 150.831963 57.247409) (xy 150.745386 57.18956) (xy 150.649187 57.149713) + (xy 150.547063 57.1294) (xy 150.442937 57.1294) (xy 150.340813 57.149713) (xy 150.244614 57.18956) (xy 150.158037 57.247409) + (xy 150.084409 57.321037) (xy 150.02656 57.407614) (xy 149.986713 57.503813) (xy 149.9664 57.605937) (xy 147.2136 57.605937) + (xy 147.193287 57.503813) (xy 147.15344 57.407614) (xy 147.095591 57.321037) (xy 147.021963 57.247409) (xy 146.935386 57.18956) + (xy 146.839187 57.149713) (xy 146.737063 57.1294) (xy 146.632937 57.1294) (xy 146.530813 57.149713) (xy 146.434614 57.18956) + (xy 146.348037 57.247409) (xy 146.274409 57.321037) (xy 146.21656 57.407614) (xy 146.176713 57.503813) (xy 146.1564 57.605937) + (xy 103.5546 57.605937) (xy 103.5546 54.562862) (xy 103.5979 54.562862) (xy 103.5979 54.657138) (xy 103.616292 54.749603) + (xy 103.65237 54.836702) (xy 103.704747 54.91509) (xy 103.77141 54.981753) (xy 103.849798 55.03413) (xy 103.936897 55.070208) + (xy 104.029362 55.0886) (xy 104.123638 55.0886) (xy 104.216103 55.070208) (xy 104.303202 55.03413) (xy 104.38159 54.981753) + (xy 104.448253 54.91509) (xy 104.454537 54.905685) (xy 109.817899 54.905685) (xy 109.817899 55.184623) (xy 109.872317 55.458201) + (xy 109.979061 55.715905) (xy 110.134031 55.947833) (xy 110.33127 56.145072) (xy 110.563198 56.300042) (xy 110.820902 56.406786) + (xy 111.09448 56.461204) (xy 111.373418 56.461204) (xy 111.646996 56.406786) (xy 111.688515 56.389588) (xy 123.2599 56.389588) + (xy 123.2599 56.513412) (xy 123.284056 56.634856) (xy 123.331441 56.749254) (xy 123.400234 56.852209) (xy 123.487791 56.939766) + (xy 123.590746 57.008559) (xy 123.705144 57.055944) (xy 123.826588 57.0801) (xy 123.950412 57.0801) (xy 124.071856 57.055944) + (xy 124.186254 57.008559) (xy 124.289209 56.939766) (xy 124.376766 56.852209) (xy 124.379002 56.848862) (xy 128.4264 56.848862) + (xy 128.4264 56.943138) (xy 128.444792 57.035603) (xy 128.48087 57.122702) (xy 128.533247 57.20109) (xy 128.59991 57.267753) + (xy 128.678298 57.32013) (xy 128.765397 57.356208) (xy 128.857862 57.3746) (xy 128.952138 57.3746) (xy 129.044603 57.356208) + (xy 129.131702 57.32013) (xy 129.21009 57.267753) (xy 129.276753 57.20109) (xy 129.32913 57.122702) (xy 129.365208 57.035603) + (xy 129.3836 56.943138) (xy 129.3836 56.848862) (xy 129.6964 56.848862) (xy 129.6964 56.943138) (xy 129.714792 57.035603) + (xy 129.75087 57.122702) (xy 129.803247 57.20109) (xy 129.86991 57.267753) (xy 129.948298 57.32013) (xy 130.035397 57.356208) + (xy 130.127862 57.3746) (xy 130.222138 57.3746) (xy 130.314603 57.356208) (xy 130.401702 57.32013) (xy 130.48009 57.267753) + (xy 130.546753 57.20109) (xy 130.59913 57.122702) (xy 130.635208 57.035603) (xy 130.6536 56.943138) (xy 130.6536 56.848862) + (xy 130.9664 56.848862) (xy 130.9664 56.943138) (xy 130.984792 57.035603) (xy 131.02087 57.122702) (xy 131.073247 57.20109) + (xy 131.13991 57.267753) (xy 131.218298 57.32013) (xy 131.305397 57.356208) (xy 131.397862 57.3746) (xy 131.492138 57.3746) + (xy 131.584603 57.356208) (xy 131.671702 57.32013) (xy 131.75009 57.267753) (xy 131.816753 57.20109) (xy 131.86913 57.122702) + (xy 131.905208 57.035603) (xy 131.9236 56.943138) (xy 131.9236 56.848862) (xy 132.2364 56.848862) (xy 132.2364 56.943138) + (xy 132.254792 57.035603) (xy 132.29087 57.122702) (xy 132.343247 57.20109) (xy 132.40991 57.267753) (xy 132.488298 57.32013) + (xy 132.575397 57.356208) (xy 132.667862 57.3746) (xy 132.762138 57.3746) (xy 132.854603 57.356208) (xy 132.941702 57.32013) + (xy 133.02009 57.267753) (xy 133.086753 57.20109) (xy 133.13913 57.122702) (xy 133.175208 57.035603) (xy 133.1936 56.943138) + (xy 133.1936 56.848862) (xy 133.5064 56.848862) (xy 133.5064 56.943138) (xy 133.524792 57.035603) (xy 133.56087 57.122702) + (xy 133.613247 57.20109) (xy 133.67991 57.267753) (xy 133.758298 57.32013) (xy 133.845397 57.356208) (xy 133.937862 57.3746) + (xy 134.032138 57.3746) (xy 134.124603 57.356208) (xy 134.211702 57.32013) (xy 134.29009 57.267753) (xy 134.356753 57.20109) + (xy 134.40913 57.122702) (xy 134.445208 57.035603) (xy 134.4636 56.943138) (xy 134.4636 56.848862) (xy 134.7764 56.848862) + (xy 134.7764 56.943138) (xy 134.794792 57.035603) (xy 134.83087 57.122702) (xy 134.883247 57.20109) (xy 134.94991 57.267753) + (xy 135.028298 57.32013) (xy 135.115397 57.356208) (xy 135.207862 57.3746) (xy 135.302138 57.3746) (xy 135.394603 57.356208) + (xy 135.481702 57.32013) (xy 135.56009 57.267753) (xy 135.626753 57.20109) (xy 135.67913 57.122702) (xy 135.715208 57.035603) + (xy 135.7336 56.943138) (xy 135.7336 56.848862) (xy 136.0464 56.848862) (xy 136.0464 56.943138) (xy 136.064792 57.035603) + (xy 136.10087 57.122702) (xy 136.153247 57.20109) (xy 136.21991 57.267753) (xy 136.298298 57.32013) (xy 136.385397 57.356208) + (xy 136.477862 57.3746) (xy 136.572138 57.3746) (xy 136.664603 57.356208) (xy 136.751702 57.32013) (xy 136.83009 57.267753) + (xy 136.896753 57.20109) (xy 136.94913 57.122702) (xy 136.985208 57.035603) (xy 137.0036 56.943138) (xy 137.0036 56.848862) + (xy 136.985208 56.756397) (xy 136.94913 56.669298) (xy 136.896753 56.59091) (xy 136.83009 56.524247) (xy 136.751702 56.47187) + (xy 136.730137 56.462937) (xy 145.0134 56.462937) (xy 145.0134 56.567063) (xy 145.033713 56.669187) (xy 145.07356 56.765386) + (xy 145.131409 56.851963) (xy 145.205037 56.925591) (xy 145.291614 56.98344) (xy 145.387813 57.023287) (xy 145.489937 57.0436) + (xy 145.594063 57.0436) (xy 145.696187 57.023287) (xy 145.792386 56.98344) (xy 145.878963 56.925591) (xy 145.952591 56.851963) + (xy 146.01044 56.765386) (xy 146.050287 56.669187) (xy 146.0706 56.567063) (xy 146.0706 56.462937) (xy 147.2994 56.462937) + (xy 147.2994 56.567063) (xy 147.319713 56.669187) (xy 147.35956 56.765386) (xy 147.417409 56.851963) (xy 147.491037 56.925591) + (xy 147.577614 56.98344) (xy 147.673813 57.023287) (xy 147.775937 57.0436) (xy 147.880063 57.0436) (xy 147.982187 57.023287) + (xy 148.078386 56.98344) (xy 148.164963 56.925591) (xy 148.238591 56.851963) (xy 148.29644 56.765386) (xy 148.336287 56.669187) + (xy 148.3566 56.567063) (xy 148.3566 56.462937) (xy 148.8234 56.462937) (xy 148.8234 56.567063) (xy 148.843713 56.669187) + (xy 148.88356 56.765386) (xy 148.941409 56.851963) (xy 149.015037 56.925591) (xy 149.101614 56.98344) (xy 149.197813 57.023287) + (xy 149.299937 57.0436) (xy 149.404063 57.0436) (xy 149.506187 57.023287) (xy 149.602386 56.98344) (xy 149.688963 56.925591) + (xy 149.762591 56.851963) (xy 149.82044 56.765386) (xy 149.860287 56.669187) (xy 149.8806 56.567063) (xy 149.8806 56.462937) + (xy 151.1094 56.462937) (xy 151.1094 56.567063) (xy 151.129713 56.669187) (xy 151.16956 56.765386) (xy 151.227409 56.851963) + (xy 151.301037 56.925591) (xy 151.387614 56.98344) (xy 151.483813 57.023287) (xy 151.585937 57.0436) (xy 151.690063 57.0436) + (xy 151.792187 57.023287) (xy 151.888386 56.98344) (xy 151.974963 56.925591) (xy 152.048591 56.851963) (xy 152.050663 56.848862) + (xy 153.8264 56.848862) (xy 153.8264 56.943138) (xy 153.844792 57.035603) (xy 153.88087 57.122702) (xy 153.933247 57.20109) + (xy 153.99991 57.267753) (xy 154.078298 57.32013) (xy 154.165397 57.356208) (xy 154.257862 57.3746) (xy 154.352138 57.3746) + (xy 154.444603 57.356208) (xy 154.531702 57.32013) (xy 154.61009 57.267753) (xy 154.676753 57.20109) (xy 154.72913 57.122702) + (xy 154.765208 57.035603) (xy 154.7836 56.943138) (xy 154.7836 56.848862) (xy 155.0964 56.848862) (xy 155.0964 56.943138) + (xy 155.114792 57.035603) (xy 155.15087 57.122702) (xy 155.203247 57.20109) (xy 155.26991 57.267753) (xy 155.348298 57.32013) + (xy 155.435397 57.356208) (xy 155.527862 57.3746) (xy 155.622138 57.3746) (xy 155.714603 57.356208) (xy 155.801702 57.32013) + (xy 155.88009 57.267753) (xy 155.946753 57.20109) (xy 155.99913 57.122702) (xy 156.035208 57.035603) (xy 156.0536 56.943138) + (xy 156.0536 56.848862) (xy 156.3664 56.848862) (xy 156.3664 56.943138) (xy 156.384792 57.035603) (xy 156.42087 57.122702) + (xy 156.473247 57.20109) (xy 156.53991 57.267753) (xy 156.618298 57.32013) (xy 156.705397 57.356208) (xy 156.797862 57.3746) + (xy 156.892138 57.3746) (xy 156.984603 57.356208) (xy 157.071702 57.32013) (xy 157.15009 57.267753) (xy 157.216753 57.20109) + (xy 157.26913 57.122702) (xy 157.305208 57.035603) (xy 157.3236 56.943138) (xy 157.3236 56.848862) (xy 157.6364 56.848862) + (xy 157.6364 56.943138) (xy 157.654792 57.035603) (xy 157.69087 57.122702) (xy 157.743247 57.20109) (xy 157.80991 57.267753) + (xy 157.888298 57.32013) (xy 157.975397 57.356208) (xy 158.067862 57.3746) (xy 158.162138 57.3746) (xy 158.254603 57.356208) + (xy 158.341702 57.32013) (xy 158.42009 57.267753) (xy 158.486753 57.20109) (xy 158.53913 57.122702) (xy 158.575208 57.035603) + (xy 158.5936 56.943138) (xy 158.5936 56.848862) (xy 158.9064 56.848862) (xy 158.9064 56.943138) (xy 158.924792 57.035603) + (xy 158.96087 57.122702) (xy 159.013247 57.20109) (xy 159.07991 57.267753) (xy 159.158298 57.32013) (xy 159.245397 57.356208) + (xy 159.337862 57.3746) (xy 159.432138 57.3746) (xy 159.524603 57.356208) (xy 159.611702 57.32013) (xy 159.69009 57.267753) + (xy 159.756753 57.20109) (xy 159.80913 57.122702) (xy 159.845208 57.035603) (xy 159.8636 56.943138) (xy 159.8636 56.848862) + (xy 160.1764 56.848862) (xy 160.1764 56.943138) (xy 160.194792 57.035603) (xy 160.23087 57.122702) (xy 160.283247 57.20109) + (xy 160.34991 57.267753) (xy 160.428298 57.32013) (xy 160.515397 57.356208) (xy 160.607862 57.3746) (xy 160.702138 57.3746) + (xy 160.794603 57.356208) (xy 160.881702 57.32013) (xy 160.96009 57.267753) (xy 161.026753 57.20109) (xy 161.07913 57.122702) + (xy 161.115208 57.035603) (xy 161.1336 56.943138) (xy 161.1336 56.848862) (xy 165.2564 56.848862) (xy 165.2564 56.943138) + (xy 165.274792 57.035603) (xy 165.31087 57.122702) (xy 165.363247 57.20109) (xy 165.42991 57.267753) (xy 165.508298 57.32013) + (xy 165.595397 57.356208) (xy 165.687862 57.3746) (xy 165.782138 57.3746) (xy 165.874603 57.356208) (xy 165.961702 57.32013) + (xy 166.04009 57.267753) (xy 166.106753 57.20109) (xy 166.15913 57.122702) (xy 166.195208 57.035603) (xy 166.2136 56.943138) + (xy 166.2136 56.848862) (xy 166.5264 56.848862) (xy 166.5264 56.943138) (xy 166.544792 57.035603) (xy 166.58087 57.122702) + (xy 166.633247 57.20109) (xy 166.69991 57.267753) (xy 166.778298 57.32013) (xy 166.865397 57.356208) (xy 166.957862 57.3746) + (xy 167.052138 57.3746) (xy 167.144603 57.356208) (xy 167.231702 57.32013) (xy 167.31009 57.267753) (xy 167.376753 57.20109) + (xy 167.42913 57.122702) (xy 167.465208 57.035603) (xy 167.4836 56.943138) (xy 167.4836 56.848862) (xy 167.7964 56.848862) + (xy 167.7964 56.943138) (xy 167.814792 57.035603) (xy 167.85087 57.122702) (xy 167.903247 57.20109) (xy 167.96991 57.267753) + (xy 168.048298 57.32013) (xy 168.135397 57.356208) (xy 168.227862 57.3746) (xy 168.322138 57.3746) (xy 168.414603 57.356208) + (xy 168.501702 57.32013) (xy 168.58009 57.267753) (xy 168.646753 57.20109) (xy 168.69913 57.122702) (xy 168.728059 57.052862) + (xy 189.2214 57.052862) (xy 189.2214 57.147138) (xy 189.239792 57.239603) (xy 189.27587 57.326702) (xy 189.328247 57.40509) + (xy 189.39491 57.471753) (xy 189.473298 57.52413) (xy 189.560397 57.560208) (xy 189.652862 57.5786) (xy 189.747138 57.5786) + (xy 189.839603 57.560208) (xy 189.926702 57.52413) (xy 190.00509 57.471753) (xy 190.071753 57.40509) (xy 190.12413 57.326702) + (xy 190.160208 57.239603) (xy 190.1786 57.147138) (xy 190.1786 57.052862) (xy 190.160208 56.960397) (xy 190.12413 56.873298) + (xy 190.071753 56.79491) (xy 190.00509 56.728247) (xy 189.926702 56.67587) (xy 189.839603 56.639792) (xy 189.747138 56.6214) + (xy 189.652862 56.6214) (xy 189.560397 56.639792) (xy 189.473298 56.67587) (xy 189.39491 56.728247) (xy 189.328247 56.79491) + (xy 189.27587 56.873298) (xy 189.239792 56.960397) (xy 189.2214 57.052862) (xy 168.728059 57.052862) (xy 168.735208 57.035603) + (xy 168.7536 56.943138) (xy 168.7536 56.848862) (xy 168.735208 56.756397) (xy 168.69913 56.669298) (xy 168.646753 56.59091) + (xy 168.58009 56.524247) (xy 168.501702 56.47187) (xy 168.480137 56.462937) (xy 170.4134 56.462937) (xy 170.4134 56.567063) + (xy 170.433713 56.669187) (xy 170.47356 56.765386) (xy 170.531409 56.851963) (xy 170.605037 56.925591) (xy 170.691614 56.98344) + (xy 170.787813 57.023287) (xy 170.889937 57.0436) (xy 170.994063 57.0436) (xy 171.096187 57.023287) (xy 171.192386 56.98344) + (xy 171.278963 56.925591) (xy 171.352591 56.851963) (xy 171.41044 56.765386) (xy 171.450287 56.669187) (xy 171.4706 56.567063) + (xy 171.4706 56.462937) (xy 172.6994 56.462937) (xy 172.6994 56.567063) (xy 172.719713 56.669187) (xy 172.75956 56.765386) + (xy 172.817409 56.851963) (xy 172.891037 56.925591) (xy 172.977614 56.98344) (xy 173.073813 57.023287) (xy 173.175937 57.0436) + (xy 173.280063 57.0436) (xy 173.382187 57.023287) (xy 173.478386 56.98344) (xy 173.564963 56.925591) (xy 173.638591 56.851963) + (xy 173.69644 56.765386) (xy 173.736287 56.669187) (xy 173.7566 56.567063) (xy 173.7566 56.462937) (xy 173.736287 56.360813) + (xy 173.69644 56.264614) (xy 173.638591 56.178037) (xy 173.564963 56.104409) (xy 173.478386 56.04656) (xy 173.382187 56.006713) + (xy 173.280063 55.9864) (xy 173.175937 55.9864) (xy 173.073813 56.006713) (xy 172.977614 56.04656) (xy 172.891037 56.104409) + (xy 172.817409 56.178037) (xy 172.75956 56.264614) (xy 172.719713 56.360813) (xy 172.6994 56.462937) (xy 171.4706 56.462937) + (xy 171.450287 56.360813) (xy 171.41044 56.264614) (xy 171.352591 56.178037) (xy 171.278963 56.104409) (xy 171.192386 56.04656) + (xy 171.096187 56.006713) (xy 170.994063 55.9864) (xy 170.889937 55.9864) (xy 170.787813 56.006713) (xy 170.691614 56.04656) + (xy 170.605037 56.104409) (xy 170.531409 56.178037) (xy 170.47356 56.264614) (xy 170.433713 56.360813) (xy 170.4134 56.462937) + (xy 168.480137 56.462937) (xy 168.414603 56.435792) (xy 168.322138 56.4174) (xy 168.227862 56.4174) (xy 168.135397 56.435792) + (xy 168.048298 56.47187) (xy 167.96991 56.524247) (xy 167.903247 56.59091) (xy 167.85087 56.669298) (xy 167.814792 56.756397) + (xy 167.7964 56.848862) (xy 167.4836 56.848862) (xy 167.465208 56.756397) (xy 167.42913 56.669298) (xy 167.376753 56.59091) + (xy 167.31009 56.524247) (xy 167.231702 56.47187) (xy 167.144603 56.435792) (xy 167.052138 56.4174) (xy 166.957862 56.4174) + (xy 166.865397 56.435792) (xy 166.778298 56.47187) (xy 166.69991 56.524247) (xy 166.633247 56.59091) (xy 166.58087 56.669298) + (xy 166.544792 56.756397) (xy 166.5264 56.848862) (xy 166.2136 56.848862) (xy 166.195208 56.756397) (xy 166.15913 56.669298) + (xy 166.106753 56.59091) (xy 166.04009 56.524247) (xy 165.961702 56.47187) (xy 165.874603 56.435792) (xy 165.782138 56.4174) + (xy 165.687862 56.4174) (xy 165.595397 56.435792) (xy 165.508298 56.47187) (xy 165.42991 56.524247) (xy 165.363247 56.59091) + (xy 165.31087 56.669298) (xy 165.274792 56.756397) (xy 165.2564 56.848862) (xy 161.1336 56.848862) (xy 161.115208 56.756397) + (xy 161.07913 56.669298) (xy 161.026753 56.59091) (xy 160.96009 56.524247) (xy 160.881702 56.47187) (xy 160.794603 56.435792) + (xy 160.702138 56.4174) (xy 160.607862 56.4174) (xy 160.515397 56.435792) (xy 160.428298 56.47187) (xy 160.34991 56.524247) + (xy 160.283247 56.59091) (xy 160.23087 56.669298) (xy 160.194792 56.756397) (xy 160.1764 56.848862) (xy 159.8636 56.848862) + (xy 159.845208 56.756397) (xy 159.80913 56.669298) (xy 159.756753 56.59091) (xy 159.69009 56.524247) (xy 159.611702 56.47187) + (xy 159.524603 56.435792) (xy 159.432138 56.4174) (xy 159.337862 56.4174) (xy 159.245397 56.435792) (xy 159.158298 56.47187) + (xy 159.07991 56.524247) (xy 159.013247 56.59091) (xy 158.96087 56.669298) (xy 158.924792 56.756397) (xy 158.9064 56.848862) + (xy 158.5936 56.848862) (xy 158.575208 56.756397) (xy 158.53913 56.669298) (xy 158.486753 56.59091) (xy 158.42009 56.524247) + (xy 158.341702 56.47187) (xy 158.254603 56.435792) (xy 158.162138 56.4174) (xy 158.067862 56.4174) (xy 157.975397 56.435792) + (xy 157.888298 56.47187) (xy 157.80991 56.524247) (xy 157.743247 56.59091) (xy 157.69087 56.669298) (xy 157.654792 56.756397) + (xy 157.6364 56.848862) (xy 157.3236 56.848862) (xy 157.305208 56.756397) (xy 157.26913 56.669298) (xy 157.216753 56.59091) + (xy 157.15009 56.524247) (xy 157.071702 56.47187) (xy 156.984603 56.435792) (xy 156.892138 56.4174) (xy 156.797862 56.4174) + (xy 156.705397 56.435792) (xy 156.618298 56.47187) (xy 156.53991 56.524247) (xy 156.473247 56.59091) (xy 156.42087 56.669298) + (xy 156.384792 56.756397) (xy 156.3664 56.848862) (xy 156.0536 56.848862) (xy 156.035208 56.756397) (xy 155.99913 56.669298) + (xy 155.946753 56.59091) (xy 155.88009 56.524247) (xy 155.801702 56.47187) (xy 155.714603 56.435792) (xy 155.622138 56.4174) + (xy 155.527862 56.4174) (xy 155.435397 56.435792) (xy 155.348298 56.47187) (xy 155.26991 56.524247) (xy 155.203247 56.59091) + (xy 155.15087 56.669298) (xy 155.114792 56.756397) (xy 155.0964 56.848862) (xy 154.7836 56.848862) (xy 154.765208 56.756397) + (xy 154.72913 56.669298) (xy 154.676753 56.59091) (xy 154.61009 56.524247) (xy 154.531702 56.47187) (xy 154.444603 56.435792) + (xy 154.352138 56.4174) (xy 154.257862 56.4174) (xy 154.165397 56.435792) (xy 154.078298 56.47187) (xy 153.99991 56.524247) + (xy 153.933247 56.59091) (xy 153.88087 56.669298) (xy 153.844792 56.756397) (xy 153.8264 56.848862) (xy 152.050663 56.848862) + (xy 152.10644 56.765386) (xy 152.146287 56.669187) (xy 152.1666 56.567063) (xy 152.1666 56.462937) (xy 152.146287 56.360813) + (xy 152.10644 56.264614) (xy 152.048591 56.178037) (xy 151.974963 56.104409) (xy 151.888386 56.04656) (xy 151.792187 56.006713) + (xy 151.690063 55.9864) (xy 151.585937 55.9864) (xy 151.483813 56.006713) (xy 151.387614 56.04656) (xy 151.301037 56.104409) + (xy 151.227409 56.178037) (xy 151.16956 56.264614) (xy 151.129713 56.360813) (xy 151.1094 56.462937) (xy 149.8806 56.462937) + (xy 149.860287 56.360813) (xy 149.82044 56.264614) (xy 149.762591 56.178037) (xy 149.688963 56.104409) (xy 149.602386 56.04656) + (xy 149.506187 56.006713) (xy 149.404063 55.9864) (xy 149.299937 55.9864) (xy 149.197813 56.006713) (xy 149.101614 56.04656) + (xy 149.015037 56.104409) (xy 148.941409 56.178037) (xy 148.88356 56.264614) (xy 148.843713 56.360813) (xy 148.8234 56.462937) + (xy 148.3566 56.462937) (xy 148.336287 56.360813) (xy 148.29644 56.264614) (xy 148.238591 56.178037) (xy 148.164963 56.104409) + (xy 148.078386 56.04656) (xy 147.982187 56.006713) (xy 147.880063 55.9864) (xy 147.775937 55.9864) (xy 147.673813 56.006713) + (xy 147.577614 56.04656) (xy 147.491037 56.104409) (xy 147.417409 56.178037) (xy 147.35956 56.264614) (xy 147.319713 56.360813) + (xy 147.2994 56.462937) (xy 146.0706 56.462937) (xy 146.050287 56.360813) (xy 146.01044 56.264614) (xy 145.952591 56.178037) + (xy 145.878963 56.104409) (xy 145.792386 56.04656) (xy 145.696187 56.006713) (xy 145.594063 55.9864) (xy 145.489937 55.9864) + (xy 145.387813 56.006713) (xy 145.291614 56.04656) (xy 145.205037 56.104409) (xy 145.131409 56.178037) (xy 145.07356 56.264614) + (xy 145.033713 56.360813) (xy 145.0134 56.462937) (xy 136.730137 56.462937) (xy 136.664603 56.435792) (xy 136.572138 56.4174) + (xy 136.477862 56.4174) (xy 136.385397 56.435792) (xy 136.298298 56.47187) (xy 136.21991 56.524247) (xy 136.153247 56.59091) + (xy 136.10087 56.669298) (xy 136.064792 56.756397) (xy 136.0464 56.848862) (xy 135.7336 56.848862) (xy 135.715208 56.756397) + (xy 135.67913 56.669298) (xy 135.626753 56.59091) (xy 135.56009 56.524247) (xy 135.481702 56.47187) (xy 135.394603 56.435792) + (xy 135.302138 56.4174) (xy 135.207862 56.4174) (xy 135.115397 56.435792) (xy 135.028298 56.47187) (xy 134.94991 56.524247) + (xy 134.883247 56.59091) (xy 134.83087 56.669298) (xy 134.794792 56.756397) (xy 134.7764 56.848862) (xy 134.4636 56.848862) + (xy 134.445208 56.756397) (xy 134.40913 56.669298) (xy 134.356753 56.59091) (xy 134.29009 56.524247) (xy 134.211702 56.47187) + (xy 134.124603 56.435792) (xy 134.032138 56.4174) (xy 133.937862 56.4174) (xy 133.845397 56.435792) (xy 133.758298 56.47187) + (xy 133.67991 56.524247) (xy 133.613247 56.59091) (xy 133.56087 56.669298) (xy 133.524792 56.756397) (xy 133.5064 56.848862) + (xy 133.1936 56.848862) (xy 133.175208 56.756397) (xy 133.13913 56.669298) (xy 133.086753 56.59091) (xy 133.02009 56.524247) + (xy 132.941702 56.47187) (xy 132.854603 56.435792) (xy 132.762138 56.4174) (xy 132.667862 56.4174) (xy 132.575397 56.435792) + (xy 132.488298 56.47187) (xy 132.40991 56.524247) (xy 132.343247 56.59091) (xy 132.29087 56.669298) (xy 132.254792 56.756397) + (xy 132.2364 56.848862) (xy 131.9236 56.848862) (xy 131.905208 56.756397) (xy 131.86913 56.669298) (xy 131.816753 56.59091) + (xy 131.75009 56.524247) (xy 131.671702 56.47187) (xy 131.584603 56.435792) (xy 131.492138 56.4174) (xy 131.397862 56.4174) + (xy 131.305397 56.435792) (xy 131.218298 56.47187) (xy 131.13991 56.524247) (xy 131.073247 56.59091) (xy 131.02087 56.669298) + (xy 130.984792 56.756397) (xy 130.9664 56.848862) (xy 130.6536 56.848862) (xy 130.635208 56.756397) (xy 130.59913 56.669298) + (xy 130.546753 56.59091) (xy 130.48009 56.524247) (xy 130.401702 56.47187) (xy 130.314603 56.435792) (xy 130.222138 56.4174) + (xy 130.127862 56.4174) (xy 130.035397 56.435792) (xy 129.948298 56.47187) (xy 129.86991 56.524247) (xy 129.803247 56.59091) + (xy 129.75087 56.669298) (xy 129.714792 56.756397) (xy 129.6964 56.848862) (xy 129.3836 56.848862) (xy 129.365208 56.756397) + (xy 129.32913 56.669298) (xy 129.276753 56.59091) (xy 129.21009 56.524247) (xy 129.131702 56.47187) (xy 129.044603 56.435792) + (xy 128.952138 56.4174) (xy 128.857862 56.4174) (xy 128.765397 56.435792) (xy 128.678298 56.47187) (xy 128.59991 56.524247) + (xy 128.533247 56.59091) (xy 128.48087 56.669298) (xy 128.444792 56.756397) (xy 128.4264 56.848862) (xy 124.379002 56.848862) + (xy 124.445559 56.749254) (xy 124.492944 56.634856) (xy 124.5171 56.513412) (xy 124.5171 56.389588) (xy 124.492944 56.268144) + (xy 124.445559 56.153746) (xy 124.376766 56.050791) (xy 124.289209 55.963234) (xy 124.186254 55.894441) (xy 124.071856 55.847056) + (xy 123.950412 55.8229) (xy 123.826588 55.8229) (xy 123.705144 55.847056) (xy 123.590746 55.894441) (xy 123.487791 55.963234) + (xy 123.400234 56.050791) (xy 123.331441 56.153746) (xy 123.284056 56.268144) (xy 123.2599 56.389588) (xy 111.688515 56.389588) + (xy 111.9047 56.300042) (xy 112.136628 56.145072) (xy 112.333867 55.947833) (xy 112.445238 55.781154) (xy 199.6564 55.781154) + (xy 199.6564 55.978846) (xy 199.694968 56.172739) (xy 199.770621 56.355383) (xy 199.880453 56.519758) (xy 200.020242 56.659547) + (xy 200.184617 56.769379) (xy 200.367261 56.845032) (xy 200.561154 56.8836) (xy 200.758846 56.8836) (xy 200.952739 56.845032) + (xy 201.135383 56.769379) (xy 201.299758 56.659547) (xy 201.439547 56.519758) (xy 201.549379 56.355383) (xy 201.625032 56.172739) + (xy 201.6636 55.978846) (xy 201.6636 55.781154) (xy 202.1964 55.781154) (xy 202.1964 55.978846) (xy 202.234968 56.172739) + (xy 202.310621 56.355383) (xy 202.420453 56.519758) (xy 202.560242 56.659547) (xy 202.724617 56.769379) (xy 202.907261 56.845032) + (xy 203.101154 56.8836) (xy 203.298846 56.8836) (xy 203.492739 56.845032) (xy 203.675383 56.769379) (xy 203.839758 56.659547) + (xy 203.979547 56.519758) (xy 204.089379 56.355383) (xy 204.165032 56.172739) (xy 204.2036 55.978846) (xy 204.2036 55.781154) + (xy 204.7364 55.781154) (xy 204.7364 55.978846) (xy 204.774968 56.172739) (xy 204.850621 56.355383) (xy 204.960453 56.519758) + (xy 205.100242 56.659547) (xy 205.264617 56.769379) (xy 205.447261 56.845032) (xy 205.641154 56.8836) (xy 205.838846 56.8836) + (xy 206.032739 56.845032) (xy 206.215383 56.769379) (xy 206.379758 56.659547) (xy 206.519547 56.519758) (xy 206.629379 56.355383) + (xy 206.705032 56.172739) (xy 206.7436 55.978846) (xy 206.7436 55.781154) (xy 206.705032 55.587261) (xy 206.629379 55.404617) + (xy 206.519547 55.240242) (xy 206.379758 55.100453) (xy 206.215383 54.990621) (xy 206.032739 54.914968) (xy 205.838846 54.8764) + (xy 205.641154 54.8764) (xy 205.447261 54.914968) (xy 205.264617 54.990621) (xy 205.100242 55.100453) (xy 204.960453 55.240242) + (xy 204.850621 55.404617) (xy 204.774968 55.587261) (xy 204.7364 55.781154) (xy 204.2036 55.781154) (xy 204.165032 55.587261) + (xy 204.089379 55.404617) (xy 203.979547 55.240242) (xy 203.839758 55.100453) (xy 203.675383 54.990621) (xy 203.492739 54.914968) + (xy 203.298846 54.8764) (xy 203.101154 54.8764) (xy 202.907261 54.914968) (xy 202.724617 54.990621) (xy 202.560242 55.100453) + (xy 202.420453 55.240242) (xy 202.310621 55.404617) (xy 202.234968 55.587261) (xy 202.1964 55.781154) (xy 201.6636 55.781154) + (xy 201.625032 55.587261) (xy 201.549379 55.404617) (xy 201.439547 55.240242) (xy 201.299758 55.100453) (xy 201.135383 54.990621) + (xy 200.952739 54.914968) (xy 200.758846 54.8764) (xy 200.561154 54.8764) (xy 200.367261 54.914968) (xy 200.184617 54.990621) + (xy 200.020242 55.100453) (xy 199.880453 55.240242) (xy 199.770621 55.404617) (xy 199.694968 55.587261) (xy 199.6564 55.781154) + (xy 112.445238 55.781154) (xy 112.488837 55.715905) (xy 112.595581 55.458201) (xy 112.649999 55.184623) (xy 112.649999 54.905685) + (xy 112.595581 54.632107) (xy 112.566899 54.562862) (xy 211.0399 54.562862) (xy 211.0399 54.657138) (xy 211.058292 54.749603) + (xy 211.09437 54.836702) (xy 211.146747 54.91509) (xy 211.21341 54.981753) (xy 211.291798 55.03413) (xy 211.378897 55.070208) + (xy 211.471362 55.0886) (xy 211.565638 55.0886) (xy 211.658103 55.070208) (xy 211.745202 55.03413) (xy 211.82359 54.981753) + (xy 211.890253 54.91509) (xy 211.94263 54.836702) (xy 211.978708 54.749603) (xy 211.9971 54.657138) (xy 211.9971 54.562862) + (xy 211.978708 54.470397) (xy 211.94263 54.383298) (xy 211.890253 54.30491) (xy 211.82359 54.238247) (xy 211.745202 54.18587) + (xy 211.658103 54.149792) (xy 211.565638 54.1314) (xy 211.471362 54.1314) (xy 211.378897 54.149792) (xy 211.291798 54.18587) + (xy 211.21341 54.238247) (xy 211.146747 54.30491) (xy 211.09437 54.383298) (xy 211.058292 54.470397) (xy 211.0399 54.562862) + (xy 112.566899 54.562862) (xy 112.488837 54.374403) (xy 112.333867 54.142475) (xy 112.136628 53.945236) (xy 111.9047 53.790266) + (xy 111.743188 53.723366) (xy 111.789591 53.676963) (xy 111.84744 53.590386) (xy 111.887287 53.494187) (xy 111.9076 53.392063) + (xy 111.9076 53.287937) (xy 111.887287 53.185813) (xy 111.84744 53.089614) (xy 111.813529 53.038862) (xy 161.4464 53.038862) + (xy 161.4464 53.133138) (xy 161.464792 53.225603) (xy 161.50087 53.312702) (xy 161.553247 53.39109) (xy 161.61991 53.457753) + (xy 161.698298 53.51013) (xy 161.785397 53.546208) (xy 161.877862 53.5646) (xy 161.972138 53.5646) (xy 162.064603 53.546208) + (xy 162.151702 53.51013) (xy 162.23009 53.457753) (xy 162.296753 53.39109) (xy 162.34913 53.312702) (xy 162.385208 53.225603) + (xy 162.4036 53.133138) (xy 162.4036 53.038862) (xy 162.7164 53.038862) (xy 162.7164 53.133138) (xy 162.734792 53.225603) + (xy 162.77087 53.312702) (xy 162.823247 53.39109) (xy 162.88991 53.457753) (xy 162.968298 53.51013) (xy 163.055397 53.546208) + (xy 163.147862 53.5646) (xy 163.242138 53.5646) (xy 163.334603 53.546208) (xy 163.421702 53.51013) (xy 163.483874 53.468588) + (xy 192.4114 53.468588) (xy 192.4114 53.592412) (xy 192.435556 53.713856) (xy 192.482941 53.828254) (xy 192.551734 53.931209) + (xy 192.639291 54.018766) (xy 192.742246 54.087559) (xy 192.856644 54.134944) (xy 192.978088 54.1591) (xy 193.101912 54.1591) + (xy 193.223356 54.134944) (xy 193.337754 54.087559) (xy 193.440709 54.018766) (xy 193.528266 53.931209) (xy 193.597059 53.828254) + (xy 193.644444 53.713856) (xy 193.6686 53.592412) (xy 193.6686 53.468588) (xy 194.8244 53.468588) (xy 194.8244 53.592412) + (xy 194.848556 53.713856) (xy 194.895941 53.828254) (xy 194.964734 53.931209) (xy 195.052291 54.018766) (xy 195.155246 54.087559) + (xy 195.269644 54.134944) (xy 195.391088 54.1591) (xy 195.514912 54.1591) (xy 195.636356 54.134944) (xy 195.750754 54.087559) + (xy 195.853709 54.018766) (xy 195.941266 53.931209) (xy 196.010059 53.828254) (xy 196.057444 53.713856) (xy 196.0816 53.592412) + (xy 196.0816 53.468588) (xy 197.2374 53.468588) (xy 197.2374 53.592412) (xy 197.261556 53.713856) (xy 197.308941 53.828254) + (xy 197.377734 53.931209) (xy 197.465291 54.018766) (xy 197.568246 54.087559) (xy 197.682644 54.134944) (xy 197.804088 54.1591) + (xy 197.927912 54.1591) (xy 198.049356 54.134944) (xy 198.163754 54.087559) (xy 198.266709 54.018766) (xy 198.354266 53.931209) + (xy 198.423059 53.828254) (xy 198.470444 53.713856) (xy 198.4946 53.592412) (xy 198.4946 53.468588) (xy 198.470444 53.347144) + (xy 198.426542 53.241154) (xy 199.6564 53.241154) (xy 199.6564 53.438846) (xy 199.694968 53.632739) (xy 199.770621 53.815383) + (xy 199.880453 53.979758) (xy 200.020242 54.119547) (xy 200.184617 54.229379) (xy 200.367261 54.305032) (xy 200.561154 54.3436) + (xy 200.758846 54.3436) (xy 200.952739 54.305032) (xy 201.135383 54.229379) (xy 201.299758 54.119547) (xy 201.439547 53.979758) + (xy 201.549379 53.815383) (xy 201.625032 53.632739) (xy 201.6636 53.438846) (xy 201.6636 53.241154) (xy 202.1964 53.241154) + (xy 202.1964 53.438846) (xy 202.234968 53.632739) (xy 202.310621 53.815383) (xy 202.420453 53.979758) (xy 202.560242 54.119547) + (xy 202.724617 54.229379) (xy 202.907261 54.305032) (xy 203.101154 54.3436) (xy 203.298846 54.3436) (xy 203.492739 54.305032) + (xy 203.675383 54.229379) (xy 203.839758 54.119547) (xy 203.979547 53.979758) (xy 204.089379 53.815383) (xy 204.165032 53.632739) + (xy 204.2036 53.438846) (xy 204.2036 53.241154) (xy 204.7364 53.241154) (xy 204.7364 53.438846) (xy 204.774968 53.632739) + (xy 204.850621 53.815383) (xy 204.960453 53.979758) (xy 205.100242 54.119547) (xy 205.264617 54.229379) (xy 205.447261 54.305032) + (xy 205.641154 54.3436) (xy 205.838846 54.3436) (xy 206.032739 54.305032) (xy 206.215383 54.229379) (xy 206.379758 54.119547) + (xy 206.519547 53.979758) (xy 206.629379 53.815383) (xy 206.705032 53.632739) (xy 206.7436 53.438846) (xy 206.7436 53.241154) + (xy 206.705032 53.047261) (xy 206.629379 52.864617) (xy 206.519547 52.700242) (xy 206.379758 52.560453) (xy 206.215383 52.450621) + (xy 206.032739 52.374968) (xy 205.838846 52.3364) (xy 205.641154 52.3364) (xy 205.447261 52.374968) (xy 205.264617 52.450621) + (xy 205.100242 52.560453) (xy 204.960453 52.700242) (xy 204.850621 52.864617) (xy 204.774968 53.047261) (xy 204.7364 53.241154) + (xy 204.2036 53.241154) (xy 204.165032 53.047261) (xy 204.089379 52.864617) (xy 203.979547 52.700242) (xy 203.839758 52.560453) + (xy 203.675383 52.450621) (xy 203.492739 52.374968) (xy 203.298846 52.3364) (xy 203.101154 52.3364) (xy 202.907261 52.374968) + (xy 202.724617 52.450621) (xy 202.560242 52.560453) (xy 202.420453 52.700242) (xy 202.310621 52.864617) (xy 202.234968 53.047261) + (xy 202.1964 53.241154) (xy 201.6636 53.241154) (xy 201.625032 53.047261) (xy 201.549379 52.864617) (xy 201.439547 52.700242) + (xy 201.299758 52.560453) (xy 201.135383 52.450621) (xy 200.952739 52.374968) (xy 200.758846 52.3364) (xy 200.561154 52.3364) + (xy 200.367261 52.374968) (xy 200.184617 52.450621) (xy 200.020242 52.560453) (xy 199.880453 52.700242) (xy 199.770621 52.864617) + (xy 199.694968 53.047261) (xy 199.6564 53.241154) (xy 198.426542 53.241154) (xy 198.423059 53.232746) (xy 198.354266 53.129791) + (xy 198.266709 53.042234) (xy 198.163754 52.973441) (xy 198.049356 52.926056) (xy 197.927912 52.9019) (xy 197.804088 52.9019) + (xy 197.682644 52.926056) (xy 197.568246 52.973441) (xy 197.465291 53.042234) (xy 197.377734 53.129791) (xy 197.308941 53.232746) + (xy 197.261556 53.347144) (xy 197.2374 53.468588) (xy 196.0816 53.468588) (xy 196.057444 53.347144) (xy 196.010059 53.232746) + (xy 195.941266 53.129791) (xy 195.853709 53.042234) (xy 195.750754 52.973441) (xy 195.636356 52.926056) (xy 195.514912 52.9019) + (xy 195.391088 52.9019) (xy 195.269644 52.926056) (xy 195.155246 52.973441) (xy 195.052291 53.042234) (xy 194.964734 53.129791) + (xy 194.895941 53.232746) (xy 194.848556 53.347144) (xy 194.8244 53.468588) (xy 193.6686 53.468588) (xy 193.644444 53.347144) + (xy 193.597059 53.232746) (xy 193.528266 53.129791) (xy 193.440709 53.042234) (xy 193.337754 52.973441) (xy 193.223356 52.926056) + (xy 193.101912 52.9019) (xy 192.978088 52.9019) (xy 192.856644 52.926056) (xy 192.742246 52.973441) (xy 192.639291 53.042234) + (xy 192.551734 53.129791) (xy 192.482941 53.232746) (xy 192.435556 53.347144) (xy 192.4114 53.468588) (xy 163.483874 53.468588) + (xy 163.50009 53.457753) (xy 163.566753 53.39109) (xy 163.61913 53.312702) (xy 163.655208 53.225603) (xy 163.6736 53.133138) + (xy 163.6736 53.038862) (xy 163.655208 52.946397) (xy 163.61913 52.859298) (xy 163.566753 52.78091) (xy 163.50009 52.714247) + (xy 163.421702 52.66187) (xy 163.334603 52.625792) (xy 163.242138 52.6074) (xy 163.147862 52.6074) (xy 163.055397 52.625792) + (xy 162.968298 52.66187) (xy 162.88991 52.714247) (xy 162.823247 52.78091) (xy 162.77087 52.859298) (xy 162.734792 52.946397) + (xy 162.7164 53.038862) (xy 162.4036 53.038862) (xy 162.385208 52.946397) (xy 162.34913 52.859298) (xy 162.296753 52.78091) + (xy 162.23009 52.714247) (xy 162.151702 52.66187) (xy 162.064603 52.625792) (xy 161.972138 52.6074) (xy 161.877862 52.6074) + (xy 161.785397 52.625792) (xy 161.698298 52.66187) (xy 161.61991 52.714247) (xy 161.553247 52.78091) (xy 161.50087 52.859298) + (xy 161.464792 52.946397) (xy 161.4464 53.038862) (xy 111.813529 53.038862) (xy 111.789591 53.003037) (xy 111.715963 52.929409) + (xy 111.629386 52.87156) (xy 111.533187 52.831713) (xy 111.431063 52.8114) (xy 111.326937 52.8114) (xy 111.224813 52.831713) + (xy 111.128614 52.87156) (xy 111.042037 52.929409) (xy 110.968409 53.003037) (xy 110.91056 53.089614) (xy 110.870713 53.185813) + (xy 110.8504 53.287937) (xy 110.8504 53.392063) (xy 110.870713 53.494187) (xy 110.91056 53.590386) (xy 110.954972 53.656854) + (xy 110.820902 53.683522) (xy 110.563198 53.790266) (xy 110.33127 53.945236) (xy 110.134031 54.142475) (xy 109.979061 54.374403) + (xy 109.872317 54.632107) (xy 109.817899 54.905685) (xy 104.454537 54.905685) (xy 104.50063 54.836702) (xy 104.536708 54.749603) + (xy 104.5551 54.657138) (xy 104.5551 54.562862) (xy 104.536708 54.470397) (xy 104.50063 54.383298) (xy 104.448253 54.30491) + (xy 104.38159 54.238247) (xy 104.303202 54.18587) (xy 104.216103 54.149792) (xy 104.123638 54.1314) (xy 104.029362 54.1314) + (xy 103.936897 54.149792) (xy 103.849798 54.18587) (xy 103.77141 54.238247) (xy 103.704747 54.30491) (xy 103.65237 54.383298) + (xy 103.616292 54.470397) (xy 103.5979 54.562862) (xy 103.5546 54.562862) (xy 103.5546 53.177804) (xy 108.713998 53.177804) + (xy 108.713998 53.3204) (xy 108.741817 53.460256) (xy 108.796386 53.591997) (xy 108.875608 53.710562) (xy 108.976438 53.811392) + (xy 109.095003 53.890614) (xy 109.226744 53.945183) (xy 109.3666 53.973002) (xy 109.509196 53.973002) (xy 109.649052 53.945183) + (xy 109.780793 53.890614) (xy 109.899358 53.811392) (xy 110.000188 53.710562) (xy 110.07941 53.591997) (xy 110.133979 53.460256) + (xy 110.161798 53.3204) (xy 110.161798 53.177804) (xy 110.133979 53.037948) (xy 110.07941 52.906207) (xy 110.000188 52.787642) + (xy 109.899358 52.686812) (xy 109.780793 52.60759) (xy 109.649052 52.553021) (xy 109.509196 52.525202) (xy 109.3666 52.525202) + (xy 109.226744 52.553021) (xy 109.095003 52.60759) (xy 108.976438 52.686812) (xy 108.875608 52.787642) (xy 108.796386 52.906207) + (xy 108.741817 53.037948) (xy 108.713998 53.177804) (xy 103.5546 53.177804) (xy 103.5546 51.313582) (xy 106.225796 51.313582) + (xy 106.225796 51.59252) (xy 106.280214 51.866098) (xy 106.386958 52.123802) (xy 106.541928 52.35573) (xy 106.739167 52.552969) + (xy 106.971095 52.707939) (xy 107.228799 52.814683) (xy 107.502377 52.869101) (xy 107.781315 52.869101) (xy 108.054893 52.814683) + (xy 108.312597 52.707939) (xy 108.544525 52.552969) (xy 108.698557 52.398937) (xy 111.7394 52.398937) (xy 111.7394 52.503063) + (xy 111.759713 52.605187) (xy 111.79956 52.701386) (xy 111.857409 52.787963) (xy 111.931037 52.861591) (xy 112.017614 52.91944) + (xy 112.113813 52.959287) (xy 112.215937 52.9796) (xy 112.320063 52.9796) (xy 112.422187 52.959287) (xy 112.518386 52.91944) + (xy 112.604963 52.861591) (xy 112.678591 52.787963) (xy 112.73644 52.701386) (xy 112.776287 52.605187) (xy 112.7966 52.503063) + (xy 112.7966 52.398937) (xy 112.776287 52.296813) (xy 112.73644 52.200614) (xy 112.735087 52.198588) (xy 191.2049 52.198588) + (xy 191.2049 52.322412) (xy 191.229056 52.443856) (xy 191.276441 52.558254) (xy 191.345234 52.661209) (xy 191.432791 52.748766) + (xy 191.535746 52.817559) (xy 191.650144 52.864944) (xy 191.771588 52.8891) (xy 191.895412 52.8891) (xy 192.016856 52.864944) + (xy 192.131254 52.817559) (xy 192.234209 52.748766) (xy 192.321766 52.661209) (xy 192.390559 52.558254) (xy 192.437944 52.443856) + (xy 192.4621 52.322412) (xy 192.4621 52.198588) (xy 193.6179 52.198588) (xy 193.6179 52.322412) (xy 193.642056 52.443856) + (xy 193.689441 52.558254) (xy 193.758234 52.661209) (xy 193.845791 52.748766) (xy 193.948746 52.817559) (xy 194.063144 52.864944) + (xy 194.184588 52.8891) (xy 194.308412 52.8891) (xy 194.429856 52.864944) (xy 194.544254 52.817559) (xy 194.647209 52.748766) + (xy 194.734766 52.661209) (xy 194.803559 52.558254) (xy 194.850944 52.443856) (xy 194.8751 52.322412) (xy 194.8751 52.198588) + (xy 196.0309 52.198588) (xy 196.0309 52.322412) (xy 196.055056 52.443856) (xy 196.102441 52.558254) (xy 196.171234 52.661209) + (xy 196.258791 52.748766) (xy 196.361746 52.817559) (xy 196.476144 52.864944) (xy 196.597588 52.8891) (xy 196.721412 52.8891) + (xy 196.842856 52.864944) (xy 196.957254 52.817559) (xy 197.060209 52.748766) (xy 197.147766 52.661209) (xy 197.216559 52.558254) + (xy 197.263944 52.443856) (xy 197.2881 52.322412) (xy 197.2881 52.198588) (xy 198.4439 52.198588) (xy 198.4439 52.322412) + (xy 198.468056 52.443856) (xy 198.515441 52.558254) (xy 198.584234 52.661209) (xy 198.671791 52.748766) (xy 198.774746 52.817559) + (xy 198.889144 52.864944) (xy 199.010588 52.8891) (xy 199.134412 52.8891) (xy 199.255856 52.864944) (xy 199.370254 52.817559) + (xy 199.473209 52.748766) (xy 199.560766 52.661209) (xy 199.629559 52.558254) (xy 199.676944 52.443856) (xy 199.7011 52.322412) + (xy 199.7011 52.198588) (xy 199.676944 52.077144) (xy 199.629559 51.962746) (xy 199.560766 51.859791) (xy 199.473209 51.772234) + (xy 199.370254 51.703441) (xy 199.255856 51.656056) (xy 199.134412 51.6319) (xy 199.010588 51.6319) (xy 198.889144 51.656056) + (xy 198.774746 51.703441) (xy 198.671791 51.772234) (xy 198.584234 51.859791) (xy 198.515441 51.962746) (xy 198.468056 52.077144) + (xy 198.4439 52.198588) (xy 197.2881 52.198588) (xy 197.263944 52.077144) (xy 197.216559 51.962746) (xy 197.147766 51.859791) + (xy 197.060209 51.772234) (xy 196.957254 51.703441) (xy 196.842856 51.656056) (xy 196.721412 51.6319) (xy 196.597588 51.6319) + (xy 196.476144 51.656056) (xy 196.361746 51.703441) (xy 196.258791 51.772234) (xy 196.171234 51.859791) (xy 196.102441 51.962746) + (xy 196.055056 52.077144) (xy 196.0309 52.198588) (xy 194.8751 52.198588) (xy 194.850944 52.077144) (xy 194.803559 51.962746) + (xy 194.734766 51.859791) (xy 194.647209 51.772234) (xy 194.544254 51.703441) (xy 194.429856 51.656056) (xy 194.308412 51.6319) + (xy 194.184588 51.6319) (xy 194.063144 51.656056) (xy 193.948746 51.703441) (xy 193.845791 51.772234) (xy 193.758234 51.859791) + (xy 193.689441 51.962746) (xy 193.642056 52.077144) (xy 193.6179 52.198588) (xy 192.4621 52.198588) (xy 192.437944 52.077144) + (xy 192.390559 51.962746) (xy 192.321766 51.859791) (xy 192.234209 51.772234) (xy 192.131254 51.703441) (xy 192.016856 51.656056) + (xy 191.895412 51.6319) (xy 191.771588 51.6319) (xy 191.650144 51.656056) (xy 191.535746 51.703441) (xy 191.432791 51.772234) + (xy 191.345234 51.859791) (xy 191.276441 51.962746) (xy 191.229056 52.077144) (xy 191.2049 52.198588) (xy 112.735087 52.198588) + (xy 112.678591 52.114037) (xy 112.604963 52.040409) (xy 112.518386 51.98256) (xy 112.422187 51.942713) (xy 112.320063 51.9224) + (xy 112.215937 51.9224) (xy 112.113813 51.942713) (xy 112.017614 51.98256) (xy 111.931037 52.040409) (xy 111.857409 52.114037) + (xy 111.79956 52.200614) (xy 111.759713 52.296813) (xy 111.7394 52.398937) (xy 108.698557 52.398937) (xy 108.741764 52.35573) + (xy 108.896734 52.123802) (xy 109.003478 51.866098) (xy 109.057896 51.59252) (xy 109.057896 51.509937) (xy 112.6284 51.509937) + (xy 112.6284 51.614063) (xy 112.648713 51.716187) (xy 112.68856 51.812386) (xy 112.746409 51.898963) (xy 112.820037 51.972591) + (xy 112.906614 52.03044) (xy 113.002813 52.070287) (xy 113.104937 52.0906) (xy 113.209063 52.0906) (xy 113.311187 52.070287) + (xy 113.407386 52.03044) (xy 113.493963 51.972591) (xy 113.567591 51.898963) (xy 113.62544 51.812386) (xy 113.665287 51.716187) + (xy 113.6856 51.614063) (xy 113.6856 51.509937) (xy 113.665287 51.407813) (xy 113.62544 51.311614) (xy 113.567591 51.225037) + (xy 113.493963 51.151409) (xy 113.407386 51.09356) (xy 113.351382 51.070362) (xy 113.9484 51.070362) (xy 113.9484 51.164638) + (xy 113.966792 51.257103) (xy 114.00287 51.344202) (xy 114.055247 51.42259) (xy 114.12191 51.489253) (xy 114.200298 51.54163) + (xy 114.287397 51.577708) (xy 114.379862 51.5961) (xy 114.474138 51.5961) (xy 114.566603 51.577708) (xy 114.653702 51.54163) + (xy 114.73209 51.489253) (xy 114.798753 51.42259) (xy 114.85113 51.344202) (xy 114.887208 51.257103) (xy 114.9056 51.164638) + (xy 114.9056 51.070362) (xy 115.0279 51.070362) (xy 115.0279 51.164638) (xy 115.046292 51.257103) (xy 115.08237 51.344202) + (xy 115.134747 51.42259) (xy 115.20141 51.489253) (xy 115.279798 51.54163) (xy 115.366897 51.577708) (xy 115.459362 51.5961) + (xy 115.553638 51.5961) (xy 115.646103 51.577708) (xy 115.733202 51.54163) (xy 115.81159 51.489253) (xy 115.878253 51.42259) + (xy 115.93063 51.344202) (xy 115.966708 51.257103) (xy 115.9851 51.164638) (xy 115.9851 51.070362) (xy 115.966708 50.977897) + (xy 115.93063 50.890798) (xy 115.878253 50.81241) (xy 115.81159 50.745747) (xy 115.733202 50.69337) (xy 115.646103 50.657292) + (xy 115.553638 50.6389) (xy 115.459362 50.6389) (xy 115.366897 50.657292) (xy 115.279798 50.69337) (xy 115.20141 50.745747) + (xy 115.134747 50.81241) (xy 115.08237 50.890798) (xy 115.046292 50.977897) (xy 115.0279 51.070362) (xy 114.9056 51.070362) + (xy 114.887208 50.977897) (xy 114.85113 50.890798) (xy 114.798753 50.81241) (xy 114.73209 50.745747) (xy 114.653702 50.69337) + (xy 114.566603 50.657292) (xy 114.474138 50.6389) (xy 114.379862 50.6389) (xy 114.287397 50.657292) (xy 114.200298 50.69337) + (xy 114.12191 50.745747) (xy 114.055247 50.81241) (xy 114.00287 50.890798) (xy 113.966792 50.977897) (xy 113.9484 51.070362) + (xy 113.351382 51.070362) (xy 113.311187 51.053713) (xy 113.209063 51.0334) (xy 113.104937 51.0334) (xy 113.002813 51.053713) + (xy 112.906614 51.09356) (xy 112.820037 51.151409) (xy 112.746409 51.225037) (xy 112.68856 51.311614) (xy 112.648713 51.407813) + (xy 112.6284 51.509937) (xy 109.057896 51.509937) (xy 109.057896 51.313582) (xy 109.003478 51.040004) (xy 108.896734 50.7823) + (xy 108.741764 50.550372) (xy 108.558329 50.366937) (xy 109.7074 50.366937) (xy 109.7074 50.471063) (xy 109.727713 50.573187) + (xy 109.76756 50.669386) (xy 109.825409 50.755963) (xy 109.899037 50.829591) (xy 109.985614 50.88744) (xy 110.081813 50.927287) + (xy 110.183937 50.9476) (xy 110.288063 50.9476) (xy 110.390187 50.927287) (xy 110.486386 50.88744) (xy 110.572963 50.829591) + (xy 110.646591 50.755963) (xy 110.70444 50.669386) (xy 110.744287 50.573187) (xy 110.7646 50.471063) (xy 110.7646 50.366937) + (xy 110.744287 50.264813) (xy 110.70444 50.168614) (xy 110.646591 50.082037) (xy 110.572963 50.008409) (xy 110.486386 49.95056) + (xy 110.390187 49.910713) (xy 110.288063 49.8904) (xy 110.183937 49.8904) (xy 110.081813 49.910713) (xy 109.985614 49.95056) + (xy 109.899037 50.008409) (xy 109.825409 50.082037) (xy 109.76756 50.168614) (xy 109.727713 50.264813) (xy 109.7074 50.366937) + (xy 108.558329 50.366937) (xy 108.544525 50.353133) (xy 108.312597 50.198163) (xy 108.054893 50.091419) (xy 107.781315 50.037001) + (xy 107.502377 50.037001) (xy 107.228799 50.091419) (xy 106.971095 50.198163) (xy 106.739167 50.353133) (xy 106.541928 50.550372) + (xy 106.386958 50.7823) (xy 106.280214 51.040004) (xy 106.225796 51.313582) (xy 103.5546 51.313582) (xy 103.5546 49.482862) + (xy 103.5979 49.482862) (xy 103.5979 49.577138) (xy 103.616292 49.669603) (xy 103.65237 49.756702) (xy 103.704747 49.83509) + (xy 103.77141 49.901753) (xy 103.849798 49.95413) (xy 103.936897 49.990208) (xy 104.029362 50.0086) (xy 104.123638 50.0086) + (xy 104.216103 49.990208) (xy 104.303202 49.95413) (xy 104.38159 49.901753) (xy 104.448253 49.83509) (xy 104.50063 49.756702) + (xy 104.510888 49.731937) (xy 114.4064 49.731937) (xy 114.4064 49.836063) (xy 114.426713 49.938187) (xy 114.46656 50.034386) + (xy 114.524409 50.120963) (xy 114.598037 50.194591) (xy 114.684614 50.25244) (xy 114.780813 50.292287) (xy 114.882937 50.3126) + (xy 114.987063 50.3126) (xy 115.089187 50.292287) (xy 115.185386 50.25244) (xy 115.271963 50.194591) (xy 115.345591 50.120963) + (xy 115.40344 50.034386) (xy 115.443287 49.938187) (xy 115.4636 49.836063) (xy 115.4636 49.731937) (xy 115.443287 49.629813) + (xy 115.40344 49.533614) (xy 115.345591 49.447037) (xy 115.271963 49.373409) (xy 115.185386 49.31556) (xy 115.089187 49.275713) + (xy 114.987063 49.2554) (xy 114.882937 49.2554) (xy 114.780813 49.275713) (xy 114.684614 49.31556) (xy 114.598037 49.373409) + (xy 114.524409 49.447037) (xy 114.46656 49.533614) (xy 114.426713 49.629813) (xy 114.4064 49.731937) (xy 104.510888 49.731937) + (xy 104.536708 49.669603) (xy 104.5551 49.577138) (xy 104.5551 49.482862) (xy 104.536708 49.390397) (xy 104.50063 49.303298) + (xy 104.448253 49.22491) (xy 104.38159 49.158247) (xy 104.303202 49.10587) (xy 104.216103 49.069792) (xy 104.123638 49.0514) + (xy 104.029362 49.0514) (xy 103.936897 49.069792) (xy 103.849798 49.10587) (xy 103.77141 49.158247) (xy 103.704747 49.22491) + (xy 103.65237 49.303298) (xy 103.616292 49.390397) (xy 103.5979 49.482862) (xy 103.5546 49.482862) (xy 103.5546 48.588937) + (xy 111.4854 48.588937) (xy 111.4854 48.693063) (xy 111.505713 48.795187) (xy 111.54556 48.891386) (xy 111.603409 48.977963) + (xy 111.677037 49.051591) (xy 111.763614 49.10944) (xy 111.859813 49.149287) (xy 111.961937 49.1696) (xy 112.066063 49.1696) + (xy 112.168187 49.149287) (xy 112.264386 49.10944) (xy 112.325629 49.068518) (xy 115.655065 49.068518) (xy 115.655065 49.347456) + (xy 115.709483 49.621034) (xy 115.816227 49.878738) (xy 115.971197 50.110666) (xy 116.168436 50.307905) (xy 116.400364 50.462875) + (xy 116.658068 50.569619) (xy 116.931646 50.624037) (xy 117.210584 50.624037) (xy 117.484162 50.569619) (xy 117.501682 50.562362) + (xy 137.3799 50.562362) (xy 137.3799 50.656638) (xy 137.398292 50.749103) (xy 137.43437 50.836202) (xy 137.486747 50.91459) + (xy 137.55341 50.981253) (xy 137.631798 51.03363) (xy 137.718897 51.069708) (xy 137.811362 51.0881) (xy 137.905638 51.0881) + (xy 137.998103 51.069708) (xy 138.085202 51.03363) (xy 138.16359 50.981253) (xy 138.230253 50.91459) (xy 138.28263 50.836202) + (xy 138.318708 50.749103) (xy 138.328245 50.701154) (xy 199.6564 50.701154) (xy 199.6564 50.898846) (xy 199.694968 51.092739) + (xy 199.770621 51.275383) (xy 199.880453 51.439758) (xy 200.020242 51.579547) (xy 200.184617 51.689379) (xy 200.367261 51.765032) + (xy 200.561154 51.8036) (xy 200.758846 51.8036) (xy 200.952739 51.765032) (xy 201.135383 51.689379) (xy 201.299758 51.579547) + (xy 201.439547 51.439758) (xy 201.549379 51.275383) (xy 201.625032 51.092739) (xy 201.6636 50.898846) (xy 201.6636 50.701154) + (xy 202.1964 50.701154) (xy 202.1964 50.898846) (xy 202.234968 51.092739) (xy 202.310621 51.275383) (xy 202.420453 51.439758) + (xy 202.560242 51.579547) (xy 202.724617 51.689379) (xy 202.907261 51.765032) (xy 203.101154 51.8036) (xy 203.298846 51.8036) + (xy 203.492739 51.765032) (xy 203.675383 51.689379) (xy 203.839758 51.579547) (xy 203.979547 51.439758) (xy 204.089379 51.275383) + (xy 204.165032 51.092739) (xy 204.2036 50.898846) (xy 204.2036 50.701154) (xy 204.7364 50.701154) (xy 204.7364 50.898846) + (xy 204.774968 51.092739) (xy 204.850621 51.275383) (xy 204.960453 51.439758) (xy 205.100242 51.579547) (xy 205.264617 51.689379) + (xy 205.447261 51.765032) (xy 205.641154 51.8036) (xy 205.838846 51.8036) (xy 206.032739 51.765032) (xy 206.215383 51.689379) + (xy 206.379758 51.579547) (xy 206.519547 51.439758) (xy 206.629379 51.275383) (xy 206.705032 51.092739) (xy 206.7436 50.898846) + (xy 206.7436 50.701154) (xy 206.705032 50.507261) (xy 206.629379 50.324617) (xy 206.519547 50.160242) (xy 206.379758 50.020453) + (xy 206.215383 49.910621) (xy 206.032739 49.834968) (xy 205.838846 49.7964) (xy 205.641154 49.7964) (xy 205.447261 49.834968) + (xy 205.264617 49.910621) (xy 205.100242 50.020453) (xy 204.960453 50.160242) (xy 204.850621 50.324617) (xy 204.774968 50.507261) + (xy 204.7364 50.701154) (xy 204.2036 50.701154) (xy 204.165032 50.507261) (xy 204.089379 50.324617) (xy 203.979547 50.160242) + (xy 203.839758 50.020453) (xy 203.675383 49.910621) (xy 203.492739 49.834968) (xy 203.298846 49.7964) (xy 203.101154 49.7964) + (xy 202.907261 49.834968) (xy 202.724617 49.910621) (xy 202.560242 50.020453) (xy 202.420453 50.160242) (xy 202.310621 50.324617) + (xy 202.234968 50.507261) (xy 202.1964 50.701154) (xy 201.6636 50.701154) (xy 201.625032 50.507261) (xy 201.549379 50.324617) + (xy 201.439547 50.160242) (xy 201.299758 50.020453) (xy 201.135383 49.910621) (xy 200.952739 49.834968) (xy 200.758846 49.7964) + (xy 200.561154 49.7964) (xy 200.367261 49.834968) (xy 200.184617 49.910621) (xy 200.020242 50.020453) (xy 199.880453 50.160242) + (xy 199.770621 50.324617) (xy 199.694968 50.507261) (xy 199.6564 50.701154) (xy 138.328245 50.701154) (xy 138.3371 50.656638) + (xy 138.3371 50.562362) (xy 138.318708 50.469897) (xy 138.28263 50.382798) (xy 138.230253 50.30441) (xy 138.16359 50.237747) + (xy 138.085202 50.18537) (xy 137.998103 50.149292) (xy 137.905638 50.1309) (xy 137.811362 50.1309) (xy 137.718897 50.149292) + (xy 137.631798 50.18537) (xy 137.55341 50.237747) (xy 137.486747 50.30441) (xy 137.43437 50.382798) (xy 137.398292 50.469897) + (xy 137.3799 50.562362) (xy 117.501682 50.562362) (xy 117.741866 50.462875) (xy 117.973794 50.307905) (xy 118.171033 50.110666) + (xy 118.326003 49.878738) (xy 118.432747 49.621034) (xy 118.487165 49.347456) (xy 118.487165 49.152862) (xy 133.3214 49.152862) + (xy 133.3214 49.247138) (xy 133.339792 49.339603) (xy 133.37587 49.426702) (xy 133.428247 49.50509) (xy 133.49491 49.571753) + (xy 133.573298 49.62413) (xy 133.660397 49.660208) (xy 133.752862 49.6786) (xy 133.847138 49.6786) (xy 133.939603 49.660208) + (xy 134.026702 49.62413) (xy 134.10509 49.571753) (xy 134.171753 49.50509) (xy 134.186605 49.482862) (xy 211.0399 49.482862) + (xy 211.0399 49.577138) (xy 211.058292 49.669603) (xy 211.09437 49.756702) (xy 211.146747 49.83509) (xy 211.21341 49.901753) + (xy 211.291798 49.95413) (xy 211.378897 49.990208) (xy 211.471362 50.0086) (xy 211.565638 50.0086) (xy 211.658103 49.990208) + (xy 211.745202 49.95413) (xy 211.82359 49.901753) (xy 211.890253 49.83509) (xy 211.94263 49.756702) (xy 211.978708 49.669603) + (xy 211.9971 49.577138) (xy 211.9971 49.482862) (xy 211.978708 49.390397) (xy 211.94263 49.303298) (xy 211.890253 49.22491) + (xy 211.82359 49.158247) (xy 211.745202 49.10587) (xy 211.658103 49.069792) (xy 211.565638 49.0514) (xy 211.471362 49.0514) + (xy 211.378897 49.069792) (xy 211.291798 49.10587) (xy 211.21341 49.158247) (xy 211.146747 49.22491) (xy 211.09437 49.303298) + (xy 211.058292 49.390397) (xy 211.0399 49.482862) (xy 134.186605 49.482862) (xy 134.22413 49.426702) (xy 134.260208 49.339603) + (xy 134.2786 49.247138) (xy 134.2786 49.152862) (xy 134.260208 49.060397) (xy 134.22413 48.973298) (xy 134.171753 48.89491) + (xy 134.10509 48.828247) (xy 134.026702 48.77587) (xy 133.939603 48.739792) (xy 133.847138 48.7214) (xy 133.752862 48.7214) + (xy 133.660397 48.739792) (xy 133.573298 48.77587) (xy 133.49491 48.828247) (xy 133.428247 48.89491) (xy 133.37587 48.973298) + (xy 133.339792 49.060397) (xy 133.3214 49.152862) (xy 118.487165 49.152862) (xy 118.487165 49.068518) (xy 118.432747 48.79494) + (xy 118.326003 48.537236) (xy 118.171033 48.305308) (xy 117.973794 48.108069) (xy 117.741866 47.953099) (xy 117.484162 47.846355) + (xy 117.210584 47.791937) (xy 116.931646 47.791937) (xy 116.658068 47.846355) (xy 116.400364 47.953099) (xy 116.168436 48.108069) + (xy 115.971197 48.305308) (xy 115.816227 48.537236) (xy 115.709483 48.79494) (xy 115.655065 49.068518) (xy 112.325629 49.068518) + (xy 112.350963 49.051591) (xy 112.424591 48.977963) (xy 112.48244 48.891386) (xy 112.522287 48.795187) (xy 112.5426 48.693063) + (xy 112.5426 48.588937) (xy 112.522287 48.486813) (xy 112.48244 48.390614) (xy 112.424591 48.304037) (xy 112.350963 48.230409) + (xy 112.264386 48.17256) (xy 112.168187 48.132713) (xy 112.066063 48.1124) (xy 111.961937 48.1124) (xy 111.859813 48.132713) + (xy 111.763614 48.17256) (xy 111.677037 48.230409) (xy 111.603409 48.304037) (xy 111.54556 48.390614) (xy 111.505713 48.486813) + (xy 111.4854 48.588937) (xy 103.5546 48.588937) (xy 103.5546 47.133362) (xy 111.1544 47.133362) (xy 111.1544 47.227638) + (xy 111.172792 47.320103) (xy 111.20887 47.407202) (xy 111.261247 47.48559) (xy 111.32791 47.552253) (xy 111.406298 47.60463) + (xy 111.493397 47.640708) (xy 111.585862 47.6591) (xy 111.680138 47.6591) (xy 111.772603 47.640708) (xy 111.859702 47.60463) + (xy 111.93809 47.552253) (xy 112.004753 47.48559) (xy 112.05713 47.407202) (xy 112.093208 47.320103) (xy 112.1116 47.227638) + (xy 112.1116 47.133362) (xy 112.093208 47.040897) (xy 112.05713 46.953798) (xy 112.004753 46.87541) (xy 111.93809 46.808747) + (xy 111.859702 46.75637) (xy 111.772603 46.720292) (xy 111.680138 46.7019) (xy 111.585862 46.7019) (xy 111.493397 46.720292) + (xy 111.406298 46.75637) (xy 111.32791 46.808747) (xy 111.261247 46.87541) (xy 111.20887 46.953798) (xy 111.172792 47.040897) + (xy 111.1544 47.133362) (xy 103.5546 47.133362) (xy 103.5546 45.476416) (xy 112.062963 45.476416) (xy 112.062963 45.755354) + (xy 112.117381 46.028932) (xy 112.224125 46.286636) (xy 112.379095 46.518564) (xy 112.576334 46.715803) (xy 112.808262 46.870773) + (xy 113.065966 46.977517) (xy 113.339544 47.031935) (xy 113.618482 47.031935) (xy 113.89206 46.977517) (xy 114.149764 46.870773) + (xy 114.381692 46.715803) (xy 114.385475 46.71202) (xy 116.616623 46.71202) (xy 116.616623 46.854616) (xy 116.644442 46.994472) + (xy 116.699011 47.126213) (xy 116.778233 47.244778) (xy 116.879063 47.345608) (xy 116.997628 47.42483) (xy 117.129369 47.479399) + (xy 117.269225 47.507218) (xy 117.411821 47.507218) (xy 117.551677 47.479399) (xy 117.683418 47.42483) (xy 117.801983 47.345608) + (xy 117.902813 47.244778) (xy 117.982035 47.126213) (xy 118.036604 46.994472) (xy 118.064423 46.854616) (xy 118.064423 46.71202) + (xy 118.036604 46.572164) (xy 117.982035 46.440423) (xy 117.902813 46.321858) (xy 117.801983 46.221028) (xy 117.683418 46.141806) + (xy 117.551677 46.087237) (xy 117.411821 46.059418) (xy 117.269225 46.059418) (xy 117.129369 46.087237) (xy 116.997628 46.141806) + (xy 116.879063 46.221028) (xy 116.778233 46.321858) (xy 116.699011 46.440423) (xy 116.644442 46.572164) (xy 116.616623 46.71202) + (xy 114.385475 46.71202) (xy 114.578931 46.518564) (xy 114.733901 46.286636) (xy 114.840645 46.028932) (xy 114.895063 45.755354) + (xy 114.895063 45.476416) (xy 114.855035 45.275179) (xy 115.179782 45.275179) (xy 115.179782 45.417775) (xy 115.207601 45.557631) + (xy 115.26217 45.689372) (xy 115.341392 45.807937) (xy 115.442222 45.908767) (xy 115.560787 45.987989) (xy 115.692528 46.042558) + (xy 115.832384 46.070377) (xy 115.97498 46.070377) (xy 116.114836 46.042558) (xy 116.246577 45.987989) (xy 116.365142 45.908767) + (xy 116.465972 45.807937) (xy 116.545194 45.689372) (xy 116.599763 45.557631) (xy 116.614734 45.482362) (xy 116.6789 45.482362) + (xy 116.6789 45.576638) (xy 116.697292 45.669103) (xy 116.73337 45.756202) (xy 116.785747 45.83459) (xy 116.85241 45.901253) + (xy 116.930798 45.95363) (xy 117.017897 45.989708) (xy 117.110362 46.0081) (xy 117.204638 46.0081) (xy 117.297103 45.989708) + (xy 117.384202 45.95363) (xy 117.46259 45.901253) (xy 117.529253 45.83459) (xy 117.58163 45.756202) (xy 117.617708 45.669103) + (xy 117.6361 45.576638) (xy 117.6361 45.482362) (xy 117.617708 45.389897) (xy 117.58163 45.302798) (xy 117.529253 45.22441) + (xy 117.46259 45.157747) (xy 117.384202 45.10537) (xy 117.297103 45.069292) (xy 117.204638 45.0509) (xy 117.110362 45.0509) + (xy 117.017897 45.069292) (xy 116.930798 45.10537) (xy 116.85241 45.157747) (xy 116.785747 45.22441) (xy 116.73337 45.302798) + (xy 116.697292 45.389897) (xy 116.6789 45.482362) (xy 116.614734 45.482362) (xy 116.627582 45.417775) (xy 116.627582 45.275179) + (xy 116.599763 45.135323) (xy 116.546666 45.007135) (xy 201.8464 45.007135) (xy 201.8464 45.332865) (xy 201.909947 45.652337) + (xy 202.034599 45.953273) (xy 202.215565 46.224109) (xy 202.445891 46.454435) (xy 202.716727 46.635401) (xy 203.017663 46.760053) + (xy 203.337135 46.8236) (xy 203.662865 46.8236) (xy 203.982337 46.760053) (xy 204.283273 46.635401) (xy 204.554109 46.454435) + (xy 204.784435 46.224109) (xy 204.965401 45.953273) (xy 205.090053 45.652337) (xy 205.1536 45.332865) (xy 205.1536 45.007135) + (xy 205.090053 44.687663) (xy 204.965401 44.386727) (xy 204.784435 44.115891) (xy 204.554109 43.885565) (xy 204.377954 43.767862) + (xy 211.0399 43.767862) (xy 211.0399 43.862138) (xy 211.058292 43.954603) (xy 211.09437 44.041702) (xy 211.146747 44.12009) + (xy 211.21341 44.186753) (xy 211.291798 44.23913) (xy 211.378897 44.275208) (xy 211.471362 44.2936) (xy 211.565638 44.2936) + (xy 211.658103 44.275208) (xy 211.745202 44.23913) (xy 211.82359 44.186753) (xy 211.890253 44.12009) (xy 211.94263 44.041702) + (xy 211.978708 43.954603) (xy 211.9971 43.862138) (xy 211.9971 43.767862) (xy 211.978708 43.675397) (xy 211.94263 43.588298) + (xy 211.890253 43.50991) (xy 211.82359 43.443247) (xy 211.745202 43.39087) (xy 211.658103 43.354792) (xy 211.565638 43.3364) + (xy 211.471362 43.3364) (xy 211.378897 43.354792) (xy 211.291798 43.39087) (xy 211.21341 43.443247) (xy 211.146747 43.50991) + (xy 211.09437 43.588298) (xy 211.058292 43.675397) (xy 211.0399 43.767862) (xy 204.377954 43.767862) (xy 204.283273 43.704599) + (xy 203.982337 43.579947) (xy 203.662865 43.5164) (xy 203.337135 43.5164) (xy 203.017663 43.579947) (xy 202.716727 43.704599) + (xy 202.445891 43.885565) (xy 202.215565 44.115891) (xy 202.034599 44.386727) (xy 201.909947 44.687663) (xy 201.8464 45.007135) + (xy 116.546666 45.007135) (xy 116.545194 45.003582) (xy 116.465972 44.885017) (xy 116.365142 44.784187) (xy 116.246577 44.704965) + (xy 116.114836 44.650396) (xy 115.97498 44.622577) (xy 115.832384 44.622577) (xy 115.692528 44.650396) (xy 115.560787 44.704965) + (xy 115.442222 44.784187) (xy 115.341392 44.885017) (xy 115.26217 45.003582) (xy 115.207601 45.135323) (xy 115.179782 45.275179) + (xy 114.855035 45.275179) (xy 114.840645 45.202838) (xy 114.733901 44.945134) (xy 114.578931 44.713206) (xy 114.381692 44.515967) + (xy 114.149764 44.360997) (xy 113.89206 44.254253) (xy 113.618482 44.199835) (xy 113.339544 44.199835) (xy 113.065966 44.254253) + (xy 112.808262 44.360997) (xy 112.576334 44.515967) (xy 112.379095 44.713206) (xy 112.224125 44.945134) (xy 112.117381 45.202838) + (xy 112.062963 45.476416) (xy 103.5546 45.476416) (xy 103.5546 43.767862) (xy 103.5979 43.767862) (xy 103.5979 43.862138) + (xy 103.616292 43.954603) (xy 103.65237 44.041702) (xy 103.704747 44.12009) (xy 103.77141 44.186753) (xy 103.849798 44.23913) + (xy 103.936897 44.275208) (xy 104.029362 44.2936) (xy 104.123638 44.2936) (xy 104.216103 44.275208) (xy 104.303202 44.23913) + (xy 104.38159 44.186753) (xy 104.448253 44.12009) (xy 104.471457 44.085362) (xy 114.5834 44.085362) (xy 114.5834 44.179638) + (xy 114.601792 44.272103) (xy 114.63787 44.359202) (xy 114.690247 44.43759) (xy 114.75691 44.504253) (xy 114.835298 44.55663) + (xy 114.922397 44.592708) (xy 115.014862 44.6111) (xy 115.109138 44.6111) (xy 115.201603 44.592708) (xy 115.288702 44.55663) + (xy 115.36709 44.504253) (xy 115.433753 44.43759) (xy 115.48613 44.359202) (xy 115.522208 44.272103) (xy 115.5406 44.179638) + (xy 115.5406 44.085362) (xy 115.522208 43.992897) (xy 115.48613 43.905798) (xy 115.433753 43.82741) (xy 115.36709 43.760747) + (xy 115.288702 43.70837) (xy 115.201603 43.672292) (xy 115.109138 43.6539) (xy 115.014862 43.6539) (xy 114.922397 43.672292) + (xy 114.835298 43.70837) (xy 114.75691 43.760747) (xy 114.690247 43.82741) (xy 114.63787 43.905798) (xy 114.601792 43.992897) + (xy 114.5834 44.085362) (xy 104.471457 44.085362) (xy 104.50063 44.041702) (xy 104.536708 43.954603) (xy 104.5551 43.862138) + (xy 104.5551 43.767862) (xy 104.536708 43.675397) (xy 104.50063 43.588298) (xy 104.448253 43.50991) (xy 104.38159 43.443247) + (xy 104.303202 43.39087) (xy 104.216103 43.354792) (xy 104.123638 43.3364) (xy 104.029362 43.3364) (xy 103.936897 43.354792) + (xy 103.849798 43.39087) (xy 103.77141 43.443247) (xy 103.704747 43.50991) (xy 103.65237 43.588298) (xy 103.616292 43.675397) + (xy 103.5979 43.767862) (xy 103.5546 43.767862) (xy 103.5546 42.432848) (xy 103.56792 42.296993) (xy 104.0544 42.296993) + (xy 104.0544 42.539007) (xy 104.101614 42.776369) (xy 104.194229 42.99996) (xy 104.328684 43.201187) (xy 104.499813 43.372316) + (xy 104.70104 43.506771) (xy 104.924631 43.599386) (xy 105.161993 43.6466) (xy 105.404007 43.6466) (xy 105.641369 43.599386) + (xy 105.86496 43.506771) (xy 106.066187 43.372316) (xy 106.237316 43.201187) (xy 106.371771 42.99996) (xy 106.464386 42.776369) + (xy 106.5116 42.539007) (xy 106.5116 42.296993) (xy 209.0834 42.296993) (xy 209.0834 42.539007) (xy 209.130614 42.776369) + (xy 209.223229 42.99996) (xy 209.357684 43.201187) (xy 209.528813 43.372316) (xy 209.73004 43.506771) (xy 209.953631 43.599386) + (xy 210.190993 43.6466) (xy 210.433007 43.6466) (xy 210.670369 43.599386) (xy 210.89396 43.506771) (xy 211.095187 43.372316) + (xy 211.266316 43.201187) (xy 211.400771 42.99996) (xy 211.493386 42.776369) (xy 211.5406 42.539007) (xy 211.5406 42.296993) + (xy 211.493386 42.059631) (xy 211.400771 41.83604) (xy 211.266316 41.634813) (xy 211.095187 41.463684) (xy 210.89396 41.329229) + (xy 210.670369 41.236614) (xy 210.433007 41.1894) (xy 210.190993 41.1894) (xy 209.953631 41.236614) (xy 209.73004 41.329229) + (xy 209.528813 41.463684) (xy 209.357684 41.634813) (xy 209.223229 41.83604) (xy 209.130614 42.059631) (xy 209.0834 42.296993) + (xy 106.5116 42.296993) (xy 106.464386 42.059631) (xy 106.371771 41.83604) (xy 106.237316 41.634813) (xy 106.066187 41.463684) + (xy 105.86496 41.329229) (xy 105.641369 41.236614) (xy 105.404007 41.1894) (xy 105.161993 41.1894) (xy 104.924631 41.236614) + (xy 104.70104 41.329229) (xy 104.499813 41.463684) (xy 104.328684 41.634813) (xy 104.194229 41.83604) (xy 104.101614 42.059631) + (xy 104.0544 42.296993) (xy 103.56792 42.296993) (xy 103.588945 42.082567) (xy 103.686359 41.759915) (xy 103.844593 41.462321) + (xy 104.057609 41.201137) (xy 104.102062 41.164362) (xy 106.5824 41.164362) (xy 106.5824 41.258638) (xy 106.600792 41.351103) + (xy 106.63687 41.438202) (xy 106.689247 41.51659) (xy 106.75591 41.583253) (xy 106.834298 41.63563) (xy 106.921397 41.671708) + (xy 107.013862 41.6901) (xy 107.108138 41.6901) (xy 107.200603 41.671708) (xy 107.287702 41.63563) (xy 107.36609 41.583253) + (xy 107.432753 41.51659) (xy 107.48513 41.438202) (xy 107.521208 41.351103) (xy 107.5396 41.258638) (xy 107.5396 41.164362) + (xy 111.6624 41.164362) (xy 111.6624 41.258638) (xy 111.680792 41.351103) (xy 111.71687 41.438202) (xy 111.769247 41.51659) + (xy 111.83591 41.583253) (xy 111.914298 41.63563) (xy 112.001397 41.671708) (xy 112.093862 41.6901) (xy 112.188138 41.6901) + (xy 112.280603 41.671708) (xy 112.367702 41.63563) (xy 112.44609 41.583253) (xy 112.512753 41.51659) (xy 112.56513 41.438202) + (xy 112.601208 41.351103) (xy 112.6196 41.258638) (xy 112.6196 41.164362) (xy 116.7424 41.164362) (xy 116.7424 41.258638) + (xy 116.760792 41.351103) (xy 116.79687 41.438202) (xy 116.849247 41.51659) (xy 116.91591 41.583253) (xy 116.994298 41.63563) + (xy 117.081397 41.671708) (xy 117.173862 41.6901) (xy 117.268138 41.6901) (xy 117.360603 41.671708) (xy 117.447702 41.63563) + (xy 117.52609 41.583253) (xy 117.592753 41.51659) (xy 117.64513 41.438202) (xy 117.681208 41.351103) (xy 117.6996 41.258638) + (xy 117.6996 41.164362) (xy 121.8224 41.164362) (xy 121.8224 41.258638) (xy 121.840792 41.351103) (xy 121.87687 41.438202) + (xy 121.929247 41.51659) (xy 121.99591 41.583253) (xy 122.074298 41.63563) (xy 122.161397 41.671708) (xy 122.253862 41.6901) + (xy 122.348138 41.6901) (xy 122.440603 41.671708) (xy 122.527702 41.63563) (xy 122.60609 41.583253) (xy 122.672753 41.51659) + (xy 122.72513 41.438202) (xy 122.761208 41.351103) (xy 122.7796 41.258638) (xy 122.7796 41.164362) (xy 126.9024 41.164362) + (xy 126.9024 41.258638) (xy 126.920792 41.351103) (xy 126.95687 41.438202) (xy 127.009247 41.51659) (xy 127.07591 41.583253) + (xy 127.154298 41.63563) (xy 127.241397 41.671708) (xy 127.333862 41.6901) (xy 127.428138 41.6901) (xy 127.520603 41.671708) + (xy 127.607702 41.63563) (xy 127.68609 41.583253) (xy 127.752753 41.51659) (xy 127.80513 41.438202) (xy 127.841208 41.351103) + (xy 127.8596 41.258638) (xy 127.8596 41.164362) (xy 131.9824 41.164362) (xy 131.9824 41.258638) (xy 132.000792 41.351103) + (xy 132.03687 41.438202) (xy 132.089247 41.51659) (xy 132.15591 41.583253) (xy 132.234298 41.63563) (xy 132.321397 41.671708) + (xy 132.413862 41.6901) (xy 132.508138 41.6901) (xy 132.600603 41.671708) (xy 132.687702 41.63563) (xy 132.76609 41.583253) + (xy 132.832753 41.51659) (xy 132.88513 41.438202) (xy 132.921208 41.351103) (xy 132.9396 41.258638) (xy 132.9396 41.164362) + (xy 137.0624 41.164362) (xy 137.0624 41.258638) (xy 137.080792 41.351103) (xy 137.11687 41.438202) (xy 137.169247 41.51659) + (xy 137.23591 41.583253) (xy 137.314298 41.63563) (xy 137.401397 41.671708) (xy 137.493862 41.6901) (xy 137.588138 41.6901) + (xy 137.680603 41.671708) (xy 137.767702 41.63563) (xy 137.84609 41.583253) (xy 137.912753 41.51659) (xy 137.96513 41.438202) + (xy 138.001208 41.351103) (xy 138.0196 41.258638) (xy 138.0196 41.164362) (xy 142.1424 41.164362) (xy 142.1424 41.258638) + (xy 142.160792 41.351103) (xy 142.19687 41.438202) (xy 142.249247 41.51659) (xy 142.31591 41.583253) (xy 142.394298 41.63563) + (xy 142.481397 41.671708) (xy 142.573862 41.6901) (xy 142.668138 41.6901) (xy 142.760603 41.671708) (xy 142.847702 41.63563) + (xy 142.92609 41.583253) (xy 142.992753 41.51659) (xy 143.04513 41.438202) (xy 143.081208 41.351103) (xy 143.0996 41.258638) + (xy 143.0996 41.164362) (xy 147.2224 41.164362) (xy 147.2224 41.258638) (xy 147.240792 41.351103) (xy 147.27687 41.438202) + (xy 147.329247 41.51659) (xy 147.39591 41.583253) (xy 147.474298 41.63563) (xy 147.561397 41.671708) (xy 147.653862 41.6901) + (xy 147.748138 41.6901) (xy 147.840603 41.671708) (xy 147.927702 41.63563) (xy 148.00609 41.583253) (xy 148.072753 41.51659) + (xy 148.12513 41.438202) (xy 148.161208 41.351103) (xy 148.1796 41.258638) (xy 148.1796 41.164362) (xy 152.3024 41.164362) + (xy 152.3024 41.258638) (xy 152.320792 41.351103) (xy 152.35687 41.438202) (xy 152.409247 41.51659) (xy 152.47591 41.583253) + (xy 152.554298 41.63563) (xy 152.641397 41.671708) (xy 152.733862 41.6901) (xy 152.828138 41.6901) (xy 152.920603 41.671708) + (xy 153.007702 41.63563) (xy 153.08609 41.583253) (xy 153.152753 41.51659) (xy 153.20513 41.438202) (xy 153.241208 41.351103) + (xy 153.2596 41.258638) (xy 153.2596 41.164362) (xy 157.3824 41.164362) (xy 157.3824 41.258638) (xy 157.400792 41.351103) + (xy 157.43687 41.438202) (xy 157.489247 41.51659) (xy 157.55591 41.583253) (xy 157.634298 41.63563) (xy 157.721397 41.671708) + (xy 157.813862 41.6901) (xy 157.908138 41.6901) (xy 158.000603 41.671708) (xy 158.087702 41.63563) (xy 158.16609 41.583253) + (xy 158.232753 41.51659) (xy 158.28513 41.438202) (xy 158.321208 41.351103) (xy 158.3396 41.258638) (xy 158.3396 41.164362) + (xy 162.4624 41.164362) (xy 162.4624 41.258638) (xy 162.480792 41.351103) (xy 162.51687 41.438202) (xy 162.569247 41.51659) + (xy 162.63591 41.583253) (xy 162.714298 41.63563) (xy 162.801397 41.671708) (xy 162.893862 41.6901) (xy 162.988138 41.6901) + (xy 163.080603 41.671708) (xy 163.167702 41.63563) (xy 163.24609 41.583253) (xy 163.312753 41.51659) (xy 163.36513 41.438202) + (xy 163.401208 41.351103) (xy 163.4196 41.258638) (xy 163.4196 41.164362) (xy 167.5424 41.164362) (xy 167.5424 41.258638) + (xy 167.560792 41.351103) (xy 167.59687 41.438202) (xy 167.649247 41.51659) (xy 167.71591 41.583253) (xy 167.794298 41.63563) + (xy 167.881397 41.671708) (xy 167.973862 41.6901) (xy 168.068138 41.6901) (xy 168.160603 41.671708) (xy 168.247702 41.63563) + (xy 168.32609 41.583253) (xy 168.392753 41.51659) (xy 168.44513 41.438202) (xy 168.481208 41.351103) (xy 168.4996 41.258638) + (xy 168.4996 41.164362) (xy 172.6224 41.164362) (xy 172.6224 41.258638) (xy 172.640792 41.351103) (xy 172.67687 41.438202) + (xy 172.729247 41.51659) (xy 172.79591 41.583253) (xy 172.874298 41.63563) (xy 172.961397 41.671708) (xy 173.053862 41.6901) + (xy 173.148138 41.6901) (xy 173.240603 41.671708) (xy 173.327702 41.63563) (xy 173.40609 41.583253) (xy 173.472753 41.51659) + (xy 173.52513 41.438202) (xy 173.561208 41.351103) (xy 173.5796 41.258638) (xy 173.5796 41.164362) (xy 177.7024 41.164362) + (xy 177.7024 41.258638) (xy 177.720792 41.351103) (xy 177.75687 41.438202) (xy 177.809247 41.51659) (xy 177.87591 41.583253) + (xy 177.954298 41.63563) (xy 178.041397 41.671708) (xy 178.133862 41.6901) (xy 178.228138 41.6901) (xy 178.320603 41.671708) + (xy 178.407702 41.63563) (xy 178.48609 41.583253) (xy 178.552753 41.51659) (xy 178.60513 41.438202) (xy 178.641208 41.351103) + (xy 178.6596 41.258638) (xy 178.6596 41.164362) (xy 182.7824 41.164362) (xy 182.7824 41.258638) (xy 182.800792 41.351103) + (xy 182.83687 41.438202) (xy 182.889247 41.51659) (xy 182.95591 41.583253) (xy 183.034298 41.63563) (xy 183.121397 41.671708) + (xy 183.213862 41.6901) (xy 183.308138 41.6901) (xy 183.400603 41.671708) (xy 183.487702 41.63563) (xy 183.56609 41.583253) + (xy 183.632753 41.51659) (xy 183.68513 41.438202) (xy 183.721208 41.351103) (xy 183.7396 41.258638) (xy 183.7396 41.164362) + (xy 187.8624 41.164362) (xy 187.8624 41.258638) (xy 187.880792 41.351103) (xy 187.91687 41.438202) (xy 187.969247 41.51659) + (xy 188.03591 41.583253) (xy 188.114298 41.63563) (xy 188.201397 41.671708) (xy 188.293862 41.6901) (xy 188.388138 41.6901) + (xy 188.480603 41.671708) (xy 188.567702 41.63563) (xy 188.64609 41.583253) (xy 188.712753 41.51659) (xy 188.76513 41.438202) + (xy 188.801208 41.351103) (xy 188.8196 41.258638) (xy 188.8196 41.164362) (xy 192.9424 41.164362) (xy 192.9424 41.258638) + (xy 192.960792 41.351103) (xy 192.99687 41.438202) (xy 193.049247 41.51659) (xy 193.11591 41.583253) (xy 193.194298 41.63563) + (xy 193.281397 41.671708) (xy 193.373862 41.6901) (xy 193.468138 41.6901) (xy 193.560603 41.671708) (xy 193.647702 41.63563) + (xy 193.72609 41.583253) (xy 193.792753 41.51659) (xy 193.84513 41.438202) (xy 193.881208 41.351103) (xy 193.8996 41.258638) + (xy 193.8996 41.164362) (xy 198.0224 41.164362) (xy 198.0224 41.258638) (xy 198.040792 41.351103) (xy 198.07687 41.438202) + (xy 198.129247 41.51659) (xy 198.19591 41.583253) (xy 198.274298 41.63563) (xy 198.361397 41.671708) (xy 198.453862 41.6901) + (xy 198.548138 41.6901) (xy 198.640603 41.671708) (xy 198.727702 41.63563) (xy 198.80609 41.583253) (xy 198.872753 41.51659) + (xy 198.92513 41.438202) (xy 198.961208 41.351103) (xy 198.9796 41.258638) (xy 198.9796 41.164362) (xy 203.1024 41.164362) + (xy 203.1024 41.258638) (xy 203.120792 41.351103) (xy 203.15687 41.438202) (xy 203.209247 41.51659) (xy 203.27591 41.583253) + (xy 203.354298 41.63563) (xy 203.441397 41.671708) (xy 203.533862 41.6901) (xy 203.628138 41.6901) (xy 203.720603 41.671708) + (xy 203.807702 41.63563) (xy 203.88609 41.583253) (xy 203.952753 41.51659) (xy 204.00513 41.438202) (xy 204.041208 41.351103) + (xy 204.0596 41.258638) (xy 204.0596 41.164362) (xy 208.1824 41.164362) (xy 208.1824 41.258638) (xy 208.200792 41.351103) + (xy 208.23687 41.438202) (xy 208.289247 41.51659) (xy 208.35591 41.583253) (xy 208.434298 41.63563) (xy 208.521397 41.671708) + (xy 208.613862 41.6901) (xy 208.708138 41.6901) (xy 208.800603 41.671708) (xy 208.887702 41.63563) (xy 208.96609 41.583253) + (xy 209.032753 41.51659) (xy 209.08513 41.438202) (xy 209.121208 41.351103) (xy 209.1396 41.258638) (xy 209.1396 41.164362) + (xy 209.121208 41.071897) (xy 209.08513 40.984798) (xy 209.032753 40.90641) (xy 208.96609 40.839747) (xy 208.887702 40.78737) + (xy 208.800603 40.751292) (xy 208.708138 40.7329) (xy 208.613862 40.7329) (xy 208.521397 40.751292) (xy 208.434298 40.78737) + (xy 208.35591 40.839747) (xy 208.289247 40.90641) (xy 208.23687 40.984798) (xy 208.200792 41.071897) (xy 208.1824 41.164362) + (xy 204.0596 41.164362) (xy 204.041208 41.071897) (xy 204.00513 40.984798) (xy 203.952753 40.90641) (xy 203.88609 40.839747) + (xy 203.807702 40.78737) (xy 203.720603 40.751292) (xy 203.628138 40.7329) (xy 203.533862 40.7329) (xy 203.441397 40.751292) + (xy 203.354298 40.78737) (xy 203.27591 40.839747) (xy 203.209247 40.90641) (xy 203.15687 40.984798) (xy 203.120792 41.071897) + (xy 203.1024 41.164362) (xy 198.9796 41.164362) (xy 198.961208 41.071897) (xy 198.92513 40.984798) (xy 198.872753 40.90641) + (xy 198.80609 40.839747) (xy 198.727702 40.78737) (xy 198.640603 40.751292) (xy 198.548138 40.7329) (xy 198.453862 40.7329) + (xy 198.361397 40.751292) (xy 198.274298 40.78737) (xy 198.19591 40.839747) (xy 198.129247 40.90641) (xy 198.07687 40.984798) + (xy 198.040792 41.071897) (xy 198.0224 41.164362) (xy 193.8996 41.164362) (xy 193.881208 41.071897) (xy 193.84513 40.984798) + (xy 193.792753 40.90641) (xy 193.72609 40.839747) (xy 193.647702 40.78737) (xy 193.560603 40.751292) (xy 193.468138 40.7329) + (xy 193.373862 40.7329) (xy 193.281397 40.751292) (xy 193.194298 40.78737) (xy 193.11591 40.839747) (xy 193.049247 40.90641) + (xy 192.99687 40.984798) (xy 192.960792 41.071897) (xy 192.9424 41.164362) (xy 188.8196 41.164362) (xy 188.801208 41.071897) + (xy 188.76513 40.984798) (xy 188.712753 40.90641) (xy 188.64609 40.839747) (xy 188.567702 40.78737) (xy 188.480603 40.751292) + (xy 188.388138 40.7329) (xy 188.293862 40.7329) (xy 188.201397 40.751292) (xy 188.114298 40.78737) (xy 188.03591 40.839747) + (xy 187.969247 40.90641) (xy 187.91687 40.984798) (xy 187.880792 41.071897) (xy 187.8624 41.164362) (xy 183.7396 41.164362) + (xy 183.721208 41.071897) (xy 183.68513 40.984798) (xy 183.632753 40.90641) (xy 183.56609 40.839747) (xy 183.487702 40.78737) + (xy 183.400603 40.751292) (xy 183.308138 40.7329) (xy 183.213862 40.7329) (xy 183.121397 40.751292) (xy 183.034298 40.78737) + (xy 182.95591 40.839747) (xy 182.889247 40.90641) (xy 182.83687 40.984798) (xy 182.800792 41.071897) (xy 182.7824 41.164362) + (xy 178.6596 41.164362) (xy 178.641208 41.071897) (xy 178.60513 40.984798) (xy 178.552753 40.90641) (xy 178.48609 40.839747) + (xy 178.407702 40.78737) (xy 178.320603 40.751292) (xy 178.228138 40.7329) (xy 178.133862 40.7329) (xy 178.041397 40.751292) + (xy 177.954298 40.78737) (xy 177.87591 40.839747) (xy 177.809247 40.90641) (xy 177.75687 40.984798) (xy 177.720792 41.071897) + (xy 177.7024 41.164362) (xy 173.5796 41.164362) (xy 173.561208 41.071897) (xy 173.52513 40.984798) (xy 173.472753 40.90641) + (xy 173.40609 40.839747) (xy 173.327702 40.78737) (xy 173.240603 40.751292) (xy 173.148138 40.7329) (xy 173.053862 40.7329) + (xy 172.961397 40.751292) (xy 172.874298 40.78737) (xy 172.79591 40.839747) (xy 172.729247 40.90641) (xy 172.67687 40.984798) + (xy 172.640792 41.071897) (xy 172.6224 41.164362) (xy 168.4996 41.164362) (xy 168.481208 41.071897) (xy 168.44513 40.984798) + (xy 168.392753 40.90641) (xy 168.32609 40.839747) (xy 168.247702 40.78737) (xy 168.160603 40.751292) (xy 168.068138 40.7329) + (xy 167.973862 40.7329) (xy 167.881397 40.751292) (xy 167.794298 40.78737) (xy 167.71591 40.839747) (xy 167.649247 40.90641) + (xy 167.59687 40.984798) (xy 167.560792 41.071897) (xy 167.5424 41.164362) (xy 163.4196 41.164362) (xy 163.401208 41.071897) + (xy 163.36513 40.984798) (xy 163.312753 40.90641) (xy 163.24609 40.839747) (xy 163.167702 40.78737) (xy 163.080603 40.751292) + (xy 162.988138 40.7329) (xy 162.893862 40.7329) (xy 162.801397 40.751292) (xy 162.714298 40.78737) (xy 162.63591 40.839747) + (xy 162.569247 40.90641) (xy 162.51687 40.984798) (xy 162.480792 41.071897) (xy 162.4624 41.164362) (xy 158.3396 41.164362) + (xy 158.321208 41.071897) (xy 158.28513 40.984798) (xy 158.232753 40.90641) (xy 158.16609 40.839747) (xy 158.087702 40.78737) + (xy 158.000603 40.751292) (xy 157.908138 40.7329) (xy 157.813862 40.7329) (xy 157.721397 40.751292) (xy 157.634298 40.78737) + (xy 157.55591 40.839747) (xy 157.489247 40.90641) (xy 157.43687 40.984798) (xy 157.400792 41.071897) (xy 157.3824 41.164362) + (xy 153.2596 41.164362) (xy 153.241208 41.071897) (xy 153.20513 40.984798) (xy 153.152753 40.90641) (xy 153.08609 40.839747) + (xy 153.007702 40.78737) (xy 152.920603 40.751292) (xy 152.828138 40.7329) (xy 152.733862 40.7329) (xy 152.641397 40.751292) + (xy 152.554298 40.78737) (xy 152.47591 40.839747) (xy 152.409247 40.90641) (xy 152.35687 40.984798) (xy 152.320792 41.071897) + (xy 152.3024 41.164362) (xy 148.1796 41.164362) (xy 148.161208 41.071897) (xy 148.12513 40.984798) (xy 148.072753 40.90641) + (xy 148.00609 40.839747) (xy 147.927702 40.78737) (xy 147.840603 40.751292) (xy 147.748138 40.7329) (xy 147.653862 40.7329) + (xy 147.561397 40.751292) (xy 147.474298 40.78737) (xy 147.39591 40.839747) (xy 147.329247 40.90641) (xy 147.27687 40.984798) + (xy 147.240792 41.071897) (xy 147.2224 41.164362) (xy 143.0996 41.164362) (xy 143.081208 41.071897) (xy 143.04513 40.984798) + (xy 142.992753 40.90641) (xy 142.92609 40.839747) (xy 142.847702 40.78737) (xy 142.760603 40.751292) (xy 142.668138 40.7329) + (xy 142.573862 40.7329) (xy 142.481397 40.751292) (xy 142.394298 40.78737) (xy 142.31591 40.839747) (xy 142.249247 40.90641) + (xy 142.19687 40.984798) (xy 142.160792 41.071897) (xy 142.1424 41.164362) (xy 138.0196 41.164362) (xy 138.001208 41.071897) + (xy 137.96513 40.984798) (xy 137.912753 40.90641) (xy 137.84609 40.839747) (xy 137.767702 40.78737) (xy 137.680603 40.751292) + (xy 137.588138 40.7329) (xy 137.493862 40.7329) (xy 137.401397 40.751292) (xy 137.314298 40.78737) (xy 137.23591 40.839747) + (xy 137.169247 40.90641) (xy 137.11687 40.984798) (xy 137.080792 41.071897) (xy 137.0624 41.164362) (xy 132.9396 41.164362) + (xy 132.921208 41.071897) (xy 132.88513 40.984798) (xy 132.832753 40.90641) (xy 132.76609 40.839747) (xy 132.687702 40.78737) + (xy 132.600603 40.751292) (xy 132.508138 40.7329) (xy 132.413862 40.7329) (xy 132.321397 40.751292) (xy 132.234298 40.78737) + (xy 132.15591 40.839747) (xy 132.089247 40.90641) (xy 132.03687 40.984798) (xy 132.000792 41.071897) (xy 131.9824 41.164362) + (xy 127.8596 41.164362) (xy 127.841208 41.071897) (xy 127.80513 40.984798) (xy 127.752753 40.90641) (xy 127.68609 40.839747) + (xy 127.607702 40.78737) (xy 127.520603 40.751292) (xy 127.428138 40.7329) (xy 127.333862 40.7329) (xy 127.241397 40.751292) + (xy 127.154298 40.78737) (xy 127.07591 40.839747) (xy 127.009247 40.90641) (xy 126.95687 40.984798) (xy 126.920792 41.071897) + (xy 126.9024 41.164362) (xy 122.7796 41.164362) (xy 122.761208 41.071897) (xy 122.72513 40.984798) (xy 122.672753 40.90641) + (xy 122.60609 40.839747) (xy 122.527702 40.78737) (xy 122.440603 40.751292) (xy 122.348138 40.7329) (xy 122.253862 40.7329) + (xy 122.161397 40.751292) (xy 122.074298 40.78737) (xy 121.99591 40.839747) (xy 121.929247 40.90641) (xy 121.87687 40.984798) + (xy 121.840792 41.071897) (xy 121.8224 41.164362) (xy 117.6996 41.164362) (xy 117.681208 41.071897) (xy 117.64513 40.984798) + (xy 117.592753 40.90641) (xy 117.52609 40.839747) (xy 117.447702 40.78737) (xy 117.360603 40.751292) (xy 117.268138 40.7329) + (xy 117.173862 40.7329) (xy 117.081397 40.751292) (xy 116.994298 40.78737) (xy 116.91591 40.839747) (xy 116.849247 40.90641) + (xy 116.79687 40.984798) (xy 116.760792 41.071897) (xy 116.7424 41.164362) (xy 112.6196 41.164362) (xy 112.601208 41.071897) + (xy 112.56513 40.984798) (xy 112.512753 40.90641) (xy 112.44609 40.839747) (xy 112.367702 40.78737) (xy 112.280603 40.751292) + (xy 112.188138 40.7329) (xy 112.093862 40.7329) (xy 112.001397 40.751292) (xy 111.914298 40.78737) (xy 111.83591 40.839747) + (xy 111.769247 40.90641) (xy 111.71687 40.984798) (xy 111.680792 41.071897) (xy 111.6624 41.164362) (xy 107.5396 41.164362) + (xy 107.521208 41.071897) (xy 107.48513 40.984798) (xy 107.432753 40.90641) (xy 107.36609 40.839747) (xy 107.287702 40.78737) + (xy 107.200603 40.751292) (xy 107.108138 40.7329) (xy 107.013862 40.7329) (xy 106.921397 40.751292) (xy 106.834298 40.78737) + (xy 106.75591 40.839747) (xy 106.689247 40.90641) (xy 106.63687 40.984798) (xy 106.600792 41.071897) (xy 106.5824 41.164362) + (xy 104.102062 41.164362) (xy 104.317306 40.986298) (xy 104.61378 40.825995) (xy 104.935747 40.726329) (xy 105.285201 40.6896) + (xy 210.297152 40.6896) + ) + ) + ) + (zone (net 3) (net_name +3V3) (layer F.Cu) (tstamp 0) (hatch edge 0.508) + (priority 1) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) + (fill yes (arc_segments 32) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 122.5 70.6) (xy 119.8 73.4) (xy 119.8 77.35) (xy 124.45 82) (xy 124.45 85.65) + (xy 121.95 88.15) (xy 121.95 94.15) (xy 119.95 96.15) (xy 119.95 101.3) (xy 117.05 101.3) + (xy 117.05 99.95) (xy 116.6 99.5) (xy 115.1 99.5) (xy 114.85 99.25) (xy 114.7 99.25) + (xy 113.65 98.2) (xy 113.15 98.2) (xy 110.05 95.1) (xy 110.05 67.5) (xy 114.75 62.85) + ) + ) + (filled_polygon + (pts + (xy 117.870658 66.078422) (xy 117.068532 66.880549) (xy 117.068529 66.880551) (xy 116.930551 67.018529) (xy 116.90338 67.059193) + (xy 116.872361 67.09699) (xy 116.849312 67.140112) (xy 116.822142 67.180775) (xy 116.803425 67.225962) (xy 116.780378 67.26908) + (xy 116.766187 67.315863) (xy 116.747468 67.361053) (xy 116.742461 67.386224) (xy 116.488147 67.640539) (xy 116.44605 67.644685) + (xy 116.346681 67.674828) (xy 116.255102 67.723779) (xy 116.174832 67.789654) (xy 115.184882 68.779604) (xy 115.119007 68.859874) + (xy 115.070056 68.951453) (xy 115.039913 69.050822) (xy 115.035767 69.092919) (xy 114.786226 69.342461) (xy 114.761053 69.347468) + (xy 114.580775 69.422142) (xy 114.418529 69.530551) (xy 114.280551 69.668529) (xy 114.172142 69.830775) (xy 114.097468 70.011053) + (xy 114.0594 70.202434) (xy 114.0594 70.397566) (xy 114.097468 70.588947) (xy 114.172142 70.769225) (xy 114.280551 70.931471) + (xy 114.418529 71.069449) (xy 114.580775 71.177858) (xy 114.761053 71.252532) (xy 114.952434 71.2906) (xy 115.147566 71.2906) + (xy 115.338947 71.252532) (xy 115.519225 71.177858) (xy 115.681471 71.069449) (xy 115.819449 70.931471) (xy 115.920318 70.780509) + (xy 116.531816 70.780509) (xy 116.536229 70.825322) (xy 116.549301 70.868413) (xy 116.570529 70.908127) (xy 116.599095 70.942935) + (xy 117.037612 71.379888) (xy 117.118434 71.379888) (xy 117.89459 70.603732) (xy 118.103328 70.603732) (xy 118.702707 71.203111) + (xy 118.783529 71.203111) (xy 119.398823 70.589382) (xy 119.427389 70.554573) (xy 119.448617 70.51486) (xy 119.461689 70.471769) + (xy 119.466102 70.426955) (xy 119.461689 70.382142) (xy 119.448617 70.339051) (xy 119.427389 70.299337) (xy 119.398823 70.264529) + (xy 118.960306 69.827576) (xy 118.879484 69.827576) (xy 118.103328 70.603732) (xy 117.89459 70.603732) (xy 117.295211 70.004353) + (xy 117.214389 70.004353) (xy 116.599095 70.618082) (xy 116.570529 70.652891) (xy 116.549301 70.692604) (xy 116.536229 70.735695) + (xy 116.531816 70.780509) (xy 115.920318 70.780509) (xy 115.927858 70.769225) (xy 116.002532 70.588947) (xy 116.007539 70.563774) + (xy 116.257081 70.314233) (xy 116.299178 70.310087) (xy 116.398547 70.279944) (xy 116.490126 70.230993) (xy 116.570396 70.165118) + (xy 116.916352 69.819162) (xy 117.39958 69.819162) (xy 117.39958 69.899984) (xy 117.998959 70.499363) (xy 118.775115 69.723207) + (xy 118.775115 69.642385) (xy 118.338162 69.203868) (xy 118.303354 69.175302) (xy 118.26364 69.154074) (xy 118.220549 69.141002) + (xy 118.175736 69.136589) (xy 118.130922 69.141002) (xy 118.087831 69.154074) (xy 118.048118 69.175302) (xy 118.013309 69.203868) + (xy 117.39958 69.819162) (xy 116.916352 69.819162) (xy 117.560346 69.175168) (xy 117.626221 69.094898) (xy 117.675172 69.003319) + (xy 117.705315 68.90395) (xy 117.709461 68.861853) (xy 117.963776 68.607539) (xy 117.988947 68.602532) (xy 118.034137 68.583813) + (xy 118.08092 68.569622) (xy 118.124038 68.546575) (xy 118.169225 68.527858) (xy 118.209888 68.500688) (xy 118.25301 68.477639) + (xy 118.290807 68.44662) (xy 118.331471 68.419449) (xy 118.469449 68.281471) (xy 118.469451 68.281468) (xy 119.271578 67.479342) + (xy 122.281441 70.489205) (xy 119.620367 73.150279) (xy 119.608785 73.159784) (xy 119.570846 73.206013) (xy 119.545122 73.25414) + (xy 119.542655 73.258756) (xy 119.525294 73.315985) (xy 119.519432 73.3755) (xy 119.520901 73.390414) (xy 119.5209 77.359596) + (xy 119.519432 77.3745) (xy 119.5209 77.389403) (xy 119.525294 77.434015) (xy 119.542654 77.491243) (xy 119.570845 77.543986) + (xy 119.608784 77.590216) (xy 119.620372 77.599726) (xy 124.146401 82.125756) (xy 124.1464 85.524245) (xy 121.745867 87.924779) + (xy 121.734285 87.934284) (xy 121.696346 87.980513) (xy 121.668155 88.033256) (xy 121.650794 88.090485) (xy 121.644932 88.15) + (xy 121.646401 88.164914) (xy 121.6464 94.024245) (xy 119.745867 95.924779) (xy 119.734285 95.934284) (xy 119.696346 95.980513) + (xy 119.675515 96.019486) (xy 119.668155 96.033256) (xy 119.650794 96.090485) (xy 119.644932 96.15) (xy 119.646401 96.164914) + (xy 119.6464 98.745402) (xy 119.38095 98.7464) (xy 119.3238 98.80355) (xy 119.3238 99.3762) (xy 119.3438 99.3762) + (xy 119.3438 99.5238) (xy 119.3238 99.5238) (xy 119.3238 100.09645) (xy 119.38095 100.1536) (xy 119.6464 100.154598) + (xy 119.6464 100.224246) (xy 119.545867 100.324779) (xy 119.534285 100.334284) (xy 119.496346 100.380513) (xy 119.480845 100.409515) + (xy 119.468155 100.433256) (xy 119.454673 100.477699) (xy 119.444813 100.474708) (xy 119.4 100.470294) (xy 119.38095 100.4714) + (xy 119.3238 100.52855) (xy 119.3238 101.2238) (xy 119.1762 101.2238) (xy 119.1762 100.52855) (xy 119.11905 100.4714) + (xy 119.1 100.470294) (xy 119.055187 100.474708) (xy 119.012095 100.487779) (xy 118.972382 100.509006) (xy 118.971694 100.50957) + (xy 118.941606 100.493488) (xy 118.884445 100.476149) (xy 118.825 100.470294) (xy 118.675 100.470294) (xy 118.615555 100.476149) + (xy 118.558394 100.493488) (xy 118.505714 100.521646) (xy 118.5 100.526335) (xy 118.494286 100.521646) (xy 118.441606 100.493488) + (xy 118.439585 100.492875) (xy 118.444308 100.484038) (xy 118.451163 100.461439) (xy 118.460208 100.439603) (xy 118.46482 100.416419) + (xy 118.471674 100.393822) (xy 118.473989 100.370321) (xy 118.4786 100.347138) (xy 118.4786 100.323504) (xy 118.480915 100.3) + (xy 118.4786 100.276496) (xy 118.4786 100.252862) (xy 118.473989 100.229679) (xy 118.471674 100.206178) (xy 118.46482 100.183581) + (xy 118.460208 100.160397) (xy 118.451163 100.138561) (xy 118.444308 100.115962) (xy 118.433174 100.095133) (xy 118.42413 100.073298) + (xy 118.410999 100.053646) (xy 118.399866 100.032818) (xy 118.384886 100.014564) (xy 118.371753 99.99491) (xy 118.355037 99.978194) + (xy 118.340058 99.959942) (xy 118.332536 99.953769) (xy 118.347913 99.925) (xy 118.595294 99.925) (xy 118.599708 99.969813) + (xy 118.612779 100.012905) (xy 118.634006 100.052618) (xy 118.662573 100.087427) (xy 118.697382 100.115994) (xy 118.737095 100.137221) + (xy 118.780187 100.150292) (xy 118.825 100.154706) (xy 119.11905 100.1536) (xy 119.1762 100.09645) (xy 119.1762 99.5238) + (xy 118.65355 99.5238) (xy 118.5964 99.58095) (xy 118.595294 99.925) (xy 118.347913 99.925) (xy 118.371045 99.881725) + (xy 118.396209 99.79877) (xy 118.404706 99.7125) (xy 118.404706 99.1875) (xy 118.396209 99.10123) (xy 118.371045 99.018275) + (xy 118.347914 98.975) (xy 118.595294 98.975) (xy 118.5964 99.31905) (xy 118.65355 99.3762) (xy 119.1762 99.3762) + (xy 119.1762 98.80355) (xy 119.11905 98.7464) (xy 118.825 98.745294) (xy 118.780187 98.749708) (xy 118.737095 98.762779) + (xy 118.697382 98.784006) (xy 118.662573 98.812573) (xy 118.634006 98.847382) (xy 118.612779 98.887095) (xy 118.599708 98.930187) + (xy 118.595294 98.975) (xy 118.347914 98.975) (xy 118.330181 98.941824) (xy 118.284445 98.886094) (xy 118.284888 98.885432) + (xy 118.299866 98.867181) (xy 118.310995 98.846359) (xy 118.32413 98.826702) (xy 118.333177 98.80486) (xy 118.344307 98.784038) + (xy 118.35116 98.761446) (xy 118.360208 98.739603) (xy 118.36482 98.716416) (xy 118.371674 98.693822) (xy 118.373988 98.670323) + (xy 118.3786 98.647138) (xy 118.3786 98.623497) (xy 118.380914 98.600001) (xy 118.3786 98.576505) (xy 118.3786 98.552862) + (xy 118.373988 98.529676) (xy 118.371674 98.506179) (xy 118.36482 98.483586) (xy 118.360208 98.460397) (xy 118.35116 98.438554) + (xy 118.344307 98.415962) (xy 118.333177 98.39514) (xy 118.32413 98.373298) (xy 118.310995 98.353641) (xy 118.299866 98.332819) + (xy 118.284888 98.314568) (xy 118.271753 98.29491) (xy 118.255036 98.278193) (xy 118.240058 98.259942) (xy 118.221807 98.244964) + (xy 118.20509 98.228247) (xy 118.185432 98.215112) (xy 118.167181 98.200134) (xy 118.146359 98.189005) (xy 118.126702 98.17587) + (xy 118.10486 98.166823) (xy 118.084038 98.155693) (xy 118.061446 98.14884) (xy 118.039603 98.139792) (xy 118.016414 98.13518) + (xy 117.993821 98.128326) (xy 117.970324 98.126012) (xy 117.947138 98.1214) (xy 117.923495 98.1214) (xy 117.899999 98.119086) + (xy 117.876503 98.1214) (xy 117.852862 98.1214) (xy 117.829677 98.126012) (xy 117.806178 98.128326) (xy 117.783584 98.13518) + (xy 117.760397 98.139792) (xy 117.738554 98.14884) (xy 117.715962 98.155693) (xy 117.69514 98.166823) (xy 117.673298 98.17587) + (xy 117.653641 98.189005) (xy 117.632819 98.200134) (xy 117.614568 98.215112) (xy 117.59491 98.228247) (xy 117.528247 98.29491) + (xy 117.528245 98.294913) (xy 117.428195 98.394963) (xy 117.409943 98.409942) (xy 117.394964 98.428194) (xy 117.394959 98.428199) + (xy 117.350134 98.482819) (xy 117.305693 98.565962) (xy 117.278326 98.656179) (xy 117.269086 98.75) (xy 117.271401 98.773505) + (xy 117.271401 98.819214) (xy 117.221753 98.74491) (xy 117.15509 98.678247) (xy 117.076702 98.62587) (xy 116.989603 98.589792) + (xy 116.897138 98.5714) (xy 116.802862 98.5714) (xy 116.710397 98.589792) (xy 116.623298 98.62587) (xy 116.54491 98.678247) + (xy 116.478247 98.74491) (xy 116.42587 98.823298) (xy 116.389792 98.910397) (xy 116.3714 99.002862) (xy 116.3714 99.097138) + (xy 116.389792 99.189603) (xy 116.392607 99.1964) (xy 115.225755 99.1964) (xy 115.025226 98.995872) (xy 115.015716 98.984284) + (xy 114.969487 98.946345) (xy 114.916744 98.918154) (xy 114.882032 98.907624) (xy 114.910208 98.839603) (xy 114.9286 98.747138) + (xy 114.9286 98.652862) (xy 114.910208 98.560397) (xy 114.87413 98.473298) (xy 114.821753 98.39491) (xy 114.75509 98.328247) + (xy 114.676702 98.27587) (xy 114.589603 98.239792) (xy 114.497138 98.2214) (xy 114.402862 98.2214) (xy 114.310397 98.239792) + (xy 114.223298 98.27587) (xy 114.182491 98.303136) (xy 113.875226 97.995872) (xy 113.865716 97.984284) (xy 113.819487 97.946345) + (xy 113.766744 97.918154) (xy 113.709516 97.900794) (xy 113.664904 97.8964) (xy 113.65 97.894932) (xy 113.635096 97.8964) + (xy 113.275755 97.8964) (xy 110.3536 94.974246) (xy 110.3536 92.3875) (xy 110.870294 92.3875) (xy 110.870294 92.9125) + (xy 110.879752 93.008525) (xy 110.907761 93.100859) (xy 110.953246 93.185955) (xy 111.014458 93.260542) (xy 111.089045 93.321754) + (xy 111.171401 93.365774) (xy 111.171401 93.638083) (xy 111.1714 93.638088) (xy 111.1714 93.761912) (xy 111.177457 93.792365) + (xy 111.180497 93.823227) (xy 111.189498 93.852899) (xy 111.195556 93.883356) (xy 111.20744 93.912047) (xy 111.216441 93.941719) + (xy 111.231057 93.969064) (xy 111.242941 93.997754) (xy 111.260194 94.023575) (xy 111.274811 94.050921) (xy 111.294484 94.074893) + (xy 111.311734 94.100709) (xy 111.333685 94.12266) (xy 111.353363 94.146638) (xy 111.377341 94.166316) (xy 111.399291 94.188266) + (xy 111.425106 94.205515) (xy 111.44908 94.22519) (xy 111.476429 94.239808) (xy 111.502246 94.257059) (xy 111.530932 94.268941) + (xy 111.558282 94.28356) (xy 111.587959 94.292563) (xy 111.616644 94.304444) (xy 111.647094 94.310501) (xy 111.676774 94.319504) + (xy 111.707644 94.322545) (xy 111.738088 94.3286) (xy 111.769125 94.3286) (xy 111.8 94.331641) (xy 111.830875 94.3286) + (xy 111.861912 94.3286) (xy 111.892355 94.322545) (xy 111.923227 94.319504) (xy 111.952909 94.3105) (xy 111.983356 94.304444) + (xy 112.012038 94.292564) (xy 112.041719 94.28356) (xy 112.069072 94.268939) (xy 112.097754 94.257059) (xy 112.123567 94.239811) + (xy 112.150921 94.22519) (xy 112.1749 94.205511) (xy 112.200709 94.188266) (xy 112.222654 94.166321) (xy 112.246638 94.146638) + (xy 112.266321 94.122654) (xy 112.288266 94.100709) (xy 112.305511 94.0749) (xy 112.32519 94.050921) (xy 112.339811 94.023567) + (xy 112.357059 93.997754) (xy 112.368939 93.969072) (xy 112.38356 93.941719) (xy 112.392564 93.912038) (xy 112.404444 93.883356) + (xy 112.4105 93.852909) (xy 112.419504 93.823227) (xy 112.422545 93.792355) (xy 112.4286 93.761912) (xy 112.4286 93.365774) + (xy 112.510955 93.321754) (xy 112.563538 93.2786) (xy 113.061912 93.2786) (xy 113.092355 93.272545) (xy 113.123227 93.269504) + (xy 113.152909 93.2605) (xy 113.183356 93.254444) (xy 113.212038 93.242564) (xy 113.241719 93.23356) (xy 113.269072 93.218939) + (xy 113.297754 93.207059) (xy 113.323567 93.189811) (xy 113.350921 93.17519) (xy 113.3749 93.155511) (xy 113.400709 93.138266) + (xy 113.422654 93.116321) (xy 113.446638 93.096638) (xy 113.466321 93.072654) (xy 113.488266 93.050709) (xy 113.505511 93.0249) + (xy 113.52519 93.000921) (xy 113.539811 92.973567) (xy 113.557059 92.947754) (xy 113.568939 92.919072) (xy 113.58356 92.891719) + (xy 113.592564 92.862038) (xy 113.604444 92.833356) (xy 113.6105 92.802909) (xy 113.619504 92.773227) (xy 113.622545 92.742355) + (xy 113.6286 92.711912) (xy 113.6286 92.680875) (xy 113.631641 92.65) (xy 116.268359 92.65) (xy 116.2714 92.680875) + (xy 116.2714 92.711912) (xy 116.277455 92.742355) (xy 116.280496 92.773227) (xy 116.2895 92.802909) (xy 116.295556 92.833356) + (xy 116.307436 92.862038) (xy 116.31644 92.891719) (xy 116.331061 92.919072) (xy 116.342941 92.947754) (xy 116.360189 92.973567) + (xy 116.37481 93.000921) (xy 116.394489 93.0249) (xy 116.411734 93.050709) (xy 116.433679 93.072654) (xy 116.453362 93.096638) + (xy 116.477346 93.116321) (xy 116.499291 93.138266) (xy 116.5251 93.155511) (xy 116.549079 93.17519) (xy 116.576433 93.189811) + (xy 116.602246 93.207059) (xy 116.630928 93.218939) (xy 116.658281 93.23356) (xy 116.687962 93.242564) (xy 116.716644 93.254444) + (xy 116.747091 93.2605) (xy 116.776773 93.269504) (xy 116.807645 93.272545) (xy 116.838088 93.2786) (xy 117.336462 93.2786) + (xy 117.389045 93.321754) (xy 117.471401 93.365774) (xy 117.471401 93.638083) (xy 117.4714 93.638088) (xy 117.4714 93.761912) + (xy 117.477457 93.792365) (xy 117.480497 93.823227) (xy 117.489498 93.852899) (xy 117.495556 93.883356) (xy 117.50744 93.912047) + (xy 117.516441 93.941719) (xy 117.531057 93.969064) (xy 117.542941 93.997754) (xy 117.560194 94.023575) (xy 117.574811 94.050921) + (xy 117.594484 94.074893) (xy 117.611734 94.100709) (xy 117.633685 94.12266) (xy 117.653363 94.146638) (xy 117.677341 94.166316) + (xy 117.699291 94.188266) (xy 117.725106 94.205515) (xy 117.74908 94.22519) (xy 117.776429 94.239808) (xy 117.802246 94.257059) + (xy 117.830932 94.268941) (xy 117.858282 94.28356) (xy 117.887959 94.292563) (xy 117.916644 94.304444) (xy 117.947094 94.310501) + (xy 117.976774 94.319504) (xy 118.007644 94.322545) (xy 118.038088 94.3286) (xy 118.069125 94.3286) (xy 118.1 94.331641) + (xy 118.130875 94.3286) (xy 118.161912 94.3286) (xy 118.192355 94.322545) (xy 118.223227 94.319504) (xy 118.252909 94.3105) + (xy 118.283356 94.304444) (xy 118.312038 94.292564) (xy 118.341719 94.28356) (xy 118.369072 94.268939) (xy 118.397754 94.257059) + (xy 118.423567 94.239811) (xy 118.450921 94.22519) (xy 118.4749 94.205511) (xy 118.500709 94.188266) (xy 118.522654 94.166321) + (xy 118.546638 94.146638) (xy 118.566321 94.122654) (xy 118.588266 94.100709) (xy 118.605511 94.0749) (xy 118.62519 94.050921) + (xy 118.639811 94.023567) (xy 118.657059 93.997754) (xy 118.668939 93.969072) (xy 118.68356 93.941719) (xy 118.692564 93.912038) + (xy 118.704444 93.883356) (xy 118.7105 93.852909) (xy 118.719504 93.823227) (xy 118.722545 93.792355) (xy 118.7286 93.761912) + (xy 118.7286 93.365774) (xy 118.810955 93.321754) (xy 118.885542 93.260542) (xy 118.946754 93.185955) (xy 118.992239 93.100859) + (xy 119.020248 93.008525) (xy 119.029706 92.9125) (xy 119.029706 92.3875) (xy 119.020248 92.291475) (xy 118.992239 92.199141) + (xy 118.946754 92.114045) (xy 118.885542 92.039458) (xy 118.810955 91.978246) (xy 118.725859 91.932761) (xy 118.633525 91.904752) + (xy 118.5375 91.895294) (xy 117.6625 91.895294) (xy 117.566475 91.904752) (xy 117.474141 91.932761) (xy 117.389045 91.978246) + (xy 117.336462 92.0214) (xy 116.838088 92.0214) (xy 116.807645 92.027455) (xy 116.776773 92.030496) (xy 116.747091 92.0395) + (xy 116.716644 92.045556) (xy 116.687962 92.057436) (xy 116.658281 92.06644) (xy 116.630928 92.081061) (xy 116.602246 92.092941) + (xy 116.576433 92.110189) (xy 116.549079 92.12481) (xy 116.5251 92.144489) (xy 116.499291 92.161734) (xy 116.477346 92.183679) + (xy 116.453362 92.203362) (xy 116.433679 92.227346) (xy 116.411734 92.249291) (xy 116.394489 92.2751) (xy 116.37481 92.299079) + (xy 116.360189 92.326433) (xy 116.342941 92.352246) (xy 116.331061 92.380928) (xy 116.31644 92.408281) (xy 116.307436 92.437962) + (xy 116.295556 92.466644) (xy 116.2895 92.497091) (xy 116.280496 92.526773) (xy 116.277455 92.557645) (xy 116.2714 92.588088) + (xy 116.2714 92.619125) (xy 116.268359 92.65) (xy 113.631641 92.65) (xy 113.6286 92.619125) (xy 113.6286 92.588088) + (xy 113.622545 92.557645) (xy 113.619504 92.526773) (xy 113.6105 92.497091) (xy 113.604444 92.466644) (xy 113.592564 92.437962) + (xy 113.58356 92.408281) (xy 113.568939 92.380928) (xy 113.557059 92.352246) (xy 113.539811 92.326433) (xy 113.52519 92.299079) + (xy 113.505511 92.2751) (xy 113.488266 92.249291) (xy 113.466321 92.227346) (xy 113.446638 92.203362) (xy 113.422654 92.183679) + (xy 113.400709 92.161734) (xy 113.3749 92.144489) (xy 113.350921 92.12481) (xy 113.323567 92.110189) (xy 113.297754 92.092941) + (xy 113.269072 92.081061) (xy 113.241719 92.06644) (xy 113.212038 92.057436) (xy 113.183356 92.045556) (xy 113.152909 92.0395) + (xy 113.123227 92.030496) (xy 113.092355 92.027455) (xy 113.061912 92.0214) (xy 112.563538 92.0214) (xy 112.510955 91.978246) + (xy 112.425859 91.932761) (xy 112.333525 91.904752) (xy 112.2375 91.895294) (xy 111.3625 91.895294) (xy 111.266475 91.904752) + (xy 111.174141 91.932761) (xy 111.089045 91.978246) (xy 111.014458 92.039458) (xy 110.953246 92.114045) (xy 110.907761 92.199141) + (xy 110.879752 92.291475) (xy 110.870294 92.3875) (xy 110.3536 92.3875) (xy 110.3536 91.75) (xy 112.820294 91.75) + (xy 112.824708 91.794813) (xy 112.837779 91.837905) (xy 112.859006 91.877618) (xy 112.887573 91.912427) (xy 112.922382 91.940994) + (xy 112.962095 91.962221) (xy 113.005187 91.975292) (xy 113.05 91.979706) (xy 114.81905 91.9786) (xy 114.8762 91.92145) + (xy 114.8762 90.8238) (xy 115.0238 90.8238) (xy 115.0238 91.92145) (xy 115.08095 91.9786) (xy 116.85 91.979706) + (xy 116.894813 91.975292) (xy 116.937905 91.962221) (xy 116.977618 91.940994) (xy 117.012427 91.912427) (xy 117.040994 91.877618) + (xy 117.062221 91.837905) (xy 117.075292 91.794813) (xy 117.079706 91.75) (xy 117.079357 91.475) (xy 117.170294 91.475) + (xy 117.174708 91.519813) (xy 117.187779 91.562905) (xy 117.209006 91.602618) (xy 117.237573 91.637427) (xy 117.272382 91.665994) + (xy 117.312095 91.687221) (xy 117.355187 91.700292) (xy 117.4 91.704706) (xy 117.96905 91.7036) (xy 118.0262 91.64645) + (xy 118.0262 91.0238) (xy 118.1738 91.0238) (xy 118.1738 91.64645) (xy 118.23095 91.7036) (xy 118.8 91.704706) + (xy 118.844813 91.700292) (xy 118.887905 91.687221) (xy 118.927618 91.665994) (xy 118.962427 91.637427) (xy 118.990994 91.602618) + (xy 119.012221 91.562905) (xy 119.025292 91.519813) (xy 119.029706 91.475) (xy 119.0286 91.08095) (xy 118.97145 91.0238) + (xy 118.1738 91.0238) (xy 118.0262 91.0238) (xy 117.22855 91.0238) (xy 117.1714 91.08095) (xy 117.170294 91.475) + (xy 117.079357 91.475) (xy 117.0786 90.88095) (xy 117.02145 90.8238) (xy 115.0238 90.8238) (xy 114.8762 90.8238) + (xy 112.87855 90.8238) (xy 112.8214 90.88095) (xy 112.820294 91.75) (xy 110.3536 91.75) (xy 110.3536 91.475) + (xy 110.870294 91.475) (xy 110.874708 91.519813) (xy 110.887779 91.562905) (xy 110.909006 91.602618) (xy 110.937573 91.637427) + (xy 110.972382 91.665994) (xy 111.012095 91.687221) (xy 111.055187 91.700292) (xy 111.1 91.704706) (xy 111.66905 91.7036) + (xy 111.7262 91.64645) (xy 111.7262 91.0238) (xy 111.8738 91.0238) (xy 111.8738 91.64645) (xy 111.93095 91.7036) + (xy 112.5 91.704706) (xy 112.544813 91.700292) (xy 112.587905 91.687221) (xy 112.627618 91.665994) (xy 112.662427 91.637427) + (xy 112.690994 91.602618) (xy 112.712221 91.562905) (xy 112.725292 91.519813) (xy 112.729706 91.475) (xy 112.7286 91.08095) + (xy 112.67145 91.0238) (xy 111.8738 91.0238) (xy 111.7262 91.0238) (xy 110.92855 91.0238) (xy 110.8714 91.08095) + (xy 110.870294 91.475) (xy 110.3536 91.475) (xy 110.3536 90.425) (xy 110.870294 90.425) (xy 110.8714 90.81905) + (xy 110.92855 90.8762) (xy 111.7262 90.8762) (xy 111.7262 90.25355) (xy 111.8738 90.25355) (xy 111.8738 90.8762) + (xy 112.67145 90.8762) (xy 112.7286 90.81905) (xy 112.729706 90.425) (xy 112.725292 90.380187) (xy 112.712221 90.337095) + (xy 112.690994 90.297382) (xy 112.662427 90.262573) (xy 112.627618 90.234006) (xy 112.587905 90.212779) (xy 112.544813 90.199708) + (xy 112.5 90.195294) (xy 111.93095 90.1964) (xy 111.8738 90.25355) (xy 111.7262 90.25355) (xy 111.66905 90.1964) + (xy 111.1 90.195294) (xy 111.055187 90.199708) (xy 111.012095 90.212779) (xy 110.972382 90.234006) (xy 110.937573 90.262573) + (xy 110.909006 90.297382) (xy 110.887779 90.337095) (xy 110.874708 90.380187) (xy 110.870294 90.425) (xy 110.3536 90.425) + (xy 110.3536 89.75) (xy 112.820294 89.75) (xy 112.8214 90.61905) (xy 112.87855 90.6762) (xy 114.8762 90.6762) + (xy 114.8762 89.57855) (xy 115.0238 89.57855) (xy 115.0238 90.6762) (xy 117.02145 90.6762) (xy 117.0786 90.61905) + (xy 117.078846 90.425) (xy 117.170294 90.425) (xy 117.1714 90.81905) (xy 117.22855 90.8762) (xy 118.0262 90.8762) + (xy 118.0262 90.25355) (xy 118.1738 90.25355) (xy 118.1738 90.8762) (xy 118.97145 90.8762) (xy 119.0286 90.81905) + (xy 119.029706 90.425) (xy 119.025292 90.380187) (xy 119.012221 90.337095) (xy 118.990994 90.297382) (xy 118.962427 90.262573) + (xy 118.927618 90.234006) (xy 118.887905 90.212779) (xy 118.844813 90.199708) (xy 118.8 90.195294) (xy 118.23095 90.1964) + (xy 118.1738 90.25355) (xy 118.0262 90.25355) (xy 117.96905 90.1964) (xy 117.4 90.195294) (xy 117.355187 90.199708) + (xy 117.312095 90.212779) (xy 117.272382 90.234006) (xy 117.237573 90.262573) (xy 117.209006 90.297382) (xy 117.187779 90.337095) + (xy 117.174708 90.380187) (xy 117.170294 90.425) (xy 117.078846 90.425) (xy 117.079706 89.75) (xy 117.075292 89.705187) + (xy 117.062221 89.662095) (xy 117.040994 89.622382) (xy 117.012427 89.587573) (xy 116.977618 89.559006) (xy 116.937905 89.537779) + (xy 116.894813 89.524708) (xy 116.85 89.520294) (xy 115.08095 89.5214) (xy 115.0238 89.57855) (xy 114.8762 89.57855) + (xy 114.81905 89.5214) (xy 113.05 89.520294) (xy 113.005187 89.524708) (xy 112.962095 89.537779) (xy 112.922382 89.559006) + (xy 112.887573 89.587573) (xy 112.859006 89.622382) (xy 112.837779 89.662095) (xy 112.824708 89.705187) (xy 112.820294 89.75) + (xy 110.3536 89.75) (xy 110.3536 79.65) (xy 110.667875 79.65) (xy 110.6714 79.685788) (xy 110.6714 79.721761) + (xy 110.678418 79.757043) (xy 110.681943 79.792831) (xy 110.692382 79.827244) (xy 110.6994 79.862525) (xy 110.713166 79.895759) + (xy 110.723605 79.930172) (xy 110.740558 79.961889) (xy 110.754323 79.995121) (xy 110.774305 80.025026) (xy 110.79126 80.056747) + (xy 110.814081 80.084555) (xy 110.83406 80.114455) (xy 110.859486 80.139881) (xy 110.882309 80.167691) (xy 110.910119 80.190514) + (xy 110.935545 80.21594) (xy 110.965445 80.235919) (xy 110.993253 80.25874) (xy 111.024974 80.275695) (xy 111.054879 80.295677) + (xy 111.088111 80.309442) (xy 111.119828 80.326395) (xy 111.154241 80.336834) (xy 111.187475 80.3506) (xy 111.222756 80.357618) + (xy 111.257169 80.368057) (xy 111.292957 80.371582) (xy 111.328239 80.3786) (xy 111.821401 80.3786) (xy 111.821401 80.814202) + (xy 111.817875 80.85) (xy 111.831943 80.99283) (xy 111.873605 81.130171) (xy 111.890899 81.162525) (xy 111.921401 81.219591) + (xy 111.9214 81.87768) (xy 111.880551 81.918529) (xy 111.772142 82.080775) (xy 111.697468 82.261053) (xy 111.6594 82.452434) + (xy 111.6594 82.647566) (xy 111.697468 82.838947) (xy 111.772142 83.019225) (xy 111.786401 83.040565) (xy 111.786401 83.423013) + (xy 111.759566 83.455711) (xy 111.710615 83.54729) (xy 111.680472 83.646659) (xy 111.670294 83.75) (xy 111.670294 85.15) + (xy 111.680472 85.253341) (xy 111.710615 85.35271) (xy 111.759566 85.444289) (xy 111.786401 85.476987) (xy 111.786401 85.859435) + (xy 111.772142 85.880775) (xy 111.697468 86.061053) (xy 111.6594 86.252434) (xy 111.6594 86.447566) (xy 111.697468 86.638947) + (xy 111.772142 86.819225) (xy 111.880551 86.981471) (xy 112.018529 87.119449) (xy 112.180775 87.227858) (xy 112.361053 87.302532) + (xy 112.552434 87.3406) (xy 112.747566 87.3406) (xy 112.938947 87.302532) (xy 113.119225 87.227858) (xy 113.281471 87.119449) + (xy 113.419449 86.981471) (xy 113.527858 86.819225) (xy 113.602532 86.638947) (xy 113.6406 86.447566) (xy 113.6406 86.252434) + (xy 113.602532 86.061053) (xy 113.527858 85.880775) (xy 113.5136 85.859436) (xy 113.5136 85.476987) (xy 113.535747 85.45) + (xy 113.970294 85.45) (xy 113.974708 85.494813) (xy 113.987779 85.537905) (xy 114.009006 85.577618) (xy 114.037573 85.612427) + (xy 114.072382 85.640994) (xy 114.112095 85.662221) (xy 114.155187 85.675292) (xy 114.2 85.679706) (xy 114.81905 85.6786) + (xy 114.8762 85.62145) (xy 114.8762 84.5238) (xy 115.0238 84.5238) (xy 115.0238 85.62145) (xy 115.08095 85.6786) + (xy 115.7 85.679706) (xy 115.744813 85.675292) (xy 115.787905 85.662221) (xy 115.827618 85.640994) (xy 115.862427 85.612427) + (xy 115.890994 85.577618) (xy 115.912221 85.537905) (xy 115.925292 85.494813) (xy 115.929706 85.45) (xy 115.9286 84.58095) + (xy 115.87145 84.5238) (xy 115.0238 84.5238) (xy 114.8762 84.5238) (xy 114.02855 84.5238) (xy 113.9714 84.58095) + (xy 113.970294 85.45) (xy 113.535747 85.45) (xy 113.540434 85.444289) (xy 113.589385 85.35271) (xy 113.619528 85.253341) + (xy 113.629706 85.15) (xy 113.629706 83.75) (xy 113.619528 83.646659) (xy 113.589385 83.54729) (xy 113.540434 83.455711) + (xy 113.535748 83.45) (xy 113.970294 83.45) (xy 113.9714 84.31905) (xy 114.02855 84.3762) (xy 114.8762 84.3762) + (xy 114.8762 83.27855) (xy 115.0238 83.27855) (xy 115.0238 84.3762) (xy 115.87145 84.3762) (xy 115.9286 84.31905) + (xy 115.929706 83.45) (xy 115.925292 83.405187) (xy 115.912221 83.362095) (xy 115.890994 83.322382) (xy 115.862427 83.287573) + (xy 115.827618 83.259006) (xy 115.787905 83.237779) (xy 115.744813 83.224708) (xy 115.7 83.220294) (xy 115.08095 83.2214) + (xy 115.0238 83.27855) (xy 114.8762 83.27855) (xy 114.81905 83.2214) (xy 114.2 83.220294) (xy 114.155187 83.224708) + (xy 114.112095 83.237779) (xy 114.072382 83.259006) (xy 114.037573 83.287573) (xy 114.009006 83.322382) (xy 113.987779 83.362095) + (xy 113.974708 83.405187) (xy 113.970294 83.45) (xy 113.535748 83.45) (xy 113.5136 83.423013) (xy 113.5136 83.040564) + (xy 113.527858 83.019225) (xy 113.602532 82.838947) (xy 113.6406 82.647566) (xy 113.6406 82.452434) (xy 116.2594 82.452434) + (xy 116.2594 82.647566) (xy 116.297468 82.838947) (xy 116.372142 83.019225) (xy 116.386401 83.040565) (xy 116.386401 83.423013) + (xy 116.359566 83.455711) (xy 116.310615 83.54729) (xy 116.280472 83.646659) (xy 116.270294 83.75) (xy 116.270294 85.15) + (xy 116.280472 85.253341) (xy 116.310615 85.35271) (xy 116.359566 85.444289) (xy 116.386401 85.476987) (xy 116.386401 85.859435) + (xy 116.372142 85.880775) (xy 116.297468 86.061053) (xy 116.2594 86.252434) (xy 116.2594 86.447566) (xy 116.297468 86.638947) + (xy 116.372142 86.819225) (xy 116.480551 86.981471) (xy 116.618529 87.119449) (xy 116.780775 87.227858) (xy 116.961053 87.302532) + (xy 117.152434 87.3406) (xy 117.347566 87.3406) (xy 117.538947 87.302532) (xy 117.719225 87.227858) (xy 117.881471 87.119449) + (xy 118.019449 86.981471) (xy 118.127858 86.819225) (xy 118.202532 86.638947) (xy 118.2406 86.447566) (xy 118.2406 86.252434) + (xy 118.202532 86.061053) (xy 118.127858 85.880775) (xy 118.1136 85.859436) (xy 118.1136 85.476987) (xy 118.140434 85.444289) + (xy 118.189385 85.35271) (xy 118.219528 85.253341) (xy 118.229706 85.15) (xy 118.229706 83.75) (xy 118.219528 83.646659) + (xy 118.189385 83.54729) (xy 118.140434 83.455711) (xy 118.1136 83.423013) (xy 118.1136 83.040564) (xy 118.127858 83.019225) + (xy 118.202532 82.838947) (xy 118.2406 82.647566) (xy 118.2406 82.452434) (xy 118.202532 82.261053) (xy 118.127858 82.080775) + (xy 118.019449 81.918529) (xy 117.881471 81.780551) (xy 117.719225 81.672142) (xy 117.538947 81.597468) (xy 117.347566 81.5594) + (xy 117.152434 81.5594) (xy 116.961053 81.597468) (xy 116.780775 81.672142) (xy 116.618529 81.780551) (xy 116.480551 81.918529) + (xy 116.372142 82.080775) (xy 116.297468 82.261053) (xy 116.2594 82.452434) (xy 113.6406 82.452434) (xy 113.602532 82.261053) + (xy 113.527858 82.080775) (xy 113.419449 81.918529) (xy 113.3786 81.87768) (xy 113.3786 80.985788) (xy 113.382125 80.949999) + (xy 113.3786 80.914211) (xy 113.3786 80.878239) (xy 113.371582 80.842957) (xy 113.368057 80.80717) (xy 113.368057 80.807169) + (xy 113.357618 80.772756) (xy 113.3506 80.737475) (xy 113.336834 80.704241) (xy 113.326395 80.669828) (xy 113.309442 80.638111) + (xy 113.295677 80.604879) (xy 113.2786 80.579322) (xy 113.2786 80.238407) (xy 113.295248 80.183525) (xy 113.304706 80.0875) + (xy 113.304706 79.2125) (xy 113.295248 79.116475) (xy 113.2786 79.061593) (xy 113.2786 78.720678) (xy 113.295677 78.695121) + (xy 113.309446 78.661879) (xy 113.326394 78.630172) (xy 113.336831 78.595766) (xy 113.3506 78.562525) (xy 113.357619 78.52724) + (xy 113.368057 78.49283) (xy 113.371582 78.457043) (xy 113.3786 78.421761) (xy 113.3786 78.385789) (xy 113.382125 78.350001) + (xy 113.382125 78.35) (xy 113.417875 78.35) (xy 113.4214 78.385789) (xy 113.4214 78.421761) (xy 113.428418 78.457044) + (xy 113.431943 78.49283) (xy 113.442382 78.527241) (xy 113.4494 78.562525) (xy 113.463167 78.595761) (xy 113.473605 78.630171) + (xy 113.490555 78.661882) (xy 113.504323 78.695121) (xy 113.521401 78.720679) (xy 113.5214 79.061592) (xy 113.504752 79.116475) + (xy 113.495294 79.2125) (xy 113.495294 80.0875) (xy 113.504752 80.183525) (xy 113.5214 80.238408) (xy 113.521401 80.579321) + (xy 113.504323 80.604879) (xy 113.490555 80.638118) (xy 113.473605 80.669829) (xy 113.463167 80.704239) (xy 113.4494 80.737475) + (xy 113.442382 80.772759) (xy 113.431943 80.80717) (xy 113.428418 80.842956) (xy 113.4214 80.878239) (xy 113.4214 80.914211) + (xy 113.417875 80.95) (xy 113.4214 80.985789) (xy 113.4214 81.021761) (xy 113.428418 81.057044) (xy 113.431943 81.09283) + (xy 113.442382 81.127241) (xy 113.4494 81.162525) (xy 113.463167 81.195761) (xy 113.473605 81.230171) (xy 113.490555 81.261882) + (xy 113.504323 81.295121) (xy 113.524311 81.325035) (xy 113.541261 81.356746) (xy 113.564073 81.384543) (xy 113.58406 81.414455) + (xy 113.609496 81.439891) (xy 113.63231 81.46769) (xy 113.660109 81.490504) (xy 113.685545 81.51594) (xy 113.715457 81.535927) + (xy 113.743254 81.558739) (xy 113.774965 81.575689) (xy 113.804879 81.595677) (xy 113.838118 81.609445) (xy 113.869829 81.626395) + (xy 113.904239 81.636833) (xy 113.937475 81.6506) (xy 113.972759 81.657618) (xy 114.00717 81.668057) (xy 114.042956 81.671582) + (xy 114.078239 81.6786) (xy 114.114211 81.6786) (xy 114.15 81.682125) (xy 114.185789 81.6786) (xy 114.221761 81.6786) + (xy 114.257044 81.671582) (xy 114.29283 81.668057) (xy 114.327241 81.657618) (xy 114.362525 81.6506) (xy 114.395761 81.636833) + (xy 114.430171 81.626395) (xy 114.461882 81.609445) (xy 114.495121 81.595677) (xy 114.525035 81.575689) (xy 114.556746 81.558739) + (xy 114.584543 81.535927) (xy 114.614455 81.51594) (xy 114.739881 81.390514) (xy 114.767691 81.367691) (xy 114.85874 81.256747) + (xy 114.926395 81.130172) (xy 114.968057 80.992831) (xy 114.9786 80.885789) (xy 114.982125 80.850001) (xy 114.9786 80.814212) + (xy 114.9786 80.3786) (xy 115.471761 80.3786) (xy 115.507043 80.371582) (xy 115.542831 80.368057) (xy 115.577244 80.357618) + (xy 115.612525 80.3506) (xy 115.645759 80.336834) (xy 115.680172 80.326395) (xy 115.711889 80.309442) (xy 115.745121 80.295677) + (xy 115.775026 80.275695) (xy 115.806747 80.25874) (xy 115.834555 80.235919) (xy 115.864455 80.21594) (xy 115.889881 80.190514) + (xy 115.917691 80.167691) (xy 115.940514 80.139881) (xy 115.96594 80.114455) (xy 115.985919 80.084555) (xy 116.00874 80.056747) + (xy 116.025695 80.025026) (xy 116.045677 79.995121) (xy 116.059442 79.961889) (xy 116.076395 79.930172) (xy 116.086834 79.895759) + (xy 116.1006 79.862525) (xy 116.107618 79.827244) (xy 116.118057 79.792831) (xy 116.121582 79.757043) (xy 116.1286 79.721761) + (xy 116.1286 79.685788) (xy 116.132125 79.65) (xy 116.1286 79.614211) (xy 116.1286 79.578239) (xy 116.121582 79.542957) + (xy 116.118057 79.507169) (xy 116.107618 79.472756) (xy 116.1006 79.437475) (xy 116.086834 79.404241) (xy 116.076395 79.369828) + (xy 116.059442 79.338111) (xy 116.045677 79.304879) (xy 116.025695 79.274974) (xy 116.00874 79.243253) (xy 115.985919 79.215445) + (xy 115.96594 79.185545) (xy 115.940514 79.160119) (xy 115.917691 79.132309) (xy 115.889881 79.109486) (xy 115.864455 79.08406) + (xy 115.834555 79.064081) (xy 115.806747 79.04126) (xy 115.775026 79.024305) (xy 115.745121 79.004323) (xy 115.711889 78.990558) + (xy 115.680172 78.973605) (xy 115.645759 78.963166) (xy 115.612525 78.9494) (xy 115.577244 78.942382) (xy 115.542831 78.931943) + (xy 115.507043 78.928418) (xy 115.471761 78.9214) (xy 114.9786 78.9214) (xy 114.9786 78.485788) (xy 114.982125 78.449999) + (xy 114.968057 78.30717) (xy 114.959281 78.278239) (xy 114.926395 78.169828) (xy 114.85874 78.043253) (xy 114.767691 77.932309) + (xy 114.739881 77.909486) (xy 114.614455 77.78406) (xy 114.584543 77.764073) (xy 114.556746 77.741261) (xy 114.525035 77.724311) + (xy 114.495121 77.704323) (xy 114.461882 77.690555) (xy 114.430171 77.673605) (xy 114.395761 77.663167) (xy 114.362525 77.6494) + (xy 114.327241 77.642382) (xy 114.29283 77.631943) (xy 114.257044 77.628418) (xy 114.221761 77.6214) (xy 114.185789 77.6214) + (xy 114.15 77.617875) (xy 114.114211 77.6214) (xy 114.078239 77.6214) (xy 114.042956 77.628418) (xy 114.00717 77.631943) + (xy 113.972759 77.642382) (xy 113.937475 77.6494) (xy 113.904239 77.663167) (xy 113.869829 77.673605) (xy 113.838118 77.690555) + (xy 113.804879 77.704323) (xy 113.774965 77.724311) (xy 113.743254 77.741261) (xy 113.715457 77.764073) (xy 113.685545 77.78406) + (xy 113.660109 77.809496) (xy 113.63231 77.83231) (xy 113.609496 77.860109) (xy 113.58406 77.885545) (xy 113.564073 77.915457) + (xy 113.541261 77.943254) (xy 113.524311 77.974965) (xy 113.504323 78.004879) (xy 113.490555 78.038118) (xy 113.473605 78.069829) + (xy 113.463167 78.104239) (xy 113.4494 78.137475) (xy 113.442382 78.172759) (xy 113.431943 78.20717) (xy 113.428418 78.242956) + (xy 113.4214 78.278239) (xy 113.4214 78.314211) (xy 113.417875 78.35) (xy 113.382125 78.35) (xy 113.3786 78.314212) + (xy 113.3786 78.278239) (xy 113.371582 78.242956) (xy 113.368057 78.20717) (xy 113.357619 78.172761) (xy 113.3506 78.137475) + (xy 113.33683 78.104232) (xy 113.326394 78.069829) (xy 113.309448 78.038125) (xy 113.295677 78.004879) (xy 113.275686 77.97496) + (xy 113.258739 77.943255) (xy 113.235929 77.915461) (xy 113.21594 77.885545) (xy 113.190503 77.860108) (xy 113.16769 77.83231) + (xy 113.139891 77.809496) (xy 113.114455 77.78406) (xy 113.084539 77.764071) (xy 113.056745 77.741261) (xy 113.02504 77.724314) + (xy 112.995121 77.704323) (xy 112.961875 77.690552) (xy 112.930171 77.673606) (xy 112.895768 77.66317) (xy 112.862525 77.6494) + (xy 112.827239 77.642381) (xy 112.79283 77.631943) (xy 112.757044 77.628418) (xy 112.721761 77.6214) (xy 112.685788 77.6214) + (xy 112.649999 77.617875) (xy 112.614211 77.6214) (xy 112.578239 77.6214) (xy 112.542957 77.628418) (xy 112.50717 77.631943) + (xy 112.47276 77.642381) (xy 112.437475 77.6494) (xy 112.404234 77.663169) (xy 112.369828 77.673606) (xy 112.338121 77.690554) + (xy 112.304879 77.704323) (xy 112.274964 77.724312) (xy 112.243254 77.741261) (xy 112.215457 77.764073) (xy 112.185545 77.78406) + (xy 112.060114 77.909491) (xy 112.03231 77.932309) (xy 111.941261 78.043253) (xy 111.908104 78.105287) (xy 111.873605 78.169829) + (xy 111.831943 78.30717) (xy 111.817875 78.45) (xy 111.821401 78.485798) (xy 111.821401 78.9214) (xy 111.328239 78.9214) + (xy 111.292957 78.928418) (xy 111.257169 78.931943) (xy 111.222756 78.942382) (xy 111.187475 78.9494) (xy 111.154241 78.963166) + (xy 111.119828 78.973605) (xy 111.088111 78.990558) (xy 111.054879 79.004323) (xy 111.024974 79.024305) (xy 110.993253 79.04126) + (xy 110.965445 79.064081) (xy 110.935545 79.08406) (xy 110.910119 79.109486) (xy 110.882309 79.132309) (xy 110.859486 79.160119) + (xy 110.83406 79.185545) (xy 110.814081 79.215445) (xy 110.79126 79.243253) (xy 110.774305 79.274974) (xy 110.754323 79.304879) + (xy 110.740558 79.338111) (xy 110.723605 79.369828) (xy 110.713166 79.404241) (xy 110.6994 79.437475) (xy 110.692382 79.472756) + (xy 110.681943 79.507169) (xy 110.678418 79.542957) (xy 110.6714 79.578239) (xy 110.6714 79.614211) (xy 110.667875 79.65) + (xy 110.3536 79.65) (xy 110.3536 73.914) (xy 113.287359 73.914) (xy 113.2904 73.944874) (xy 113.2904 73.975912) + (xy 113.296455 74.006355) (xy 113.299496 74.037227) (xy 113.3085 74.066909) (xy 113.314556 74.097356) (xy 113.326436 74.126038) + (xy 113.33544 74.155719) (xy 113.350061 74.183072) (xy 113.361941 74.211754) (xy 113.379189 74.237567) (xy 113.39381 74.264921) + (xy 113.413489 74.2889) (xy 113.430734 74.314709) (xy 113.452679 74.336654) (xy 113.472362 74.360638) (xy 113.496346 74.380321) + (xy 113.518291 74.402266) (xy 113.5441 74.419511) (xy 113.568079 74.43919) (xy 113.595433 74.453811) (xy 113.621246 74.471059) + (xy 113.649928 74.482939) (xy 113.677281 74.49756) (xy 113.706962 74.506564) (xy 113.735644 74.518444) (xy 113.766091 74.5245) + (xy 113.795773 74.533504) (xy 113.826645 74.536545) (xy 113.857088 74.5426) (xy 114.233726 74.5426) (xy 114.277746 74.624955) + (xy 114.320901 74.677539) (xy 114.320901 74.850115) (xy 114.317859 74.881) (xy 114.329996 75.004226) (xy 114.365267 75.120499) + (xy 114.329996 75.236774) (xy 114.317859 75.36) (xy 114.320901 75.390885) (xy 114.320901 75.563461) (xy 114.277746 75.616045) + (xy 114.233726 75.6984) (xy 113.857088 75.6984) (xy 113.826645 75.704455) (xy 113.795773 75.707496) (xy 113.766091 75.7165) + (xy 113.735644 75.722556) (xy 113.706962 75.734436) (xy 113.677281 75.74344) (xy 113.649928 75.758061) (xy 113.621246 75.769941) + (xy 113.595433 75.787189) (xy 113.568079 75.80181) (xy 113.5441 75.821489) (xy 113.518291 75.838734) (xy 113.496346 75.860679) + (xy 113.472362 75.880362) (xy 113.452679 75.904346) (xy 113.430734 75.926291) (xy 113.413489 75.9521) (xy 113.39381 75.976079) + (xy 113.379189 76.003433) (xy 113.361941 76.029246) (xy 113.350061 76.057928) (xy 113.33544 76.085281) (xy 113.326436 76.114962) + (xy 113.314556 76.143644) (xy 113.3085 76.174091) (xy 113.299496 76.203773) (xy 113.296455 76.234645) (xy 113.2904 76.265088) + (xy 113.2904 76.296125) (xy 113.287359 76.327) (xy 113.2904 76.357874) (xy 113.2904 76.388912) (xy 113.296455 76.419355) + (xy 113.299496 76.450227) (xy 113.3085 76.479909) (xy 113.314556 76.510356) (xy 113.326436 76.539038) (xy 113.33544 76.568719) + (xy 113.350061 76.596072) (xy 113.361941 76.624754) (xy 113.379189 76.650567) (xy 113.39381 76.677921) (xy 113.413489 76.7019) + (xy 113.430734 76.727709) (xy 113.452679 76.749654) (xy 113.472362 76.773638) (xy 113.496346 76.793321) (xy 113.518291 76.815266) + (xy 113.5441 76.832511) (xy 113.568079 76.85219) (xy 113.595433 76.866811) (xy 113.621246 76.884059) (xy 113.649928 76.895939) + (xy 113.677281 76.91056) (xy 113.706962 76.919564) (xy 113.735644 76.931444) (xy 113.766091 76.9375) (xy 113.795773 76.946504) + (xy 113.826645 76.949545) (xy 113.857088 76.9556) (xy 114.233726 76.9556) (xy 114.277746 77.037955) (xy 114.338958 77.112542) + (xy 114.413545 77.173754) (xy 114.498641 77.219239) (xy 114.590975 77.247248) (xy 114.687 77.256706) (xy 115.212 77.256706) + (xy 115.308025 77.247248) (xy 115.400359 77.219239) (xy 115.485455 77.173754) (xy 115.560042 77.112542) (xy 115.621254 77.037955) + (xy 115.627109 77.027) (xy 115.894794 77.027) (xy 115.899208 77.071813) (xy 115.912279 77.114905) (xy 115.933506 77.154618) + (xy 115.962073 77.189427) (xy 115.996882 77.217994) (xy 116.036595 77.239221) (xy 116.079687 77.252292) (xy 116.1245 77.256706) + (xy 116.51855 77.2556) (xy 116.5757 77.19845) (xy 116.5757 76.4008) (xy 116.7233 76.4008) (xy 116.7233 77.19845) + (xy 116.78045 77.2556) (xy 117.1745 77.256706) (xy 117.219313 77.252292) (xy 117.262405 77.239221) (xy 117.302118 77.217994) + (xy 117.336927 77.189427) (xy 117.365494 77.154618) (xy 117.386721 77.114905) (xy 117.399792 77.071813) (xy 117.404206 77.027) + (xy 117.4031 76.45795) (xy 117.34595 76.4008) (xy 116.7233 76.4008) (xy 116.5757 76.4008) (xy 115.95305 76.4008) + (xy 115.8959 76.45795) (xy 115.894794 77.027) (xy 115.627109 77.027) (xy 115.666739 76.952859) (xy 115.694748 76.860525) + (xy 115.704206 76.7645) (xy 115.704206 75.8895) (xy 115.694748 75.793475) (xy 115.666739 75.701141) (xy 115.62711 75.627) + (xy 115.894794 75.627) (xy 115.8959 76.19605) (xy 115.95305 76.2532) (xy 116.5757 76.2532) (xy 116.5757 75.45555) + (xy 116.7233 75.45555) (xy 116.7233 76.2532) (xy 117.34595 76.2532) (xy 117.4031 76.19605) (xy 117.404206 75.627) + (xy 117.399792 75.582187) (xy 117.386721 75.539095) (xy 117.365494 75.499382) (xy 117.336927 75.464573) (xy 117.302118 75.436006) + (xy 117.262405 75.414779) (xy 117.219313 75.401708) (xy 117.1745 75.397294) (xy 116.78045 75.3984) (xy 116.7233 75.45555) + (xy 116.5757 75.45555) (xy 116.51855 75.3984) (xy 116.1245 75.397294) (xy 116.079687 75.401708) (xy 116.036595 75.414779) + (xy 115.996882 75.436006) (xy 115.962073 75.464573) (xy 115.933506 75.499382) (xy 115.912279 75.539095) (xy 115.899208 75.582187) + (xy 115.894794 75.627) (xy 115.62711 75.627) (xy 115.621254 75.616045) (xy 115.603754 75.594721) (xy 115.611663 75.586812) + (xy 115.635637 75.567137) (xy 115.655312 75.543163) (xy 115.677266 75.521209) (xy 115.694515 75.495394) (xy 115.71419 75.47142) + (xy 115.728808 75.444071) (xy 115.746059 75.418254) (xy 115.757941 75.389568) (xy 115.77256 75.362218) (xy 115.781562 75.332542) + (xy 115.793444 75.303856) (xy 115.799502 75.273401) (xy 115.808503 75.243727) (xy 115.811543 75.212862) (xy 115.8176 75.182412) + (xy 115.8176 75.151373) (xy 115.820641 75.120501) (xy 115.820423 75.118282) (xy 115.8176 75.089627) (xy 115.8176 75.058588) + (xy 115.811543 75.028138) (xy 115.808503 74.997273) (xy 115.799502 74.967599) (xy 115.793444 74.937144) (xy 115.781562 74.908458) + (xy 115.77256 74.878782) (xy 115.757941 74.851432) (xy 115.746059 74.822746) (xy 115.728808 74.796929) (xy 115.71419 74.76958) + (xy 115.694515 74.745606) (xy 115.677266 74.719791) (xy 115.655312 74.697837) (xy 115.635637 74.673863) (xy 115.611663 74.654188) + (xy 115.603754 74.646279) (xy 115.621254 74.624955) (xy 115.627109 74.614) (xy 115.894794 74.614) (xy 115.899208 74.658813) + (xy 115.912279 74.701905) (xy 115.933506 74.741618) (xy 115.962073 74.776427) (xy 115.996882 74.804994) (xy 116.036595 74.826221) + (xy 116.079687 74.839292) (xy 116.1245 74.843706) (xy 116.51855 74.8426) (xy 116.5757 74.78545) (xy 116.5757 73.9878) + (xy 116.7233 73.9878) (xy 116.7233 74.78545) (xy 116.78045 74.8426) (xy 117.1745 74.843706) (xy 117.219313 74.839292) + (xy 117.262405 74.826221) (xy 117.302118 74.804994) (xy 117.336927 74.776427) (xy 117.365494 74.741618) (xy 117.386721 74.701905) + (xy 117.399792 74.658813) (xy 117.404206 74.614) (xy 117.4031 74.04495) (xy 117.34595 73.9878) (xy 116.7233 73.9878) + (xy 116.5757 73.9878) (xy 115.95305 73.9878) (xy 115.8959 74.04495) (xy 115.894794 74.614) (xy 115.627109 74.614) + (xy 115.666739 74.539859) (xy 115.694748 74.447525) (xy 115.704206 74.3515) (xy 115.704206 73.4765) (xy 115.694748 73.380475) + (xy 115.666739 73.288141) (xy 115.62711 73.214) (xy 115.894794 73.214) (xy 115.8959 73.78305) (xy 115.95305 73.8402) + (xy 116.5757 73.8402) (xy 116.5757 73.04255) (xy 116.7233 73.04255) (xy 116.7233 73.8402) (xy 117.34595 73.8402) + (xy 117.4031 73.78305) (xy 117.404206 73.214) (xy 117.399792 73.169187) (xy 117.386721 73.126095) (xy 117.365494 73.086382) + (xy 117.336927 73.051573) (xy 117.302118 73.023006) (xy 117.262405 73.001779) (xy 117.219313 72.988708) (xy 117.1745 72.984294) + (xy 116.78045 72.9854) (xy 116.7233 73.04255) (xy 116.5757 73.04255) (xy 116.51855 72.9854) (xy 116.1245 72.984294) + (xy 116.079687 72.988708) (xy 116.036595 73.001779) (xy 115.996882 73.023006) (xy 115.962073 73.051573) (xy 115.933506 73.086382) + (xy 115.912279 73.126095) (xy 115.899208 73.169187) (xy 115.894794 73.214) (xy 115.62711 73.214) (xy 115.621254 73.203045) + (xy 115.603754 73.181721) (xy 115.677266 73.108209) (xy 115.694515 73.082394) (xy 115.71419 73.05842) (xy 115.728808 73.031071) + (xy 115.746059 73.005254) (xy 115.757941 72.976568) (xy 115.77256 72.949218) (xy 115.781562 72.919542) (xy 115.793444 72.890856) + (xy 115.799502 72.860401) (xy 115.808503 72.830727) (xy 115.811543 72.799862) (xy 115.8176 72.769412) (xy 115.8176 72.738373) + (xy 115.820641 72.707501) (xy 115.8176 72.676628) (xy 115.8176 72.645588) (xy 115.811543 72.615136) (xy 115.808503 72.584274) + (xy 115.799502 72.554602) (xy 115.793444 72.524144) (xy 115.781561 72.495455) (xy 115.77256 72.465783) (xy 115.757943 72.438436) + (xy 115.746059 72.409746) (xy 115.728808 72.383928) (xy 115.71419 72.35658) (xy 115.694516 72.332607) (xy 115.677266 72.306791) + (xy 115.655312 72.284837) (xy 115.635637 72.260863) (xy 115.611663 72.241188) (xy 115.589709 72.219234) (xy 115.563893 72.201984) + (xy 115.53992 72.18231) (xy 115.512572 72.167692) (xy 115.486754 72.150441) (xy 115.458064 72.138557) (xy 115.430717 72.12394) + (xy 115.401045 72.114939) (xy 115.372356 72.103056) (xy 115.341898 72.096998) (xy 115.312226 72.087997) (xy 115.281364 72.084957) + (xy 115.250912 72.0789) (xy 115.219872 72.0789) (xy 115.188999 72.075859) (xy 115.158127 72.0789) (xy 115.127088 72.0789) + (xy 115.096638 72.084957) (xy 115.065773 72.087997) (xy 115.036099 72.096998) (xy 115.005644 72.103056) (xy 114.976958 72.114938) + (xy 114.947282 72.12394) (xy 114.919932 72.138559) (xy 114.891246 72.150441) (xy 114.865429 72.167692) (xy 114.83808 72.18231) + (xy 114.814106 72.201985) (xy 114.788291 72.219234) (xy 114.700734 72.306791) (xy 114.700732 72.306794) (xy 114.526847 72.480679) + (xy 114.502863 72.500362) (xy 114.483181 72.524345) (xy 114.42431 72.59608) (xy 114.36594 72.705282) (xy 114.329996 72.823774) + (xy 114.317859 72.947) (xy 114.320901 72.977885) (xy 114.320901 73.150461) (xy 114.277746 73.203045) (xy 114.233726 73.2854) + (xy 113.857088 73.2854) (xy 113.826645 73.291455) (xy 113.795773 73.294496) (xy 113.766091 73.3035) (xy 113.735644 73.309556) + (xy 113.706962 73.321436) (xy 113.677281 73.33044) (xy 113.649928 73.345061) (xy 113.621246 73.356941) (xy 113.595433 73.374189) + (xy 113.568079 73.38881) (xy 113.5441 73.408489) (xy 113.518291 73.425734) (xy 113.496346 73.447679) (xy 113.472362 73.467362) + (xy 113.452679 73.491346) (xy 113.430734 73.513291) (xy 113.413489 73.5391) (xy 113.39381 73.563079) (xy 113.379189 73.590433) + (xy 113.361941 73.616246) (xy 113.350061 73.644928) (xy 113.33544 73.672281) (xy 113.326436 73.701962) (xy 113.314556 73.730644) + (xy 113.3085 73.761091) (xy 113.299496 73.790773) (xy 113.296455 73.821645) (xy 113.2904 73.852088) (xy 113.2904 73.883125) + (xy 113.287359 73.914) (xy 110.3536 73.914) (xy 110.3536 71.8185) (xy 111.064859 71.8185) (xy 111.0679 71.849375) + (xy 111.0679 71.880412) (xy 111.073955 71.910856) (xy 111.076996 71.941726) (xy 111.085999 71.971406) (xy 111.092056 72.001856) + (xy 111.103937 72.030541) (xy 111.11294 72.060218) (xy 111.127559 72.087568) (xy 111.139441 72.116254) (xy 111.156692 72.142071) + (xy 111.17131 72.16942) (xy 111.190985 72.193394) (xy 111.208234 72.219209) (xy 111.295791 72.306766) (xy 111.295794 72.306768) + (xy 111.469675 72.480649) (xy 111.489362 72.504638) (xy 111.585079 72.58319) (xy 111.694281 72.64156) (xy 111.812773 72.677504) + (xy 111.905125 72.6866) (xy 111.905127 72.6866) (xy 111.935999 72.689641) (xy 111.966872 72.6866) (xy 112.139462 72.6866) + (xy 112.192045 72.729754) (xy 112.277141 72.775239) (xy 112.369475 72.803248) (xy 112.4655 72.812706) (xy 113.3405 72.812706) + (xy 113.436525 72.803248) (xy 113.528859 72.775239) (xy 113.613955 72.729754) (xy 113.666538 72.6866) (xy 113.839125 72.6866) + (xy 113.87 72.689641) (xy 113.900875 72.6866) (xy 113.993227 72.677504) (xy 114.111719 72.64156) (xy 114.220921 72.58319) + (xy 114.316638 72.504638) (xy 114.336325 72.480649) (xy 114.510206 72.306768) (xy 114.510209 72.306766) (xy 114.597766 72.219209) + (xy 114.615015 72.193394) (xy 114.63469 72.16942) (xy 114.649308 72.142071) (xy 114.666559 72.116254) (xy 114.678441 72.087568) + (xy 114.69306 72.060218) (xy 114.702062 72.030542) (xy 114.713944 72.001856) (xy 114.720002 71.971401) (xy 114.729003 71.941727) + (xy 114.732043 71.910862) (xy 114.7381 71.880412) (xy 114.7381 71.849373) (xy 114.741141 71.818501) (xy 114.7381 71.787628) + (xy 114.7381 71.756588) (xy 114.732043 71.726136) (xy 114.729003 71.695274) (xy 114.720002 71.665602) (xy 114.713944 71.635144) + (xy 114.702061 71.606455) (xy 114.69306 71.576783) (xy 114.678443 71.549436) (xy 114.666559 71.520746) (xy 114.649308 71.494928) + (xy 114.643605 71.484257) (xy 117.222803 71.484257) (xy 117.222803 71.565079) (xy 117.659756 72.003596) (xy 117.694564 72.032162) + (xy 117.734278 72.05339) (xy 117.777369 72.066462) (xy 117.822182 72.070875) (xy 117.866996 72.066462) (xy 117.910087 72.05339) + (xy 117.9498 72.032162) (xy 117.984609 72.003596) (xy 118.598338 71.388302) (xy 118.598338 71.30748) (xy 117.998959 70.708101) + (xy 117.222803 71.484257) (xy 114.643605 71.484257) (xy 114.63469 71.46758) (xy 114.615016 71.443607) (xy 114.597766 71.417791) + (xy 114.575812 71.395837) (xy 114.556137 71.371863) (xy 114.532163 71.352188) (xy 114.510209 71.330234) (xy 114.484393 71.312984) + (xy 114.46042 71.29331) (xy 114.433072 71.278692) (xy 114.407254 71.261441) (xy 114.378564 71.249557) (xy 114.351217 71.23494) + (xy 114.321545 71.225939) (xy 114.292856 71.214056) (xy 114.262398 71.207998) (xy 114.232726 71.198997) (xy 114.201864 71.195957) + (xy 114.171412 71.1899) (xy 114.140372 71.1899) (xy 114.109499 71.186859) (xy 114.078627 71.1899) (xy 114.047588 71.1899) + (xy 114.017138 71.195957) (xy 113.986273 71.198997) (xy 113.956599 71.207998) (xy 113.926144 71.214056) (xy 113.897458 71.225938) + (xy 113.867782 71.23494) (xy 113.840432 71.249559) (xy 113.811746 71.261441) (xy 113.785929 71.278692) (xy 113.75858 71.29331) + (xy 113.734606 71.312985) (xy 113.708791 71.330234) (xy 113.635279 71.403746) (xy 113.613955 71.386246) (xy 113.528859 71.340761) + (xy 113.436525 71.312752) (xy 113.3405 71.303294) (xy 112.4655 71.303294) (xy 112.369475 71.312752) (xy 112.277141 71.340761) + (xy 112.192045 71.386246) (xy 112.170721 71.403746) (xy 112.097209 71.330234) (xy 112.071394 71.312985) (xy 112.04742 71.29331) + (xy 112.020071 71.278692) (xy 111.994254 71.261441) (xy 111.965568 71.249559) (xy 111.938218 71.23494) (xy 111.908541 71.225937) + (xy 111.879856 71.214056) (xy 111.849406 71.207999) (xy 111.819726 71.198996) (xy 111.788856 71.195955) (xy 111.758412 71.1899) + (xy 111.727375 71.1899) (xy 111.6965 71.186859) (xy 111.665625 71.1899) (xy 111.634588 71.1899) (xy 111.604144 71.195955) + (xy 111.573274 71.198996) (xy 111.543594 71.207999) (xy 111.513144 71.214056) (xy 111.484459 71.225937) (xy 111.454782 71.23494) + (xy 111.427432 71.249559) (xy 111.398746 71.261441) (xy 111.372929 71.278692) (xy 111.34558 71.29331) (xy 111.321607 71.312984) + (xy 111.295791 71.330234) (xy 111.273837 71.352188) (xy 111.249863 71.371863) (xy 111.230188 71.395837) (xy 111.208234 71.417791) + (xy 111.190984 71.443607) (xy 111.17131 71.46758) (xy 111.156692 71.494929) (xy 111.139441 71.520746) (xy 111.127559 71.549432) + (xy 111.11294 71.576782) (xy 111.103937 71.606459) (xy 111.092056 71.635144) (xy 111.085999 71.665594) (xy 111.076996 71.695274) + (xy 111.073955 71.726144) (xy 111.0679 71.756588) (xy 111.0679 71.787625) (xy 111.064859 71.8185) (xy 110.3536 71.8185) + (xy 110.3536 70.0955) (xy 111.973294 70.0955) (xy 111.973294 70.6205) (xy 111.982752 70.716525) (xy 112.010761 70.808859) + (xy 112.056246 70.893955) (xy 112.117458 70.968542) (xy 112.192045 71.029754) (xy 112.277141 71.075239) (xy 112.369475 71.103248) + (xy 112.4655 71.112706) (xy 113.3405 71.112706) (xy 113.436525 71.103248) (xy 113.528859 71.075239) (xy 113.613955 71.029754) + (xy 113.688542 70.968542) (xy 113.749754 70.893955) (xy 113.795239 70.808859) (xy 113.823248 70.716525) (xy 113.832706 70.6205) + (xy 113.832706 70.0955) (xy 113.823248 69.999475) (xy 113.795239 69.907141) (xy 113.749754 69.822045) (xy 113.688542 69.747458) + (xy 113.6316 69.700727) (xy 113.6316 69.663939) (xy 113.688947 69.652532) (xy 113.869225 69.577858) (xy 114.031471 69.469449) + (xy 114.169449 69.331471) (xy 114.277858 69.169225) (xy 114.352532 68.988947) (xy 114.358207 68.960415) (xy 114.630735 68.687888) + (xy 114.672832 68.683742) (xy 114.772201 68.653599) (xy 114.86378 68.604648) (xy 114.94405 68.538773) (xy 115.934 67.548823) + (xy 115.999875 67.468553) (xy 116.048826 67.376974) (xy 116.078969 67.277605) (xy 116.083115 67.235508) (xy 116.310416 67.008207) + (xy 116.338947 67.002532) (xy 116.519225 66.927858) (xy 116.681471 66.819449) (xy 116.819449 66.681471) (xy 116.927858 66.519225) + (xy 117.002532 66.338947) (xy 117.0406 66.147566) (xy 117.0406 65.952434) (xy 117.002532 65.761053) (xy 116.927858 65.580775) + (xy 116.819449 65.418529) (xy 116.681471 65.280551) (xy 116.519225 65.172142) (xy 116.338947 65.097468) (xy 116.147566 65.0594) + (xy 115.952434 65.0594) (xy 115.761053 65.097468) (xy 115.580775 65.172142) (xy 115.418529 65.280551) (xy 115.280551 65.418529) + (xy 115.172142 65.580775) (xy 115.097468 65.761053) (xy 115.093129 65.782865) (xy 114.861801 66.014194) (xy 114.819704 66.01834) + (xy 114.720335 66.048483) (xy 114.628756 66.097434) (xy 114.548486 66.163309) (xy 113.558536 67.153259) (xy 113.492661 67.233529) + (xy 113.44371 67.325108) (xy 113.413567 67.424477) (xy 113.409421 67.466574) (xy 113.132866 67.743129) (xy 113.111053 67.747468) + (xy 112.930775 67.822142) (xy 112.768529 67.930551) (xy 112.630551 68.068529) (xy 112.522142 68.230775) (xy 112.447468 68.411053) + (xy 112.4094 68.602434) (xy 112.4094 68.659539) (xy 112.38531 68.679309) (xy 112.294261 68.790253) (xy 112.284726 68.808092) + (xy 112.226605 68.916829) (xy 112.184943 69.05417) (xy 112.170875 69.197) (xy 112.174401 69.232798) (xy 112.174401 69.700726) + (xy 112.117458 69.747458) (xy 112.056246 69.822045) (xy 112.010761 69.907141) (xy 111.982752 69.999475) (xy 111.973294 70.0955) + (xy 110.3536 70.0955) (xy 110.3536 67.621754) (xy 114.883795 63.091559) + ) + ) + ) +) diff --git a/SE-030.pro b/SE-030.pro new file mode 100644 index 0000000..cb80ded --- /dev/null +++ b/SE-030.pro @@ -0,0 +1,268 @@ +update=Friday, September 10, 2021 at 08:57:31 AM +version=1 +last_client=kicad +[general] +version=1 +RootSch= +BoardNm= +[cvpcb] +version=1 +NetIExt=net +[eeschema] +version=1 +LibDir= +[eeschema/libraries] +[schematic_editor] +version=1 +PageLayoutDescrFile= +PlotDirectoryName= +SubpartIdSeparator=0 +SubpartFirstId=65 +NetFmtName=Pcbnew +SpiceAjustPassiveValues=0 +LabSize=50 +ERC_TestSimilarLabels=1 +[pcbnew] +version=1 +PageLayoutDescrFile= +LastNetListRead=SE-030.net +CopperLayerCount=4 +BoardThickness=1.6 +AllowMicroVias=0 +AllowBlindVias=0 +RequireCourtyardDefinitions=0 +ProhibitOverlappingCourtyards=1 +MinTrackWidth=0.15 +MinViaDiameter=0.5 +MinViaDrill=0.2 +MinMicroViaDiameter=0.2 +MinMicroViaDrill=0.09999999999999999 +MinHoleToHole=0.25 +TrackWidth1=0.15 +TrackWidth2=0.2 +TrackWidth3=0.25 +TrackWidth4=0.3 +TrackWidth5=0.35 +TrackWidth6=0.4 +TrackWidth7=0.45 +TrackWidth8=0.5 +TrackWidth9=0.6 +TrackWidth10=0.8 +TrackWidth11=1 +TrackWidth12=1.27 +TrackWidth13=1.524 +ViaDiameter1=0.5 +ViaDrill1=0.2 +ViaDiameter2=0.6 +ViaDrill2=0.3 +ViaDiameter3=0.8 +ViaDrill3=0.4 +ViaDiameter4=1 +ViaDrill4=0.5 +ViaDiameter5=1.524 +ViaDrill5=0.762 +dPairWidth1=0.2 +dPairGap1=0.25 +dPairViaGap1=0.25 +SilkLineWidth=0.15 +SilkTextSizeV=1 +SilkTextSizeH=1 +SilkTextSizeThickness=0.15 +SilkTextItalic=0 +SilkTextUpright=1 +CopperLineWidth=0.1524 +CopperTextSizeV=1.5 +CopperTextSizeH=1.5 +CopperTextThickness=0.3 +CopperTextItalic=0 +CopperTextUpright=1 +EdgeCutLineWidth=0.15 +CourtyardLineWidth=0.05 +OthersLineWidth=0.15 +OthersTextSizeV=1 +OthersTextSizeH=1 +OthersTextSizeThickness=0.15 +OthersTextItalic=0 +OthersTextUpright=1 +SolderMaskClearance=0.07619999999999999 +SolderMaskMinWidth=0.09999999999999999 +SolderPasteClearance=-0.03809999999999999 +SolderPasteRatio=-0 +[pcbnew/Layer.F.Cu] +Name=F.Cu +Type=0 +Enabled=1 +[pcbnew/Layer.In1.Cu] +Name=In1.Cu +Type=0 +Enabled=1 +[pcbnew/Layer.In2.Cu] +Name=In2.Cu +Type=0 +Enabled=1 +[pcbnew/Layer.In3.Cu] +Name=In3.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In4.Cu] +Name=In4.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In5.Cu] +Name=In5.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In6.Cu] +Name=In6.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In7.Cu] +Name=In7.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In8.Cu] +Name=In8.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In9.Cu] +Name=In9.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In10.Cu] +Name=In10.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In11.Cu] +Name=In11.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In12.Cu] +Name=In12.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In13.Cu] +Name=In13.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In14.Cu] +Name=In14.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In15.Cu] +Name=In15.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In16.Cu] +Name=In16.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In17.Cu] +Name=In17.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In18.Cu] +Name=In18.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In19.Cu] +Name=In19.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In20.Cu] +Name=In20.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In21.Cu] +Name=In21.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In22.Cu] +Name=In22.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In23.Cu] +Name=In23.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In24.Cu] +Name=In24.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In25.Cu] +Name=In25.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In26.Cu] +Name=In26.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In27.Cu] +Name=In27.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In28.Cu] +Name=In28.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In29.Cu] +Name=In29.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In30.Cu] +Name=In30.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.B.Cu] +Name=B.Cu +Type=0 +Enabled=1 +[pcbnew/Layer.B.Adhes] +Enabled=1 +[pcbnew/Layer.F.Adhes] +Enabled=1 +[pcbnew/Layer.B.Paste] +Enabled=1 +[pcbnew/Layer.F.Paste] +Enabled=1 +[pcbnew/Layer.B.SilkS] +Enabled=1 +[pcbnew/Layer.F.SilkS] +Enabled=1 +[pcbnew/Layer.B.Mask] +Enabled=1 +[pcbnew/Layer.F.Mask] +Enabled=1 +[pcbnew/Layer.Dwgs.User] +Enabled=1 +[pcbnew/Layer.Cmts.User] +Enabled=1 +[pcbnew/Layer.Eco1.User] +Enabled=1 +[pcbnew/Layer.Eco2.User] +Enabled=1 +[pcbnew/Layer.Edge.Cuts] +Enabled=1 +[pcbnew/Layer.Margin] +Enabled=1 +[pcbnew/Layer.B.CrtYd] +Enabled=1 +[pcbnew/Layer.F.CrtYd] +Enabled=1 +[pcbnew/Layer.B.Fab] +Enabled=1 +[pcbnew/Layer.F.Fab] +Enabled=1 +[pcbnew/Layer.Rescue] +Enabled=0 +[pcbnew/Netclasses] +[pcbnew/Netclasses/Default] +Name=Default +Clearance=0.15 +TrackWidth=0.15 +ViaDiameter=0.5 +ViaDrill=0.2 +uViaDiameter=0.3 +uViaDrill=0.1 +dPairWidth=0.2 +dPairGap=0.25 +dPairViaGap=0.25 diff --git a/SE-030.sch b/SE-030.sch new file mode 100644 index 0000000..904abb2 --- /dev/null +++ b/SE-030.sch @@ -0,0 +1,481 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr USLetter 11000 8500 +encoding utf-8 +Sheet 1 10 +Title "RAM2E II" +Date "2020-07-25" +Rev "1.0" +Comp "Garrett's Workshop" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L Mechanical:MountingHole_Pad H5 +U 1 1 5ED15A93 +P 2200 6850 +F 0 "H5" H 2300 6901 50 0000 L CNN +F 1 " " H 2300 6810 50 0000 L CNN +F 2 "stdpads:PasteHole_1.1mm_PTH" H 2200 6850 50 0001 C CNN +F 3 "~" H 2200 6850 50 0001 C CNN + 1 2200 6850 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:Fiducial FID1 +U 1 1 5CC47A28 +P 1000 7150 +F 0 "FID1" H 1100 7196 50 0000 L CNN +F 1 "Fiducial" H 1100 7105 50 0000 L CNN +F 2 "stdpads:Fiducial" H 1000 7150 50 0001 C CNN +F 3 "~" H 1000 7150 50 0001 C CNN + 1 1000 7150 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:Fiducial FID2 +U 1 1 5CC4921D +P 1500 7150 +F 0 "FID2" H 1600 7196 50 0000 L CNN +F 1 "Fiducial" H 1600 7105 50 0000 L CNN +F 2 "stdpads:Fiducial" H 1500 7150 50 0001 C CNN +F 3 "~" H 1500 7150 50 0001 C CNN + 1 1500 7150 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:Fiducial FID3 +U 1 1 5CC4DBD8 +P 1000 7350 +F 0 "FID3" H 1100 7396 50 0000 L CNN +F 1 "Fiducial" H 1100 7305 50 0000 L CNN +F 2 "stdpads:Fiducial" H 1000 7350 50 0001 C CNN +F 3 "~" H 1000 7350 50 0001 C CNN + 1 1000 7350 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:Fiducial FID4 +U 1 1 5CC4DBDF +P 1500 7350 +F 0 "FID4" H 1600 7396 50 0000 L CNN +F 1 "Fiducial" H 1600 7305 50 0000 L CNN +F 2 "stdpads:Fiducial" H 1500 7350 50 0001 C CNN +F 3 "~" H 1500 7350 50 0001 C CNN + 1 1500 7350 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H1 +U 1 1 5CC53461 +P 1000 6850 +F 0 "H1" H 1100 6901 50 0000 L CNN +F 1 " " H 1100 6810 50 0000 L CNN +F 2 "stdpads:PasteHole_1.1mm_PTH" H 1000 6850 50 0001 C CNN +F 3 "~" H 1000 6850 50 0001 C CNN + 1 1000 6850 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H2 +U 1 1 5CC795A2 +P 1300 6850 +F 0 "H2" H 1400 6901 50 0000 L CNN +F 1 " " H 1400 6810 50 0000 L CNN +F 2 "stdpads:PasteHole_1.1mm_PTH" H 1300 6850 50 0001 C CNN +F 3 "~" H 1300 6850 50 0001 C CNN + 1 1300 6850 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H3 +U 1 1 5CC7E0B9 +P 1600 6850 +F 0 "H3" H 1700 6901 50 0000 L CNN +F 1 " " H 1700 6810 50 0000 L CNN +F 2 "stdpads:PasteHole_1.1mm_PTH" H 1600 6850 50 0001 C CNN +F 3 "~" H 1600 6850 50 0001 C CNN + 1 1600 6850 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H4 +U 1 1 5CC7E0C0 +P 1900 6850 +F 0 "H4" H 2000 6901 50 0000 L CNN +F 1 " " H 2000 6810 50 0000 L CNN +F 2 "stdpads:PasteHole_1.1mm_PTH" H 1900 6850 50 0001 C CNN +F 3 "~" H 1900 6850 50 0001 C CNN + 1 1900 6850 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0132 +U 1 1 5CC8BAFD +P 1900 6950 +F 0 "#PWR0132" H 1900 6700 50 0001 C CNN +F 1 "GND" H 1905 6777 50 0000 C CNN +F 2 "" H 1900 6950 50 0001 C CNN +F 3 "" H 1900 6950 50 0001 C CNN + 1 1900 6950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1300 6950 1000 6950 +Connection ~ 1300 6950 +Connection ~ 1600 6950 +Wire Wire Line + 1600 6950 1300 6950 +Wire Wire Line + 2200 6950 1900 6950 +Wire Wire Line + 1900 6950 1600 6950 +Connection ~ 1900 6950 +Wire Wire Line + 4600 2800 4200 2800 +Wire Wire Line + 4700 2900 4200 2900 +Wire Wire Line + 4800 3000 4200 3000 +Wire Wire Line + 4900 3100 4200 3100 +Wire Wire Line + 5100 3300 4200 3300 +Wire Wire Line + 2900 2800 3200 2800 +Wire Bus Line + 2800 2700 3200 2700 +Wire Bus Line + 2800 2700 2700 2700 +Wire Wire Line + 2900 2800 2700 2800 +Wire Bus Line + 3000 2900 2700 2900 +Wire Wire Line + 2700 3000 3200 3000 +Wire Wire Line + 2700 3100 3200 3100 +Wire Wire Line + 2700 3200 3200 3200 +Wire Wire Line + 2700 3300 3200 3300 +Connection ~ 3100 1600 +Connection ~ 2800 2700 +Connection ~ 2900 2800 +Wire Wire Line + 3100 2900 3200 2900 +Wire Wire Line + 2700 3400 3200 3400 +Wire Wire Line + 2700 3500 3200 3500 +Wire Wire Line + 5000 3200 4200 3200 +Wire Bus Line + 4200 4700 4500 4700 +Wire Wire Line + 4200 4500 4500 4500 +Wire Wire Line + 4200 4600 4500 4600 +Wire Wire Line + 4200 4300 4500 4300 +Wire Wire Line + 4200 4400 4500 4400 +Wire Wire Line + 4200 4100 4500 4100 +Wire Wire Line + 4200 4200 4500 4200 +Wire Bus Line + 4400 3800 4500 3800 +Wire Bus Line + 4500 3900 4300 3900 +Wire Bus Line + 4300 2450 3000 2450 +Wire Bus Line + 3000 2450 3000 2900 +Wire Wire Line + 4200 2700 4500 2700 +Wire Bus Line + 3000 1400 3000 2450 +Connection ~ 3000 2450 +Wire Wire Line + 4600 1900 4600 2800 +Wire Wire Line + 4700 1700 4700 2900 +Wire Wire Line + 4800 1600 4800 3000 +Wire Wire Line + 4900 1400 4900 3100 +Wire Wire Line + 5000 1300 5000 3200 +Wire Wire Line + 5100 1100 5100 3300 +$Sheet +S 4500 3700 550 1100 +U 5F723900 +F0 "RAMROM" 50 +F1 "RAMROM.sch" 50 +F2 "~RAS~" I L 4500 4500 50 +F3 "D[15..0]" B L 4500 3900 50 +F4 "~CAS~" I L 4500 4600 50 +F5 "~OE~" I L 4500 4200 50 +F6 "RA[11..0]" I L 4500 4700 50 +F7 "L~WE~" I L 4500 4300 50 +F8 "U~WE~" I L 4500 4400 50 +F9 "ROM~CS~" I L 4500 4000 50 +F10 "A[23..1]" I L 4500 3800 50 +F11 "ROM~WE~" I L 4500 4100 50 +$EndSheet +$Sheet +S 1700 2600 1000 1000 +U 60941922 +F0 "Buf" 50 +F1 "Buf.sch" 50 +F2 "AccA[23..1]" B R 2700 2700 50 +F3 "MacA[23..1]" B L 1700 2800 50 +F4 "AccD[15..0]" B R 2700 2900 50 +F5 "MacD[15..0]" B L 1700 3100 50 +F6 "Dout~OE~" I R 2700 3100 50 +F7 "Din~OE~" I R 2700 3200 50 +F8 "DinLE" I R 2700 3500 50 +F9 "Aout~OE~" I R 2700 3000 50 +F10 "Mac~R~W" T L 1700 2900 50 +F11 "Acc~R~W" I R 2700 2800 50 +F12 "ADoutLE0" I R 2700 3300 50 +F13 "ADoutLE1" I R 2700 3400 50 +$EndSheet +Wire Bus Line + 4300 2450 4300 3900 +Wire Wire Line + 2900 1200 2900 2800 +NoConn ~ 4200 3800 +Wire Wire Line + 1600 4400 3200 4400 +Wire Wire Line + 1600 4500 3200 4500 +Wire Wire Line + 1600 4600 3200 4600 +Wire Wire Line + 1600 4300 3200 4300 +Wire Wire Line + 1600 1600 3100 1600 +Wire Wire Line + 1600 4100 3200 4100 +Wire Wire Line + 1600 4200 3200 4200 +Wire Wire Line + 1600 3800 3200 3800 +Wire Wire Line + 1600 2900 1700 2900 +Wire Wire Line + 1600 4000 3200 4000 +Wire Wire Line + 1600 3900 3200 3900 +Wire Wire Line + 1600 3700 3200 3700 +Wire Bus Line + 1600 3100 1700 3100 +Wire Bus Line + 1600 2800 1700 2800 +$Sheet +S 1050 1100 550 3700 +U 5F6DA71D +F0 "PDS" 50 +F1 "PDS.sch" 50 +F2 "A[23..1]" B R 1600 2800 50 +F3 "D[15..0]" B R 1600 3100 50 +F4 "~AS~" B R 1600 3700 50 +F5 "~LDS~" B R 1600 3900 50 +F6 "~UDS~" B R 1600 4000 50 +F7 "R~W~" B R 1600 2900 50 +F8 "~VMA~" B R 1600 3800 50 +F9 "~VPA~" O R 1600 4200 50 +F10 "~DTACK~" O R 1600 4100 50 +F11 "~RESET~" B R 1600 1600 50 +F12 "~IPL~0" O R 1600 1900 50 +F13 "~IPL~1" O R 1600 2000 50 +F14 "~IPL~2" O R 1600 2100 50 +F15 "~BERR~" O R 1600 4300 50 +F16 "E" O R 1600 4600 50 +F17 "C8M" O R 1600 4500 50 +F18 "C16M" O R 1600 4400 50 +$EndSheet +Wire Wire Line + 4500 2100 4200 2100 +Wire Wire Line + 1600 2100 3200 2100 +Wire Wire Line + 1600 2000 3200 2000 +Wire Wire Line + 1600 1900 3200 1900 +Wire Wire Line + 4200 1900 4600 1900 +Wire Wire Line + 3100 1600 3200 1600 +Wire Wire Line + 4200 1700 4700 1700 +Wire Wire Line + 4200 1600 4800 1600 +Wire Wire Line + 4200 1300 5000 1300 +Wire Wire Line + 4200 1400 4900 1400 +Wire Wire Line + 2900 1200 3200 1200 +Wire Wire Line + 4200 1100 5100 1100 +Wire Bus Line + 3200 1400 3000 1400 +Wire Bus Line + 3200 1100 2800 1100 +$Sheet +S 3200 1000 1000 1200 +U 5F72F108 +F0 "MC68k" 50 +F1 "MC68k.sch" 50 +F2 "A[23..1]" O L 3200 1100 50 +F3 "D[15..0]" B L 3200 1400 50 +F4 "~AS~" O R 4200 1100 50 +F5 "R~W~" O L 3200 1200 50 +F6 "~LDS~" O R 4200 1400 50 +F7 "~UDS~" O R 4200 1300 50 +F8 "~DTACK~" I R 4200 1600 50 +F9 "~VPA~" I R 4200 1700 50 +F10 "~RESET~" B L 3200 1600 50 +F11 "~BERR~" I R 4200 1900 50 +F12 "~IPL~0" I L 3200 1900 50 +F13 "~IPL~1" I L 3200 2000 50 +F14 "~IPL~2" I L 3200 2100 50 +F15 "CLK" I R 4200 2100 50 +$EndSheet +Wire Bus Line + 4400 2350 4400 3800 +Connection ~ 2800 2350 +Wire Bus Line + 2800 1100 2800 2350 +Wire Bus Line + 2800 2350 2800 2700 +Wire Bus Line + 2800 2350 4400 2350 +Wire Wire Line + 3100 1600 3100 2900 +Wire Wire Line + 4500 2450 4500 2700 +Wire Wire Line + 4500 2100 4500 2350 +Wire Wire Line + 4200 4000 4500 4000 +$Sheet +S 5400 3400 550 300 +U 61A87B62 +F0 "DIPSW" 50 +F1 "DIPSW.sch" 50 +F2 "SW0" O L 5400 3500 50 +F3 "SW1" O L 5400 3600 50 +$EndSheet +Wire Wire Line + 5400 3500 5300 3500 +Connection ~ 5300 3500 +Wire Wire Line + 5300 3500 4200 3500 +Wire Wire Line + 5400 3600 4200 3600 +$Sheet +S 3200 2600 1000 2700 +U 5F723173 +F0 "Control" 50 +F1 "Control.sch" 50 +F2 "~RESET~" I L 3200 2900 50 +F3 "FCLK" I R 4200 2700 50 +F4 "Mac~AS~" O L 3200 3700 50 +F5 "Mac~VMA~" O L 3200 3800 50 +F6 "Mac~DTACK~" I L 3200 4100 50 +F7 "Mac~VPA~" I L 3200 4200 50 +F8 "Mac~BERR~" I L 3200 4300 50 +F9 "MacE" I L 3200 4600 50 +F10 "C8M" I L 3200 4500 50 +F11 "C16M" I L 3200 4400 50 +F12 "Acc~DTACK" O R 4200 3000 50 +F13 "Acc~BERR~" O R 4200 2800 50 +F14 "Acc~UDS~" I R 4200 3200 50 +F15 "Acc~LDS~" I R 4200 3100 50 +F16 "Acc~AS~" I R 4200 3300 50 +F17 "~OE~" O R 4200 4200 50 +F18 "Mac~UDS~" O L 3200 4000 50 +F19 "Mac~LDS~" O L 3200 3900 50 +F20 "Acc~VPA~" O R 4200 2900 50 +F21 "AccR~W~" I L 3200 2800 50 +F22 "L~WE~" O R 4200 4300 50 +F23 "U~WE~" O R 4200 4400 50 +F24 "~RAS~" O R 4200 4500 50 +F25 "~CAS~" O R 4200 4600 50 +F26 "ROM~CS~" O R 4200 4000 50 +F27 "DinLE" O L 3200 3500 50 +F28 "Dout~OE~" O L 3200 3100 50 +F29 "Aout~OE~" O L 3200 3000 50 +F30 "Din~OE~" O L 3200 3200 50 +F31 "RA[11..0]" O R 4200 4700 50 +F32 "A[23..1]" I L 3200 2700 50 +F33 "ADoutLE0" O L 3200 3300 50 +F34 "~RESET~r" O R 4200 3800 50 +F35 "ADoutLE1" O L 3200 3400 50 +F36 "ROM~WE~" O R 4200 4100 50 +F37 "SW0" I R 4200 3500 50 +F38 "SW1" I R 4200 3600 50 +F39 "CKEN" O R 4200 3400 50 +F40 "TDI" I R 4200 5000 50 +F41 "TMS" I R 4200 5100 50 +F42 "TCK" I R 4200 4900 50 +F43 "TDO" O R 4200 5200 50 +$EndSheet +Wire Wire Line + 4200 3400 5200 3400 +Wire Wire Line + 5200 3400 5200 2550 +Wire Wire Line + 5300 2650 5300 3500 +$Sheet +S 5400 4800 550 500 +U 61B15767 +F0 "JTAG" 50 +F1 "JTAG.sch" 50 +F2 "TCK" O L 5400 4900 50 +F3 "TDI" O L 5400 5000 50 +F4 "TMS" O L 5400 5100 50 +F5 "TDO" I L 5400 5200 50 +$EndSheet +Wire Wire Line + 5400 4900 4200 4900 +Wire Wire Line + 4200 5000 5400 5000 +Wire Wire Line + 5400 5100 4200 5100 +Wire Wire Line + 4200 5200 5400 5200 +$Sheet +S 1050 5000 550 200 +U 61B3A5F1 +F0 "Power" 50 +F1 "Power.sch" 50 +$EndSheet +Wire Wire Line + 5200 2550 5400 2550 +Wire Wire Line + 5400 2650 5300 2650 +Wire Wire Line + 4500 2450 5400 2450 +Wire Wire Line + 4500 2350 5400 2350 +$Sheet +S 5400 2250 550 500 +U 61350D21 +F0 "Clk.sch" 50 +F1 "Clk.sch" 50 +F2 "MCLK" O L 5400 2350 50 +F3 "RCLK" O L 5400 2450 50 +F4 "CK20EN" I L 5400 2650 50 +F5 "CK25EN" I L 5400 2550 50 +$EndSheet +$EndSCHEMATC diff --git a/cpld/CNT.v b/cpld/CNT.v new file mode 100644 index 0000000..ffea199 --- /dev/null +++ b/cpld/CNT.v @@ -0,0 +1,31 @@ +module CNT( + /* FSB clock and AS detection */ + input FCLK, input CACT, + /* Refresh request */ + output RefReq, output RefUrgent, input RefAck, + /* Timeout signals */ + output reg TimeoutA, output reg TimeoutB); + + /* Refresh counter */ + reg [7:0] RefCnt = 0; + reg RefDone = 0; + assign RefReq = ~RefDone; + assign RefUrgent = RefCnt[7] && RefCnt[6] && RefCnt[5] && ~RefDone; + always @(posedge FCLK) begin + RefCnt <= RefCnt+1; + if (RefCnt==0) RefDone <= 0; + else if (RefAck) RefDone <= 1; + end + + /* Timeout signals */ + always @(posedge FCLK) begin + if (~CACT) begin + TimeoutA <= 0; + TimeoutB <= 0; + end else begin + if (RefCnt==0) TimeoutA <= 1; + if (RefCnt==0 && TimeoutA) TimeoutB <= 1; + end + end + +endmodule diff --git a/cpld/CS.v b/cpld/CS.v new file mode 100644 index 0000000..55470b3 --- /dev/null +++ b/cpld/CS.v @@ -0,0 +1,62 @@ +module CS( + /* MC68HC000 interface */ + input [23:08] A, input CLK, input nRES, input nWE, + /* AS cycle detection */ + input BACT, + /* Device select outputs */ + output IOCS, output SCSICS, output IOPWCS, output IACS, output ROMCS, output RAMCS, output SndRAMCSWR); + + /* Overlay control */ + reg nOverlay0 = 0; + reg nOverlay1 = 0; + wire Overlay = ~nOverlay1; + wire ODCS = A[23:20]==4'h4; // Disable overlay + always @(posedge CLK, negedge nRES) begin + if (~nRES) nOverlay0 <= 0; + else if (BACT && ODCS) nOverlay0 <= 1; + end + always @(posedge CLK) begin + if (~BACT) nOverlay1 <= nOverlay0; + end + + /* Select signals - FSB domain */ + wire RAMCS_OverlayOff = A[23:22]==2'b00; + wire RAMCS_OverlayOn = A[23:21]==3'b011; + assign RAMCS = (RAMCS_OverlayOff && ~Overlay) || // 000000-3FFFFF when overlay disabled + (RAMCS_OverlayOn && Overlay); // 600000-7FFFFF when overlay enabled + wire VidRAMCSWR64k = RAMCS && A[21:20]==2'h3 && A[19:16]==4'hF && ~nWE; // 3F0000-3FFFFF / 7F0000-7FFFFF + wire VidRAMCSWR = VidRAMCSWR64k && ( + (A[15:12]==4'h2) || // 1792 bytes RAM, 2304 bytes video + (A[15:12]==4'h3) || // 4096 bytes video + (A[15:12]==4'h4) || // 4096 bytes video + (A[15:12]==4'h5) || // 4096 bytes video + (A[15:12]==4'h6) || // 4096 bytes video + (A[15:12]==4'h7) || // 3200 bytes video, 896 bytes RAM, + (A[15:12]==4'hA) || // 256 bytes RAM, 768 bytes sound, 768 bytes RAM, 2304 bytes video + (A[15:12]==4'hB) || // 4096 bytes video + (A[15:12]==4'hC) || // 4096 bytes video + (A[15:12]==4'hD) || // 4096 bytes video + (A[15:12]==4'hE) || // 4096 bytes video + (A[15:12]==4'hF)); // 3200 bytes video, 128 bytes RAM (system error space), 768 bytes sound + assign SndRAMCSWR = VidRAMCSWR64k && ( + (A[15:12]==4'hF && (A[11:8]==4'hD || A[11:8]==4'hE || A[11:8]==4'hF)) || + (A[15:12]==4'hA && (A[11:8]==4'h1 || A[11:8]==4'h2 || A[11:8]==4'h3))); + + assign ROMCS = A[23:20]==4'h4 || (A[23:20]==4'h0 && Overlay); + + /* Select signals - IOB domain */ + assign IACS = A[23:08]==16'hFFFF; // IACK + assign IOCS = A[23:20]==4'h5 || // SCSI + A[23:20]==4'h8 || // empty + A[23:20]==4'h9 || // SCC read/reset + A[23:20]==4'hA || // empty + A[23:20]==4'hB || // SCC write + A[23:20]==4'hC || // empty + A[23:20]==4'hD || // IWM + A[23:20]==4'hE || // VIA + A[23:20]==4'hF || // IACK + VidRAMCSWR; + assign SCSICS = A[23:20]==4'h5; // SCSI + assign IOPWCS = RAMCS_OverlayOff && ~nWE; + +endmodule diff --git a/cpld/FSB.v b/cpld/FSB.v new file mode 100644 index 0000000..9876a12 --- /dev/null +++ b/cpld/FSB.v @@ -0,0 +1,55 @@ +module FSB( + /* MC68HC000 interface */ + input FCLK, input nAS, output reg nDTACK, output nVPA, output nBERR, + /* AS cycle detection */ + output BACT, + /* Ready inputs */ + input Ready0, input Ready1, input Ready2, + /* BERR inputs */ + input BERR0, input BERR1, + /* Interrupt acknowledge select */ + input IACS); + + /* AS cycle detection */ + reg ASrf = 0; + always @(negedge FCLK) begin ASrf <= ~nAS; end + assign BACT = ~nAS || ASrf; + + /* Ready and BERR "remember" */ + reg Ready0r, Ready1r, Ready2r; + reg BERR0r, BERR1r; + wire Ready = (Ready0 || Ready0r) && + (Ready1 || Ready1r) && + (Ready2 || Ready2r); + wire BERR = (BERR0 || BERR0r || BERR1 || BERR1r); + assign nBERR = ~(~nAS && BERR); + always @(posedge FCLK) begin + if (~BACT) begin + Ready0r <= 0; + Ready1r <= 0; + Ready2r <= 0; + BERR0r <= 0; + BERR1r <= 0; + end else begin + if (Ready0) Ready0r <= 1; + if (Ready1) Ready1r <= 1; + if (Ready2) Ready2r <= 1; + if (BERR0) BERR0r <= 1; + if (BERR1) BERR1r <= 1; + end + end + + /* DTACK/VPA control */ + reg VPA; + assign nVPA = ~(~nAS && VPA); + always @(posedge FCLK) begin + if (~BACT) begin + nDTACK <= 1; + VPA <= 0; + end else if (Ready && ~BERR) begin + nDTACK <= IACS; + VPA <= IACS; + end + end + +endmodule diff --git a/cpld/IOBM.v b/cpld/IOBM.v new file mode 100644 index 0000000..30edeed --- /dev/null +++ b/cpld/IOBM.v @@ -0,0 +1,123 @@ +module IOBM( + /* PDS interface */ + input C16M, input C8M, input E, + output reg nAS, output reg nLDS, output reg nUDS, output reg nVMA, + input nDTACK, input nVPA, input nBERR, input nRES, + /* PDS address and data latch control */ + output nAoutOE, output reg nDoutOE, output reg ALE0, output reg nDinLE, + /* IO bus slave port interface */ + output reg IOACT, output reg IOBERR, input IOREQ, input IOLDS, input IOUDS, input IOWE); + + /* I/O bus slave port input synchronization */ + reg IOREQr = 0; + always @(negedge C16M) begin IOREQr <= IOREQ; end + + /* DTACK, BERR, RESET synchronization */ + reg DTACKrr, DTACKrf, VPArr, VPArf, BERRrr, BERRrf, RESrr, RESrf; + always @(posedge C16M) begin + DTACKrr <= ~nDTACK; + VPArr <= ~nVPA; + BERRrr <= ~nBERR; + RESrr <= ~nRES; + end + always @(negedge C16M) begin + DTACKrf <= ~nDTACK; + VPArf <= ~nVPA; + BERRrf <= ~nBERR; + RESrf <= ~nRES; + end + wire DTACK = DTACKrr && DTACKrf; + wire BERR = BERRrr && BERRrf; + wire VPA = VPArr && VPArf; + wire RES = RESrr && RESrf; + + /* E clock state */ + reg [4:0] ES; + reg Er; + reg Er2; + always @(negedge C8M) begin Er <= E; end + always @(posedge C16M) begin Er2 <= Er; end + always @(posedge C16M) begin + if (Er2 && ~Er) ES <= 1; + else if (ES==0 || ES==19) ES <= 0; + else ES <= ES+1; + end + + /* ETACK and VMA generation */ + reg ETACK = 0; + always @(posedge C16M) begin ETACK <= ES==16 && ~nVMA; end + always @(posedge C16M) begin + if (ES==7 && IOACT && VPA) nVMA <= 0; + else if (ES==0) nVMA <= 1; + end + + /* I/O bus state */ + reg [2:0] IOS = 0; + always @(posedge C16M) begin + if (IOS==0) begin + if (IOREQr) begin + if (~C8M) begin + IOS <= 1; + end else begin + IOS <= 0; + end + IOACT <= 1; + ALE0 <= 1; + end else begin + IOS <= 0; + IOACT <= 0; + ALE0 <= 0; + end + end else if (IOS==1) begin + IOS <= 2; + IOACT <= 1; + ALE0 <= 1; + end else if (IOS==2) begin + IOS <= 3; + IOACT <= 1; + ALE0 <= 1; + end else if (IOS==3) begin + IOS <= 4; + IOACT <= 1; + ALE0 <= 1; + end else if (IOS==4) begin + IOS <= 5; + IOACT <= 1; + ALE0 <= 1; + end else if (IOS==5) begin + if (C8M && (DTACK || ETACK || BERR || RES)) begin + IOS <= 6; + IOACT <= 0; + IOBERR <= ~nBERR; + end else begin + IOS <= 5; + IOACT <= 1; + end + ALE0 <= 1; + end else if (IOS==6) begin + IOS <= 7; + IOACT <= 0; + ALE0 <= 0; + end else if (IOS==7) begin + IOS <= 0; + IOACT <= 0; + ALE0 <= 0; + end + end + + /* PDS address and data latch control */ + assign nAoutOE = 0; + always @(negedge C16M) begin nDinLE <= IOS==4 || IOS==5; end + always @(posedge C16M) begin + nDoutOE <= ~(IOWE && (IOS==1 || IOS==2 || IOS==3 || + IOS==4 || IOS==5 || IOS==6)); + end + + /* AS, DS control */ + always @(negedge C16M) begin + nAS <= ~(IOS==1 || IOS==2 || IOS==3 || IOS==4 || IOS==5); + nLDS <= ~(IOLDS && (((IOS==1 || IOS==2) && ~IOWE) || IOS==3 || IOS==4 || IOS==5)); + nUDS <= ~(IOUDS && (((IOS==1 || IOS==2) && ~IOWE) || IOS==3 || IOS==4 || IOS==5)); + end + +endmodule diff --git a/cpld/IOBS.v b/cpld/IOBS.v new file mode 100644 index 0000000..5747faf --- /dev/null +++ b/cpld/IOBS.v @@ -0,0 +1,112 @@ +module IOBS( + /* MC68HC000 interface */ + input CLK, input nWE, input nAS, input nLDS, input nUDS, + /* AS cycle detection */ + input BACT, + /* Select and ready signals */ + input IOCS, input IOPWCS, output Ready, output reg BERR, + /* Read data OE control */ + output nDinOE, + /* IOB Master Controller Interface */ + output reg IOREQ, input IOACT, input IOBERR, + /* FIFO primary level control */ + output reg ALE0, output reg IORW0, output reg IOL0, output reg IOU0, + /* FIFO secondary level control */ + output reg ALE1); + + /* IOACT input synchronization */ + reg IOACTr = 0; + always @(posedge CLK) begin IOACTr <= IOACT; end + + /* Read data OE control */ + assign nDinOE = ~nAS && IOCS && nWE; + + /* Posted read/write state */ + reg [1:0] PS = 0; + reg Once = 0; + + /* FIFO second level control */ + reg Load1; + reg IORW1; + reg IOL1; + reg IOU1; + always @(posedge CLK) begin + if (PS!=0 && BACT && IOCS && ~Once && ~ALE1) begin + ALE1 <= 1; + IORW1 <= nWE; + Load1 <= 1; + end else begin + if (PS==3) ALE1 <= 0; + Load1 <= 0; + end + end + always @(posedge CLK) begin + if (Load1) begin + IOL1 <= ~nLDS; + IOU1 <= ~nUDS; + end + end + + /* FIFO Primary Level Control */ + always @(posedge CLK) begin + if (PS==0) begin + if (ALE1) begin + PS <= 3; + IOREQ <= 1; + IORW0 <= IORW1; + end else if (BACT && IOCS && ~Once) begin + PS <= 3; + IOREQ <= 1; + IORW0 <= nWE; + end else begin + PS <= 0; + IOREQ <= 0; + end + ALE0 <= 0; + end else if (PS==3) begin + PS <= 2; + IOREQ <= 1; + ALE0 <= 1; + if (ALE1) begin + IOL0 <= IOL1; + IOU0 <= IOU1; + end else begin + IOL0 <= ~nLDS; + IOU0 <= ~nUDS; + end + end else if (PS==2) begin + if (IOACTr) begin + PS <= 1; + IOREQ <= 0; + end else begin + PS <= 2; + IOREQ <= 1; + end + ALE0 <= 0; + end else if (PS==1) begin + if (~IOACTr) PS <= 0; + else PS <= 2; + IOREQ <= 0; + ALE0 <= 0; + end + end + + /* Once, ready, BERR control */ + reg IOReady; + wire IOPWReady = ~ALE1; + always @(posedge CLK) begin + if (~BACT) Once <= 0; + else if (IOCS && (PS==0 || (IOPWCS && IOPWReady))) Once <= 1; + end + always @(posedge CLK) begin + if (~BACT) begin + IOReady <= 0; + BERR <= 0; + end else if (Once && (PS==0 || PS==1) && ~IOACTr && IOPWReady) begin + IOReady <= ~IOBERR; + BERR <= IOBERR; + end + end + assign Ready = ~IOCS || IOReady || (IOPWCS && IOPWReady); + +endmodule diff --git a/cpld/MXSE.ucf b/cpld/MXSE.ucf new file mode 100644 index 0000000..8289d08 --- /dev/null +++ b/cpld/MXSE.ucf @@ -0,0 +1,32 @@ + +#Created by Constraints Editor (xc95144xl-tq100-10) - 2021/10/07 +INST "A_FSB<1>" TNM = A_FSB; +INST "A_FSB<2>" TNM = A_FSB; +INST "A_FSB<3>" TNM = A_FSB; +INST "A_FSB<4>" TNM = A_FSB; +INST "A_FSB<5>" TNM = A_FSB; +INST "A_FSB<6>" TNM = A_FSB; +INST "A_FSB<7>" TNM = A_FSB; +INST "A_FSB<8>" TNM = A_FSB; +INST "A_FSB<9>" TNM = A_FSB; +INST "A_FSB<10>" TNM = A_FSB; +INST "A_FSB<11>" TNM = A_FSB; +INST "A_FSB<12>" TNM = A_FSB; +INST "A_FSB<13>" TNM = A_FSB; +INST "A_FSB<14>" TNM = A_FSB; +INST "A_FSB<15>" TNM = A_FSB; +INST "A_FSB<16>" TNM = A_FSB; +INST "A_FSB<17>" TNM = A_FSB; +INST "A_FSB<18>" TNM = A_FSB; +INST "A_FSB<19>" TNM = A_FSB; +INST "A_FSB<20>" TNM = A_FSB; +INST "A_FSB<21>" TNM = A_FSB; +INST "A_FSB<22>" TNM = A_FSB; +INST "A_FSB<23>" TNM = A_FSB; +#Created by Constraints Editor (xc95144xl-tq100-10) - 2021/10/07 +NET "CLK_FSB" TNM_NET = CLK_FSB; +TIMESPEC TS_CLK_FSB = PERIOD "CLK_FSB" 40 ns HIGH 50%; +NET "CLK2X_IOB" TNM_NET = CLK2X_IOB; +TIMESPEC TS_CLK2X_IOB = PERIOD "CLK2X_IOB" 15.6672 MHz HIGH 50%; +NET "CLK_IOB" TNM_NET = CLK_IOB; +TIMESPEC TS_CLK_IOB = PERIOD "CLK_IOB" 7.8336 MHz HIGH 50%; diff --git a/cpld/MXSE.v b/cpld/MXSE.v new file mode 100644 index 0000000..48922df --- /dev/null +++ b/cpld/MXSE.v @@ -0,0 +1,119 @@ +module MXSE( + input [23:1] A_FSB, + input nAS_FSB, + input nLDS_FSB, + input nUDS_FSB, + input nWE_FSB, + output nDTACK_FSB, + output nVPA_FSB, + output nBERR_FSB, + input CLK_FSB, + input CLK2X_IOB, + input CLK_IOB, + input E_IOB, + input nDTACK_IOB, + input nVPA_IOB, + output nVMA_IOB, + output nAS_IOB, + output nUDS_IOB, + output nLDS_IOB, + input nBERR_IOB, + input nRES, + output nROMCS, + output nRAMLWE, + output nRAMUWE, + output nROMWE, + output nRAS, + output nCAS, + output [11:0] RA, + output nOE, + output nADoutLE0, + output nADoutLE1, + output nAoutOE, + output nDoutOE, + output nDinOE, + output nDinLE); + + /* AS cycle detection */ + wire BACT; + + /* Refresh request/ack signals */ + wire RefReq, RefUrgent, RefAck; + + wire IOCS, SCSICS, IOPWCS, IACS, ROMCS, RAMCS, SndRAMCSWR; + CS cs( + /* MC68HC000 interface */ + A_FSB[23:08], CLK_FSB, nRES, nWE_FSB, + /* AS cycle detection */ + BACT, + /* Device select outputs */ + IOCS, SCSICS, IOPWCS, IACS, ROMCS, RAMCS, SndRAMCSWR); + + wire Ready_RAM; + RAM ram( + /* MC68HC000 interface */ + CLK_FSB, A_FSB[21:1], nWE_FSB, nAS_FSB, nLDS_FSB, nUDS_FSB, + /* AS cycle detection */ + BACT, + /* Select and ready signals */ + RAMCS, ROMCS, Ready_RAM, + /* Refresh Counter Interface */ + RefReq, RefUrgent, RefAck, + /* DRAM and NOR flash interface */ + RA[11:0], nRAS, nCAS, + nRAMLWE, nRAMUWE, nOE, nROMCS, nROMWE); + + wire Ready_IOBS, BERR_IOBS; + wire IOREQ, IOACT, IOBERR; + wire ALE0S, ALE0M, ALE1; + assign nADoutLE0 = ~(ALE0S || ALE0M); + assign nADoutLE1 = ~ALE1; + wire IORW0, IOL0, IOU0; + IOBS iobs( + /* MC68HC000 interface */ + CLK_FSB, nWE_FSB, nAS_FSB, nLDS_FSB, nUDS_FSB, + /* AS cycle detection, FSB BERR */ + BACT, + /* Select and ready signals */ + IOCS, IOPWCS, Ready_IOBS, BERR_IOBS, + /* Read data OE control */ + nDinOE, + /* IOB Master Controller Interface */ + IOREQ, IOACT, IOBERR, + /* FIFO primary level control */ + ALE0S, IORW0, IOL0, IOU0, + /* FIFO secondary level control */ + ALE1); + + IOBM iobm( + /* PDS interface */ + CLK2X_IOB, CLK_IOB, E_IOB, + nAS_IOB, nLDS_IOB, nUDS_IOB, nVMA_IOB, + nDTACK_IOB, nVPA_IOB, nBERR_IOB, nRES, + /* PDS address and data latch control */ + nAoutOE, nDoutOE, ALE0M, nDinLE, + /* IO bus slave port interface */ + IOACT, IOBERR, IOREQ, IOL0, IOU0, IORW0); + + wire TimeoutA, TimeoutB; + CNT cnt( + /* FSB clock and AS detection */ + CLK_FSB, BACT, + /* Refresh request */ + RefReq, RefUrgent, RefAck, + /* Timeout signals */ + TimeoutA, TimeoutB); + + FSB fsb( + /* MC68HC000 interface */ + CLK_FSB, nAS_FSB, nDTACK_FSB, nVPA_FSB, nBERR_FSB, + /* AS cycle detection */ + BACT, + /* Ready and IA inputs */ + Ready_RAM, Ready_IOBS, ~(SndRAMCSWR && ~TimeoutA), + /* BERR inputs */ + (~SCSICS && TimeoutB), BERR_IOBS, + /* Interrupt acknowledge select */ + IACS); + +endmodule diff --git a/cpld/RAM.v b/cpld/RAM.v new file mode 100644 index 0000000..68dc82f --- /dev/null +++ b/cpld/RAM.v @@ -0,0 +1,141 @@ +module RAM( + /* MC68HC000 interface */ + input CLK, input [21:1] A, input nWE, input nAS, input nLDS, input nUDS, + /* AS cycle detection */ + input BACT, + /* Select and ready signals */ + input RAMCS, input ROMCS, output Ready, + /* Refresh Counter Interface */ + input RefReq, input RefUrgent, output RefAck, + /* DRAM and NOR flash interface */ + output [11:0] RA, output nRAS, output reg nCAS, + output nLWE, output nUWE, output nOE, output nROMCS, output nROMWE); + + /* RAM control state */ + reg [2:0] RS = 0; + reg Once = 0; + reg RAMReady = 0; + reg RASEL = 0; // RASEL controls /CAS signal + + /* Refresh state */ + reg RAMDIS1 = 0; + reg RAMDIS2 = 0; + wire RAMDIS = RAMDIS1 || RAMDIS2; + wire RAMEN = ~RAMDIS; + reg RefRAS = 0; + + assign nROMCS = ~ROMCS; + assign nRAS = ~((~nAS && RAMCS && RAMEN && ~RefRAS /* does this add loading to these P-terms? */) || RefRAS); + assign nOE = ~(~nAS && nWE); + assign nLWE = ~(~nAS && ~nWE && ~nLDS && RAMEN); + assign nUWE = ~(~nAS && ~nWE && ~nUDS && RAMEN); + assign nROMWE = ~(~nAS && ~nWE); + + assign RA[11] = A[19]; + assign RA[10] = A[21]; + assign RA[9:0] = RASEL ? {A[20], A[09:01]} : {A[19], A[18:10]}; + + always @(posedge CLK) begin + if (~BACT) Once <= 0; + else if (RS==0 && BACT && RAMCS) Once <= 1; + end + always @(posedge CLK) begin + if (~BACT) RAMDIS2 <= 0; + else if ((RS==0 && BACT && RefUrgent && Once && RAMCS) || + (RS==7 && BACT && RefUrgent && Once)) RAMDIS2 <= 1; + end + reg BACTr; + always @(posedge CLK) begin BACTr <= BACT; end + always @(posedge CLK) begin + if (RS==0) begin + if (( BACT && RefReq && ~RAMCS && ~BACTr) || // Non-urgent refresh can start during first clock of non-RAM cycle + (~BACT && RefUrgent) || // Urgent refresh can start during bus idle + ( BACT && RefUrgent && ~RAMCS)) begin // Urgent refresh can start during non-ram cycle + RS <= 2; + RAMReady <= 0; + RASEL <= 1; + RAMDIS1 <= 1; + end else if (BACT && RAMCS && ~Once) begin + // RAM access cycle has priority over urgent refresh if RAM access already begun + RS <= 5; + RAMReady <= 0; + RASEL <= 1; + RAMDIS1 <= 0; + end else if (BACT && RAMCS && RefUrgent) begin + // Urgent refresh can start during prolonged RAM access cycle + // But we must insert one extra precharge state first. + RS <= 1; + RAMReady <= 0; + RASEL <= 0; + RAMDIS1 <= 1; + end else begin + // No RAM access/refresh requests pending + RS <= 0; + RAMReady <= 1; + RASEL <= 0; + RAMDIS1 <= 0; + end + RefRAS <= 0; + end else if (RS==1) begin + RS <= 2; + RAMReady <= 0; + RASEL <= 1; + RAMDIS1 <= 1; + RefRAS <= 0; + end else if (RS==2) begin + RS <= 3; + RAMReady <= 0; + RASEL <= 1; + RAMDIS1 <= 1; + RefRAS <= 1; + end else if (RS==3) begin + RS <= 4; + RAMReady <= 0; + RASEL <= 0; + RAMDIS1 <= 1; + RefRAS <= 1; + end else if (RS==4) begin + RS <= 7; + RAMReady <= 0; + RASEL <= 0; + RAMDIS1 <= 1; + RefRAS <= 0; + end else if (RS==5) begin + RS <= 6; + RAMReady <= 0; + RASEL <= 1; + RAMDIS1 <= 0; + RefRAS <= 0; + end else if (RS==6) begin + RS <= 7; + RAMReady <= 0; + RASEL <= 0; + RAMDIS1 <= 0; + RefRAS <= 0; + end else if (RS==7) begin + if (~BACT && RefUrgent) begin + RS <= 2; + RAMReady <= 0; + RAMDIS1 <= 1; + RASEL <= 1; + end else if (BACT && RefUrgent) begin + RS <= 1; + RAMReady <= 0; + RASEL <= 0; + RAMDIS1 <= 1; + end else begin + RS <= 0; + RAMReady <= 1; + RASEL <= 0; + RAMDIS1 <= 0; + end + RefRAS <= 0; + end + end + always @(negedge CLK) begin nCAS <= ~RASEL; end + + assign RefAck = RefRAS; + + assign Ready = ~RAMCS || RAMReady; + +endmodule diff --git a/cpld/VGA.v b/cpld/VGA.v new file mode 100644 index 0000000..4582240 --- /dev/null +++ b/cpld/VGA.v @@ -0,0 +1,180 @@ +module VGA( + input VCLK, + input [23:1] A, + input RnW, + input [15:0] D, + input nAS, + input nLDS, + input nUDS, + inout [7:0] RD, + output reg [14:0] RA, + output reg nRCS0, + output reg nRCS1, + output reg nRWE, + output reg nROE, + output reg Video, + output reg VSync, + output reg Hsync); + + /* Video RAM select (superset) */ + wire VidRAMWR = ~RnW && A[23:20]==4'h3 && A[19:16]==4'hF; + + /* Horizontal counter */ + reg [9:0] HC; + always @(posedge VCLK) begin + if (HC==671) HC<=0; + else HC <= HC+1; + end + + /* Horizontal sync */ + always @(posedge VCLK) begin + if (HC==0) HSync <= 1; // Visible earea end, back porch start + else if (HC==079) HSync <= 0; // Back porch end, sync start + else if (HC==148) HSync <= 1; // Sync end, front porch start + end + + /* Horizontal active */ + reg HActive = 0; + always @(posedge VCLK) begin + if (HC==0) HActive <= 0; // Visible area end, back porch start + else if (HC==160) HActive <= 1; // Visible area start (FIXME: off by 1?) + end + + /* Vertical counter */ + reg [9:0] VC; + always @(posedge VCLK) begin + if (VC==805) VC <= 0; + else if (HC==671) VC <= VC+1; // Or HC==0? + end + + /* Vertical sync */ + always @(posedge VCLK) begin + if (HC==0) VSync <= 1; // Back porch start + else if (HC==028) VSync <= 0; // Back porch end, sync start + else if (HC==034) VSync <= 1; // Sync end, front porch start + else if (HC==037) VSync <= 1; // Sync end, front porch start + //else if (HC==38) VSync <= 1; // Visible area start + end + + /* Vertical active */ + reg VActive = 0; + always @(posedge VCLK) begin + if (HC==0) VActive <= 0; // Visible area end, back porch start + else if (HC==160) VActive <= 1; // Visible area start (FIXME: off by 1?) + end + + /* AS/select synchronization */ + reg SELr1, SELr2; + always @(negedge VCLK) begin SELr0 <= ~nAS && VidRAMWR; end + always @(posedge VCLK) begin SELr1 <= SELr0; end + always @(posedge VCLK) begin SELr2 <= SELr1; end + + /* Write/AS Request */ + wire ASReqNow = ~SELr2 && SELr1; + reg ASReqSaved; + reg ASReqSaved; + wire ASReq = ASReqNow || ASReqSaved; + always @(posedge VCLK) begin + // FIXME: ASReqSaved + if (HC[2:0]==1 || HC[2:0]==4) ASReqSaved <= 0; + else if (ASReqNow) ASReqSaved <= 1; + end + + /* RAM data bus control */ + reg [7:0] RDout; + reg RDOE; + assign RD[7:0] = RDOE ? RDout[7:0] : RDOE; + always @(posedge VCLK) begin + RDOE <= HC[2:0]==1 || HC[2:0]==2 || HC[2:0]==3 || + HC[2:0]==4 || HC[2:0]==5; + end + /* Video state machine control */ + always @(posedge VCLK) begin + case (HC[2:0]) + 0: begin + RA[14:0] <= A[15:1]; + nRCS0 <= 1; + nRCS1 <= 1; + nRWE <= 1; + nROE <= 1; + end 1: begin + if (ASReq) begin + nRCS0 <= ~nLDS; + nRCS1 <= 1; + end else begin + nRCS0 <= 1; + nRCS1 <= 1; + end + RDout[7:0] <= D[7:0]; + nRWE <= 0; + nROE <= 1; + end 2: begin + if (~RCS0) begin + nRCS0 <= 1; + nRCS1 <= ~nUDS; + end else begin + nRCS0 <= 1; + nRCS1 <= 1; + end + RDout[7:0] <= D[15:8]; + nRWE <= 0; + nROE <= 1; + end 3: begin + if (nRCS1) RA[14:0] <= A[15:1]; + nRCS0 <= 1; + nRCS1 <= 1; + nRWE <= 0; + nROE <= 1; + end 4: begin + if (ASReq) begin + nRCS0 <= ~nLDS; + nRCS1 <= 1; + end else begin + nRCS0 <= 1; + nRCS1 <= 1; + end + RDout[7:0] <= D[7:0]; + nRWE <= 0; + nROE <= 1; + end 5: begin + if (~RCS0) begin + nRCS0 <= 1; + nRCS1 <= ~nUDS; + end else begin + nRCS0 <= 1; + nRCS1 <= 1; + end + RDout[7:0] <= D[15:8]; + nRWE <= 0; + nROE <= 1; + end 6: begin + nRCS0 <= 1; + nRCS1 <= 1; + nRWE <= 1; + nROE <= 1; + end 7: begin + RA[14:0] <= {1'b0, VC[9:1], HC[9:5]}; //FIXME: wrong address + nRCS0 <= HC[5]; //FIXME: byte ordering + nRCS1 <= ~HC[5]; + nRWE <= 1; + nROE <= 0; + end + endcase + end + + /* Video pixel output state machine */ + reg VideoShift[7:1]; + always @(posedge VCLK) begin + //FIXME: bit ordering and polarity + if (HActive && VActive) begin + if (HC[2:0]==0) Video <= RD[0]; + else Video <= VideoShift[1]; + end else Video <= 0; + end + always @(posedge VCLK) begin + //FIXME: bit ordering + if (HC[2:0]==0) VideoShift[7:1] <= RD[7:1]; + else VideoShift[6:1] <= VideoShift[7:2]; + end + +endmodule diff --git a/cpld/XC95144XL/MXSE.bld b/cpld/XC95144XL/MXSE.bld new file mode 100644 index 0000000..80e33cd --- /dev/null +++ b/cpld/XC95144XL/MXSE.bld @@ -0,0 +1,38 @@ +Release 14.7 ngdbuild P.20131013 (nt) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle +ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p +xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd + +Reading NGO file +"C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.ngc" ... +Gathering constraint information from source properties... +Done. + +Annotating constraints to design from ucf file +"C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf" ... +Resolving constraint associations... +Checking Constraint Associations... +Done... + +Checking expanded design ... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGDBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Total memory usage is 130168 kilobytes + +Writing NGD file "MXSE.ngd" ... +Total REAL time to NGDBUILD completion: 1 sec +Total CPU time to NGDBUILD completion: 1 sec + +Writing NGDBUILD log file "MXSE.bld"... diff --git a/cpld/XC95144XL/MXSE.cmd_log b/cpld/XC95144XL/MXSE.cmd_log new file mode 100644 index 0000000..1358c60 --- /dev/null +++ b/cpld/XC95144XL/MXSE.cmd_log @@ -0,0 +1,159 @@ +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +hprep6 -s IEEE1149 -n MXSE -i MXSE +-cwd "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL" timingan_cpld -intstyle ise "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.vm6" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +-cwd "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL" timingan_cpld -intstyle ise "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.vm6" +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd diff --git a/cpld/XC95144XL/MXSE.gise b/cpld/XC95144XL/MXSE.gise new file mode 100644 index 0000000..f99335a --- /dev/null +++ b/cpld/XC95144XL/MXSE.gise @@ -0,0 +1,222 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/cpld/XC95144XL/MXSE.gyd b/cpld/XC95144XL/MXSE.gyd new file mode 100644 index 0000000..915eba3 --- /dev/null +++ b/cpld/XC95144XL/MXSE.gyd @@ -0,0 +1,113 @@ +Pin Freeze File: version P.20131013 + +95144XL100 XC95144XL-10-TQ100 +A_FSB<10> S:PIN68 +A_FSB<11> S:PIN11 +A_FSB<12> S:PIN64 +A_FSB<13> S:PIN13 +A_FSB<14> S:PIN14 +A_FSB<15> S:PIN15 +A_FSB<16> S:PIN89 +A_FSB<17> S:PIN90 +A_FSB<18> S:PIN92 +A_FSB<19> S:PIN82 +A_FSB<1> S:PIN17 +A_FSB<20> S:PIN93 +A_FSB<21> S:PIN80 +A_FSB<22> S:PIN95 +A_FSB<23> S:PIN76 +A_FSB<2> S:PIN18 +A_FSB<3> S:PIN78 +A_FSB<4> S:PIN54 +A_FSB<5> S:PIN25 +A_FSB<6> S:PIN19 +A_FSB<7> S:PIN96 +A_FSB<8> S:PIN52 +A_FSB<9> S:PIN59 +CLK2X_IOB S:PIN22 +CLK_FSB S:PIN23 +CLK_IOB S:PIN27 +E_IOB S:PIN46 +nAS_FSB S:PIN73 +nBERR_IOB S:PIN56 +nDTACK_IOB S:PIN49 +nLDS_FSB S:PIN71 +nRES S:PIN99 +nUDS_FSB S:PIN20 +nVPA_IOB S:PIN28 +nWE_FSB S:PIN66 +RA<11> S:PIN58 +RA<10> S:PIN70 +RA<0> S:PIN8 +RA<1> S:PIN87 +RA<2> S:PIN65 +RA<3> S:PIN74 +RA<4> S:PIN77 +RA<5> S:PIN91 +RA<6> S:PIN67 +RA<7> S:PIN50 +RA<8> S:PIN53 +RA<9> S:PIN55 +nADoutLE0 S:PIN60 +nADoutLE1 S:PIN16 +nAS_IOB S:PIN10 +nAoutOE S:PIN72 +nBERR_FSB S:PIN63 +nCAS S:PIN79 +nDTACK_FSB S:PIN12 +nDinLE S:PIN61 +nDinOE S:PIN94 +nDoutOE S:PIN9 +nLDS_IOB S:PIN6 +nOE S:PIN81 +nRAMLWE S:PIN33 +nRAMUWE S:PIN97 +nRAS S:PIN24 +nROMCS S:PIN35 +nROMWE S:PIN85 +nUDS_IOB S:PIN7 +nVMA_IOB S:PIN29 +nVPA_FSB S:PIN86 + + +;The remaining section of the .gyd file is for documentation purposes only. +;It shows where your internal equations were placed in the last successful fit. + +PARTITION FB1_1 EXP14_ EXP15_ nDTACK_FSB_OBUF EXP16_ + EXP17_ EXP18_ +PARTITION FB1_8 EXP19_ nADoutLE1_OBUF fsb/BERR0r EXP20_ + fsb/Ready2r EXP21_ $OpTx$INV$223 EXP22_ + IORW0 IOREQ EXP23_ +PARTITION FB2_1 iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd6 iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 + iobm/IOS_FSM_FFd1 iobm/BERRrr iobm/BERRrf iobm/IOS_FSM_FFd8 + ALE0M iobm/IOS_FSM_FFd2 nLDS_IOB_OBUF nUDS_IOB_OBUF + iobm/IOS_FSM_FFd3 RA_0_OBUF nDoutOE_OBUF IOBERR + nAS_IOB_OBUF IOACT +PARTITION FB3_1 EXP24_ EXP25_ iobs/IORW1 iobm/ETACK + nRAS_OBUF iobs/PS_FSM_FFd1 iobs/IOReady BERR_IOBS + ram/RS_FSM_FFd1 ram/Once nVMA_IOB_OBUF iobs/PS_FSM_FFd2 + EXP26_ iobs/Once nRAMLWE_OBUF fsb/Ready1r + EXP27_ iobs/Load1 +PARTITION FB4_1 EXP28_ RA_1_OBUF EXP29_ ram/RS_FSM_FFd2 + EXP30_ ram/RASEL EXP31_ RA_5_OBUF + EXP32_ ram/RAMDIS1 EXP33_ nDinOE_OBUF + EXP34_ ram/RAMReady EXP35_ EXP36_ + nRAMUWE_OBUF ram/RAMDIS2 +PARTITION FB5_2 nROMCS_OBUF +PARTITION FB5_16 iobm/VPArr iobm/Er cnt/RefCnt<0> +PARTITION FB6_1 ram/BACTr RA_3_OBUF iobs/IOACTr fsb/ASrf + cnt/RefCnt<3> RA_4_OBUF cnt/RefCnt<2> cnt/RefCnt<1> + nCAS_OBUF RefAck ALE0S nOE_OBUF + iobs/IOU1 iobs/IOL1 nROMWE_OBUF IOU0 + nVPA_FSB_OBUF IOL0 +PARTITION FB7_1 iobm/VPArf RA_7_OBUF iobm/RESrr iobm/RESrf + iobm/IOREQr RA_8_OBUF iobm/Er2 iobm/DTACKrr + RA_9_OBUF iobm/DTACKrf iobm/ES<3> A_FSB_19_IBUF$BUF0 + iobm/ES<1> iobm/ES<0> nADoutLE0_OBUF iobm/ES<4> + nDinLE_OBUF iobm/ES<2> +PARTITION FB8_1 fsb/VPA nBERR_FSB_OBUF cnt/RefCnt<6> cnt/RefCnt<5> + cnt/RefCnt<4> RA_2_OBUF fsb/BERR1r cs/nOverlay1 + RA_6_OBUF cs/nOverlay0 cnt/RefDone A_FSB_21_IBUF$BUF0 + fsb/Ready0r TimeoutB nAoutOE_OBUF ram/RS_FSM_FFd3 + TimeoutA cnt/RefCnt<7> + diff --git a/cpld/XC95144XL/MXSE.jed b/cpld/XC95144XL/MXSE.jed new file mode 100644 index 0000000..d2a0ba7 --- /dev/null +++ b/cpld/XC95144XL/MXSE.jed @@ -0,0 +1,1714 @@ +Programmer Jedec Bit Map +Date Extracted: Sun Oct 24 06:31:45 2021 + +QF93312* +QP100* +QV0* +F0* +X0* +J0 0* +N VERSION P.20131013* +N DEVICE XC95144XL-10-TQ100* +N PPMAP 2 1* +N PPMAP 17 10* +N PPMAP 18 11* +N PPMAP 19 12* +N PPMAP 21 13* +N PPMAP 22 14* +N PPMAP 23 15* +N PPMAP 24 16* +N PPMAP 26 17* +N PPMAP 28 18* +N PPMAP 29 19* +N PPMAP 4 2* +N PPMAP 30 20* +N PPMAP 33 22* +N PPMAP 35 23* +N PPMAP 36 24* +N PPMAP 37 25* +N PPMAP 42 27* +N PPMAP 44 28* +N PPMAP 47 29* +N PPMAP 6 3* +N PPMAP 49 30* +N PPMAP 54 32* +N PPMAP 56 33* +N PPMAP 57 34* +N PPMAP 58 35* +N PPMAP 59 36* +N PPMAP 60 37* +N PPMAP 62 39* +N PPMAP 8 4* +N PPMAP 63 40* +N PPMAP 64 41* +N PPMAP 68 42* +N PPMAP 69 43* +N PPMAP 72 46* +N PPMAP 77 49* +N PPMAP 79 50* +N PPMAP 82 52* +N PPMAP 86 53* +N PPMAP 88 54* +N PPMAP 90 55* +N PPMAP 92 56* +N PPMAP 95 58* +N PPMAP 96 59* +N PPMAP 11 6* +N PPMAP 97 60* +N PPMAP 98 61* +N PPMAP 102 63* +N PPMAP 103 64* +N PPMAP 104 65* +N PPMAP 105 66* +N PPMAP 107 67* +N PPMAP 109 68* +N PPMAP 12 7* +N PPMAP 112 70* +N PPMAP 114 71* +N PPMAP 116 72* +N PPMAP 117 73* +N PPMAP 118 74* +N PPMAP 123 76* +N PPMAP 125 77* +N PPMAP 127 78* +N PPMAP 130 79* +N PPMAP 13 8* +N PPMAP 134 80* +N PPMAP 135 81* +N PPMAP 136 82* +N PPMAP 139 85* +N PPMAP 140 86* +N PPMAP 141 87* +N PPMAP 143 89* +N PPMAP 15 9* +N PPMAP 144 90* +N PPMAP 145 91* +N PPMAP 146 92* +N PPMAP 147 93* +N PPMAP 149 94* +N PPMAP 153 95* +N PPMAP 155 96* +N PPMAP 157 97* +N PPMAP 160 99* +L0000000 00000000 10000000 00000000 00100000 00000000 00000000 01000000 00000000* +L0000064 00000000 10000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0000128 00000000 10000000 00000000 00101000 00000000 00000000 00000000 00000000* +L0000192 00000000 10000000 00000000 00011000 00000000 00000000 00000000 00000000* +L0000256 00000000 10000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0000320 00000000 00000100 00000000 00001000 00000000 00000000 00000000 00000000* +L0000384 00100000 00000000 00000000 00011000 00000000 00000000 00000000 00000000* +L0000448 00000000 00010000 00000000 01001000 00000000 00000000 00000000 00000000* +L0000512 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* +L0000576 000000 000000 000000 010000 000000 000000 000000 000000* +L0000624 000000 001001 000000 001000 000000 000000 100000 000000* +L0000672 000000 001011 000000 001000 000000 000000 000000 000000* +L0000720 000000 000101 000000 011000 000000 000000 000000 000000* +L0000768 000000 000001 000000 011010 000000 000000 000000 000000* +L0000816 000000 000001 000000 001010 000000 000000 000000 000000* +L0000864 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000928 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000992 10001000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0001056 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001120 10001000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0001184 00000000 10000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0001248 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001312 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001376 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001440 100011 000000 000000 000000 000000 000000 000000 000000* +L0001488 100000 000000 000000 100000 000000 000000 000000 010000* +L0001536 100100 000000 000000 100000 000000 000000 000000 000000* +L0001584 100100 000000 000000 000000 000000 000000 000000 000000* +L0001632 100100 000000 000000 000000 000000 000000 000000 000000* +L0001680 100100 000000 000000 000000 000000 000000 000000 000000* +L0001728 00000010 10000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001792 00000010 10000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001856 00000010 10000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001920 00000010 10000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001984 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002048 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0002112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002176 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002304 000000 000000 000000 000000 000000 000000 000000 000000* +L0002352 000000 001001 000000 000000 000000 000000 000000 000000* +L0002400 000000 001011 000000 000000 000000 000000 000000 000000* +L0002448 000000 000101 000000 000000 000000 000000 000000 000000* +L0002496 000000 000001 000000 000000 000000 000000 000000 000000* +L0002544 000000 000001 000000 000000 000000 000000 000000 000000* +L0002592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003168 000000 000000 000000 000000 000000 000000 000000 000000* +L0003216 000000 100000 000000 000000 000000 000000 000000 000000* +L0003264 000000 000000 000000 000000 000000 000000 000000 000000* +L0003312 000000 000000 000000 000000 000000 000000 000000 000000* +L0003360 000000 000000 000000 000000 000000 000000 000000 000000* +L0003408 000000 000000 000000 000000 000000 000000 000000 000000* +L0003456 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003520 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003584 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003648 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0003712 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003776 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0003840 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00011100* +L0003904 00000000 00010000 10000000 00000000 00000000 00000000 00000000 00001100* +L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004032 000000 000000 000000 000000 000000 000000 000000 000000* +L0004080 000000 001001 000000 000000 000000 000000 000000 000000* +L0004128 000000 001111 000000 000000 000000 000000 000000 000000* +L0004176 000000 000101 000000 000000 000000 000000 000000 000000* +L0004224 000000 000001 000000 000000 000000 000000 000000 000000* +L0004272 000000 000001 100000 000000 000000 000000 000000 000000* +L0004320 01000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004384 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004448 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004512 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004576 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004640 11000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0004704 11000000 00000000 10000000 00100000 00000000 00000000 00000000 00000000* +L0004768 11000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004832 11000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0004896 110000 000000 000000 000000 000000 000000 000000 000001* +L0004944 100000 000000 000000 000000 000000 000000 000000 000001* +L0004992 100000 000000 000000 000000 000000 000000 000000 000000* +L0005040 100000 000000 000000 000000 000000 000000 000000 000000* +L0005088 100000 000000 000000 000000 000000 000000 000000 000000* +L0005136 100000 000000 000000 000000 000000 000000 000000 000000* +L0005184 00000010 10001000 00000000 00100000 00000000 10000000 00000000 00000000* +L0005248 00000000 10001000 00010000 00100000 00000000 00000000 00000000 00000000* +L0005312 00000011 10001000 00010000 00110000 00000000 00000000 00000000 00000100* +L0005376 00000010 10001000 00000000 01100000 00000000 00000000 00000000 00000000* +L0005440 00000010 10001000 00000000 01000000 00000000 00000000 00000000 00000000* +L0005504 00010010 00000100 00000000 01000000 00000000 00000000 00000000 00000000* +L0005568 00000001 00010000 00000000 01000100 00000000 00000000 00000000 00011100* +L0005632 00000000 00010000 00000000 01100100 00000000 00000000 00000000 00001100* +L0005696 00000000 00000000 00000000 01100000 00000000 00000000 00000000 00000000* +L0005760 000000 000000 001000 011000 000000 000000 000000 000000* +L0005808 010100 001001 001000 010000 000000 000000 000000 000000* +L0005856 000000 001111 001000 000010 000000 000000 000000 000000* +L0005904 000000 000101 001000 010000 000000 000000 000000 000000* +L0005952 000000 000001 001000 010001 000000 000000 000000 000000* +L0006000 001000 000001 001000 010001 000000 000000 000000 000000* +L0006048 10000010 00000000 00000000 01010000 00000000 00000000 00000000 00000000* +L0006112 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006176 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006240 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006304 10000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006432 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006496 00000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006560 00000000 00000000 00100000 00010000 00000000 00000000 00000000 00000100* +L0006624 000001 000000 000000 100000 000000 000000 000000 000001* +L0006672 000010 000000 000000 000010 000000 000000 000000 100001* +L0006720 000000 000000 000000 010001 000000 000000 000000 000000* +L0006768 000000 000000 000000 000001 000000 000000 000000 000000* +L0006816 000011 000000 000000 000000 000000 000000 000000 000000* +L0006864 000010 000000 000000 000000 000000 000000 000000 000000* +L0006912 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006976 01000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0007040 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* +L0007104 10001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0007168 11001000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0007232 00000000 00100000 00000000 00000100 00000000 00000000 00000000 00000000* +L0007296 00000000 00100000 01000000 00000000 00000000 00000000 00000000 00011100* +L0007360 10101000 00000000 00000100 00000000 00000000 00000000 00000000 00001100* +L0007424 00100100 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0007488 000001 000000 100000 000000 000000 000000 000000 000000* +L0007536 100000 000000 001000 000000 000000 000000 000000 000000* +L0007584 000000 000000 001010 000000 000000 000000 000000 000000* +L0007632 000000 000000 000000 000000 000000 000000 000000 000000* +L0007680 100100 000000 000100 000000 000000 000000 000000 000000* +L0007728 100100 000000 000100 000000 000000 000000 000000 000000* +L0007776 10000000 00000000 00001000 00000000 00000000 00000000 00000000 01000000* +L0007840 10011000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0007904 10001000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0007968 01000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0008032 00010000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0008096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0008160 00001100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0008224 00000100 00000000 01010000 00000000 00000000 00000000 00000000 00000000* +L0008288 10001000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* +L0008352 100010 000000 001110 000000 000000 000000 000000 000000* +L0008400 000000 000000 000000 000000 000000 000000 000000 100001* +L0008448 110110 000000 000000 000000 000000 000000 000000 000000* +L0008496 110110 000000 001000 000000 000000 000000 000000 000000* +L0008544 010010 000000 001000 000000 000000 000000 000000 000000* +L0008592 010011 000000 001000 000000 000000 000000 000000 000000* +L0008640 00000000 00000000 00001000 10000000 00000000 00000000 00000000 00000000* +L0008704 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0008768 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0008896 00001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0008960 00000000 00000000 00000000 00100000 00000000 00010000 10000000 00000000* +L0009024 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* +L0009088 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* +L0009152 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* +L0009216 000000 000000 000110 000000 000000 000000 000000 000000* +L0009264 000000 000000 000100 000000 000000 000000 000000 011000* +L0009312 000000 000000 000110 000000 000000 000000 011000 000000* +L0009360 100100 000000 000100 000000 000000 000000 000000 000000* +L0009408 000000 000000 100101 000000 000000 000001 000000 000000* +L0009456 100100 000000 000100 000000 000000 000000 000000 000000* +L0009504 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009568 00001000 00010000 00000000 10000000 00000000 00000000 00000000 00000000* +L0009632 00000000 00001100 00000000 00000000 00000000 00000000 00000000 00000000* +L0009696 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009824 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0009888 00000000 00000000 00000000 00100000 00000000 00000000 10000000 00000000* +L0009952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0010016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0010080 000000 000000 000000 000000 000000 000000 000000 000000* +L0010128 000000 000000 000000 000000 000000 000000 011000 000000* +L0010176 100100 000000 010000 000000 000000 000000 000000 011000* +L0010224 000000 000010 000000 000000 000000 000000 000000 000000* +L0010272 100100 000000 000000 000000 000000 000000 000000 000000* +L0010320 000000 000001 000000 000000 000000 000000 000000 000000* +L0010368 00001001 00000001 00000001 00000001 00000000 00000011 00000011 00000011* +L0010432 00000000 00000011 00000100 00000000 00000010 00000011 00000011 00000001* +L0010496 00000011 00000011 00100111 00000001 00000000 00000011 00000111 00000011* +L0010560 01000000 00000011 00100100 00000010 00000000 00000011 00000011 00000011* +L0010624 00000001 00000011 00000111 00000001 00000000 00000011 00000011 00000011* +L0010688 00001000 00000011 00000011 00000010 00000000 00000011 00000011 00000010* +L0010752 00001001 00000011 00000011 00000000 00000001 00000011 00000011 00011111* +L0010816 00000001 00000011 00000010 00000011 00000001 00000011 00011011 00001111* +L0010880 01000010 00000011 00000011 00000001 00000000 00000011 00000011 00000011* +L0010944 100010 000000 000000 000000 000000 000000 000000 000000* +L0010992 100000 000000 000000 000000 000000 000000 000000 000000* +L0011040 000000 000000 000000 000000 000000 000000 000000 000000* +L0011088 000000 000000 000000 000000 000000 000000 000000 000000* +L0011136 000000 000000 000000 000000 000000 000000 000000 000000* +L0011184 000000 000000 000000 000000 000000 000000 000000 000000* +L0011232 00000010 10000010 00100010 00000010 00000000 00000000 00000100 01000000* +L0011296 00000011 00010000 00100011 00000011 00000000 00000000 00000000 00000010* +L0011360 00000000 00001100 00000000 00000010 00000000 00000000 00001000 00000000* +L0011424 00000011 00000000 00000011 00000001 00000000 00000000 00000000 00000000* +L0011488 00000010 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0011552 00000011 00000000 00000000 00000001 00000000 00000000 00011000 01000001* +L0011616 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000000* +L0011680 00000010 00000000 00000001 00000000 00000000 00000000 00000000 00000000* +L0011744 00000001 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0011808 000000 000000 000000 000000 000000 000000 000000 000000* +L0011856 000000 000000 000000 000000 000000 000000 000000 100001* +L0011904 000000 000000 000000 000000 000000 000000 000000 000000* +L0011952 010000 000010 000000 000000 000000 000000 000001 000000* +L0012000 000000 000000 000000 000000 000000 000000 000000 000000* +L0012048 010000 000001 000000 000000 000000 000000 000000 000000* +L0012096 00000001 10000001 00000001 00000001 00000000 00000000 00000000 00000011* +L0012160 10001000 00000001 00000000 00100000 00000010 00000010 00000011 00000001* +L0012224 10001011 10000001 00000011 00100001 00000000 00000000 00000000 00000000* +L0012288 00000000 00000001 00000000 00001010 00000000 00000000 00000001 00000001* +L0012352 00000001 10000001 00100011 00001001 00000000 00000000 00000001 00000001* +L0012416 00000000 00100001 00000010 00000010 00000000 00000010 00000010 00000010* +L0012480 00000101 00000001 00000011 00011100 00000000 00000001 00000001 00000011* +L0012544 00000100 00000010 00100010 10101110 00000000 00000000 00000000 00000001* +L0012608 10101010 00000011 00000011 10101001 00000000 00000001 00000011 00000010* +L0012672 100010 000000 000000 001010 000000 000000 000000 000000* +L0012720 000000 000000 000000 000000 000000 000000 000000 000000* +L0012768 100100 001001 000000 000000 000000 000000 000000 000000* +L0012816 100100 000000 000000 000000 000000 000000 000000 000000* +L0012864 000000 000001 000000 000000 000000 000000 000000 000000* +L0012912 000000 000000 000000 001100 000000 000000 000000 000000* +L0012960 00001010 00000010 00000010 00101010 00000000 00100000 00000000 00000000* +L0013024 00000010 00000010 00000011 00001011 00000000 00000000 00000000 00000010* +L0013088 00000000 00000000 00000000 00001010 00000000 00000000 00000000 00000010* +L0013152 10001011 00000000 00000011 00000001 00000000 00000000 00000000 00000000* +L0013216 11011010 00000000 00000000 01000010 00000000 00000000 00000000 00000000* +L0013280 00000011 00000000 00100001 11001001 00000000 01000000 00000000 00000001* +L0013344 00000000 10000000 00100000 11000010 00000000 00000000 00000000 00000000* +L0013408 00001011 00000001 00000001 00000001 00000000 00000000 00000000 00000000* +L0013472 00000101 00000000 00000000 00000010 00000000 00000000 00000000 00000001* +L0013536 000001 000000 000000 010000 000000 000000 000000 000000* +L0013584 100000 000000 000000 000000 000000 000000 000100 000000* +L0013632 000000 000000 000000 000000 000000 000000 001000 010000* +L0013680 000000 000000 000000 001000 000000 000000 000000 000000* +L0013728 100100 000000 000000 001101 000000 000000 000000 000000* +L0013776 100100 000000 000000 000001 000000 000000 000000 000000* +L0013824 01000000 00000001 00000001 00000001 00000000 00000000 00000000 00000110* +L0013888 00000000 00000001 00000000 00000000 00000000 00000100 00000001 00000100* +L0013952 00000011 00000001 00000011 00000000 00000000 00000000 00000000 00000100* +L0014016 00000000 00000001 00000000 00000010 00000000 00000000 00000001 00000101* +L0014080 00000001 00000000 00000111 00000001 00000000 00000000 00000001 00000101* +L0014144 00000000 00000000 00000000 00000010 10000000 00000000 00000000 00000000* +L0014208 00000001 00000001 00000011 00000000 10000000 00000000 00000001 00000001* +L0014272 01000000 00000000 00000010 00000000 00000000 00000000 00000000 00000001* +L0014336 00000010 00000001 00000011 00000001 00000000 00000000 00000001 00000000* +L0014400 000000 000000 000000 000000 000000 000000 000000 000000* +L0014448 000000 000000 000100 000000 000000 000000 000010 000010* +L0014496 001000 000000 100001 000000 000000 000001 000000 000010* +L0014544 000000 000000 000000 000000 000000 000000 000000 000010* +L0014592 000000 000000 000000 000000 000000 000000 000000 000010* +L0014640 000000 000000 000000 000000 000000 000000 000000 000000* +L0014688 10001011 00000010 00000010 00000000 00000000 00000100 00000000 00000000* +L0014752 10011010 00000000 00100011 00000011 00000000 00000000 00000000 00000010* +L0014816 10001000 00000000 00101000 00000011 00000000 00000000 00000000 00000010* +L0014880 11001011 00000000 00101011 00000001 00000000 00000000 00000000 00000000* +L0014944 10001010 00000000 00100000 00000010 00000000 00000000 00000000 00000000* +L0015008 00000011 00000001 00000000 00000001 00000000 00000000 00000000 00000001* +L0015072 00001100 00000000 00100000 00000010 00000000 00000000 00000000 00000000* +L0015136 00000111 00000000 00100001 00000011 00000000 00000000 00000000 00000000* +L0015200 00000101 00000000 00000000 00000010 00000000 00000000 00000000 00000101* +L0015264 100011 000000 000000 000000 000000 000000 000000 000001* +L0015312 100000 000000 000000 000000 000000 000001 000000 000000* +L0015360 110110 000000 000000 000100 000000 000000 000000 000000* +L0015408 110110 000000 000000 000000 000000 000000 000000 000000* +L0015456 110110 000000 000000 000000 000000 000000 000000 000000* +L0015504 110111 000000 000000 000000 000000 000000 000000 000000* +L0015552 00010000 00000001 00000000 00001001 00000000 00000000 10000000 00000010* +L0015616 00010000 00000000 00010000 00001000 00000000 00000000 00000000 00000000* +L0015680 00000011 00000000 00010011 00000000 00000000 00000000 00000000 00000000* +L0015744 00000000 00000001 00000000 00000000 00000000 00000001 00000000 00000000* +L0015808 00000001 00000000 00000001 00001001 00000000 00000001 00000000 00000000* +L0015872 00000000 00000000 00000000 01000010 00000000 00000000 00000000 00010000* +L0015936 00000001 00000001 00000011 01000000 00000000 00000001 00000001 00000001* +L0016000 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000010* +L0016064 00000010 00000001 00000001 00100001 00000000 00000001 00000001 00000000* +L0016128 000000 000000 001000 000010 000000 000000 000000 000000* +L0016176 000000 000000 001000 000000 000000 000000 000000 000000* +L0016224 000000 000010 001000 000000 000000 000000 000000 000000* +L0016272 000000 000000 001000 000000 000000 000000 000000 000000* +L0016320 010000 000000 001000 000000 000000 000000 000000 000000* +L0016368 010000 000000 001000 000000 000000 000000 000000 000000* +L0016416 00000011 00000010 00010001 00000000 00000000 00000000 00000000 00000000* +L0016480 00000010 00000000 00000011 00000011 00000000 00000000 00000000 00000010* +L0016544 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000010* +L0016608 00000011 00000000 00000011 00000011 00000000 00000001 00000000 00000000* +L0016672 00000010 00000000 00000010 00000010 00000000 00000001 00000000 00000000* +L0016736 01000011 01000001 00000000 00000001 00000000 00000000 00000000 00000001* +L0016800 01000000 00000000 00000000 00000110 00000000 00000001 00000000 00000000* +L0016864 00000011 00000000 00000011 00010110 00000000 00000000 00000000 00000011* +L0016928 00000001 00000000 00000010 00000010 00000000 00000001 00000000 00000001* +L0016992 000000 000000 000000 000000 000000 000000 000000 000000* +L0017040 000000 000000 000000 000000 000000 000000 000000 000000* +L0017088 000000 000000 000000 000001 000000 000000 000000 000000* +L0017136 000000 000000 000000 000001 000000 000000 000000 000000* +L0017184 000000 000000 000000 000001 000000 000000 000000 000000* +L0017232 000000 000000 000000 000001 000000 000000 000000 000000* +L0017280 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000010* +L0017344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017408 00000011 00000000 00000011 00000000 00000000 00000000 00000000 00000000* +L0017472 00000000 00000001 00010000 00000000 00000000 00000000 00000000 00000000* +L0017536 00000001 00000000 00000001 00000001 00000000 00000000 00000000 00000000* +L0017600 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00010000* +L0017664 00000001 00000001 00000001 00000000 00000000 00000000 00000000 00000001* +L0017728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017792 00000010 00000001 01000001 00000001 00000000 00000000 00000001 00000100* +L0017856 000000 000000 000000 000000 000000 000000 000000 000001* +L0017904 000000 000000 000000 000000 000000 000000 000010 000000* +L0017952 000000 000000 000000 000000 000000 000000 000000 000000* +L0018000 000000 000000 000000 000000 000000 000000 000000 000000* +L0018048 000000 000000 000000 000000 000000 000000 000000 000000* +L0018096 000000 000000 000000 000000 000000 000000 000000 000000* +L0018144 00000011 00000010 00000001 00000000 00000000 00000000 00000000 00000000* +L0018208 00000010 00000000 00000011 00000011 00000000 00000000 00000000 00000110* +L0018272 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000010* +L0018336 00000011 00000000 00000011 00000011 00000000 00000000 00000000 00000000* +L0018400 00000010 00000000 00010000 00000010 00000000 00000000 00000000 00000000* +L0018464 00000011 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0018528 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000000* +L0018592 00000011 00000000 00000011 00000010 00000000 00000000 00000000 00000001* +L0018656 00000001 00000000 00000010 00000010 00000000 00000000 00000000 00000001* +L0018720 000000 000000 000000 000000 000000 000000 000000 000000* +L0018768 000000 000000 000000 000000 000000 000000 000000 000000* +L0018816 000000 000000 000000 000000 000000 000000 000000 000000* +L0018864 000000 000000 000000 000000 000000 000000 000000 000000* +L0018912 000000 000000 000000 000000 000000 000000 000000 000000* +L0018960 000000 000000 000000 000000 000000 000000 000000 000000* +L0019008 00000001 00000000 00001000 00000000 00000000 00000010 00000000 00010000* +L0019072 00001000 00000000 00101000 00000000 00000010 00000000 00000000 00010001* +L0019136 00001001 00000000 00100010 00000000 00000000 00000001 00000000 00000000* +L0019200 00001000 00000001 00100000 00000010 00000000 00000000 00000001 00000001* +L0019264 00001000 00000000 00101010 00000001 00000000 00000000 00000001 10000000* +L0019328 00000000 00000000 00000001 00000000 10000000 00000001 00000000 10000000* +L0019392 00000000 00000000 00111001 00000000 10000000 00000000 00000000 00000011* +L0019456 00000000 00000010 00111000 00000001 00000000 00000001 00000001 00000000* +L0019520 00000000 00000011 00011001 00000000 00000001 00000000 00000001 00000000* +L0019584 000000 000000 000110 000000 000000 000000 000000 000000* +L0019632 000000 000000 000100 000000 000000 000000 000000 000010* +L0019680 100100 000000 000110 000000 000000 000000 000000 000000* +L0019728 100100 000000 000100 000000 000000 000000 000000 000000* +L0019776 100100 000000 100101 000000 000000 000000 000000 000000* +L0019824 100100 000000 000100 000000 000000 000000 000000 100001* +L0019872 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000010* +L0019936 00000000 00000000 00000001 00000010 00000000 00000000 00000000 00000000* +L0020000 00000010 00000000 00000011 00000000 00000000 00000000 00000000 00001000* +L0020064 00000000 00000000 00000000 00000010 00000000 00000100 00000000 00000000* +L0020128 00000001 00000000 00000001 00000001 00000000 00000000 00000000 00000000* +L0020192 00000000 00000000 00000000 00000010 00000000 00010000 00000000 00000000* +L0020256 00000001 00000001 00000000 00000000 00000000 00000000 00000000 10000001* +L0020320 00000000 00000000 00000010 00000000 00000000 00000000 00000000 10000000* +L0020384 00000010 00000000 00000011 00000001 00000000 00000000 00000000 10000000* +L0020448 000000 000000 000000 000000 000000 000000 000000 100000* +L0020496 000000 000000 010000 000000 000000 000000 000000 000100* +L0020544 000000 000000 000000 000000 000000 000000 000000 000000* +L0020592 000000 000000 000000 000000 000000 000000 000000 000000* +L0020640 000000 000000 000000 000000 000000 000001 000000 000000* +L0020688 000000 000000 000000 000000 000000 000000 000000 000000* +L0020736 00000001 00001010 00000000 00000001 00000000 00000000 00000000 00000010* +L0020800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0020864 00000010 00000000 00000010 00000000 00000000 00000000 00000000 00000000* +L0020928 00000000 00000000 00010010 00000000 00000000 00000000 00000000 00000000* +L0020992 01000000 00000000 00000001 00000001 00000000 00000000 00000000 00000000* +L0021056 00000000 00000100 00000000 00000010 00000000 00000000 00000000 00000000* +L0021120 00000001 00010001 00000000 00000000 00000000 00000000 00000000 00000001* +L0021184 00001001 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0021248 10001010 00000001 01000001 00000000 00000000 00000000 00000000 00000000* +L0021312 000000 000000 000000 000000 000000 000000 000000 000000* +L0021360 000000 001001 000000 000000 000000 000000 000000 000000* +L0021408 000000 001111 000000 000000 000000 000000 000000 000000* +L0021456 000000 000100 000000 000000 000000 000000 000000 000000* +L0021504 000000 000000 000000 000000 000000 000000 000000 000000* +L0021552 000000 000000 000000 000000 000000 000000 000000 000000* +L0021600 00000011 00010110 00000010 00000000 00000000 00000000 00000000 00000000* +L0021664 00000001 00010110 00000000 00000001 00000000 00000100 00000000 00000010* +L0021728 00000000 00010100 00000000 00000001 00000000 00000000 00000000 00000010* +L0021792 00000010 00010100 00000010 00000000 00000000 00000000 00000000 00000000* +L0021856 00000010 00000100 00010010 00000000 00000000 00000000 00000000 00000000* +L0021920 00000010 00000000 00000001 00000001 00000100 00000000 00000000 00000000* +L0021984 00000000 00000100 00000000 00000011 00000000 00000000 00000000 00000000* +L0022048 00000001 00000001 00000000 00000010 00000000 00000000 00000000 00000001* +L0022112 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0022176 000000 000000 000000 000000 000000 000000 000000 000000* +L0022224 000000 000000 000000 000000 000000 000000 000000 000000* +L0022272 000000 000000 000000 000000 000000 000000 000000 000000* +L0022320 000000 000010 000000 000000 000000 000000 000000 000000* +L0022368 000000 000010 000000 000000 000000 000000 000000 000000* +L0022416 000000 000000 000000 000000 000000 000000 000000 000000* +L0022464 00000001 00000011 00001001 00000001 00000000 00000011 00000011 00000011* +L0022528 00000000 00000011 00001001 00000010 00000010 00000011 00001011 10000011* +L0022592 00000011 00000011 00001011 00000001 00000000 00000011 00000011 10000011* +L0022656 00000000 00000011 00001010 00000010 00000000 00000011 00000011 10000011* +L0022720 01000001 00000011 00001011 00000001 00000000 00000011 00000011 10000011* +L0022784 00000000 00000011 00000011 00000110 00000000 00000011 00000011 10000011* +L0022848 00000001 00000011 00011011 00000000 00000001 00000011 00001011 10000011* +L0022912 00001001 00000011 00011010 00000011 00000001 00000011 00001011 10000011* +L0022976 10001010 00000011 00011011 00000001 00000001 00000011 00000011 10000011* +L0023040 000000 000000 000110 000000 000000 000000 000000 100000* +L0023088 000000 000000 000000 000000 000000 000000 000001 000000* +L0023136 000000 000000 000110 000000 000000 000000 000000 000001* +L0023184 000000 000000 000100 000000 000000 000000 000000 000001* +L0023232 000000 000000 000100 000000 000000 000000 000000 000001* +L0023280 000000 000000 000100 000000 000000 000000 000000 000001* +L0023328 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0023392 00000000 00000000 00100000 00000000 00000000 00000000 00000100 00000000* +L0023456 00000000 00000000 00100000 00000000 00000000 00000000 00000100 00000000* +L0023520 00000000 00000000 00100000 00000000 00000000 00000000 00000100 00000000* +L0023584 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0023648 00000000 00000000 00001000 00000000 00000000 00000000 00001000 00000000* +L0023712 00000000 00001000 00100000 00000000 00000000 00000000 00010000 00000000* +L0023776 00000000 00000000 00100000 00000000 00000000 00000000 00010000 00000000* +L0023840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0023904 000000 000000 000000 000000 000000 000000 000000 000000* +L0023952 000000 000000 100001 000100 000000 000000 000000 000000* +L0024000 000000 000000 000000 000100 000000 000001 000000 000000* +L0024048 000000 000000 000000 000000 000000 000000 000000 000000* +L0024096 000000 000000 000000 000000 000000 000000 000001 000000* +L0024144 000000 000000 000000 000000 000000 000000 000000 000000* +L0024192 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024256 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024576 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024768 000000 000000 000000 000000 000000 000000 000000 000000* +L0024816 000000 010000 000000 000000 000000 000000 000000 000000* +L0024864 000000 000000 000000 000000 000000 000000 000000 000000* +L0024912 000000 000010 000000 000000 000000 000000 000000 000000* +L0024960 000000 000010 000000 000000 000000 000000 000000 000000* +L0025008 000000 000000 000000 000000 000000 000000 000000 000000* +L0025056 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0025120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025184 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0025248 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0025312 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0025376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025632 000000 000000 000000 000000 000000 000000 000000 000000* +L0025680 000000 000000 000000 000000 000000 000000 000000 000000* +L0025728 000000 000000 000000 000000 000000 000000 000000 000000* +L0025776 000000 000000 000000 000000 000000 000000 000000 000000* +L0025824 000000 000000 000000 000000 000000 000000 000000 000000* +L0025872 000000 000000 000000 000000 000000 000000 000000 000000* +L0025920 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000100* +L0025984 00000100 00000001 00000001 00001010 00000010 00000010 00000010 00000110* +L0026048 00000110 00000001 00100000 00000001 00000000 00000001 00000001 00000101* +L0026112 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* +L0026176 00000000 00000001 00000010 00001000 00000000 00000000 00000000 00000100* +L0026240 00000000 00000001 00000001 11000000 00000000 00000011 00000011 00000011* +L0026304 00000000 00000000 00100000 11000100 00000000 00000000 00001000 00000000* +L0026368 00000000 00000001 00000000 10100111 00000000 00000001 00001001 00000000* +L0026432 00000010 00000000 00000000 10100000 00000000 00000010 00000010 00000010* +L0026496 000000 000000 000000 000010 000000 000000 000000 000000* +L0026544 000010 000100 000000 000000 000000 000000 000000 000010* +L0026592 000010 000000 000000 000000 000000 000000 000000 000010* +L0026640 000000 000000 000000 000000 000000 000000 000000 000010* +L0026688 000010 000000 000000 000001 000000 000000 000000 000010* +L0026736 000000 000000 000000 000001 000000 000000 000000 000000* +L0026784 00000100 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0026848 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0026912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026976 00000100 00000000 00000000 00010000 00000000 00000000 00000100 00000000* +L0027040 00000100 00000000 00100000 00010000 00000000 00000000 00000000 00000000* +L0027104 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0027168 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027232 00000100 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0027296 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0027360 000001 000000 000000 000000 000000 000000 000000 000001* +L0027408 000000 000000 000000 000100 000000 000000 000000 000000* +L0027456 000000 000000 000000 000000 000000 000000 000000 000000* +L0027504 000010 000000 000000 010000 000000 000000 000000 000000* +L0027552 000000 000000 000000 010010 000000 000000 000000 000000* +L0027600 000010 000000 000000 010010 000000 000000 000001 000000* +L0027648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027712 00001000 00000000 00000000 00000010 00000010 00000010 00000010 00000010* +L0027776 00001000 00000000 00000000 00000001 00000000 00000001 00000001 00000001* +L0027840 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027904 00001001 00000001 00000010 00000000 00000000 00000000 00000000 00000000* +L0027968 00000000 00000000 00000001 00000000 00000000 00000011 00000011 00000011* +L0028032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028096 00000000 00000000 00000000 00000011 00000000 00000001 00000000 00000000* +L0028160 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000010* +L0028224 000000 000000 000000 000000 000000 000000 000000 000000* +L0028272 000000 000000 000000 000000 000000 000000 000000 000000* +L0028320 100100 000000 000000 000000 000000 000000 000000 000000* +L0028368 100100 000000 000000 000000 000000 000000 000000 000000* +L0028416 100100 000000 000000 000000 000000 000000 000000 000000* +L0028464 100100 000000 000000 000000 000000 000000 000000 000000* +L0028512 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0028576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028832 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0028896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028960 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0029024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0029088 000000 000000 000000 000000 000000 000000 000000 000000* +L0029136 000000 000000 000000 000000 000000 000000 000000 000000* +L0029184 000000 000000 000000 000000 000000 010000 000000 000000* +L0029232 000000 000000 000000 000000 000000 000000 000000 000000* +L0029280 000000 000000 000000 000000 000000 000000 000000 000000* +L0029328 000000 000000 000000 000000 000000 000000 000000 000000* +L0029376 00000000 00000011 00000000 00000000 00000000 00000000 00000011 00000000* +L0029440 00000000 00000011 00000001 00000000 00000000 00000000 00000001 00000000* +L0029504 00000000 00000011 00000000 00000000 00000000 00000000 00000010 00000000* +L0029568 00000000 00000011 00000010 00000000 00000000 00000000 00000111 00000000* +L0029632 00000000 00000010 00000000 00000000 00000000 00000000 00000011 00000000* +L0029696 00000000 00010011 00000000 00000100 00000000 00000000 00000000 00000000* +L0029760 00000000 00000011 00000000 00000100 00000001 00000000 00001011 00011100* +L0029824 00000000 00000011 00000000 00000100 00000000 00000000 00001011 00001100* +L0029888 00000000 00000011 00000000 00000000 00000000 00000000 00000001 00000000* +L0029952 000000 000000 000000 000000 000000 000000 000000 000000* +L0030000 000000 000000 000000 000000 000000 000000 000001 000000* +L0030048 000000 000000 000000 000001 000000 000000 000001 000000* +L0030096 000000 000000 000000 000001 000000 000000 000000 000000* +L0030144 000000 000000 000000 000001 000000 000000 000000 000000* +L0030192 000000 000000 000000 000001 000000 000000 000001 000000* +L0030240 00000000 00000000 00000000 00000000 00000000 00000000 00000011 01000000* +L0030304 00000000 00000001 00000000 00000000 00000000 00000000 00000100 00000000* +L0030368 00000000 00000001 00000000 00000000 00000000 00000000 00000100 00000000* +L0030432 00000000 00000000 00000000 00000000 00000000 00001010 00000010 00000000* +L0030496 00000000 00000000 00010000 00000000 00000000 00000000 00000010 00000000* +L0030560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0030624 00000000 00000010 00000000 00000000 00000000 00000000 00010000 00000000* +L0030688 00000000 00000001 00000000 00000000 00000001 00000000 00010001 00000000* +L0030752 00000000 00000000 00000000 00000000 00000000 00001010 00000000 00000000* +L0030816 000000 000000 000000 000000 000000 000000 000000 000000* +L0030864 000000 000000 000000 000001 000000 000000 000000 100001* +L0030912 000000 000000 000000 000000 000000 000000 000000 000000* +L0030960 000000 000000 000000 000000 000000 000000 000000 000000* +L0031008 000000 000000 000000 000000 000000 000000 000001 000000* +L0031056 000000 000000 000000 000000 000000 000000 000000 000000* +L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0031552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0031680 000000 000000 000000 000000 000000 000000 000000 000000* +L0031728 000000 000000 000000 000000 000000 000000 000000 000000* +L0031776 010000 000000 000000 000000 000000 000000 000000 000000* +L0031824 010000 000000 000000 000000 000000 000000 000000 100000* +L0031872 000000 000000 000000 000000 000000 000000 000000 000000* +L0031920 000000 000000 000000 000000 000000 000000 000000 000000* +L0031968 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032096 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032160 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0032224 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0032288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032352 11000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0032416 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0032480 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0032544 000000 000000 000000 000000 000000 000000 000000 000000* +L0032592 000000 000000 000000 000000 000000 000000 000000 000000* +L0032640 000000 000000 000000 000000 000000 000000 000000 000000* +L0032688 000000 000000 000000 000000 000000 000000 000000 000000* +L0032736 000000 000000 000000 000000 000000 000000 000000 000000* +L0032784 000000 000000 000000 000000 000000 000000 000000 000000* +L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033024 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* +L0033088 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0033152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033408 000000 000000 000000 000000 000000 000000 000000 000000* +L0033456 000000 000000 000000 000000 000000 000000 000000 000000* +L0033504 000000 000000 000000 000000 000000 000000 000000 000000* +L0033552 000000 000000 000000 000000 000000 000000 000000 000010* +L0033600 000000 000000 000000 000000 000000 000000 000000 000001* +L0033648 000000 000000 000000 000000 000000 000000 000000 000000* +L0033696 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00010011* +L0033760 00000000 00000000 00000001 10000000 00000000 00000000 00000001 00010000* +L0033824 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00001010* +L0033888 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000010* +L0033952 00000000 00000000 00000001 00000000 00000000 00000010 00000001 00000011* +L0034016 00000000 00000000 00000000 00000000 10000000 00000000 00000000 10000000* +L0034080 00000001 00000001 00000010 00000000 00000000 00000010 00000001 10000001* +L0034144 00000000 00000000 00000010 00000000 00000000 00000010 00000000 10000001* +L0034208 00000000 00000000 01000010 00000001 00000001 00000000 00000000 10000001* +L0034272 000000 000000 000000 000000 000000 000000 000000 100000* +L0034320 000000 000000 000000 000000 000000 000000 000000 000010* +L0034368 000000 000000 000000 000000 000000 000000 000000 000010* +L0034416 000000 000000 000000 000000 000000 000000 000000 000000* +L0034464 000000 000000 000000 000000 000000 000000 000000 000000* +L0034512 000000 000000 000000 000000 000000 000000 000000 100000* +L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034688 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035136 000000 000000 000000 000000 000000 000000 000000 000000* +L0035184 000000 000000 000000 000000 000000 000000 000000 000000* +L0035232 000000 000000 000000 000000 000000 000000 000000 000000* +L0035280 000000 000000 000000 000000 000000 000000 000000 000000* +L0035328 000000 000000 000000 000000 000000 000000 000000 000000* +L0035376 000000 000000 000000 000000 000000 000000 000000 000000* +L0035424 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035488 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035552 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035616 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035680 10001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035808 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035872 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035936 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036000 100011 000000 000000 000000 000000 000000 000000 000000* +L0036048 100000 000000 000000 000000 000000 000000 000000 000000* +L0036096 100100 000000 000000 000000 000000 000000 010000 000000* +L0036144 100100 000000 000000 000000 000000 000000 000000 000000* +L0036192 100100 000000 000000 000000 000000 000000 000000 000000* +L0036240 100100 000000 000000 000000 000000 000000 000000 000000* +L0036288 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0036352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036608 00100000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036736 00000000 00000010 00000000 00000000 00000000 00000000 00000000 00000000* +L0036800 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036864 000000 000000 000000 000000 000000 000000 000000 000000* +L0036912 000000 000000 000000 000000 000000 000000 000000 000000* +L0036960 000000 000000 000000 000000 000000 000000 000000 000000* +L0037008 000000 000000 000000 000000 000000 000000 000000 000000* +L0037056 000000 000000 000000 000000 000000 000000 000000 000000* +L0037104 000000 000000 000000 000000 000000 000000 000000 000000* +L0037152 00001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0037216 10001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0037280 10001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0037344 10001000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0037408 10001000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0037472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037536 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037600 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037664 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0037728 100011 000000 000100 000000 000000 000000 000000 000000* +L0037776 100000 000000 000000 000000 000000 000000 000000 000000* +L0037824 100100 000000 000010 000000 000000 000000 000000 001000* +L0037872 100100 000000 000000 000000 000000 000000 000000 000000* +L0037920 100100 000000 000100 000000 000000 000000 000000 000000* +L0037968 100100 000000 000100 000000 000000 000000 000000 000000* +L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038080 00000000 00000001 00000001 00000010 00000010 00000010 00000010 00000010* +L0038144 00000010 00000001 00000000 00000001 00000000 00000001 00000001 00000001* +L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038272 00000000 00000001 00000010 00000000 00000000 00000000 00000000 00000000* +L0038336 00000000 00000001 00000001 00000000 00000000 00000011 00000011 00000011* +L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038464 00000000 00000001 00000000 00000011 00000000 00000001 00000001 00000000* +L0038528 00000010 00000000 00000000 00000000 00000000 00000010 00000010 00000010* +L0038592 000000 000000 000000 000000 000000 000000 000000 000000* +L0038640 000000 000000 000000 000000 000000 000000 000000 000000* +L0038688 000000 000000 000000 000000 000000 000000 000000 000000* +L0038736 000000 000000 000000 000000 000000 000000 000000 000000* +L0038784 000000 000000 000000 000000 000000 000000 000000 000000* +L0038832 000000 000000 000000 000000 000000 000000 000000 000000* +L0038880 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* +L0038944 00000011 00000011 00000011 00000011 00000010 00000011 00000011 00000011* +L0039008 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* +L0039072 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* +L0039136 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* +L0039200 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* +L0039264 00000001 00000011 00000011 00000011 00000001 10000011 00000011 00000011* +L0039328 00000011 00000011 00000011 00000011 00000001 00000011 00000011 00000011* +L0039392 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* +L0039456 000000 000000 000000 000000 000000 000000 000000 000000* +L0039504 000000 000000 000000 000000 000000 000000 000000 000000* +L0039552 000000 000000 000000 000000 000000 000000 000000 000000* +L0039600 000000 000000 000000 000000 000000 000000 000000 000000* +L0039648 000000 000000 000000 000000 000000 000000 000000 000000* +L0039696 000000 000000 000000 000000 000000 000000 000000 000000* +L0039744 00000011 00000011 11000011 00000011 00000000 00000000 00000000 00010011* +L0039808 00011010 00000011 11010011 00000011 00000010 00000010 00000011 00011011* +L0039872 00011011 00000001 11011011 00000011 00000000 00000000 00000000 10001010* +L0039936 00011011 00000001 01001011 00000011 00000000 00000000 00000001 00000001* +L0040000 01011011 00000001 01000011 00000011 00000000 00000000 00000001 00000001* +L0040064 00100011 00000001 00000111 00000011 10000000 00000010 00000010 10000011* +L0040128 00100001 00000001 01000111 00000010 10000000 00000001 00000001 00000011* +L0040192 00101011 00000011 01000111 00000011 00000000 00000000 00000000 00000001* +L0040256 10101011 00000011 10000111 00000011 00000000 00000001 00000011 00000011* +L0040320 001000 000000 101001 000000 000000 000000 000000 000000* +L0040368 000000 000000 001000 000000 000000 000000 000000 000000* +L0040416 001100 000000 001000 000000 000000 000000 000000 000000* +L0040464 000100 000000 101001 000000 000000 000000 000000 000001* +L0040512 100100 000000 001000 000000 000000 000000 000000 000000* +L0040560 100100 000000 101000 000000 000000 000000 000000 100000* +L0040608 00000011 00000011 00000011 00000001 00000000 00000000 00000000 00000110* +L0040672 00000010 00000001 00000111 00000011 00000000 00000000 00000001 00000010* +L0040736 00000111 00000001 00000011 00000011 00000000 00000000 00000000 00000010* +L0040800 00000111 00000001 00000011 00000011 00000000 00000000 00000001 00000001* +L0040864 00000111 00000000 00000011 00000011 00000000 00000000 00000001 00000001* +L0040928 10001011 00000001 00000000 00000011 00000000 00000000 00000000 00000001* +L0040992 10000001 00000001 00001011 00000010 00000000 00000000 00000001 10000001* +L0041056 00000011 00000000 00001011 00000011 00000000 00000000 00000000 10000001* +L0041120 01000011 00000001 00000011 00000011 00000000 00000000 00000001 10000001* +L0041184 000000 000000 000000 000000 000000 000000 000000 100000* +L0041232 000010 000000 000000 000000 000000 000000 000000 000000* +L0041280 010000 000000 000100 000000 000000 000000 000000 000000* +L0041328 010001 000000 000100 000000 000000 000000 000000 000000* +L0041376 010001 000000 000000 000000 000000 000000 000000 000000* +L0041424 010000 000000 000000 000000 000000 000000 000000 000000* +L0041472 00000011 00000011 00001001 00000001 00000000 00000000 00000000 00000010* +L0041536 00000010 00000000 00001011 00000011 00000000 00000000 00000000 00000010* +L0041600 00000011 00000000 00000011 00000011 00000000 00000000 00000000 00001010* +L0041664 00000011 00000001 00000111 00000011 00000000 00000001 00000000 00000000* +L0041728 00000011 00000000 00000011 00000011 00000000 00000001 00000000 00000000* +L0041792 00000011 00000001 00000000 00000011 00000000 00000000 00000000 00000001* +L0041856 00000001 00000001 00000011 00000010 10000000 00000001 00000001 00000001* +L0041920 00000011 00000000 01000011 00000010 00000000 00000000 00000000 00000011* +L0041984 00000011 00000001 00010111 00000011 00000000 00000001 00000001 00000001* +L0042048 000000 000000 101100 000000 000000 000000 000000 000000* +L0042096 000000 000000 000000 000000 000000 000000 000000 000000* +L0042144 000000 000000 000000 000000 000000 000000 000000 000000* +L0042192 000000 000000 001000 000000 000000 000000 000000 000010* +L0042240 000000 000000 001000 000000 000000 000000 000000 000000* +L0042288 000000 000000 001000 000000 000000 000000 000000 000000* +L0042336 00000011 00000010 00000001 00000001 00000000 00000000 00000000 00000010* +L0042400 00000010 00000000 00010011 00000011 00000000 00000000 00000000 00001010* +L0042464 00000011 00000000 10011011 00000011 00000000 00000000 00000000 00000010* +L0042528 00000011 00000001 00001011 00000011 00000000 00000000 00000000 00000000* +L0042592 00000011 00000000 01001101 00000011 00000000 00000000 00000000 00000000* +L0042656 00000011 00000000 00000000 00000011 00000000 10000000 00000000 00000000* +L0042720 00000001 00000001 01000011 00000010 00000000 00000000 00000000 00000001* +L0042784 00000011 00000000 00000011 00000010 00000000 00000000 00000000 00000001* +L0042848 00000011 00000001 00000011 00000011 00000000 00000000 00000001 00000001* +L0042912 000000 000000 000000 000000 000000 000000 000000 000000* +L0042960 000000 000000 001000 000000 000000 000000 000000 000000* +L0043008 000000 000000 001010 000000 000000 000000 000000 000010* +L0043056 000000 000000 000000 000000 000000 000000 000000 000000* +L0043104 000000 000000 000100 000000 000000 000000 000000 000000* +L0043152 000000 000000 000100 000000 000000 000000 000000 000000* +L0043200 00000011 00000000 00000011 00000000 00000000 00000010 00000010 00000011* +L0043264 00000000 00000000 00000010 00000010 00000000 00000010 00000010 00000001* +L0043328 00000000 00000010 00000000 00000010 00000000 00000010 00000000 00000011* +L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043456 00000000 00000010 00000010 00000010 00000000 00000010 00000000 00000001* +L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043776 000000 000000 000000 000000 000000 000000 000000 000000* +L0043824 000000 000000 000000 000000 000000 000000 000000 000000* +L0043872 000000 000000 000000 000000 000000 000000 000000 000000* +L0043920 000000 000000 000000 000000 000000 000000 000000 000000* +L0043968 000000 000000 000000 000000 000000 000000 000000 000000* +L0044016 000000 000000 000000 000000 000000 000000 000000 000000* +L0044064 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* +L0044128 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* +L0044192 00000000 00000010 00000000 00000000 00000000 00000000 00000000 00000000* +L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044320 00000000 00000010 00000001 00000000 00000000 00000000 00000000 00000000* +L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044640 000000 000000 000000 000000 000000 000000 000000 000000* +L0044688 000000 000000 000000 000000 000000 000000 000000 000000* +L0044736 000000 000000 000000 000000 000000 000000 000000 000000* +L0044784 000000 000000 000000 000000 000000 000000 000000 000000* +L0044832 000000 000000 000000 000000 000000 000000 000000 000000* +L0044880 000000 000000 000000 000000 000000 000000 000000 000000* +L0044928 10000000 00000000 00000010 00000000 00000000 00000000 00000010 00010010* +L0044992 10000000 00000000 00000000 00000010 00000000 00000000 00000000 10010010* +L0045056 10000100 00000010 00000000 00000010 00000000 00000000 00000000 00000010* +L0045120 10000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045184 10000110 00000010 00000010 00000000 00000000 00000000 00000000 00000010* +L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045312 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045376 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045440 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045504 000001 000000 000000 000000 000000 000000 000000 000000* +L0045552 000010 000000 000000 000000 000000 000000 000000 000000* +L0045600 000010 000000 000000 000000 000000 000000 000000 000001* +L0045648 000011 000000 100001 000000 000000 000000 000000 000000* +L0045696 000011 000000 000000 000000 000000 000000 000000 000000* +L0045744 000011 000000 000000 000000 000000 000000 000000 000000* +L0045792 00000000 00000001 00001011 00000011 00000000 00000000 00000000 00000010* +L0045856 00000010 00000001 00001001 00000001 00000000 00000010 00000000 00000010* +L0045920 00000010 00000011 00001111 00000011 00000000 00000010 00000000 00000010* +L0045984 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0046048 00000010 00000010 00001111 00000011 00000000 00000010 00000000 00000010* +L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0046176 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* +L0046240 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* +L0046304 00000000 00000000 00011000 00000000 00000000 00000000 00000000 10000000* +L0046368 000000 000000 000110 000000 000000 000000 000000 100000* +L0046416 001000 000000 000000 000000 000000 000000 001000 000000* +L0046464 000000 000000 000010 000000 000000 000000 000000 000000* +L0046512 000000 000000 000000 000000 000000 000000 000000 000000* +L0046560 000000 000000 000100 000000 000000 000000 000000 000000* +L0046608 000000 000000 000100 000000 000000 000000 000000 100000* +L0046656 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000000* +L0046720 00000010 00000000 10000010 00000010 00000000 00000000 00000000 00000010* +L0046784 00000000 00000010 01000000 00000010 00000000 00000000 00000000 00000010* +L0046848 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046912 00000000 00000010 00000001 00000001 00000000 00000001 00000000 00000010* +L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0047232 000000 000000 000000 000000 000000 000000 000000 100000* +L0047280 000000 000000 000000 000000 000000 000000 000000 000000* +L0047328 000000 000000 000000 000000 000000 000000 000000 000000* +L0047376 000000 000000 000000 000000 000000 000000 000000 000000* +L0047424 000000 000000 000000 000000 000000 000000 000000 000000* +L0047472 000000 000000 000000 000000 000000 000000 000000 000000* +L0047520 00001001 00000000 00001010 00000010 00000000 00000010 00000010 10000011* +L0047584 10001000 00000000 00001000 00000000 00000000 00000001 00000000 10000001* +L0047648 10001011 00000010 00001000 00000010 00000000 00000001 00000010 10000011* +L0047712 10001000 00000000 00001100 00000000 00000000 00000000 00000000 10000000* +L0047776 10001000 00000010 00001110 00000010 00000000 00000011 00000010 10000011* +L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0047904 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047968 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048032 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0048096 100011 000000 000100 000000 000000 000000 000000 000000* +L0048144 100000 000000 000000 000000 000000 000000 000000 000000* +L0048192 100100 000000 000010 000000 000000 000000 000000 000001* +L0048240 100100 000000 000000 000000 000000 000000 000000 000001* +L0048288 100100 000000 000100 000000 000000 000000 000000 000001* +L0048336 100100 000000 000100 000000 000000 000000 000000 000001* +L0048384 00000010 00000000 00000010 00000000 00000000 00000000 00000010 00000000* +L0048448 00000000 00000000 00000001 00000001 00000000 00000000 00000010 00000011* +L0048512 00000000 00000010 00000001 00000001 00000000 00000000 00000000 00000011* +L0048576 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0048640 00000011 00000010 00000011 00000001 00000000 00000000 00000010 00000011* +L0048704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048896 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0048960 000000 000000 000000 000000 000000 000000 000000 000000* +L0049008 000000 000000 000000 000000 000000 000000 000000 000000* +L0049056 000000 000000 000000 000000 000000 000000 000000 000000* +L0049104 000000 000000 000000 000000 000000 000000 000000 000000* +L0049152 000000 000000 000000 000000 000000 000000 000000 000000* +L0049200 000000 000000 000000 000000 000000 000000 000000 000000* +L0049248 00000011 00000000 00000011 00000011 00000000 00000000 00000011 00000000* +L0049312 00000010 00000000 00000001 00000010 00000000 00000010 00000001 00000011* +L0049376 00000011 00000010 00000000 00000011 00000000 00000010 00000000 00000001* +L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049504 00000010 00000010 00010011 00000010 00000000 00000010 00000001 00000001* +L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049824 000000 000000 000000 000000 000000 000000 000000 000000* +L0049872 000000 000000 000000 000000 000000 000000 000000 000000* +L0049920 000000 000000 000000 000000 000000 000000 000000 000000* +L0049968 000000 000000 000000 000000 000000 000000 000000 000000* +L0050016 000000 000000 000000 000000 000000 000000 000000 000000* +L0050064 000000 000000 000000 000000 000000 000000 000000 000000* +L0050112 00000010 00000000 00000000 00000010 00000010 00000000 00000000 00000010* +L0050176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050240 00000001 00000000 00000001 00000000 00000000 00000001 00000010 00000000* +L0050304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050368 00000000 00000000 00000010 00000000 00000000 00000010 00000010 00000010* +L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050688 000000 000000 000000 000000 000000 000000 000000 000000* +L0050736 000000 000000 000000 000000 000000 000000 000000 000000* +L0050784 000000 000000 000000 000000 000000 000000 000000 000000* +L0050832 000000 000000 000000 000000 000000 000000 000000 000000* +L0050880 000000 000000 000000 000000 000000 000000 000000 000000* +L0050928 000000 000000 000000 000000 000000 000000 000000 000000* +L0050976 00000000 00000000 00000011 00000011 00000000 00000000 00000010 00000000* +L0051040 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000010* +L0051104 00000000 00000010 00000001 00000001 00000000 00000000 00000010 00000010* +L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051232 00000010 00000010 00000011 00000011 00000000 00000000 00000000 00000010* +L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051552 000000 000000 000000 000000 000000 000000 000000 000000* +L0051600 000000 000000 000000 000000 000000 000000 000000 000000* +L0051648 000000 000000 000000 000000 000000 000000 000000 000000* +L0051696 000000 000000 000000 000000 000000 000000 000000 000000* +L0051744 000000 000000 000000 000000 000000 000000 000000 000000* +L0051792 000000 000000 000000 000000 000000 000000 000000 000000* +L0051840 00000001 00000001 00000010 01000001 00000000 00000000 00000000 00000010* +L0051904 00000000 00000001 00010000 01000001 00000000 00000000 00000010 00000010* +L0051968 00000000 00000000 00010000 01010001 00000000 00000000 00000010 00000010* +L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052096 00000001 00000001 00000010 00000001 00000000 00000000 00000010 00000010* +L0052160 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0052224 00000000 10100000 00000000 10000100 00000000 00000000 00000000 00000000* +L0052288 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* +L0052352 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0052416 000000 000000 001000 000000 000000 000000 000000 000000* +L0052464 000000 000000 001000 100010 000000 000000 000000 000000* +L0052512 000000 000000 001000 110000 000000 000000 000000 000000* +L0052560 000000 000000 001000 100000 000000 000000 000000 000000* +L0052608 000000 000000 001000 100001 000000 000000 000000 000000* +L0052656 000000 000000 001000 100001 000000 000000 000000 000000* +L0052704 00000000 10010101 00000010 00000000 00000010 00000010 00000000 00000011* +L0052768 00000000 10011100 00000000 00010000 00000000 00000000 00000000 00000001* +L0052832 00000000 10011100 00000000 00000000 00000000 00000000 00000000 00000001* +L0052896 00000000 10011100 00000000 00000000 00000000 00000000 00000000 00000000* +L0052960 00000010 10001101 00000010 00100000 00000000 00000010 00000000 00000001* +L0053024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053088 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0053152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053216 00000000 00000000 00100000 00010000 00000000 00000000 00000000 00000000* +L0053280 000000 000000 000000 000000 000000 000000 000000 000000* +L0053328 000000 000000 000000 010000 000000 000000 000000 000000* +L0053376 000000 000000 000000 000001 000000 000000 000000 000000* +L0053424 000000 000011 000000 000011 000000 000000 000000 000000* +L0053472 000000 000011 000000 000000 000000 000000 000000 000000* +L0053520 000000 000001 000000 000000 000000 000000 000000 000000* +L0053568 00000011 00000000 00000010 00111000 00000010 00010000 00000000 00000000* +L0053632 00000010 00000000 00010010 01111000 00000010 00000011 00000000 00000000* +L0053696 00000010 00000010 00010000 01101000 00000010 00000011 00000001 00000000* +L0053760 00000000 00000000 00000000 01111000 00000000 00000000 00000000 00000000* +L0053824 00000011 00000010 00000010 01011000 00000000 00000010 00000001 00000000* +L0053888 00000000 00000000 00000000 11011000 00000000 00000000 00000000 00000000* +L0053952 00000000 00000000 00000000 11011100 00000000 00000000 00000000 00000000* +L0054016 00000000 00000000 00000000 11111100 00000000 00000000 00000000 00000000* +L0054080 00000000 00000000 00000000 11101000 00000000 00000000 00000000 00000000* +L0054144 000000 000000 001000 011110 000000 000000 000000 000000* +L0054192 000000 000000 001000 101000 000000 000000 000000 000000* +L0054240 000000 000000 001000 111000 000000 000000 000000 000000* +L0054288 000000 000000 001000 111110 000000 000000 000000 000000* +L0054336 000000 000000 001000 111111 000000 000000 000000 000000* +L0054384 000000 000000 001000 111111 000000 000000 000000 000000* +L0054432 00000011 00000010 00000010 00000000 00000000 00000000 00000011 00000001* +L0054496 00000000 00000000 00000001 00000000 00000000 00000010 00000010 00000001* +L0054560 00000011 00000010 00000001 00010010 00000000 00000011 00000001 00000001* +L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054688 00000010 00000000 00000011 00100000 00000000 00000011 00000010 00000011* +L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055008 000000 000000 000000 100000 000000 000000 000000 000000* +L0055056 000000 000000 000000 000000 000000 000000 000000 000000* +L0055104 000000 000000 000000 000011 000000 000000 000000 000000* +L0055152 000000 000000 000000 000001 000000 000000 000000 000000* +L0055200 000000 000000 000000 000000 000000 000000 000000 000000* +L0055248 000000 000000 000000 000000 000000 000000 000000 000000* +L0055296 00000001 00000010 00000001 00000001 00000000 00000001 00000000 00000001* +L0055360 00000001 00000000 00000001 00000001 00000000 00000001 00000000 00001001* +L0055424 00001000 00000010 00000000 00000000 00000000 00000000 00000000 00001000* +L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055552 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055872 000000 000000 000000 000000 000000 000000 000000 000000* +L0055920 000000 000000 000000 000000 000000 000000 000000 000000* +L0055968 000000 000000 000000 000000 000000 000000 000000 000000* +L0056016 100100 000000 000000 000000 000000 000000 000000 000000* +L0056064 000000 000000 000000 000000 000000 000000 000000 000000* +L0056112 100100 000000 000000 000000 000000 000000 000000 000000* +L0056160 00000001 00000000 00000001 00000001 00000000 00000001 00000011 00000011* +L0056224 00001000 00000010 00000000 00000010 00000000 00000000 00000011 00000010* +L0056288 00000000 00000010 00000010 00000000 00000000 00000001 00000001 00000011* +L0056352 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056416 00000010 00000010 00000010 00000000 00000000 00000001 00000010 00000011* +L0056480 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056736 000000 000000 000000 000000 000000 000000 000000 000000* +L0056784 000000 000000 000000 000000 000000 000000 000000 000000* +L0056832 100100 000000 000000 000000 000000 000000 000000 000000* +L0056880 000000 000000 000000 000000 000000 000000 000000 000000* +L0056928 100100 000000 000000 000000 000000 000000 000000 000000* +L0056976 000000 000000 000000 000000 000000 000000 000000 000000* +L0057024 00001111 00000001 00110100 00000000 00000000 00000000 00000000 10101001* +L0057088 00000111 00000001 00000001 00000000 00000000 00000010 00000000 00000001* +L0057152 00000011 00000000 00000000 00000010 00000000 00000010 00000000 00000001* +L0057216 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0057280 00000011 00000001 00000001 00100000 00000000 00000000 00000010 00000001* +L0057344 00000100 00000000 10101000 00000000 00000000 00000000 00000000 00001100* +L0057408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0057600 000000 000000 000000 100100 000000 000000 000000 000000* +L0057648 100000 000000 000000 000001 000000 000000 000000 000000* +L0057696 000000 000000 000000 000000 000000 000000 000000 000000* +L0057744 001000 000000 000000 100000 000000 000000 000000 000000* +L0057792 000000 000000 000000 100000 000000 000000 000000 000010* +L0057840 000000 000000 000001 100000 000000 000000 000000 000000* +L0057888 00000000 00000001 00000000 00100010 00000000 00000010 00000010 00000000* +L0057952 00000000 00000011 00001000 00001000 00000000 00000000 00000010 00010010* +L0058016 00010101 00000010 00010010 00101010 00000000 00000000 00000000 00000000* +L0058080 00010100 00000000 00101000 00001000 00000000 00000000 00000000 00000000* +L0058144 00010100 00000011 00100010 00011010 00000000 00000010 00000010 00000010* +L0058208 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058272 00100100 00000000 00000000 11010000 00000000 00000000 00000000 00000000* +L0058336 00100100 00000000 00011000 00000100 00000000 00000000 00000000 00001100* +L0058400 00100100 00000000 00000000 11101000 00000000 00000000 00000000 10000000* +L0058464 001001 000000 001110 000000 000000 000000 000000 100001* +L0058512 001010 000000 000000 000000 000000 000000 000000 000000* +L0058560 001010 000000 001010 001000 000000 000000 000000 000000* +L0058608 000010 000000 000100 000001 000000 000000 000000 000000* +L0058656 001010 000000 000000 011000 000000 000000 000000 000000* +L0058704 001010 000000 001100 000011 000000 000000 000000 000000* +L0058752 00000010 00000000 00000000 00000001 00000000 00000000 00000001 00000011* +L0058816 00000010 00000000 00000000 00000001 00000000 00000000 00000001 00000010* +L0058880 00000010 00000000 00000000 00000001 00000000 00000000 00000001 00000011* +L0058944 00000000 00000000 00010000 00000000 00000000 00000000 00000100 00000000* +L0059008 00000010 00000000 00010000 00000001 00000000 00000000 00000000 00000011* +L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00011100* +L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00001100* +L0059264 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0059328 000000 000000 000000 000000 000000 000000 000000 000000* +L0059376 000000 000000 000000 000000 000000 000000 000000 000000* +L0059424 000000 000000 000000 000000 000000 000000 000000 000000* +L0059472 000000 000000 000000 000000 000000 000000 000000 000000* +L0059520 000000 000000 000000 000000 000000 000000 000000 000000* +L0059568 000000 000000 000000 000000 000000 000000 000001 000000* +L0059616 00000001 00000000 00000011 00000000 00000000 00000000 00000000 01000001* +L0059680 00001000 00000000 00000011 00000010 00000010 00000001 00000100 00000010* +L0059744 00001001 00000000 00000000 00000010 00000000 00000000 00000100 00000000* +L0059808 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059872 00001000 00000000 00000011 00000000 00000000 00000001 00000000 00000001* +L0059936 00000000 00000000 00000000 00100000 00000000 00000000 00010000 01000000* +L0060000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060192 000000 000000 000000 000000 000000 000000 000000 000000* +L0060240 000000 000000 000000 000000 000000 000000 000000 100001* +L0060288 100100 000000 000000 000000 000000 000000 000000 000000* +L0060336 100100 000000 000000 000000 000000 000000 000000 000000* +L0060384 100100 000000 000000 000000 000000 000000 000000 000000* +L0060432 100100 000000 000000 000000 000000 000000 000000 000000* +L0060480 00001010 00000000 00001001 00000000 00000000 00000000 00000010 00000001* +L0060544 10001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0060608 10001000 00000001 00001001 00000000 00000000 00000000 00000001 00000000* +L0060672 10001100 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0060736 10001100 00000000 00001101 00000000 00000000 00000000 00000001 00000001* +L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060864 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060928 00000100 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0060992 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0061056 100011 000000 000100 000000 000000 000000 000000 000000* +L0061104 100000 000000 000010 000000 000000 000010 000000 000000* +L0061152 100100 000000 000010 000000 000000 000000 000000 000000* +L0061200 100110 000000 000000 000000 000000 000000 000000 000000* +L0061248 100100 000000 000100 000000 000000 000000 000000 000000* +L0061296 100110 000000 000100 000000 000000 000000 000000 000000* +L0061344 00000011 00000001 00000011 00000000 00000000 00000001 00000001 00000001* +L0061408 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000010* +L0061472 00000100 00000001 00000000 00000000 00000000 00000001 00000000 00000000* +L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061600 00000001 00000001 00000000 00000000 00000000 00000001 00000001 00000000* +L0061664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061728 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061920 001000 000000 000001 000000 000000 000000 000000 000000* +L0061968 000010 000000 000000 000000 000000 000100 000000 000000* +L0062016 000010 000000 000000 000000 000000 000000 000000 000000* +L0062064 000000 000000 000000 000000 000000 000000 000000 000000* +L0062112 000010 000000 000000 000000 000000 000000 000000 000000* +L0062160 000000 000000 000000 000000 000000 000000 000000 000000* +L0062208 00000001 00000001 00000001 00000001 00000000 00000000 00000000 00000001* +L0062272 00000000 00000001 00000000 00000001 00000000 00000010 00000001 00000001* +L0062336 00000000 00000000 00000000 00000001 00000000 00000010 00000000 00000001* +L0062400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062464 00000001 00000001 00000000 00000001 00000000 00000000 00000001 00000001* +L0062528 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0062592 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0062656 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0062720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062784 000000 000000 000000 000000 000000 000000 000000 000000* +L0062832 000000 000000 000010 000000 000000 000000 000000 000000* +L0062880 000000 000000 000000 000000 000000 000000 000000 000000* +L0062928 000000 000000 000000 000000 000000 000000 000000 000000* +L0062976 000000 000000 000000 000000 000000 000000 000000 000000* +L0063024 000000 000000 000000 000000 000000 000000 000000 000000* +L0063072 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000000* +L0063136 00000010 00000000 00000011 00000001 00000010 00000001 00000000 00000010* +L0063200 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0063264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063328 00000000 00000001 00000000 00000001 00000000 00000000 00000000 00000000* +L0063392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063648 000000 000000 000000 000000 000000 000000 000000 000000* +L0063696 000000 000000 000000 000000 000000 000000 000000 000000* +L0063744 000000 000000 000000 000000 000000 000000 000000 000000* +L0063792 000000 000000 000000 000000 000000 000000 000000 000000* +L0063840 000000 000000 000000 000000 000000 000000 000000 000000* +L0063888 000000 000000 000000 000000 000000 000000 000000 000000* +L0063936 10000100 00000001 00000010 00000000 00000010 00000010 00000000 00000010* +L0064000 10000101 00000001 00000011 00000000 00000010 00000000 00000000 00000010* +L0064064 10000101 00000000 00000011 01000000 00000010 00000010 00000000 00000010* +L0064128 10000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064192 10000101 00000001 00000011 00000000 00000010 00000000 00000000 00000011* +L0064256 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064320 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064384 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064448 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064512 000001 000000 000000 000000 000000 000000 000000 000000* +L0064560 000011 000000 000000 000000 000000 000000 000000 000000* +L0064608 000010 000000 000000 000000 000000 000000 000000 000000* +L0064656 001011 000000 000000 000000 000000 000000 000000 000000* +L0064704 001011 000000 000000 100000 000000 000000 000000 000000* +L0064752 000011 000000 000000 000000 000000 000000 000000 000000* +L0064800 00000001 00000000 00000001 00000100 00000001 00000000 00000000 00000001* +L0064864 00000000 10000000 00000000 00000000 00000001 00000000 00000000 00000001* +L0064928 00000000 10010001 00000000 00000000 00000001 00000000 00000000 00000001* +L0064992 00000000 00001100 00000000 01100000 00000000 00000000 00000000 00000000* +L0065056 00000001 00000001 00000001 01100000 00000000 00000000 00000000 00000001* +L0065120 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0065184 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0065248 00000000 00000000 00000000 00010100 00000000 00000000 00000000 00000000* +L0065312 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0065376 000000 000000 000000 101100 000000 000000 000000 000000* +L0065424 000000 000000 000000 000000 000000 000000 000000 000000* +L0065472 000000 000000 000000 000001 000000 000000 000000 000000* +L0065520 000000 000000 000000 000101 000000 000000 000000 000000* +L0065568 000000 000010 000000 000101 000000 000000 000000 000000* +L0065616 000000 000000 000000 010101 000000 000000 000000 000000* +L0065664 00000011 00000000 00000010 00000000 00000000 00000001 00000010 00000010* +L0065728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065792 00000001 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0065856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00011100* +L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* +L0066176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066240 000000 000000 000000 000000 000000 000000 000000 000000* +L0066288 000000 000000 000000 000000 000000 000000 000000 000000* +L0066336 000000 000000 000000 000000 000000 000000 000000 000000* +L0066384 000000 000000 000000 000000 000000 000000 000000 000000* +L0066432 000000 000000 000000 000000 000000 000000 000000 000000* +L0066480 000000 000000 000000 000000 000000 000000 000000 000000* +L0066528 00000000 00010100 00000000 00000000 00000000 00000000 00000000 01000000* +L0066592 00000000 00001100 00000000 00000000 00000000 00000000 00000000 00000000* +L0066656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067104 000000 000000 000000 000000 000000 000000 000000 000000* +L0067152 000000 000000 000000 000000 000000 000000 000000 100001* +L0067200 000000 000000 000000 000000 000000 000000 000000 000000* +L0067248 000000 000001 000000 000000 000000 000000 000000 000000* +L0067296 000000 000001 000000 000000 000000 000000 000000 000000* +L0067344 000000 000000 000000 000000 000000 000000 000000 000000* +L0067392 00000110 00000010 00000010 00000010 00000010 00001110 00000010 00000010* +L0067456 00000010 00000010 00000010 00000010 00000000 00000000 00000000 00000010* +L0067520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067712 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0067776 00000010 00000010 00000010 00000010 00000010 00000010 00000010 00000010* +L0067840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067968 000000 000000 000000 000000 000000 000000 000000 000000* +L0068016 000000 000000 000000 000000 000000 000000 000000 000000* +L0068064 000000 000000 000000 000000 000000 000000 000000 000000* +L0068112 000000 000000 000000 000000 000000 000000 000000 000000* +L0068160 000000 000000 000000 000000 000000 000000 000000 000000* +L0068208 000000 000000 000000 000000 000000 000000 000000 000000* +L0068256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068320 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068832 000000 000000 000000 000000 000000 000000 000000 000000* +L0068880 000000 000000 000000 000000 000000 000000 000000 000000* +L0068928 000000 000000 000000 000000 000000 000000 000000 000000* +L0068976 000000 000000 000000 000000 000000 000000 000000 000000* +L0069024 000000 000000 000000 000000 000000 000000 000000 000000* +L0069072 000000 000000 000000 000000 000000 000000 000000 000000* +L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069696 000000 000000 000000 000000 000000 000000 000000 000000* +L0069744 000000 000000 000000 000000 000000 000000 000000 000000* +L0069792 000000 000000 000000 000000 000000 000000 000000 000000* +L0069840 000000 000000 000000 000000 000000 000000 000000 000000* +L0069888 000000 000000 000000 000000 000000 000000 000000 000000* +L0069936 000000 000000 000000 000000 000000 000000 000000 000000* +L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070048 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070112 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070176 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070240 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070304 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00100000* +L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070560 000000 000000 000000 000000 000000 000000 000000 000000* +L0070608 000000 000000 000000 000000 000000 100000 010000 000000* +L0070656 100100 000000 000000 000000 000000 000000 000000 000000* +L0070704 100100 000000 000000 000000 000000 000000 000000 000000* +L0070752 100100 000000 000000 000000 000000 000000 000000 000000* +L0070800 100100 000000 000000 000000 000000 000000 000000 000000* +L0070848 00000000 00000000 00001000 00001000 00000000 01000000 00000000 00010000* +L0070912 10000000 00000000 00010000 00100000 00000000 00000000 00000000 00000000* +L0070976 00000100 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0071040 10000100 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0071104 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0071168 00000000 00000000 00000000 11001100 00000000 00000100 00000000 00000000* +L0071232 00000100 00000000 01011000 00001100 00000000 00000000 00000000 10001100* +L0071296 00000000 00000000 01100000 11101000 00000000 00000000 00000000 10000000* +L0071360 00000100 00000000 00011000 00000000 00000000 00000000 00000000 00000100* +L0071424 000000 000000 000000 010010 000000 000000 000000 000000* +L0071472 000000 000000 001010 001100 000000 000110 000000 000000* +L0071520 000010 000000 000100 000101 000000 000000 000000 000000* +L0071568 000011 000000 001000 011000 000000 000000 000000 000000* +L0071616 000000 000000 001100 000011 000000 000000 000000 000000* +L0071664 000001 000000 000000 001000 000000 000000 000000 000000* +L0071712 00000000 00000000 00110100 00000000 00000000 10000000 00000000 10101000* +L0071776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071904 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0071968 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0072032 00010000 00000000 10101000 00000000 00000000 00000000 00000000 00001100* +L0072096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0072288 000000 000000 000000 100100 000000 000000 000000 000000* +L0072336 010100 000000 000000 000001 000000 000000 000000 000000* +L0072384 000000 000000 000000 000000 000000 000000 000000 100000* +L0072432 000000 000000 000000 100000 000000 000000 000000 000000* +L0072480 000000 000000 000000 100000 000000 000000 000000 000010* +L0072528 001000 000000 000001 100000 000000 000000 000000 000000* +L0072576 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0072640 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0072704 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000100* +L0072768 01000000 00000000 00000000 01000000 00000000 00000000 00000000 00000100* +L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0072896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072960 00001000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0073024 00100000 00000000 00010100 01001000 00000000 00000000 00000000 00000000* +L0073088 00000000 00000000 10001000 01001000 00000000 00000000 00000000 00000000* +L0073152 000000 000000 000010 000000 000000 000000 000000 000000* +L0073200 000000 000000 000000 001000 000000 000000 000000 000010* +L0073248 000010 000000 000000 001100 000000 000000 000000 000010* +L0073296 000010 000000 000000 000100 000000 000000 000000 000010* +L0073344 000010 000000 000000 000000 000000 000000 000000 000010* +L0073392 000011 000000 000000 000000 000000 000000 000000 000000* +L0073440 00001000 00000000 11000000 00000000 00000000 00010000 00000000 00000000* +L0073504 00001000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0073568 01011000 00000000 11001000 00000000 00000000 00000000 00000000 00000000* +L0073632 10011000 00000000 01001000 00000000 00000000 00000000 00000000 00000000* +L0073696 10011000 00000000 01001100 00001000 00000000 00000000 00000000 00000000* +L0073760 00100000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0073824 00100000 00000000 00000100 00000100 00000000 00000000 10000000 00000000* +L0073888 00000000 00000000 01000000 10100100 00000000 00000000 00000000 00000000* +L0073952 10001100 00000000 00000000 10100000 00000000 00000000 00000000 00000100* +L0074016 011001 000000 001001 000010 000000 000000 000000 000001* +L0074064 100000 000000 000000 000000 000000 000000 000000 000000* +L0074112 110100 000000 000010 000000 000000 000000 000000 000000* +L0074160 110100 000000 001000 000000 000000 000000 000000 000000* +L0074208 110100 000000 001100 000000 000000 000000 000000 000000* +L0074256 110100 000000 101100 000000 000000 000000 000000 000000* +L0074304 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074368 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074496 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10001000* +L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074880 000000 000000 000000 000000 000000 000000 000000 100000* +L0074928 000000 001001 000000 000000 000000 000000 000000 000000* +L0074976 000000 000000 000000 000000 000000 000000 000000 000001* +L0075024 000000 000001 000000 000000 000000 000000 000000 000001* +L0075072 010000 000000 000000 000000 000000 000000 000000 100001* +L0075120 010000 000001 000000 000000 000000 000000 000000 000001* +L0075168 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075232 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075296 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075424 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0075488 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0075552 10000000 10100000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075616 11000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075744 010000 000000 000000 000000 000000 000000 000000 000000* +L0075792 000000 000000 000000 000000 000000 000000 000000 000000* +L0075840 000000 000000 000000 000000 000000 000000 000000 000000* +L0075888 000000 000000 000000 000000 000000 000000 000000 000000* +L0075936 000000 000000 000000 000000 000000 000000 000000 000000* +L0075984 000000 000000 000000 000000 000000 000000 000000 000000* +L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076160 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076288 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076608 000000 000000 000000 000000 000000 000000 000000 000000* +L0076656 000000 000000 000000 000000 000000 000000 000000 000000* +L0076704 000000 000000 000000 000000 000000 000000 000000 000000* +L0076752 100100 000000 000000 000000 000000 000000 000000 000000* +L0076800 000000 000000 000000 000000 000000 000000 000000 000000* +L0076848 100100 000000 000000 000000 000000 000000 000000 000000* +L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076960 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077088 00001000 10010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077152 00000000 10001100 00000000 00000000 00000000 00000000 00000000 00000000* +L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077280 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0077344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077472 000000 000000 000000 000000 000000 000000 000000 000000* +L0077520 000000 000000 000000 000000 000000 000000 000000 000000* +L0077568 100100 000000 000000 000000 000000 000000 000000 000000* +L0077616 000000 000000 000000 000000 000000 000000 000000 000000* +L0077664 100100 000000 000000 000000 000000 000000 000000 000000* +L0077712 000000 000000 000000 000000 000000 000000 000000 000000* +L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078336 000000 000000 000000 000000 000000 000000 000000 000000* +L0078384 000000 000000 000000 100000 000000 000000 000000 000000* +L0078432 000000 000000 000000 000000 000000 000000 000000 000000* +L0078480 000000 000000 000000 100000 000000 000000 000000 000000* +L0078528 000000 000000 000000 000000 000000 000000 000000 000000* +L0078576 000000 000000 000000 000000 000000 000000 000000 000000* +L0078624 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078816 00000000 00000000 00000000 01100000 00000000 00000000 00000000 00000000* +L0078880 00000000 00000000 00000000 01100000 00000000 00000000 00000000 00000000* +L0078944 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0079008 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0079072 00000000 00000000 00000000 00010100 00000000 00000000 00000000 00000000* +L0079136 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0079200 000000 000000 000000 101100 000000 000000 000000 000000* +L0079248 000000 000000 000000 000000 000000 000000 000000 000000* +L0079296 000000 000000 000000 000001 000000 000000 000000 000000* +L0079344 000000 000000 000000 000101 000000 000000 000000 000000* +L0079392 000000 000000 000000 000101 000000 000000 000000 000000* +L0079440 000000 000000 000000 010101 000000 000000 000000 000000* +L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0079680 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* +L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080000 00000000 00000000 01000000 00000000 00000000 00000000 00000000 10000000* +L0080064 000000 000000 000000 000000 000000 000000 000000 000000* +L0080112 000000 000000 000000 000000 000000 000000 000000 000000* +L0080160 000000 000000 000000 000000 000000 000000 000000 000000* +L0080208 000000 000000 000000 000000 000000 000000 000000 100000* +L0080256 000000 000000 000000 000000 000000 000000 000000 000000* +L0080304 000000 000000 000000 000000 000000 000000 000000 000000* +L0080352 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0080416 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080480 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080544 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080608 00001000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0080672 00000000 00000000 00000000 00000000 00000000 01100000 00000000 00000000* +L0080736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080928 000000 000000 000000 000000 000000 000000 000000 000000* +L0080976 000000 000000 000000 000000 000000 000000 000000 000000* +L0081024 100100 000000 000000 000000 000000 000000 000000 000000* +L0081072 100100 000000 000000 000000 000000 000000 000000 000000* +L0081120 100100 000000 000000 000000 000000 000000 000000 000000* +L0081168 100100 000000 000000 000000 000000 000000 000000 000000* +L0081216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081536 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0081600 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0081664 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081792 000000 000000 000000 000000 000000 000000 000000 000000* +L0081840 000000 000000 000010 000000 000000 000000 000000 000000* +L0081888 000000 000000 000000 000000 000000 000000 000000 000000* +L0081936 000000 000000 000000 000000 000000 000000 000000 000000* +L0081984 000000 000000 000000 000000 000000 000000 000000 000000* +L0082032 000000 000000 000000 000000 000000 000000 000000 000000* +L0082080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0082208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0082272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0082336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0082400 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082656 000000 000000 000000 000000 000000 000000 000000 000000* +L0082704 000000 000000 000000 000000 000000 000000 000000 000000* +L0082752 000000 000000 000000 000000 000000 000000 000000 000000* +L0082800 000000 000000 000000 000000 000000 000000 000000 000000* +L0082848 000000 000000 000000 000000 000000 000000 000000 000000* +L0082896 000000 000000 000000 000000 000000 000000 000000 000000* +L0082944 00000100 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0083008 00000100 00000000 00000000 00101000 00000000 00000000 00001000 00000000* +L0083072 00000100 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0083136 00000100 00000000 01000000 00001000 00000000 00000000 00000000 00000000* +L0083200 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083264 00000000 00001000 00000000 11000000 00000000 10000000 00000000 00000000* +L0083328 00000100 00000000 00000100 11011000 00000000 00000000 00001000 00000000* +L0083392 00000100 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0083456 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083520 000001 000000 000000 001000 000000 000000 000000 000000* +L0083568 000010 000000 000000 000000 000000 011000 000000 000000* +L0083616 000010 000000 000000 000000 000000 000000 000001 000000* +L0083664 000010 000000 000000 000000 000000 000000 000000 000000* +L0083712 001010 000000 000000 000001 000000 000000 000000 000000* +L0083760 000010 000000 000000 001101 000000 000000 000000 000000* +L0083808 00000000 00000000 00001000 00100000 00000000 00000000 00001000 00000000* +L0083872 00000000 00000000 00001000 00000000 00000000 00000000 00000100 00000000* +L0083936 00000000 00000000 00001000 00001000 00000000 00000000 00000100 00000000* +L0084000 00000000 00000000 00001100 01000000 00000000 00000000 00000100 00000000* +L0084064 00000000 00000000 00001100 01001000 00000000 00000000 00000000 00000000* +L0084128 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0084192 00000000 00001000 00000000 00000100 00000000 10000000 00010000 00000000* +L0084256 00000000 00000000 00000000 11100100 00000000 00000000 00010000 00000000* +L0084320 00000000 00000000 00010000 11100000 00000000 00000000 00000000 00000000* +L0084384 000000 000000 000100 010010 000000 000000 000000 000000* +L0084432 000001 000000 000000 001000 000000 000000 000000 001000* +L0084480 000001 000000 000010 001100 000000 010000 000000 000000* +L0084528 001000 000000 000000 001100 000000 000000 000000 000000* +L0084576 000000 000000 000100 001100 000000 000000 000001 000000* +L0084624 000000 000000 000100 000000 000000 000000 000000 000000* +L0084672 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* +L0084736 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0084992 00000000 00000000 00000000 00000000 00000000 00000000 00011000 00000000* +L0085056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00011100* +L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* +L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085248 000000 000000 000000 000000 000000 000000 000000 000000* +L0085296 000000 000000 000000 000000 000000 000000 000000 000000* +L0085344 010000 000000 000000 100000 000000 000000 000000 000000* +L0085392 010000 000000 000000 000000 000000 000000 000001 000000* +L0085440 000000 000000 000000 000000 000000 000000 000000 000000* +L0085488 000000 000000 000000 100000 000000 000000 000000 000000* +L0085536 00000000 00000000 00001000 00000100 00000000 00000000 00100000 00000000* +L0085600 01000000 10000000 00001000 00000000 00000000 00000000 00000100 00000000* +L0085664 00000000 10010000 00001000 00000000 00000000 00000000 00000000 00000000* +L0085728 00000000 00001100 00001100 01100000 00000000 00000000 00000000 00000000* +L0085792 00000000 00000000 00001100 01100000 00000000 00000000 00000000 00000000* +L0085856 11000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0085920 00000000 00000000 00000000 00000100 00000000 00000000 00011000 00000000* +L0085984 01000000 00000000 00000000 00010100 00000000 00000000 00000000 00000000* +L0086048 00000000 00000000 00010000 00010000 00000000 00000000 00000000 00000100* +L0086112 010000 000000 000100 101100 000000 000000 000000 000001* +L0086160 000000 000000 000000 000000 000000 000000 000000 000000* +L0086208 000000 000000 000010 000001 000000 000000 000000 000000* +L0086256 000000 000000 000000 000101 000000 000000 000000 000000* +L0086304 000000 000010 000100 000101 000000 000000 000000 000000* +L0086352 000000 000000 000100 010101 000000 000000 000000 000000* +L0086400 00000000 00000000 00000000 00100100 00000000 00000000 00000000 00000000* +L0086464 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0086528 00000000 00000000 00000000 00101000 00000000 00000000 00000000 00000000* +L0086592 00000000 00000000 00000000 01111000 00000000 00000000 00000000 00000000* +L0086656 00000000 00000000 00000000 01110000 00000000 00000000 00000000 00000000* +L0086720 00000000 00000000 00000000 00011000 00000000 00001000 00000000 00000000* +L0086784 00000000 00000000 00000000 00011100 00000000 00000000 00000000 00000000* +L0086848 00000000 00000000 00000000 01011100 00000000 00000000 00000000 00000000* +L0086912 00000000 00000000 00000000 01011000 00000000 00000000 00000000 00000000* +L0086976 000000 000000 000000 111100 000000 000000 000000 000000* +L0087024 000000 000010 000010 001000 000000 000001 000000 000000* +L0087072 000000 000000 000000 001001 000000 000000 000000 000000* +L0087120 000000 000000 000000 011101 000000 000000 000000 000000* +L0087168 000000 000000 000000 011111 000000 000000 000000 000000* +L0087216 000000 000000 000000 011111 000000 000000 000000 000000* +L0087264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087328 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0087392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087648 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087840 000000 000000 000000 000000 000000 000000 000000 000000* +L0087888 000000 000000 000000 000000 000000 000000 000000 000000* +L0087936 000000 000100 000000 000000 000000 000000 000000 000000* +L0087984 000000 000000 000000 000000 000000 000000 000000 000000* +L0088032 000000 000000 000000 000000 000000 000000 000000 000000* +L0088080 000000 000000 000000 000000 000000 000000 000000 000000* +L0088128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088192 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0088256 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0088320 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0088384 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0088448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0088512 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0088576 00000000 00000000 00100000 00000000 00000000 00000000 00000000 10000000* +L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088704 000000 000000 000000 000000 000000 000000 000000 100000* +L0088752 000000 000000 000000 000000 000000 000000 000000 000000* +L0088800 000001 000000 000000 000000 000000 000000 000000 000001* +L0088848 000000 000000 000000 000000 000000 000000 000000 000001* +L0088896 000000 000000 000000 000000 000000 000000 000000 100001* +L0088944 000000 000000 000000 000000 000000 000000 000000 100001* +L0088992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089184 00000000 10010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089248 00000000 10001100 00000000 00000000 00000000 00000000 00000000 00000000* +L0089312 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0089376 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089568 000000 000000 000000 000000 000000 000000 000000 000000* +L0089616 000000 000000 000000 000000 000000 000000 000000 000000* +L0089664 000000 000000 010000 000000 000000 000000 000000 000000* +L0089712 000000 000000 000000 000000 000000 000000 000000 000000* +L0089760 000000 000000 000000 000000 000000 000000 000000 000000* +L0089808 000000 000000 000000 000000 000000 000000 000000 000000* +L0089856 00001000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0089920 00000000 00000100 00000100 00000000 00000000 00000000 00000000 00000000* +L0089984 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0090048 01000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0090112 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0090176 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090240 00001000 00000100 00000000 00000000 00000000 00000000 00000000 00000100* +L0090304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0090368 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090432 100010 000000 000000 000000 000000 000000 000000 000000* +L0090480 100000 000000 000000 000000 000000 000000 000000 000000* +L0090528 000000 000000 000000 000000 000000 000000 000000 000000* +L0090576 000000 000010 000000 000000 000000 000000 000000 000000* +L0090624 000000 000010 000000 000000 000000 000000 000000 000000* +L0090672 000000 000000 000000 000000 000000 000000 000000 000000* +L0090720 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090848 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0090912 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0090976 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0091104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091296 000000 000000 000000 000000 000000 000000 000000 000000* +L0091344 000000 000000 000000 000000 000000 000000 000000 000000* +L0091392 010000 000000 000000 000000 000000 000000 000000 000000* +L0091440 000000 000000 000000 000000 000000 000000 000000 000000* +L0091488 010000 000000 000000 000000 000000 000000 000000 000000* +L0091536 000000 000000 000000 000000 000000 000000 000000 000000* +L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091712 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091840 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092160 000000 000000 000000 000000 000000 000000 000000 000000* +L0092208 000000 000000 000000 000000 000000 000000 000000 000000* +L0092256 000000 000000 000000 000000 000000 000000 000000 000000* +L0092304 100100 000000 000000 000000 000000 000000 000000 000000* +L0092352 000000 000000 000000 000000 000000 000000 000000 000000* +L0092400 100100 000000 000000 000000 000000 000000 000000 000000* +L0092448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092512 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092640 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0093024 000000 000000 000000 000000 000000 000000 000000 000000* +L0093072 000000 000000 000000 000000 000000 010000 000000 000000* +L0093120 100100 000000 000000 000000 000000 000000 000000 000000* +L0093168 000000 000000 000000 000000 000000 000000 000000 000000* +L0093216 100100 000000 000000 000000 000000 000000 000000 000000* +L0093264 000000 000000 000000 000000 000000 000000 000000 000000* +C4638* +2BD2 diff --git a/cpld/XC95144XL/MXSE.lso b/cpld/XC95144XL/MXSE.lso new file mode 100644 index 0000000..b8f99f5 --- /dev/null +++ b/cpld/XC95144XL/MXSE.lso @@ -0,0 +1 @@ +work diff --git a/cpld/XC95144XL/MXSE.mfd b/cpld/XC95144XL/MXSE.mfd new file mode 100644 index 0000000..9810e8c --- /dev/null +++ b/cpld/XC95144XL/MXSE.mfd @@ -0,0 +1,2524 @@ +MDF Database: version 1.0 +MDF_INFO | MXSE | XC95144XL-10-TQ100 +MACROCELL | 2 | 10 | nVMA_IOB_OBUF +ATTRIBUTES | 4621058 | 0 +OUTPUTMC | 3 | 2 | 9 | 2 | 4 | 2 | 11 +INPUTS | 16 | iobs/PS_FSM_FFd1 | iobs/IOACTr | A_FSB<20> | A_FSB<22> | A_FSB<21> | iobs/Once | nAS_FSB | iobs/PS_FSM_FFd2 | fsb/ASrf | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | ram/Once.EXP +INPUTMC | 7 | 2 | 5 | 5 | 2 | 2 | 13 | 2 | 11 | 5 | 3 | 7 | 7 | 2 | 9 +INPUTP | 9 | 147 | 153 | 134 | 117 | 136 | 146 | 144 | 143 | 105 +EXPORTS | 1 | 2 | 11 +IMPORTS | 1 | 2 | 9 +EQ | 17 | + nVMA_IOB.T = ;Imported pterms FB3_10 + !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> + # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr; + nVMA_IOB.CLK = CLK2X_IOB; // GCK + nVMA_IOB_OBUF.EXP = iobs/PS_FSM_FFd1 & iobs/IOACTr + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 7 | 7 | cs/nOverlay1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 38 | 2 | 12 | 2 | 9 | 0 | 12 | 7 | 12 | 2 | 15 | 0 | 11 | 2 | 1 | 3 | 17 | 0 | 2 | 3 | 4 | 3 | 1 | 2 | 8 | 7 | 14 | 2 | 11 | 2 | 16 | 0 | 9 | 3 | 8 | 3 | 13 | 2 | 4 | 0 | 7 | 4 | 1 | 0 | 13 | 0 | 0 | 0 | 1 | 0 | 3 | 0 | 16 | 2 | 0 | 2 | 3 | 2 | 7 | 2 | 10 | 2 | 14 | 3 | 3 | 3 | 6 | 3 | 7 | 3 | 10 | 3 | 11 | 3 | 12 | 3 | 16 +INPUTS | 3 | cs/nOverlay0 | nAS_FSB | fsb/ASrf +INPUTMC | 2 | 7 | 9 | 5 | 3 +INPUTP | 1 | 117 +EQ | 3 | + cs/nOverlay1.D = cs/nOverlay0; + cs/nOverlay1.CLK = CLK_FSB; // GCK + cs/nOverlay1.CE = nAS_FSB & !fsb/ASrf; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 13 | iobs/Once +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 15 | 2 | 13 | 2 | 7 | 0 | 15 | 2 | 2 | 2 | 6 | 2 | 11 | 2 | 17 | 0 | 14 | 0 | 8 | 0 | 0 | 0 | 16 | 0 | 17 | 2 | 10 | 2 | 12 | 2 | 14 +INPUTS | 11 | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<20> | A_FSB<22> | A_FSB<21> | EXP26_.EXP | nRAMLWE_OBUF.EXP +INPUTMC | 6 | 2 | 13 | 5 | 3 | 2 | 11 | 2 | 5 | 2 | 12 | 2 | 14 +INPUTP | 5 | 117 | 123 | 147 | 153 | 134 +IMPORTS | 2 | 2 | 12 | 2 | 14 +EQ | 32 | + iobs/Once.T = iobs/Once & nAS_FSB & !fsb/ASrf + # A_FSB<23> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf +;Imported pterms FB3_13 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once & !nWE_FSB & !nAS_FSB & nADoutLE1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once & !nWE_FSB & fsb/ASrf & nADoutLE1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 +;Imported pterms FB3_15 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf; + iobs/Once.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 9 | ram/Once +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 12 | 2 | 9 | 3 | 17 | 3 | 4 | 2 | 8 | 7 | 15 | 3 | 7 | 3 | 13 | 2 | 7 | 3 | 10 | 3 | 12 | 3 | 16 | 2 | 10 +INPUTS | 19 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr | ram/RS_FSM_FFd1.EXP +INPUTMC | 16 | 2 | 9 | 5 | 3 | 7 | 7 | 3 | 3 | 2 | 8 | 7 | 15 | 2 | 10 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 | 1 | 17 | 6 | 0 | 4 | 15 | 2 | 8 +INPUTP | 3 | 117 | 123 | 153 +EXPORTS | 1 | 2 | 10 +IMPORTS | 1 | 2 | 8 +EQ | 18 | + ram/Once.T = ram/Once & nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf +;Imported pterms FB3_9 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & fsb/ASrf; + ram/Once.CLK = CLK_FSB; // GCK + ram/Once.EXP = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> + # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 10 | cnt/RefDone +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 19 | 7 | 10 | 3 | 17 | 3 | 5 | 3 | 3 | 7 | 15 | 3 | 9 | 3 | 13 | 3 | 1 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 15 | 3 | 16 | 7 | 16 +INPUTS | 10 | cnt/RefDone | RefAck | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> +INPUTMC | 10 | 7 | 10 | 5 | 9 | 4 | 17 | 7 | 3 | 7 | 2 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 +EQ | 5 | + !cnt/RefDone.D = !cnt/RefDone & !RefAck + # !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & + !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & !cnt/RefCnt<4> & + !cnt/RefCnt<7>; + cnt/RefDone.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 7 | BERR_IOBS +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 11 | 2 | 7 | 7 | 6 | 7 | 0 | 0 | 1 | 2 | 6 | 0 | 3 | 0 | 4 | 0 | 5 | 7 | 1 | 7 | 2 | 2 | 8 +INPUTS | 17 | BERR_IOBS | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | ram/RS_FSM_FFd1 | iobs/IOReady.EXP +INPUTMC | 13 | 2 | 7 | 5 | 3 | 2 | 13 | 1 | 15 | 2 | 11 | 5 | 2 | 0 | 8 | 3 | 3 | 7 | 15 | 7 | 7 | 2 | 9 | 2 | 8 | 2 | 6 +INPUTP | 4 | 117 | 123 | 153 | 134 +EXPORTS | 1 | 2 | 8 +IMPORTS | 1 | 2 | 6 +EQ | 13 | + BERR_IOBS.T = BERR_IOBS & nAS_FSB & !fsb/ASrf + # iobs/Once & BERR_IOBS & !IOBERR & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 + # iobs/Once & !BERR_IOBS & IOBERR & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 +;Imported pterms FB3_7 + # iobs/Once & !BERR_IOBS & IOBERR & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & fsb/ASrf & nADoutLE1; + BERR_IOBS.CLK = CLK_FSB; // GCK + BERR_IOBS.EXP = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & fsb/ASrf +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 15 | IOBERR +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 2 | 7 | 1 | 15 | 2 | 6 | 1 | 14 | 1 | 16 +INPUTS | 13 | nBERR_IOB | IOBERR | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | nDoutOE_OBUF.EXP | nAS_IOB_OBUF.EXP +INPUTMC | 11 | 1 | 15 | 1 | 12 | 2 | 3 | 1 | 6 | 1 | 5 | 6 | 9 | 6 | 7 | 6 | 3 | 6 | 2 | 1 | 14 | 1 | 16 +INPUTP | 2 | 92 | 42 +IMPORTS | 2 | 1 | 14 | 1 | 16 +EQ | 19 | + IOBERR.T = nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/ETACK + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/ETACK + # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/BERRrf & iobm/BERRrr + # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/RESrf & iobm/RESrr +;Imported pterms FB2_15 + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/BERRrf & iobm/BERRrr + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/DTACKrf & iobm/DTACKrr +;Imported pterms FB2_17 + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/RESrf & iobm/RESrr; + IOBERR.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 15 | IORW0 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 0 | 15 | 1 | 14 | 1 | 10 | 1 | 11 | 0 | 14 | 0 | 16 +INPUTS | 12 | IORW0 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | iobs/Once | nWE_FSB | nAS_FSB | fsb/ASrf | EXP22_.EXP | IOREQ.EXP +INPUTMC | 9 | 0 | 15 | 2 | 2 | 2 | 11 | 2 | 5 | 0 | 8 | 2 | 13 | 5 | 3 | 0 | 14 | 0 | 16 +INPUTP | 3 | 123 | 105 | 117 +IMPORTS | 2 | 0 | 14 | 0 | 16 +EQ | 43 | + IORW0.T = IORW0 & !iobs/IORW1 & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & !nADoutLE1 + # !IORW0 & iobs/IORW1 & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & !nADoutLE1 + # A_FSB<23> & !iobs/Once & IORW0 & !nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<23> & !iobs/Once & IORW0 & !nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB1_15 + # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 +;Imported pterms FB1_17 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & IORW0 & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & IORW0 & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1; + IORW0.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 16 | TimeoutA +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 7 | 16 | 7 | 13 | 0 | 11 | 0 | 2 | 0 | 12 | 7 | 15 +INPUTS | 15 | TimeoutA | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 +INPUTMC | 14 | 7 | 16 | 5 | 3 | 4 | 17 | 7 | 3 | 7 | 2 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 | 7 | 10 | 3 | 3 | 2 | 8 | 7 | 15 +INPUTP | 1 | 117 +EXPORTS | 1 | 7 | 15 +EQ | 14 | + TimeoutA.T = TimeoutA & nAS_FSB & !fsb/ASrf + # !TimeoutA & !nAS_FSB & !cnt/RefCnt<0> & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & + !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> + # !TimeoutA & !cnt/RefCnt<0> & !cnt/RefCnt<5> & + !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & + !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; + TimeoutA.CLK = CLK_FSB; // GCK + TimeoutA.EXP = !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 9 | cs/nOverlay0 +ATTRIBUTES | 4367104 | 0 +OUTPUTMC | 2 | 7 | 7 | 7 | 9 +INPUTS | 7 | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay0 | nAS_FSB | fsb/ASrf +INPUTMC | 2 | 7 | 9 | 5 | 3 +INPUTP | 5 | 147 | 123 | 153 | 134 | 117 +EQ | 6 | + cs/nOverlay0.T = !A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & + !cs/nOverlay0 & !nAS_FSB + # !A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & + !cs/nOverlay0 & fsb/ASrf; + cs/nOverlay0.CLK = CLK_FSB; // GCK + !cs/nOverlay0.AR = nRES; // GSR +GLOBALS | 2 | 2 | CLK_FSB | 4 | nRES + +MACROCELL | 5 | 17 | IOL0 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 1 | 10 +INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 +INPUTMC | 4 | 5 | 13 | 0 | 8 | 2 | 11 | 2 | 5 +INPUTP | 1 | 114 +EQ | 4 | + IOL0.D = !nLDS_FSB & nADoutLE1 + # iobs/IOL1 & !nADoutLE1; + IOL0.CLK = CLK_FSB; // GCK + IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 15 | IOU0 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 1 | 11 +INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 +INPUTMC | 4 | 5 | 12 | 0 | 8 | 2 | 11 | 2 | 5 +INPUTP | 1 | 30 +EQ | 4 | + IOU0.D = !nUDS_FSB & nADoutLE1 + # iobs/IOU1 & !nADoutLE1; + IOU0.CLK = CLK_FSB; // GCK + IOU0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 13 | TimeoutB +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 9 | 7 | 13 | 0 | 9 | 0 | 5 | 0 | 1 | 0 | 4 | 0 | 3 | 7 | 1 | 7 | 2 | 7 | 17 +INPUTS | 12 | TimeoutB | nAS_FSB | fsb/ASrf | TimeoutA | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> +INPUTMC | 11 | 7 | 13 | 5 | 3 | 7 | 16 | 4 | 17 | 7 | 3 | 7 | 2 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 +INPUTP | 1 | 117 +EQ | 8 | + TimeoutB.T = TimeoutB & nAS_FSB & !fsb/ASrf + # TimeoutA & !TimeoutB & !nAS_FSB & !cnt/RefCnt<0> & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & + !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> + # TimeoutA & !TimeoutB & !cnt/RefCnt<0> & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & + !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; + TimeoutB.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 9 | fsb/BERR0r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 7 | 0 | 9 | 0 | 5 | 0 | 4 | 7 | 1 | 7 | 2 | 7 | 17 | 0 | 8 +INPUTS | 11 | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | fsb/BERR0r | TimeoutB | A_FSB<18> | nADoutLE1 | A_FSB<17> | cs/nOverlay1 | EXP20_.EXP +INPUTMC | 5 | 0 | 9 | 7 | 13 | 0 | 8 | 7 | 7 | 0 | 10 +INPUTP | 6 | 147 | 123 | 153 | 134 | 146 | 144 +EXPORTS | 1 | 0 | 8 +IMPORTS | 1 | 0 | 10 +EQ | 10 | + !fsb/BERR0r.D = !TimeoutB & !fsb/BERR0r + # A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & + !fsb/BERR0r +;Imported pterms FB1_11 + # nAS_FSB & !fsb/ASrf; + fsb/BERR0r.CLK = CLK_FSB; // GCK + fsb/BERR0r.EXP = !A_FSB<18> & !A_FSB<23> & A_FSB<21> & nADoutLE1 + # !A_FSB<17> & !A_FSB<23> & A_FSB<21> & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & nADoutLE1 +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 6 | fsb/BERR1r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 7 | 6 | 7 | 0 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 4 | 7 | 1 | 7 | 2 +INPUTS | 4 | BERR_IOBS | fsb/BERR1r | nAS_FSB | fsb/ASrf +INPUTMC | 3 | 2 | 7 | 7 | 6 | 5 | 3 +INPUTP | 1 | 117 +EQ | 3 | + !fsb/BERR1r.D = !BERR_IOBS & !fsb/BERR1r + # nAS_FSB & !fsb/ASrf; + fsb/BERR1r.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 12 | fsb/Ready0r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 7 | 12 | 0 | 1 | 0 | 13 | 0 | 3 +INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf +INPUTMC | 4 | 7 | 7 | 7 | 12 | 3 | 13 | 5 | 3 +INPUTP | 4 | 123 | 153 | 134 | 117 +EQ | 6 | + !fsb/Ready0r.D = nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady; + fsb/Ready0r.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 15 | fsb/Ready1r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 2 | 15 | 0 | 2 | 0 | 13 | 0 | 1 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 12 +INPUTS | 15 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<20> | A_FSB<22> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | nADoutLE1 +INPUTMC | 5 | 5 | 3 | 2 | 15 | 2 | 6 | 7 | 7 | 0 | 8 +INPUTP | 10 | 117 | 123 | 147 | 153 | 134 | 136 | 146 | 144 | 143 | 105 +EQ | 11 | + !fsb/Ready1r.D = nAS_FSB & !fsb/ASrf + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & + !iobs/IOReady + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & !nADoutLE1; + fsb/Ready1r.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 11 | fsb/Ready2r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 0 | 11 | 0 | 2 | 0 | 12 +INPUTS | 21 | A_FSB<9> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | cs/nOverlay1 | TimeoutA | nWE_FSB | fsb/Ready2r | nAS_FSB | fsb/ASrf +INPUTMC | 4 | 7 | 7 | 7 | 16 | 0 | 11 | 5 | 3 +INPUTP | 17 | 96 | 147 | 136 | 146 | 144 | 143 | 23 | 21 | 123 | 153 | 134 | 22 | 103 | 18 | 109 | 105 | 117 +EQ | 18 | + !fsb/Ready2r.D = nAS_FSB & !fsb/ASrf + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & + A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & + A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & + !A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & + !A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & + A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & + !A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & + !A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r; + fsb/Ready2r.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 0 | fsb/VPA +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 4 | 7 | 0 | 5 | 16 | 7 | 1 | 7 | 17 +INPUTS | 12 | fsb/VPA | nAS_FSB | fsb/ASrf | A_FSB<21> | BERR_IOBS | fsb/BERR1r | $OpTx$INV$223 | A_FSB<23> | A_FSB<20> | A_FSB<22> | nBERR_FSB_OBUF.EXP | cnt/RefCnt<7>.EXP +INPUTMC | 7 | 7 | 0 | 5 | 3 | 2 | 7 | 7 | 6 | 0 | 13 | 7 | 1 | 7 | 17 +INPUTP | 5 | 117 | 134 | 123 | 147 | 153 +IMPORTS | 2 | 7 | 1 | 7 | 17 +EQ | 30 | + fsb/VPA.T = fsb/VPA & nAS_FSB & !fsb/ASrf + # !A_FSB<20> & !BERR_IOBS & !fsb/BERR1r & fsb/VPA & + !$OpTx$INV$223 + # !A_FSB<23> & !BERR_IOBS & !fsb/BERR1r & fsb/VPA & + !$OpTx$INV$223 + # !A_FSB<22> & !BERR_IOBS & !fsb/BERR1r & fsb/VPA & + !$OpTx$INV$223 + # !A_FSB<21> & !BERR_IOBS & !fsb/BERR1r & fsb/VPA & + !$OpTx$INV$223 +;Imported pterms FB8_2 + # A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & + !fsb/BERR0r & fsb/VPA & !$OpTx$INV$223 + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !BERR_IOBS & !fsb/BERR1r & !fsb/VPA & !nAS_FSB & !$OpTx$INV$223 + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !BERR_IOBS & !fsb/BERR1r & !fsb/VPA & fsb/ASrf & !$OpTx$INV$223 + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !TimeoutB & !fsb/BERR0r & !fsb/VPA & !nAS_FSB & !$OpTx$INV$223 + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !TimeoutB & !fsb/BERR0r & !fsb/VPA & fsb/ASrf & !$OpTx$INV$223 +;Imported pterms FB8_18 + # !A_FSB<20> & !TimeoutB & !fsb/BERR0r & fsb/VPA & + !$OpTx$INV$223 + # !A_FSB<23> & !TimeoutB & !fsb/BERR0r & fsb/VPA & + !$OpTx$INV$223 + # !A_FSB<22> & !TimeoutB & !fsb/BERR0r & fsb/VPA & + !$OpTx$INV$223 + # !A_FSB<21> & !TimeoutB & !fsb/BERR0r & fsb/VPA & + !$OpTx$INV$223; + fsb/VPA.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 13 | iobs/IOL1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 5 | 17 +INPUTS | 2 | nLDS_FSB | iobs/Load1 +INPUTMC | 1 | 2 | 17 +INPUTP | 1 | 114 +EQ | 3 | + iobs/IOL1.D = !nLDS_FSB; + iobs/IOL1.CLK = CLK_FSB; // GCK + iobs/IOL1.CE = iobs/Load1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 2 | iobs/IORW1 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 3 | 0 | 15 | 2 | 2 | 2 | 1 +INPUTS | 10 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | A_FSB<20> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | EXP25_.EXP | iobm/ETACK.EXP +INPUTMC | 7 | 2 | 2 | 0 | 8 | 2 | 13 | 2 | 11 | 2 | 5 | 2 | 1 | 2 | 3 +INPUTP | 3 | 123 | 134 | 147 +IMPORTS | 2 | 2 | 1 | 2 | 3 +EQ | 19 | + !iobs/IORW1.T = iobs/Once + # !nADoutLE1 + # !A_FSB<20> & !A_FSB<23> + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # !A_FSB<23> & A_FSB<21> & !iobs/IORW1 +;Imported pterms FB3_2 + # nWE_FSB & iobs/IORW1 + # !nWE_FSB & !iobs/IORW1 + # nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 +;Imported pterms FB3_4 + # !A_FSB<19> & !A_FSB<23> & A_FSB<21> + # !A_FSB<18> & !A_FSB<23> & A_FSB<21> + # !A_FSB<17> & !A_FSB<23> & A_FSB<21> + # !A_FSB<16> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1; + iobs/IORW1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 6 | iobs/IOReady +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 10 | 2 | 15 | 2 | 6 | 0 | 2 | 0 | 13 | 0 | 1 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 12 | 2 | 7 +INPUTS | 9 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 | BERR_IOBS +INPUTMC | 8 | 2 | 6 | 5 | 3 | 2 | 13 | 1 | 15 | 2 | 11 | 5 | 2 | 0 | 8 | 2 | 7 +INPUTP | 1 | 117 +EXPORTS | 1 | 2 | 7 +EQ | 10 | + iobs/IOReady.T = iobs/IOReady & nAS_FSB & !fsb/ASrf + # iobs/Once & IOBERR & iobs/IOReady & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 + # iobs/Once & !IOBERR & !iobs/IOReady & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 + # iobs/Once & !IOBERR & !iobs/IOReady & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & fsb/ASrf & nADoutLE1; + iobs/IOReady.CLK = CLK_FSB; // GCK + iobs/IOReady.EXP = iobs/Once & !BERR_IOBS & IOBERR & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & fsb/ASrf & nADoutLE1 +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 12 | iobs/IOU1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 5 | 15 +INPUTS | 2 | nUDS_FSB | iobs/Load1 +INPUTMC | 1 | 2 | 17 +INPUTP | 1 | 30 +EQ | 3 | + iobs/IOU1.D = !nUDS_FSB; + iobs/IOU1.CLK = CLK_FSB; // GCK + iobs/IOU1.CE = iobs/Load1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 17 | ram/RAMDIS2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 4 | 3 | 17 | 2 | 4 | 2 | 14 | 3 | 16 +INPUTS | 15 | ram/RAMDIS2 | nAS_FSB | fsb/ASrf | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nRAMUWE_OBUF.EXP +INPUTMC | 12 | 3 | 17 | 5 | 3 | 2 | 9 | 7 | 10 | 3 | 3 | 2 | 8 | 7 | 15 | 7 | 3 | 7 | 2 | 7 | 17 | 7 | 7 | 3 | 16 +INPUTP | 3 | 117 | 123 | 153 +IMPORTS | 1 | 3 | 16 +EQ | 25 | + ram/RAMDIS2.T = ram/RAMDIS2 & nAS_FSB & !fsb/ASrf + # ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & + ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # ram/Once & !cnt/RefDone & !ram/RAMDIS2 & + ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & + !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & + !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf +;Imported pterms FB4_17 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf; + ram/RAMDIS2.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 2 | nDTACK_FSB_OBUF +ATTRIBUTES | 8815362 | 0 +OUTPUTMC | 4 | 0 | 2 | 0 | 1 | 0 | 3 | 0 | 4 +INPUTS | 25 | A_FSB<9> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | cs/nOverlay1 | TimeoutA | nWE_FSB | fsb/Ready2r | nDTACK_FSB | fsb/Ready1r | iobs/IOReady | nADoutLE1 | EXP15_.EXP | EXP16_.EXP +INPUTMC | 9 | 7 | 7 | 7 | 16 | 0 | 11 | 0 | 2 | 2 | 15 | 2 | 6 | 0 | 8 | 0 | 1 | 0 | 3 +INPUTP | 16 | 96 | 147 | 136 | 146 | 144 | 143 | 23 | 21 | 123 | 153 | 134 | 22 | 103 | 18 | 109 | 105 +IMPORTS | 2 | 0 | 1 | 0 | 3 +EQ | 56 | + nDTACK_FSB.D = A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & !nADoutLE1 + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<22> & + A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r & nDTACK_FSB + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<22> & + A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r & nDTACK_FSB + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & + !A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & + A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & + !A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & + !A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r & + nDTACK_FSB +;Imported pterms FB1_2 + # A_FSB<23> & BERR_IOBS & TimeoutB & nDTACK_FSB + # A_FSB<23> & TimeoutB & fsb/BERR1r & nDTACK_FSB + # !A_FSB<22> & TimeoutB & fsb/BERR1r & nDTACK_FSB + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB +;Imported pterms FB1_4 + # !A_FSB<20> & TimeoutB & fsb/BERR1r & nDTACK_FSB + # !A_FSB<22> & BERR_IOBS & TimeoutB & nDTACK_FSB + # A_FSB<21> & TimeoutB & fsb/BERR1r & nDTACK_FSB + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady +;Imported pterms FB1_5 + # BERR_IOBS & fsb/BERR0r & nDTACK_FSB + # fsb/BERR0r & fsb/BERR1r & nDTACK_FSB + # !A_FSB<20> & BERR_IOBS & TimeoutB & nDTACK_FSB + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB + # A_FSB<21> & BERR_IOBS & TimeoutB & nDTACK_FSB +;Imported pterms FB1_6 + # nAS_FSB & !fsb/ASrf + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !BERR_IOBS & !fsb/BERR1r & fsb/Ready1r + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !BERR_IOBS & !fsb/BERR1r & iobs/IOReady + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !TimeoutB & !fsb/BERR0r & fsb/Ready1r + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !TimeoutB & !fsb/BERR0r & iobs/IOReady; + nDTACK_FSB.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 5 | ram/RASEL +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 11 | 5 | 8 | 1 | 13 | 3 | 0 | 7 | 5 | 5 | 1 | 5 | 5 | 3 | 7 | 7 | 8 | 6 | 1 | 6 | 5 | 6 | 8 +INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | EXP30_.EXP | EXP31_.EXP +INPUTMC | 11 | 3 | 3 | 7 | 15 | 2 | 8 | 7 | 10 | 5 | 0 | 5 | 3 | 7 | 3 | 7 | 2 | 7 | 17 | 3 | 4 | 3 | 6 +INPUTP | 2 | 123 | 117 +IMPORTS | 2 | 3 | 4 | 3 | 6 +EQ | 51 | + ram/RASEL.D = !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # A_FSB<23> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> +;Imported pterms FB4_5 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB4_4 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> +;Imported pterms FB4_7 + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf +;Imported pterms FB4_8 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7>; + ram/RASEL.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 3 | ram/RS_FSM_FFd2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 18 | 2 | 9 | 3 | 17 | 3 | 5 | 3 | 3 | 2 | 8 | 7 | 15 | 5 | 9 | 3 | 9 | 3 | 10 | 2 | 7 | 3 | 1 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 14 | 3 | 16 | 7 | 14 | 7 | 16 +INPUTS | 12 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<6> | ram/BACTr | A_FSB<22> | A_FSB<21> | cnt/RefCnt<5> | cnt/RefCnt<7> | cs/nOverlay1 | EXP29_.EXP +INPUTMC | 10 | 3 | 3 | 7 | 15 | 7 | 10 | 2 | 8 | 7 | 2 | 5 | 0 | 7 | 3 | 7 | 17 | 7 | 7 | 3 | 2 +INPUTP | 2 | 153 | 134 +EXPORTS | 1 | 3 | 4 +IMPORTS | 1 | 3 | 2 +EQ | 34 | + !ram/RS_FSM_FFd2.T = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & ram/BACTr +;Imported pterms FB4_3 + # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & ram/BACTr + # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/BACTr & + !cnt/RefCnt<7> + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<7> & !fsb/ASrf +;Imported pterms FB4_2 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf; + ram/RS_FSM_FFd2.CLK = CLK_FSB; // GCK + ram/RS_FSM_FFd2.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 8 | ram/RS_FSM_FFd1 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 23 | 2 | 9 | 3 | 17 | 3 | 5 | 3 | 3 | 2 | 8 | 7 | 15 | 5 | 9 | 3 | 9 | 3 | 13 | 2 | 7 | 3 | 1 | 3 | 2 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 16 | 7 | 14 | 7 | 16 +INPUTS | 11 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | BERR_IOBS.EXP +INPUTMC | 7 | 7 | 7 | 2 | 9 | 3 | 3 | 2 | 8 | 7 | 15 | 5 | 3 | 2 | 7 +INPUTP | 4 | 123 | 153 | 117 | 134 +EXPORTS | 1 | 2 | 9 +IMPORTS | 1 | 2 | 7 +EQ | 20 | + ram/RS_FSM_FFd1.T = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 +;Imported pterms FB3_8 + # ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & fsb/ASrf; + ram/RS_FSM_FFd1.CLK = CLK_FSB; // GCK + ram/RS_FSM_FFd1.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & fsb/ASrf +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 15 | ram/RS_FSM_FFd3 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 16 | 2 | 9 | 3 | 17 | 3 | 5 | 3 | 3 | 2 | 8 | 7 | 15 | 3 | 9 | 3 | 10 | 2 | 7 | 3 | 1 | 3 | 2 | 3 | 6 | 3 | 14 | 3 | 16 | 7 | 14 | 7 | 16 +INPUTS | 11 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/Once | cnt/RefCnt<6> | cnt/RefCnt<7> | cnt/RefDone | cnt/RefCnt<5> | nAoutOE_OBUF.EXP | TimeoutA.EXP +INPUTMC | 10 | 3 | 3 | 2 | 8 | 7 | 15 | 2 | 9 | 7 | 2 | 7 | 17 | 7 | 10 | 7 | 3 | 7 | 14 | 7 | 16 +INPUTP | 1 | 123 +IMPORTS | 2 | 7 | 14 | 7 | 16 +EQ | 27 | + !ram/RS_FSM_FFd3.T = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # ram/Once & cnt/RefDone & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !cnt/RefCnt<5> + # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !cnt/RefCnt<6> + # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !cnt/RefCnt<7> +;Imported pterms FB8_15 + # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !fsb/ASrf +;Imported pterms FB8_17 + # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf; + ram/RS_FSM_FFd3.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 11 | iobs/PS_FSM_FFd2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 18 | 2 | 13 | 2 | 7 | 0 | 15 | 5 | 17 | 5 | 15 | 2 | 2 | 2 | 6 | 2 | 11 | 2 | 5 | 2 | 17 | 5 | 10 | 0 | 14 | 0 | 8 | 0 | 16 | 0 | 17 | 2 | 10 | 2 | 12 | 2 | 14 +INPUTS | 17 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nWE_FSB | nVMA_IOB_OBUF.EXP +INPUTMC | 7 | 2 | 11 | 2 | 5 | 0 | 8 | 2 | 13 | 5 | 3 | 7 | 7 | 2 | 10 +INPUTP | 10 | 123 | 117 | 147 | 136 | 146 | 144 | 143 | 153 | 134 | 105 +IMPORTS | 1 | 2 | 10 +EQ | 26 | + iobs/PS_FSM_FFd2.T = !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1 + # A_FSB<23> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf +;Imported pterms FB3_11 + # iobs/PS_FSM_FFd1 & iobs/IOACTr + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf; + iobs/PS_FSM_FFd2.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 5 | iobs/PS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 17 | 2 | 13 | 0 | 15 | 5 | 17 | 5 | 15 | 2 | 2 | 2 | 11 | 2 | 5 | 2 | 17 | 5 | 10 | 0 | 16 | 0 | 8 | 0 | 0 | 0 | 14 | 0 | 17 | 2 | 10 | 2 | 12 | 2 | 14 +INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr +INPUTMC | 3 | 2 | 11 | 2 | 5 | 5 | 2 +EQ | 3 | + iobs/PS_FSM_FFd1.D = iobs/PS_FSM_FFd2 + # iobs/PS_FSM_FFd1 & iobs/IOACTr; + iobs/PS_FSM_FFd1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 13 | iobm/ES<0> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 2 | 9 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 | 2 | 4 +INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> +INPUTMC | 7 | 6 | 13 | 4 | 16 | 6 | 6 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 +EQ | 6 | + !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 + # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> & iobm/Er + # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> & !iobm/Er2; + iobm/ES<0>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 6 | 12 | iobm/ES<1> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 7 | 2 | 9 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 | 2 | 4 +INPUTS | 4 | iobm/ES<0> | iobm/ES<1> | iobm/Er | iobm/Er2 +INPUTMC | 4 | 6 | 13 | 6 | 12 | 4 | 16 | 6 | 6 +EQ | 4 | + !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> + # !iobm/ES<0> & !iobm/ES<1> + # !iobm/Er & iobm/Er2; + iobm/ES<1>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 12 | iobm/IOS_FSM_FFd3 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 10 | 1 | 15 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 8 | 1 | 16 | 6 | 16 | 1 | 14 | 1 | 10 | 1 | 11 +INPUTS | 10 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr +INPUTMC | 9 | 1 | 12 | 1 | 3 | 2 | 3 | 1 | 6 | 1 | 5 | 6 | 9 | 6 | 7 | 6 | 3 | 6 | 2 +INPUTP | 1 | 42 +EQ | 9 | + !iobm/IOS_FSM_FFd3.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/ETACK + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/BERRrf & + iobm/BERRrr + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/DTACKrf & + iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/RESrf & + iobm/RESrr; + iobm/IOS_FSM_FFd3.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 6 | 17 | iobm/ES<2> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 2 | 9 | 6 | 13 | 6 | 17 | 6 | 10 | 6 | 15 | 2 | 4 +INPUTS | 7 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/Er | iobm/Er2 | iobm/ES<3> | iobm/ES<4> +INPUTMC | 7 | 6 | 13 | 6 | 17 | 6 | 12 | 4 | 16 | 6 | 6 | 6 | 10 | 6 | 15 +EQ | 6 | + !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> + # !iobm/ES<1> & !iobm/ES<2> + # !iobm/Er & iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> + # !iobm/ES<2> & !iobm/ES<3> & iobm/ES<4>; + iobm/ES<2>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 6 | 10 | iobm/ES<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 2 | 9 | 6 | 13 | 6 | 17 | 6 | 10 | 6 | 15 | 2 | 4 +INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> +INPUTMC | 6 | 6 | 10 | 4 | 16 | 6 | 6 | 6 | 13 | 6 | 12 | 6 | 17 +EQ | 4 | + iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & !iobm/Er2; + iobm/ES<3>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 6 | 15 | iobm/ES<4> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 2 | 9 | 6 | 13 | 6 | 17 | 6 | 15 | 2 | 4 +INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> +INPUTMC | 7 | 6 | 15 | 4 | 16 | 6 | 6 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 +EQ | 8 | + iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & + iobm/ES<3> & iobm/Er + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & + iobm/ES<3> & !iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & iobm/ES<4>; + iobm/ES<4>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 3 | iobm/IOS_FSM_FFd4 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 9 | 1 | 12 | 1 | 17 | 1 | 8 | 1 | 16 | 6 | 16 | 1 | 14 | 1 | 10 | 1 | 11 | 1 | 0 +INPUTS | 1 | iobm/IOS_FSM_FFd5 +INPUTMC | 1 | 1 | 2 +EQ | 2 | + iobm/IOS_FSM_FFd4.D = iobm/IOS_FSM_FFd5; + iobm/IOS_FSM_FFd4.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 2 | iobm/IOS_FSM_FFd5 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 1 | 3 | 1 | 17 | 1 | 8 | 1 | 16 | 1 | 14 | 1 | 10 | 1 | 11 | 1 | 0 +INPUTS | 1 | iobm/IOS_FSM_FFd6 +INPUTMC | 1 | 1 | 1 +EQ | 2 | + iobm/IOS_FSM_FFd5.D = iobm/IOS_FSM_FFd6; + iobm/IOS_FSM_FFd5.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 1 | iobm/IOS_FSM_FFd6 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 1 | 2 | 1 | 17 | 1 | 8 | 1 | 16 | 1 | 14 | 1 | 10 | 1 | 11 | 1 | 0 +INPUTS | 4 | iobm/IOS_FSM_FFd7 | CLK_IOB | iobm/IOREQr | iobm/IOS_FSM_FFd8 +INPUTMC | 3 | 1 | 0 | 6 | 4 | 1 | 7 +INPUTP | 1 | 42 +EXPORTS | 1 | 1 | 0 +EQ | 3 | + iobm/IOS_FSM_FFd6.D = iobm/IOS_FSM_FFd7; + iobm/IOS_FSM_FFd6.CLK = CLK2X_IOB; // GCK + iobm/IOS_FSM_FFd6.EXP = !CLK_IOB & iobm/IOREQr & iobm/IOS_FSM_FFd8 +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 0 | iobm/IOS_FSM_FFd7 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 1 | 1 | 1 | 17 | 1 | 8 | 1 | 16 | 1 | 14 | 1 | 10 | 1 | 11 | 1 | 0 +INPUTS | 14 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | CLK_IOB | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | iobm/IOS_FSM_FFd8 | iobm/BERRrf | iobm/BERRrr | iobm/IOS_FSM_FFd6.EXP +INPUTMC | 13 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 6 | 4 | 6 | 9 | 6 | 7 | 6 | 3 | 6 | 2 | 1 | 7 | 1 | 6 | 1 | 5 | 1 | 1 +INPUTP | 1 | 42 +EXPORTS | 1 | 1 | 17 +IMPORTS | 1 | 1 | 1 +EQ | 18 | + iobm/IOS_FSM_FFd7.D = ;Imported pterms FB2_2 + !CLK_IOB & iobm/IOREQr & iobm/IOS_FSM_FFd8; + iobm/IOS_FSM_FFd7.CLK = CLK2X_IOB; // GCK + iobm/IOS_FSM_FFd7.EXP = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/RESrf & iobm/RESrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/BERRrf & iobm/BERRrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/RESrf & iobm/RESrr +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 2 | iobs/IOACTr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 2 | 7 | 2 | 6 | 2 | 10 | 2 | 5 | 0 | 17 +INPUTS | 1 | IOACT +INPUTMC | 1 | 1 | 17 +EQ | 2 | + iobs/IOACTr.D = IOACT; + iobs/IOACTr.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 17 | cnt/RefCnt<0> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 10 | 7 | 10 | 7 | 16 | 7 | 13 | 7 | 3 | 7 | 2 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 +INPUTS | 0 +EQ | 2 | + cnt/RefCnt<0>.T = Vcc; + cnt/RefCnt<0>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 3 | cnt/RefCnt<5> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 17 | 7 | 10 | 7 | 16 | 7 | 13 | 3 | 17 | 3 | 5 | 3 | 2 | 7 | 15 | 7 | 2 | 7 | 17 | 3 | 7 | 3 | 11 | 3 | 1 | 3 | 3 | 3 | 6 | 3 | 10 | 3 | 15 | 3 | 16 +INPUTS | 5 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 5 | 4 | 17 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 +EQ | 3 | + cnt/RefCnt<5>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & + cnt/RefCnt<3> & cnt/RefCnt<4>; + cnt/RefCnt<5>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 2 | cnt/RefCnt<6> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 17 | 7 | 10 | 7 | 16 | 7 | 13 | 3 | 17 | 3 | 5 | 3 | 3 | 7 | 15 | 7 | 17 | 3 | 7 | 3 | 11 | 3 | 1 | 3 | 2 | 3 | 6 | 3 | 10 | 3 | 15 | 3 | 16 | 7 | 1 +INPUTS | 15 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | nAS_FSB | BERR_IOBS | fsb/BERR1r | TimeoutB | fsb/BERR0r | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> +INPUTMC | 10 | 4 | 17 | 7 | 3 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 2 | 7 | 7 | 6 | 7 | 13 | 0 | 9 +INPUTP | 5 | 117 | 147 | 123 | 153 | 134 +EXPORTS | 1 | 7 | 1 +EQ | 8 | + cnt/RefCnt<6>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & + cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; + cnt/RefCnt<6>.CLK = CLK_FSB; // GCK + cnt/RefCnt<6>.EXP = nAS_FSB + # !BERR_IOBS & !fsb/BERR1r + # !TimeoutB & !fsb/BERR0r + # A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & + !fsb/BERR0r +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 3 | iobm/ETACK +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 1 | 15 | 1 | 12 | 1 | 17 | 1 | 9 | 2 | 2 +INPUTS | 9 | A_FSB<19> | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | nRAS_OBUF.EXP +INPUTMC | 2 | 7 | 7 | 2 | 4 +INPUTP | 7 | 136 | 123 | 134 | 146 | 144 | 143 | 153 +EXPORTS | 1 | 2 | 2 +IMPORTS | 1 | 2 | 4 +EQ | 10 | + iobm/ETACK.D = ;Imported pterms FB3_5 + !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & iobm/ES<4>; + iobm/ETACK.CLK = CLK2X_IOB; // GCK + iobm/ETACK.EXP = !A_FSB<19> & !A_FSB<23> & A_FSB<21> + # !A_FSB<18> & !A_FSB<23> & A_FSB<21> + # !A_FSB<17> & !A_FSB<23> & A_FSB<21> + # !A_FSB<16> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 6 | 4 | iobm/IOREQr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 1 | 8 | 1 | 7 | 1 | 17 | 1 | 0 | 1 | 1 +INPUTS | 1 | IOREQ +INPUTMC | 1 | 0 | 16 +EQ | 2 | + iobm/IOREQr.D = IOREQ; + !iobm/IOREQr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 7 | iobm/IOS_FSM_FFd8 +ATTRIBUTES | 8553220 | 0 +OUTPUTMC | 5 | 1 | 8 | 1 | 7 | 1 | 17 | 1 | 0 | 1 | 1 +INPUTS | 4 | iobm/IOS_FSM_FFd8 | iobm/IOS_FSM_FFd1 | CLK_IOB | iobm/IOREQr +INPUTMC | 3 | 1 | 7 | 1 | 4 | 6 | 4 +INPUTP | 1 | 42 +EQ | 3 | + !iobm/IOS_FSM_FFd8.D = !iobm/IOS_FSM_FFd8 & !iobm/IOS_FSM_FFd1 + # !CLK_IOB & iobm/IOREQr & !iobm/IOS_FSM_FFd1; + iobm/IOS_FSM_FFd8.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 0 | ram/BACTr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 11 | 3 | 5 | 3 | 3 | 3 | 9 | 3 | 13 | 3 | 2 | 3 | 4 | 3 | 6 | 3 | 8 | 3 | 10 | 3 | 12 | 3 | 14 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 5 | 3 +INPUTP | 1 | 117 +EQ | 2 | + !ram/BACTr.D = nAS_FSB & !fsb/ASrf; + ram/BACTr.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 17 | IOACT +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 2 | 9 | 5 | 2 +INPUTS | 12 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/IOS_FSM_FFd8 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/IOS_FSM_FFd7.EXP +INPUTMC | 11 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 6 | 4 | 1 | 7 | 2 | 3 | 1 | 6 | 1 | 5 | 1 | 0 +INPUTP | 1 | 42 +IMPORTS | 1 | 1 | 0 +EQ | 32 | + !IOACT.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & + !iobm/IOREQr + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & + !iobm/IOS_FSM_FFd8 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & iobm/ETACK & + !iobm/IOREQr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & iobm/ETACK & + !iobm/IOS_FSM_FFd8 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/BERRrf & iobm/BERRrr +;Imported pterms FB2_1 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/RESrf & iobm/RESrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/BERRrf & iobm/BERRrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/RESrf & iobm/RESrr; + IOACT.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 7 | cnt/RefCnt<1> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 9 | 7 | 10 | 7 | 16 | 7 | 13 | 7 | 3 | 7 | 2 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 +INPUTS | 1 | cnt/RefCnt<0> +INPUTMC | 1 | 4 | 17 +EQ | 2 | + cnt/RefCnt<1>.T = cnt/RefCnt<0>; + cnt/RefCnt<1>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 6 | cnt/RefCnt<2> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 8 | 7 | 10 | 7 | 16 | 7 | 13 | 7 | 3 | 7 | 2 | 5 | 4 | 7 | 4 | 7 | 17 +INPUTS | 2 | cnt/RefCnt<0> | cnt/RefCnt<1> +INPUTMC | 2 | 4 | 17 | 5 | 7 +EQ | 2 | + cnt/RefCnt<2>.T = cnt/RefCnt<0> & cnt/RefCnt<1>; + cnt/RefCnt<2>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 4 | cnt/RefCnt<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 7 | 10 | 7 | 16 | 7 | 13 | 7 | 3 | 7 | 2 | 7 | 4 | 7 | 17 +INPUTS | 3 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> +INPUTMC | 3 | 4 | 17 | 5 | 7 | 5 | 6 +EQ | 2 | + cnt/RefCnt<3>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2>; + cnt/RefCnt<3>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 4 | cnt/RefCnt<4> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 7 | 10 | 7 | 16 | 7 | 13 | 7 | 3 | 7 | 2 | 7 | 17 +INPUTS | 4 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> +INPUTMC | 4 | 4 | 17 | 5 | 7 | 5 | 6 | 5 | 4 +EQ | 3 | + cnt/RefCnt<4>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & + cnt/RefCnt<3>; + cnt/RefCnt<4>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 17 | cnt/RefCnt<7> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 16 | 7 | 10 | 7 | 16 | 7 | 13 | 3 | 17 | 3 | 5 | 3 | 2 | 7 | 15 | 3 | 7 | 3 | 11 | 3 | 1 | 3 | 3 | 3 | 6 | 3 | 10 | 3 | 15 | 3 | 16 | 7 | 0 +INPUTS | 15 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | A_FSB<20> | TimeoutB | fsb/BERR0r | fsb/VPA | $OpTx$INV$223 | A_FSB<23> | A_FSB<22> | A_FSB<21> +INPUTMC | 11 | 4 | 17 | 7 | 3 | 7 | 2 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 13 | 0 | 9 | 7 | 0 | 0 | 13 +INPUTP | 4 | 147 | 123 | 153 | 134 +EXPORTS | 1 | 7 | 0 +EQ | 11 | + cnt/RefCnt<7>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; + cnt/RefCnt<7>.CLK = CLK_FSB; // GCK + cnt/RefCnt<7>.EXP = !A_FSB<20> & !TimeoutB & !fsb/BERR0r & fsb/VPA & + !$OpTx$INV$223 + # !A_FSB<23> & !TimeoutB & !fsb/BERR0r & fsb/VPA & + !$OpTx$INV$223 + # !A_FSB<22> & !TimeoutB & !fsb/BERR0r & fsb/VPA & + !$OpTx$INV$223 + # !A_FSB<21> & !TimeoutB & !fsb/BERR0r & fsb/VPA & + !$OpTx$INV$223 +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 16 | iobm/Er +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 | 6 | 6 +INPUTS | 1 | E_IOB +INPUTP | 1 | 72 +EQ | 2 | + iobm/Er.D = E_IOB; + !iobm/Er.CLK = CLK_IOB; // GCK +GLOBALS | 1 | 2 | CLK_IOB + +MACROCELL | 5 | 9 | RefAck +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 7 | 10 | 2 | 4 +INPUTS | 2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 +INPUTMC | 2 | 3 | 3 | 2 | 8 +EQ | 2 | + RefAck.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1; + RefAck.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 6 | iobm/Er2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 +INPUTS | 1 | iobm/Er +INPUTMC | 1 | 4 | 16 +EQ | 2 | + iobm/Er2.D = iobm/Er; + iobm/Er2.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 9 | iobm/IOS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 1 | 4 | 1 | 14 +INPUTS | 9 | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr +INPUTMC | 8 | 1 | 12 | 2 | 3 | 1 | 6 | 1 | 5 | 6 | 9 | 6 | 7 | 6 | 3 | 6 | 2 +INPUTP | 1 | 42 +EQ | 8 | + iobm/IOS_FSM_FFd2.D = iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/ETACK + # iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/BERRrf & + iobm/BERRrr + # iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/DTACKrf & + iobm/DTACKrr + # iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/RESrf & + iobm/RESrr; + iobm/IOS_FSM_FFd2.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 6 | 0 | iobm/VPArf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 2 | 9 +INPUTS | 1 | nVPA_IOB +INPUTP | 1 | 44 +EQ | 2 | + iobm/VPArf.D = !nVPA_IOB; + !iobm/VPArf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 15 | iobm/VPArr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 2 | 9 +INPUTS | 1 | nVPA_IOB +INPUTP | 1 | 44 +EQ | 2 | + iobm/VPArr.D = !nVPA_IOB; + iobm/VPArr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 2 | 17 | iobs/Load1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 5 | 13 | 5 | 12 +INPUTS | 10 | nADoutLE1 | iobs/Once | A_FSB<20> | A_FSB<23> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP24_.EXP | EXP27_.EXP +INPUTMC | 7 | 0 | 8 | 2 | 13 | 2 | 11 | 2 | 5 | 5 | 3 | 2 | 0 | 2 | 16 +INPUTP | 3 | 147 | 123 | 117 +IMPORTS | 2 | 2 | 0 | 2 | 16 +EQ | 17 | + !iobs/Load1.D = iobs/Once + # !nADoutLE1 + # !A_FSB<20> & !A_FSB<23> + # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 +;Imported pterms FB3_1 + # !A_FSB<18> & !A_FSB<23> & A_FSB<21> + # !A_FSB<17> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 +;Imported pterms FB3_17 + # !A_FSB<19> & !A_FSB<23> & A_FSB<21> + # !A_FSB<16> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB; + iobs/Load1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 8 | ALE0M +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 6 | 14 +INPUTS | 7 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/IOS_FSM_FFd8 +INPUTMC | 7 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 6 | 4 | 1 | 7 +EQ | 7 | + !ALE0M.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & + !iobm/IOREQr + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & + !iobm/IOS_FSM_FFd8; + ALE0M.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 10 | ALE0S +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 6 | 14 +INPUTS | 2 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 +INPUTMC | 2 | 2 | 11 | 2 | 5 +EQ | 2 | + ALE0S.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; + ALE0S.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 16 | IOREQ +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 6 | 4 | 0 | 15 +INPUTS | 17 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | fsb/ASrf | A_FSB<21> | EXP23_.EXP +INPUTMC | 8 | 2 | 5 | 0 | 8 | 7 | 7 | 2 | 13 | 0 | 15 | 2 | 11 | 5 | 3 | 0 | 17 +INPUTP | 9 | 147 | 136 | 146 | 144 | 143 | 153 | 105 | 117 | 134 +EXPORTS | 1 | 0 | 15 +IMPORTS | 1 | 0 | 17 +EQ | 42 | + IOREQ.D = !iobs/PS_FSM_FFd1 & !nADoutLE1 +;Imported pterms FB1_18 + # iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # iobs/PS_FSM_FFd2 & !iobs/IOACTr + # A_FSB<23> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd1 + # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd1 & + fsb/ASrf + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + !nAS_FSB & !iobs/PS_FSM_FFd1 +;Imported pterms FB1_1 + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf; + IOREQ.CLK = CLK_FSB; // GCK + IOREQ.EXP = A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & IORW0 & + !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & IORW0 & + !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 3 | fsb/ASrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 45 | 7 | 7 | 2 | 13 | 2 | 9 | 2 | 7 | 0 | 15 | 7 | 16 | 7 | 9 | 7 | 13 | 0 | 5 | 7 | 6 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 1 | 2 | 6 | 3 | 17 | 0 | 0 | 3 | 5 | 3 | 2 | 2 | 8 | 7 | 14 | 2 | 11 | 5 | 0 | 2 | 17 | 0 | 14 | 3 | 9 | 3 | 13 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 2 | 10 | 2 | 12 | 2 | 14 | 3 | 1 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 12 | 3 | 14 | 3 | 16 | 7 | 1 +INPUTS | 1 | nAS_FSB +INPUTP | 1 | 117 +EQ | 2 | + fsb/ASrf.D = !nAS_FSB; + !fsb/ASrf.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 6 | iobm/BERRrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 1 | 15 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 0 | 1 | 14 +INPUTS | 1 | nBERR_IOB +INPUTP | 1 | 92 +EQ | 2 | + iobm/BERRrf.D = !nBERR_IOB; + !iobm/BERRrf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 5 | iobm/BERRrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 1 | 15 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 0 | 1 | 14 +INPUTS | 1 | nBERR_IOB +INPUTP | 1 | 92 +EQ | 2 | + iobm/BERRrr.D = !nBERR_IOB; + iobm/BERRrr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 6 | 9 | iobm/DTACKrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 1 | 15 | 1 | 12 | 1 | 14 | 1 | 9 | 1 | 0 +INPUTS | 1 | nDTACK_IOB +INPUTP | 1 | 77 +EQ | 2 | + iobm/DTACKrf.D = !nDTACK_IOB; + !iobm/DTACKrf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 6 | 7 | iobm/DTACKrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 1 | 15 | 1 | 12 | 1 | 14 | 1 | 9 | 1 | 0 +INPUTS | 1 | nDTACK_IOB +INPUTP | 1 | 77 +EQ | 2 | + iobm/DTACKrr.D = !nDTACK_IOB; + iobm/DTACKrr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 4 | iobm/IOS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 1 | 7 +INPUTS | 1 | iobm/IOS_FSM_FFd2 +INPUTMC | 1 | 1 | 9 +EQ | 2 | + iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd2; + iobm/IOS_FSM_FFd1.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 6 | 3 | iobm/RESrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 1 | 15 | 1 | 12 | 1 | 16 | 1 | 9 | 1 | 0 +INPUTS | 1 | nRES +INPUTP | 1 | 160 +EQ | 2 | + iobm/RESrf.D = !nRES; + !iobm/RESrf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 6 | 2 | iobm/RESrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 1 | 15 | 1 | 12 | 1 | 16 | 1 | 9 | 1 | 0 +INPUTS | 1 | nRES +INPUTP | 1 | 160 +EQ | 2 | + iobm/RESrr.D = !nRES; + iobm/RESrr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 9 | ram/RAMDIS1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 2 | 4 | 2 | 14 | 3 | 16 +INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP32_.EXP | EXP33_.EXP +INPUTMC | 8 | 3 | 3 | 2 | 8 | 7 | 15 | 7 | 10 | 5 | 0 | 5 | 3 | 3 | 8 | 3 | 10 +INPUTP | 2 | 123 | 117 +IMPORTS | 2 | 3 | 8 | 3 | 10 +EQ | 38 | + ram/RAMDIS1.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # A_FSB<23> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/BACTr & fsb/ASrf +;Imported pterms FB4_9 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB4_11 + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # ram/Once & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf +;Imported pterms FB4_12 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7>; + ram/RAMDIS1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 13 | ram/RAMReady +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 7 | 12 | 0 | 1 | 0 | 13 | 0 | 3 +INPUTS | 12 | A_FSB<21> | cs/nOverlay1 | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | A_FSB<23> | A_FSB<22> | ram/Once | fsb/ASrf | EXP34_.EXP | EXP35_.EXP +INPUTMC | 8 | 7 | 7 | 7 | 10 | 2 | 8 | 5 | 0 | 2 | 9 | 5 | 3 | 3 | 12 | 3 | 14 +INPUTP | 4 | 134 | 117 | 123 | 153 +IMPORTS | 2 | 3 | 12 | 3 | 14 +EQ | 33 | + !ram/RAMReady.D = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<21> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<21> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd1 +;Imported pterms FB4_13 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf +;Imported pterms FB4_15 + # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 + # A_FSB<23> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/BACTr & fsb/ASrf +;Imported pterms FB4_16 + # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7>; + ram/RAMReady.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 16 | nAS_IOB_OBUF +ATTRIBUTES | 8684290 | 0 +OUTPUTMC | 1 | 1 | 15 +INPUTS | 10 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | nBERR_IOB | IOBERR | CLK_IOB | iobm/RESrf | iobm/RESrr +INPUTMC | 8 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 1 | 15 | 6 | 3 | 6 | 2 +INPUTP | 2 | 92 | 42 +EXPORTS | 1 | 1 | 15 +EQ | 5 | + nAS_IOB.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7; + !nAS_IOB.CLK = CLK2X_IOB; // GCK + nAS_IOB_OBUF.EXP = !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/RESrf & iobm/RESrr +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 8 | nCAS_OBUF +ATTRIBUTES | 8684290 | 0 +INPUTS | 1 | ram/RASEL +INPUTMC | 1 | 3 | 5 +EQ | 2 | + nCAS.D = !ram/RASEL; + !nCAS.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 16 | nDinLE_OBUF +ATTRIBUTES | 8684290 | 0 +INPUTS | 2 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 +INPUTMC | 2 | 1 | 12 | 1 | 3 +EQ | 2 | + !nDinLE.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4; + !nDinLE.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 14 | nDoutOE_OBUF +ATTRIBUTES | 8684290 | 0 +OUTPUTMC | 1 | 1 | 15 +INPUTS | 14 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd2 | nBERR_IOB | IOBERR | CLK_IOB | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr +INPUTMC | 12 | 0 | 15 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 1 | 9 | 1 | 15 | 1 | 6 | 1 | 5 | 6 | 9 | 6 | 7 +INPUTP | 2 | 92 | 42 +EXPORTS | 1 | 1 | 15 +EQ | 9 | + nDoutOE.D = !IORW0 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & + !iobm/IOS_FSM_FFd2; + nDoutOE.CLK = CLK2X_IOB; // GCK + nDoutOE_OBUF.EXP = !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/BERRrf & iobm/BERRrr + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/DTACKrf & iobm/DTACKrr +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 10 | nLDS_IOB_OBUF +ATTRIBUTES | 8684290 | 0 +INPUTS | 7 | IOL0 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 +INPUTMC | 7 | 5 | 17 | 0 | 15 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 +EQ | 6 | + nLDS_IOB.D = !IOL0 + # IORW0 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7; + !nLDS_IOB.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 11 | nUDS_IOB_OBUF +ATTRIBUTES | 8684290 | 0 +INPUTS | 7 | IOU0 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 +INPUTMC | 7 | 5 | 15 | 0 | 15 | 1 | 12 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 +EQ | 6 | + nUDS_IOB.D = !IOU0 + # IORW0 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7; + !nUDS_IOB.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 13 | RA_0_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<10> | ram/RASEL | A_FSB<1> +INPUTMC | 1 | 3 | 5 +INPUTP | 2 | 109 | 26 +EQ | 2 | + RA<0> = A_FSB<10> & !ram/RASEL + # ram/RASEL & A_FSB<1>; + +MACROCELL | 3 | 1 | RA_1_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 2 +INPUTS | 14 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd2 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | EXP28_.EXP +INPUTMC | 10 | 7 | 7 | 2 | 8 | 7 | 15 | 5 | 3 | 7 | 10 | 3 | 3 | 7 | 3 | 7 | 2 | 7 | 17 | 3 | 0 +INPUTP | 4 | 123 | 153 | 117 | 134 +EXPORTS | 1 | 3 | 2 +IMPORTS | 1 | 3 | 0 +EQ | 14 | + RA<1> = ;Imported pterms FB4_1 + A_FSB<11> & !ram/RASEL + # ram/RASEL & A_FSB<2>; + RA_1_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf + +MACROCELL | 7 | 5 | RA_2_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<12> | ram/RASEL | A_FSB<3> +INPUTMC | 1 | 3 | 5 +INPUTP | 2 | 103 | 127 +EQ | 2 | + RA<2> = A_FSB<12> & !ram/RASEL + # ram/RASEL & A_FSB<3>; + +MACROCELL | 5 | 1 | RA_3_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<4> +INPUTMC | 1 | 3 | 5 +INPUTP | 2 | 21 | 88 +EQ | 2 | + RA<3> = A_FSB<13> & !ram/RASEL + # ram/RASEL & A_FSB<4>; + +MACROCELL | 5 | 5 | RA_4_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<5> +INPUTMC | 1 | 3 | 5 +INPUTP | 2 | 22 | 37 +EQ | 2 | + RA<4> = A_FSB<14> & !ram/RASEL + # ram/RASEL & A_FSB<5>; + +MACROCELL | 3 | 7 | RA_5_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 6 +INPUTS | 16 | A_FSB<15> | ram/RASEL | A_FSB<6> | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 10 | 3 | 5 | 7 | 7 | 2 | 9 | 3 | 3 | 2 | 8 | 5 | 3 | 7 | 10 | 7 | 3 | 7 | 2 | 7 | 17 +INPUTP | 6 | 23 | 29 | 123 | 153 | 134 | 117 +EXPORTS | 1 | 3 | 6 +EQ | 11 | + RA<5> = A_FSB<15> & !ram/RASEL + # ram/RASEL & A_FSB<6>; + RA_5_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +MACROCELL | 7 | 8 | RA_6_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<16> | ram/RASEL | A_FSB<7> +INPUTMC | 1 | 3 | 5 +INPUTP | 2 | 143 | 155 +EQ | 2 | + RA<6> = A_FSB<16> & !ram/RASEL + # ram/RASEL & A_FSB<7>; + +MACROCELL | 6 | 1 | RA_7_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<8> +INPUTMC | 1 | 3 | 5 +INPUTP | 2 | 144 | 82 +EQ | 2 | + RA<7> = A_FSB<17> & !ram/RASEL + # ram/RASEL & A_FSB<8>; + +MACROCELL | 6 | 5 | RA_8_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<18> | ram/RASEL | A_FSB<9> +INPUTMC | 1 | 3 | 5 +INPUTP | 2 | 146 | 96 +EQ | 2 | + RA<8> = A_FSB<9> & ram/RASEL + # A_FSB<18> & !ram/RASEL; + +MACROCELL | 6 | 8 | RA_9_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> +INPUTMC | 1 | 3 | 5 +INPUTP | 2 | 136 | 147 +EQ | 2 | + RA<9> = A_FSB<20> & ram/RASEL + # A_FSB<19> & !ram/RASEL; + +MACROCELL | 5 | 11 | nOE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | nWE_FSB | nAS_FSB +INPUTP | 2 | 105 | 117 +EQ | 1 | + !nOE = nWE_FSB & !nAS_FSB; + +MACROCELL | 5 | 14 | nROMWE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | nWE_FSB | nAS_FSB +INPUTP | 2 | 105 | 117 +EQ | 1 | + !nROMWE = !nWE_FSB & !nAS_FSB; + +MACROCELL | 5 | 16 | nVPA_FSB_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | fsb/VPA | nAS_FSB +INPUTMC | 1 | 7 | 0 +INPUTP | 1 | 117 +EQ | 1 | + !nVPA_FSB = fsb/VPA & !nAS_FSB; + +MACROCELL | 6 | 14 | nADoutLE0_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | ALE0M | ALE0S +INPUTMC | 2 | 1 | 8 | 5 | 10 +EQ | 1 | + nADoutLE0 = !ALE0M & !ALE0S; + +MACROCELL | 3 | 11 | nDinOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 10 +INPUTS | 12 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<20> | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | cs/nOverlay1 +INPUTMC | 6 | 7 | 10 | 2 | 8 | 7 | 3 | 7 | 2 | 7 | 17 | 7 | 7 +INPUTP | 6 | 123 | 105 | 117 | 147 | 153 | 134 +EXPORTS | 1 | 3 | 10 +EQ | 9 | + nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & nWE_FSB & + !nAS_FSB; + nDinOE_OBUF.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + +MACROCELL | 2 | 4 | nRAS_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 2 | 3 +INPUTS | 14 | RefAck | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<21> | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> +INPUTMC | 10 | 5 | 9 | 7 | 7 | 3 | 17 | 3 | 9 | 2 | 10 | 6 | 13 | 6 | 12 | 6 | 17 | 6 | 10 | 6 | 15 +INPUTP | 4 | 123 | 153 | 117 | 134 +EXPORTS | 1 | 2 | 3 +EQ | 7 | + !nRAS = RefAck + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; + nRAS_OBUF.EXP = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & iobm/ES<4> + +MACROCELL | 6 | 11 | A_FSB_19_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<19> +INPUTP | 1 | 136 +EQ | 1 | + RA<11> = A_FSB<19>; + +MACROCELL | 7 | 11 | A_FSB_21_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<21> +INPUTP | 1 | 134 +EQ | 1 | + RA<10> = A_FSB<21>; + +MACROCELL | 0 | 8 | nADoutLE1_OBUF +ATTRIBUTES | 8815366 | 0 +OUTPUTMC | 17 | 2 | 12 | 2 | 7 | 0 | 15 | 5 | 17 | 5 | 15 | 2 | 15 | 2 | 2 | 2 | 6 | 0 | 2 | 2 | 11 | 2 | 17 | 0 | 16 | 0 | 8 | 0 | 12 | 0 | 7 | 0 | 9 | 0 | 14 +INPUTS | 10 | iobs/Once | nADoutLE1 | A_FSB<20> | A_FSB<23> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP19_.EXP | fsb/BERR0r.EXP +INPUTMC | 7 | 2 | 13 | 0 | 8 | 2 | 11 | 2 | 5 | 5 | 3 | 0 | 7 | 0 | 9 +INPUTP | 3 | 147 | 123 | 117 +IMPORTS | 2 | 0 | 7 | 0 | 9 +EQ | 18 | + nADoutLE1.D = iobs/Once & nADoutLE1 + # !A_FSB<20> & !A_FSB<23> & nADoutLE1 + # nAS_FSB & !fsb/ASrf & nADoutLE1 + # iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1 + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB1_8 + # !A_FSB<19> & !A_FSB<23> & A_FSB<21> & nADoutLE1 + # !A_FSB<16> & !A_FSB<23> & A_FSB<21> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & nADoutLE1 +;Imported pterms FB1_10 + # !A_FSB<18> & !A_FSB<23> & A_FSB<21> & nADoutLE1 + # !A_FSB<17> & !A_FSB<23> & A_FSB<21> & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & nADoutLE1; + nADoutLE1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 1 | nBERR_FSB_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 0 +INPUTS | 13 | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | fsb/BERR0r | fsb/VPA | $OpTx$INV$223 | BERR_IOBS | fsb/BERR1r | nAS_FSB | fsb/ASrf | TimeoutB | cnt/RefCnt<6>.EXP +INPUTMC | 8 | 0 | 9 | 7 | 0 | 0 | 13 | 2 | 7 | 7 | 6 | 5 | 3 | 7 | 13 | 7 | 2 +INPUTP | 5 | 147 | 123 | 153 | 134 | 117 +EXPORTS | 1 | 7 | 0 +IMPORTS | 1 | 7 | 2 +EQ | 16 | + nBERR_FSB = ;Imported pterms FB8_3 + nAS_FSB + # !BERR_IOBS & !fsb/BERR1r + # !TimeoutB & !fsb/BERR0r + # A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & + !fsb/BERR0r; + nBERR_FSB_OBUF.EXP = A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> & + !fsb/BERR0r & fsb/VPA & !$OpTx$INV$223 + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !BERR_IOBS & !fsb/BERR1r & !fsb/VPA & !nAS_FSB & !$OpTx$INV$223 + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !BERR_IOBS & !fsb/BERR1r & !fsb/VPA & fsb/ASrf & !$OpTx$INV$223 + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !TimeoutB & !fsb/BERR0r & !fsb/VPA & !nAS_FSB & !$OpTx$INV$223 + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !TimeoutB & !fsb/BERR0r & !fsb/VPA & fsb/ASrf & !$OpTx$INV$223 + +MACROCELL | 2 | 14 | nRAMLWE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 2 | 13 +INPUTS | 17 | nWE_FSB | nLDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf +INPUTMC | 7 | 3 | 17 | 3 | 9 | 7 | 7 | 2 | 13 | 2 | 11 | 2 | 5 | 5 | 3 +INPUTP | 10 | 105 | 114 | 117 | 147 | 136 | 146 | 144 | 143 | 153 | 134 +EXPORTS | 1 | 2 | 13 +EQ | 6 | + !nRAMLWE = !nWE_FSB & !nLDS_FSB & !ram/RAMDIS2 & !nAS_FSB & + !ram/RAMDIS1; + nRAMLWE_OBUF.EXP = A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf + +MACROCELL | 3 | 16 | nRAMUWE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 17 +INPUTS | 18 | nWE_FSB | nUDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf +INPUTMC | 12 | 3 | 17 | 3 | 9 | 7 | 7 | 2 | 9 | 7 | 10 | 3 | 3 | 2 | 8 | 7 | 15 | 7 | 3 | 7 | 2 | 7 | 17 | 5 | 3 +INPUTP | 6 | 105 | 30 | 117 | 123 | 153 | 134 +EXPORTS | 1 | 3 | 17 +EQ | 10 | + !nRAMUWE = !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & + !ram/RAMDIS1; + nRAMUWE_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf + +MACROCELL | 4 | 1 | nROMCS_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 5 | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 +INPUTMC | 1 | 7 | 7 +INPUTP | 4 | 147 | 123 | 153 | 134 +EQ | 3 | + !nROMCS = !A_FSB<20> & !A_FSB<23> & A_FSB<22> & !A_FSB<21> + # !A_FSB<20> & !A_FSB<23> & !A_FSB<21> & + !cs/nOverlay1; + +MACROCELL | 7 | 14 | nAoutOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 15 +INPUTS | 8 | A_FSB<22> | A_FSB<21> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cs/nOverlay1 | nAS_FSB | fsb/ASrf +INPUTMC | 5 | 3 | 3 | 2 | 8 | 7 | 15 | 7 | 7 | 5 | 3 +INPUTP | 3 | 153 | 134 | 117 +EXPORTS | 1 | 7 | 15 +EQ | 9 | + nAoutOE = Gnd; + nAoutOE_OBUF.EXP = A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !fsb/ASrf + +MACROCELL | 0 | 13 | $OpTx$INV$223 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 3 | 7 | 0 | 7 | 1 | 7 | 17 +INPUTS | 15 | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<20> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | EXP21_.EXP +INPUTMC | 6 | 2 | 15 | 2 | 6 | 7 | 7 | 7 | 12 | 3 | 13 | 0 | 12 +INPUTP | 9 | 123 | 147 | 153 | 134 | 136 | 146 | 144 | 143 | 105 +IMPORTS | 1 | 0 | 12 +EQ | 30 | + $OpTx$INV$223 = A_FSB<23> & !fsb/Ready1r & !iobs/IOReady + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & + !iobs/IOReady + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady +;Imported pterms FB1_13 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & + A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & + A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & + !A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & + !A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & + A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & + !A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & + !A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r; + +MACROCELL | 0 | 0 | EXP14_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 17 +INPUTS | 13 | A_FSB<20> | A_FSB<22> | A_FSB<21> | iobs/Once | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | nAS_FSB +INPUTMC | 4 | 2 | 13 | 2 | 5 | 5 | 3 | 7 | 7 +INPUTP | 9 | 147 | 153 | 134 | 136 | 146 | 144 | 143 | 105 | 117 +EXPORTS | 1 | 0 | 17 +EQ | 14 | + EXP14_.EXP = A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf + +MACROCELL | 0 | 1 | EXP15_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 2 +INPUTS | 18 | A_FSB<23> | BERR_IOBS | TimeoutB | nDTACK_FSB | fsb/BERR1r | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | fsb/Ready1r | iobs/IOReady +INPUTMC | 9 | 2 | 7 | 7 | 13 | 0 | 2 | 7 | 6 | 7 | 7 | 7 | 12 | 3 | 13 | 2 | 15 | 2 | 6 +INPUTP | 9 | 123 | 153 | 134 | 147 | 136 | 146 | 144 | 143 | 105 +EXPORTS | 1 | 0 | 2 +EQ | 8 | + EXP15_.EXP = A_FSB<23> & BERR_IOBS & TimeoutB & nDTACK_FSB + # A_FSB<23> & TimeoutB & fsb/BERR1r & nDTACK_FSB + # !A_FSB<22> & TimeoutB & fsb/BERR1r & nDTACK_FSB + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + +MACROCELL | 0 | 3 | EXP16_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 2 +INPUTS | 14 | A_FSB<20> | TimeoutB | fsb/BERR1r | nDTACK_FSB | A_FSB<22> | BERR_IOBS | A_FSB<21> | fsb/Ready1r | iobs/IOReady | A_FSB<23> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | EXP17_.EXP +INPUTMC | 10 | 7 | 13 | 7 | 6 | 0 | 2 | 2 | 7 | 2 | 15 | 2 | 6 | 7 | 7 | 7 | 12 | 3 | 13 | 0 | 4 +INPUTP | 4 | 147 | 153 | 134 | 123 +EXPORTS | 1 | 0 | 2 +IMPORTS | 1 | 0 | 4 +EQ | 24 | + EXP16_.EXP = !A_FSB<20> & TimeoutB & fsb/BERR1r & nDTACK_FSB + # !A_FSB<22> & BERR_IOBS & TimeoutB & nDTACK_FSB + # A_FSB<21> & TimeoutB & fsb/BERR1r & nDTACK_FSB + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady +;Imported pterms FB1_5 + # BERR_IOBS & fsb/BERR0r & nDTACK_FSB + # fsb/BERR0r & fsb/BERR1r & nDTACK_FSB + # !A_FSB<20> & BERR_IOBS & TimeoutB & nDTACK_FSB + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB + # A_FSB<21> & BERR_IOBS & TimeoutB & nDTACK_FSB +;Imported pterms FB1_6 + # nAS_FSB & !fsb/ASrf + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !BERR_IOBS & !fsb/BERR1r & fsb/Ready1r + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !BERR_IOBS & !fsb/BERR1r & iobs/IOReady + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !TimeoutB & !fsb/BERR0r & fsb/Ready1r + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !TimeoutB & !fsb/BERR0r & iobs/IOReady + +MACROCELL | 0 | 4 | EXP17_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 3 +INPUTS | 11 | BERR_IOBS | fsb/BERR0r | nDTACK_FSB | fsb/BERR1r | A_FSB<20> | TimeoutB | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<21> | EXP18_.EXP +INPUTMC | 8 | 2 | 7 | 0 | 9 | 0 | 2 | 7 | 6 | 7 | 13 | 2 | 15 | 2 | 6 | 0 | 5 +INPUTP | 3 | 147 | 123 | 134 +EXPORTS | 1 | 0 | 3 +IMPORTS | 1 | 0 | 5 +EQ | 16 | + EXP17_.EXP = BERR_IOBS & fsb/BERR0r & nDTACK_FSB + # fsb/BERR0r & fsb/BERR1r & nDTACK_FSB + # !A_FSB<20> & BERR_IOBS & TimeoutB & nDTACK_FSB + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB + # A_FSB<21> & BERR_IOBS & TimeoutB & nDTACK_FSB +;Imported pterms FB1_6 + # nAS_FSB & !fsb/ASrf + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !BERR_IOBS & !fsb/BERR1r & fsb/Ready1r + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !BERR_IOBS & !fsb/BERR1r & iobs/IOReady + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !TimeoutB & !fsb/BERR0r & fsb/Ready1r + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !TimeoutB & !fsb/BERR0r & iobs/IOReady + +MACROCELL | 0 | 5 | EXP18_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 4 +INPUTS | 12 | nAS_FSB | fsb/ASrf | A_FSB<20> | A_FSB<23> | A_FSB<22> | A_FSB<21> | BERR_IOBS | fsb/BERR1r | fsb/Ready1r | iobs/IOReady | TimeoutB | fsb/BERR0r +INPUTMC | 7 | 5 | 3 | 2 | 7 | 7 | 6 | 2 | 15 | 2 | 6 | 7 | 13 | 0 | 9 +INPUTP | 5 | 117 | 147 | 123 | 153 | 134 +EXPORTS | 1 | 0 | 4 +EQ | 9 | + EXP18_.EXP = nAS_FSB & !fsb/ASrf + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !BERR_IOBS & !fsb/BERR1r & fsb/Ready1r + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !BERR_IOBS & !fsb/BERR1r & iobs/IOReady + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !TimeoutB & !fsb/BERR0r & fsb/Ready1r + # A_FSB<20> & A_FSB<23> & A_FSB<22> & A_FSB<21> & + !TimeoutB & !fsb/BERR0r & iobs/IOReady + +MACROCELL | 0 | 7 | EXP19_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 8 +INPUTS | 8 | A_FSB<19> | A_FSB<23> | A_FSB<21> | nADoutLE1 | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | nWE_FSB +INPUTMC | 2 | 0 | 8 | 7 | 7 +INPUTP | 6 | 136 | 123 | 134 | 143 | 153 | 105 +EXPORTS | 1 | 0 | 8 +EQ | 6 | + EXP19_.EXP = !A_FSB<19> & !A_FSB<23> & A_FSB<21> & nADoutLE1 + # !A_FSB<16> & !A_FSB<23> & A_FSB<21> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & nADoutLE1 + +MACROCELL | 0 | 10 | EXP20_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 9 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 5 | 3 +INPUTP | 1 | 117 +EXPORTS | 1 | 0 | 9 +EQ | 1 | + EXP20_.EXP = nAS_FSB & !fsb/ASrf + +MACROCELL | 0 | 12 | EXP21_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 13 +INPUTS | 22 | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nADoutLE1 | A_FSB<9> | A_FSB<15> | A_FSB<13> | A_FSB<23> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | TimeoutA | fsb/Ready2r +INPUTMC | 6 | 7 | 7 | 2 | 15 | 2 | 6 | 0 | 8 | 7 | 16 | 0 | 11 +INPUTP | 16 | 147 | 136 | 146 | 144 | 143 | 153 | 134 | 105 | 96 | 23 | 21 | 123 | 22 | 103 | 18 | 109 +EXPORTS | 1 | 0 | 13 +EQ | 19 | + EXP21_.EXP = A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & + A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & + A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & + !A_FSB<10> & !cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & + !A_FSB<22> & A_FSB<21> & A_FSB<14> & A_FSB<12> & A_FSB<11> & + A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r + # A_FSB<9> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<23> & + !A_FSB<22> & A_FSB<21> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & + !A_FSB<10> & cs/nOverlay1 & !TimeoutA & !nWE_FSB & !fsb/Ready2r + +MACROCELL | 0 | 14 | EXP22_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 15 +INPUTS | 12 | A_FSB<23> | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<20> | A_FSB<22> | A_FSB<21> | nAS_FSB +INPUTMC | 6 | 2 | 13 | 0 | 15 | 2 | 11 | 2 | 5 | 5 | 3 | 0 | 8 +INPUTP | 6 | 123 | 105 | 147 | 153 | 134 | 117 +EXPORTS | 1 | 0 | 15 +EQ | 14 | + EXP22_.EXP = A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + +MACROCELL | 0 | 17 | EXP23_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 16 +INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | A_FSB<23> | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<20> | A_FSB<22> | A_FSB<21> | EXP14_.EXP +INPUTMC | 6 | 2 | 11 | 2 | 5 | 5 | 2 | 2 | 13 | 5 | 3 | 0 | 0 +INPUTP | 5 | 123 | 117 | 147 | 153 | 134 +EXPORTS | 1 | 0 | 16 +IMPORTS | 1 | 0 | 0 +EQ | 23 | + EXP23_.EXP = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # iobs/PS_FSM_FFd2 & !iobs/IOACTr + # A_FSB<23> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd1 + # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd1 & + fsb/ASrf + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + !nAS_FSB & !iobs/PS_FSM_FFd1 +;Imported pterms FB1_1 + # A_FSB<20> & A_FSB<22> & !A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & !nWE_FSB & !iobs/PS_FSM_FFd1 & fsb/ASrf + +MACROCELL | 2 | 0 | EXP24_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 17 +INPUTS | 6 | A_FSB<18> | A_FSB<23> | A_FSB<21> | A_FSB<17> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 1 | 7 | 7 +INPUTP | 5 | 146 | 123 | 134 | 144 | 153 +EXPORTS | 1 | 2 | 17 +EQ | 4 | + EXP24_.EXP = !A_FSB<18> & !A_FSB<23> & A_FSB<21> + # !A_FSB<17> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 2 | 1 | EXP25_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 2 +INPUTS | 8 | nWE_FSB | iobs/IORW1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 +INPUTMC | 3 | 2 | 2 | 5 | 3 | 7 | 7 +INPUTP | 5 | 105 | 117 | 123 | 153 | 134 +EXPORTS | 1 | 2 | 2 +EQ | 5 | + EXP25_.EXP = nWE_FSB & iobs/IORW1 + # !nWE_FSB & !iobs/IORW1 + # nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + +MACROCELL | 2 | 12 | EXP26_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 13 +INPUTS | 16 | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | iobs/Once | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<23> | A_FSB<21> | nADoutLE1 +INPUTMC | 6 | 7 | 7 | 2 | 13 | 2 | 11 | 2 | 5 | 5 | 3 | 0 | 8 +INPUTP | 10 | 147 | 136 | 146 | 144 | 143 | 153 | 105 | 117 | 123 | 134 +EXPORTS | 1 | 2 | 13 +EQ | 16 | + EXP26_.EXP = A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & A_FSB<22> & !cs/nOverlay1 & !iobs/Once & !nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once & !nWE_FSB & !nAS_FSB & nADoutLE1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once & !nWE_FSB & fsb/ASrf & nADoutLE1 + # A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !A_FSB<22> & A_FSB<21> & cs/nOverlay1 & + !iobs/Once & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 + +MACROCELL | 2 | 16 | EXP27_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 17 +INPUTS | 7 | A_FSB<19> | A_FSB<23> | A_FSB<21> | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | nWE_FSB +INPUTMC | 1 | 7 | 7 +INPUTP | 6 | 136 | 123 | 134 | 143 | 153 | 105 +EXPORTS | 1 | 2 | 17 +EQ | 5 | + EXP27_.EXP = !A_FSB<19> & !A_FSB<23> & A_FSB<21> + # !A_FSB<16> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB + +MACROCELL | 3 | 0 | EXP28_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 1 +INPUTS | 3 | A_FSB<11> | ram/RASEL | A_FSB<2> +INPUTMC | 1 | 3 | 5 +INPUTP | 2 | 18 | 28 +EXPORTS | 1 | 3 | 1 +EQ | 2 | + EXP28_.EXP = A_FSB<11> & !ram/RASEL + # ram/RASEL & A_FSB<2> + +MACROCELL | 3 | 2 | EXP29_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 3 +INPUTS | 9 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | ram/BACTr | cnt/RefCnt<7> | nAS_FSB | fsb/ASrf | cnt/RefCnt<6> | RA_1_OBUF.EXP +INPUTMC | 8 | 2 | 8 | 7 | 15 | 7 | 3 | 5 | 0 | 7 | 17 | 5 | 3 | 7 | 2 | 3 | 1 +INPUTP | 1 | 117 +EXPORTS | 1 | 3 | 3 +IMPORTS | 1 | 3 | 1 +EQ | 22 | + EXP29_.EXP = !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & ram/BACTr + # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/BACTr & + !cnt/RefCnt<7> + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<7> & !fsb/ASrf +;Imported pterms FB4_2 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf + +MACROCELL | 3 | 4 | EXP30_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 5 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/BACTr | ram/RS_FSM_FFd2.EXP +INPUTMC | 8 | 7 | 7 | 2 | 9 | 3 | 3 | 2 | 8 | 5 | 3 | 7 | 10 | 5 | 0 | 3 | 3 +INPUTP | 4 | 123 | 153 | 117 | 134 +EXPORTS | 1 | 3 | 5 +IMPORTS | 1 | 3 | 3 +EQ | 17 | + EXP30_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB4_4 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +MACROCELL | 3 | 6 | EXP31_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 5 +INPUTS | 13 | A_FSB<22> | cs/nOverlay1 | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | ram/RS_FSM_FFd3 | RA_5_OBUF.EXP +INPUTMC | 11 | 7 | 7 | 7 | 10 | 3 | 3 | 2 | 8 | 5 | 0 | 5 | 3 | 7 | 3 | 7 | 2 | 7 | 17 | 7 | 15 | 3 | 7 +INPUTP | 2 | 153 | 117 +EXPORTS | 1 | 3 | 5 +IMPORTS | 1 | 3 | 7 +EQ | 22 | + EXP31_.EXP = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf +;Imported pterms FB4_8 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +MACROCELL | 3 | 8 | EXP32_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 9 +INPUTS | 8 | A_FSB<22> | A_FSB<21> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | cs/nOverlay1 +INPUTMC | 5 | 7 | 10 | 2 | 8 | 5 | 0 | 5 | 3 | 7 | 7 +INPUTP | 3 | 153 | 134 | 117 +EXPORTS | 1 | 3 | 9 +EQ | 10 | + EXP32_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + +MACROCELL | 3 | 10 | EXP33_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 9 +INPUTS | 15 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | cs/nOverlay1 | ram/BACTr | fsb/ASrf | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | nDinOE_OBUF.EXP +INPUTMC | 12 | 7 | 10 | 2 | 8 | 7 | 3 | 7 | 2 | 7 | 17 | 7 | 7 | 5 | 0 | 5 | 3 | 2 | 9 | 3 | 3 | 7 | 15 | 3 | 11 +INPUTP | 3 | 123 | 153 | 117 +EXPORTS | 1 | 3 | 9 +IMPORTS | 1 | 3 | 11 +EQ | 17 | + EXP33_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # ram/Once & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf +;Imported pterms FB4_12 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + +MACROCELL | 3 | 12 | EXP34_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 13 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | ram/BACTr | A_FSB<21> +INPUTMC | 6 | 7 | 7 | 2 | 9 | 2 | 8 | 5 | 3 | 7 | 10 | 5 | 0 +INPUTP | 4 | 123 | 153 | 117 | 134 +EXPORTS | 1 | 3 | 13 +EQ | 10 | + EXP34_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf + +MACROCELL | 3 | 14 | EXP35_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 13 +INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP36_.EXP +INPUTMC | 7 | 3 | 3 | 7 | 15 | 2 | 8 | 7 | 10 | 5 | 0 | 5 | 3 | 3 | 15 +INPUTP | 2 | 123 | 117 +EXPORTS | 1 | 3 | 13 +IMPORTS | 1 | 3 | 15 +EQ | 10 | + EXP35_.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 + # A_FSB<23> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/BACTr & fsb/ASrf +;Imported pterms FB4_16 + # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +MACROCELL | 3 | 15 | EXP36_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 14 +INPUTS | 4 | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 4 | 7 | 10 | 7 | 3 | 7 | 2 | 7 | 17 +EXPORTS | 1 | 3 | 14 +EQ | 2 | + EXP36_.EXP = !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +PIN | A_FSB<9> | 64 | 0 | N/A | 96 | 4 | 0 | 11 | 0 | 2 | 6 | 5 | 0 | 12 +PIN | A_FSB<20> | 64 | 0 | N/A | 147 | 30 | 2 | 13 | 0 | 14 | 7 | 9 | 0 | 9 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 2 | 0 | 2 | 2 | 11 | 2 | 17 | 0 | 12 | 6 | 8 | 3 | 11 | 0 | 8 | 2 | 14 | 4 | 1 | 0 | 13 | 0 | 0 | 0 | 1 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 16 | 0 | 17 | 2 | 10 | 2 | 12 | 7 | 1 | 7 | 2 | 7 | 17 +PIN | A_FSB<19> | 64 | 0 | N/A | 136 | 17 | 2 | 12 | 0 | 12 | 2 | 15 | 0 | 11 | 0 | 16 | 0 | 2 | 2 | 11 | 2 | 16 | 0 | 1 | 6 | 8 | 6 | 11 | 0 | 7 | 0 | 13 | 0 | 0 | 2 | 3 | 2 | 10 | 2 | 14 +PIN | A_FSB<18> | 64 | 0 | N/A | 146 | 16 | 2 | 12 | 0 | 12 | 2 | 15 | 0 | 11 | 2 | 0 | 0 | 2 | 2 | 11 | 2 | 14 | 0 | 9 | 6 | 5 | 0 | 1 | 0 | 13 | 0 | 0 | 0 | 16 | 2 | 3 | 2 | 10 +PIN | A_FSB<17> | 64 | 0 | N/A | 144 | 16 | 2 | 12 | 0 | 12 | 2 | 15 | 0 | 11 | 2 | 0 | 0 | 2 | 2 | 11 | 2 | 14 | 0 | 9 | 6 | 1 | 0 | 1 | 0 | 13 | 0 | 0 | 0 | 16 | 2 | 3 | 2 | 10 +PIN | A_FSB<16> | 64 | 0 | N/A | 143 | 16 | 2 | 12 | 0 | 12 | 2 | 15 | 0 | 11 | 0 | 16 | 0 | 2 | 2 | 11 | 2 | 16 | 0 | 1 | 7 | 8 | 0 | 7 | 0 | 13 | 0 | 0 | 2 | 3 | 2 | 10 | 2 | 14 +PIN | A_FSB<15> | 64 | 0 | N/A | 23 | 4 | 0 | 11 | 0 | 2 | 3 | 7 | 0 | 12 +PIN | A_FSB<13> | 64 | 0 | N/A | 21 | 4 | 0 | 11 | 0 | 2 | 5 | 1 | 0 | 12 +PIN | A_FSB<23> | 64 | 0 | N/A | 123 | 48 | 2 | 13 | 2 | 9 | 0 | 15 | 7 | 9 | 0 | 9 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 2 | 3 | 17 | 0 | 2 | 3 | 5 | 3 | 1 | 2 | 8 | 7 | 15 | 2 | 11 | 2 | 17 | 0 | 14 | 3 | 9 | 3 | 13 | 3 | 11 | 2 | 4 | 0 | 8 | 3 | 16 | 4 | 1 | 0 | 13 | 0 | 1 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 12 | 0 | 17 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 7 | 2 | 12 | 2 | 16 | 3 | 4 | 3 | 7 | 3 | 10 | 3 | 12 | 3 | 14 | 7 | 1 | 7 | 2 | 7 | 17 +PIN | A_FSB<22> | 64 | 0 | N/A | 153 | 47 | 2 | 13 | 2 | 9 | 0 | 14 | 7 | 9 | 0 | 9 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 1 | 3 | 17 | 0 | 2 | 3 | 4 | 3 | 1 | 2 | 8 | 7 | 14 | 2 | 11 | 2 | 16 | 0 | 12 | 3 | 8 | 3 | 13 | 3 | 11 | 2 | 4 | 0 | 7 | 3 | 16 | 4 | 1 | 0 | 13 | 0 | 0 | 0 | 1 | 0 | 3 | 0 | 5 | 0 | 16 | 0 | 17 | 2 | 0 | 2 | 3 | 2 | 7 | 2 | 10 | 2 | 12 | 2 | 14 | 3 | 3 | 3 | 6 | 3 | 7 | 3 | 10 | 3 | 12 | 7 | 1 | 7 | 2 | 7 | 17 +PIN | A_FSB<21> | 64 | 0 | N/A | 134 | 46 | 2 | 13 | 2 | 7 | 0 | 14 | 7 | 9 | 0 | 9 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 2 | 3 | 16 | 0 | 2 | 3 | 4 | 3 | 1 | 2 | 8 | 7 | 14 | 2 | 11 | 2 | 16 | 0 | 12 | 3 | 8 | 3 | 13 | 3 | 11 | 2 | 4 | 7 | 11 | 0 | 7 | 3 | 12 | 4 | 1 | 0 | 13 | 0 | 0 | 0 | 1 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 16 | 0 | 17 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 10 | 2 | 12 | 2 | 14 | 3 | 3 | 3 | 7 | 7 | 1 | 7 | 2 | 7 | 17 +PIN | A_FSB<14> | 64 | 0 | N/A | 22 | 4 | 0 | 11 | 0 | 2 | 5 | 5 | 0 | 12 +PIN | A_FSB<12> | 64 | 0 | N/A | 103 | 4 | 0 | 11 | 0 | 2 | 7 | 5 | 0 | 12 +PIN | A_FSB<11> | 64 | 0 | N/A | 18 | 4 | 0 | 11 | 0 | 2 | 3 | 0 | 0 | 12 +PIN | A_FSB<10> | 64 | 0 | N/A | 109 | 4 | 0 | 11 | 0 | 2 | 1 | 13 | 0 | 12 +PIN | CLK2X_IOB | 4096 | 0 | N/A | 33 | 33 | 2 | 10 | 1 | 15 | 6 | 13 | 6 | 12 | 1 | 12 | 6 | 17 | 6 | 10 | 6 | 15 | 1 | 3 | 1 | 2 | 1 | 1 | 1 | 0 | 2 | 3 | 1 | 7 | 1 | 17 | 6 | 6 | 1 | 9 | 4 | 15 | 1 | 8 | 1 | 5 | 6 | 7 | 1 | 4 | 6 | 2 | 1 | 14 | 6 | 4 | 6 | 0 | 1 | 6 | 6 | 9 | 6 | 3 | 1 | 16 | 6 | 16 | 1 | 10 | 1 | 11 +PIN | CLK_FSB | 8192 | 0 | N/A | 35 | 48 | 7 | 7 | 2 | 13 | 2 | 9 | 7 | 10 | 2 | 7 | 0 | 15 | 7 | 16 | 7 | 9 | 5 | 17 | 5 | 15 | 7 | 13 | 0 | 9 | 7 | 6 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 5 | 13 | 2 | 2 | 2 | 6 | 5 | 12 | 3 | 17 | 0 | 2 | 3 | 5 | 3 | 3 | 2 | 8 | 7 | 15 | 2 | 11 | 2 | 5 | 5 | 2 | 4 | 17 | 7 | 3 | 7 | 2 | 5 | 0 | 5 | 7 | 5 | 6 | 5 | 4 | 7 | 4 | 7 | 17 | 5 | 9 | 2 | 17 | 5 | 10 | 0 | 16 | 3 | 9 | 3 | 13 | 0 | 8 | 5 | 3 | 5 | 8 +PIN | nAS_FSB | 64 | 0 | N/A | 117 | 52 | 7 | 7 | 2 | 13 | 2 | 9 | 2 | 7 | 0 | 15 | 7 | 16 | 7 | 9 | 7 | 13 | 0 | 5 | 7 | 6 | 7 | 12 | 2 | 15 | 0 | 11 | 7 | 0 | 2 | 1 | 2 | 6 | 3 | 17 | 0 | 0 | 3 | 5 | 3 | 2 | 2 | 8 | 7 | 14 | 2 | 11 | 5 | 0 | 2 | 17 | 0 | 14 | 5 | 3 | 3 | 9 | 3 | 13 | 5 | 11 | 5 | 14 | 5 | 16 | 3 | 11 | 2 | 4 | 0 | 8 | 3 | 14 | 2 | 14 | 3 | 16 | 0 | 10 | 0 | 16 | 0 | 17 | 2 | 10 | 2 | 12 | 3 | 1 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 12 | 7 | 1 | 7 | 2 +PIN | nWE_FSB | 64 | 0 | N/A | 105 | 21 | 2 | 12 | 0 | 15 | 2 | 15 | 0 | 11 | 2 | 1 | 0 | 2 | 2 | 11 | 2 | 16 | 0 | 14 | 5 | 11 | 5 | 14 | 3 | 11 | 0 | 7 | 2 | 14 | 3 | 16 | 0 | 13 | 0 | 0 | 0 | 1 | 0 | 12 | 0 | 16 | 2 | 10 +PIN | nBERR_IOB | 64 | 0 | N/A | 92 | 5 | 1 | 15 | 1 | 6 | 1 | 5 | 1 | 14 | 1 | 16 +PIN | CLK_IOB | 16448 | 0 | N/A | 42 | 10 | 1 | 15 | 1 | 12 | 1 | 9 | 1 | 7 | 1 | 17 | 1 | 0 | 1 | 1 | 1 | 14 | 1 | 16 | 4 | 16 +PIN | nRES | 65600 | 0 | N/A | 160 | 3 | 6 | 3 | 6 | 2 | 7 | 9 +PIN | nLDS_FSB | 64 | 0 | N/A | 114 | 3 | 5 | 17 | 5 | 13 | 2 | 14 +PIN | nUDS_FSB | 64 | 0 | N/A | 30 | 3 | 5 | 15 | 5 | 12 | 3 | 16 +PIN | E_IOB | 64 | 0 | N/A | 72 | 1 | 4 | 16 +PIN | nVPA_IOB | 64 | 0 | N/A | 44 | 2 | 6 | 0 | 4 | 15 +PIN | nDTACK_IOB | 64 | 0 | N/A | 77 | 2 | 6 | 9 | 6 | 7 +PIN | A_FSB<1> | 64 | 0 | N/A | 26 | 1 | 1 | 13 +PIN | A_FSB<2> | 64 | 0 | N/A | 28 | 1 | 3 | 0 +PIN | A_FSB<3> | 64 | 0 | N/A | 127 | 1 | 7 | 5 +PIN | A_FSB<4> | 64 | 0 | N/A | 88 | 1 | 5 | 1 +PIN | A_FSB<5> | 64 | 0 | N/A | 37 | 1 | 5 | 5 +PIN | A_FSB<6> | 64 | 0 | N/A | 29 | 1 | 3 | 7 +PIN | A_FSB<7> | 64 | 0 | N/A | 155 | 1 | 7 | 8 +PIN | A_FSB<8> | 64 | 0 | N/A | 82 | 1 | 6 | 1 +PIN | nVMA_IOB | 536871040 | 0 | N/A | 47 +PIN | nDTACK_FSB | 536871040 | 0 | N/A | 19 +PIN | nAS_IOB | 536871040 | 0 | N/A | 17 +PIN | nCAS | 536871040 | 0 | N/A | 130 +PIN | nDinLE | 536871040 | 0 | N/A | 98 +PIN | nDoutOE | 536871040 | 0 | N/A | 15 +PIN | nLDS_IOB | 536871040 | 0 | N/A | 11 +PIN | nUDS_IOB | 536871040 | 0 | N/A | 12 +PIN | RA<0> | 536871040 | 0 | N/A | 13 +PIN | RA<1> | 536871040 | 0 | N/A | 141 +PIN | RA<2> | 536871040 | 0 | N/A | 104 +PIN | RA<3> | 536871040 | 0 | N/A | 118 +PIN | RA<4> | 536871040 | 0 | N/A | 125 +PIN | RA<5> | 536871040 | 0 | N/A | 145 +PIN | RA<6> | 536871040 | 0 | N/A | 107 +PIN | RA<7> | 536871040 | 0 | N/A | 79 +PIN | RA<8> | 536871040 | 0 | N/A | 86 +PIN | RA<9> | 536871040 | 0 | N/A | 90 +PIN | nOE | 536871040 | 0 | N/A | 135 +PIN | nROMWE | 536871040 | 0 | N/A | 139 +PIN | nVPA_FSB | 536871040 | 0 | N/A | 140 +PIN | nADoutLE0 | 536871040 | 0 | N/A | 97 +PIN | nDinOE | 536871040 | 0 | N/A | 149 +PIN | nRAS | 536871040 | 0 | N/A | 36 +PIN | RA<11> | 536871040 | 0 | N/A | 95 +PIN | RA<10> | 536871040 | 0 | N/A | 112 +PIN | nADoutLE1 | 536871040 | 0 | N/A | 24 +PIN | nBERR_FSB | 536871040 | 0 | N/A | 102 +PIN | nRAMLWE | 536871040 | 0 | N/A | 56 +PIN | nRAMUWE | 536871040 | 0 | N/A | 157 +PIN | nROMCS | 536871040 | 0 | N/A | 58 +PIN | nAoutOE | 536871040 | 0 | N/A | 116 diff --git a/cpld/XC95144XL/MXSE.nga b/cpld/XC95144XL/MXSE.nga new file mode 100644 index 0000000..f338a87 --- /dev/null +++ b/cpld/XC95144XL/MXSE.nga @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$4f:c=#Gy^s(D@Y$300*A773-E{Xu*FNW&166(C98:0(B~[x%KMR!45;'N9=85+OqV{ LHQ,;88"Ij6*@pUz'MKP#:;9%]50(33?-77890:<=>51123-46< 8=;<7?80182356.991#=5>?:0:34?7?89#:<6&=81296=672;2;<$??;)1345<489:1?=>?)338,667839;<=4<012*$-5289089=>53423-46< :?;<7=:0180156.l2"?<=4;018745/682"?9=>54423>1378 ;;7%8?0185456=>9:;%<>4(6234?17890<<=>&119+=56720:;<77?01+2?57<9;1:1392644<9;0DYY^ZT;PB847=8780=><41508504<9?80=:<419085<4<:980>?<42237?75=AGZ^X7OPFR@?66<66;198?5=539137=50;195?5<339017=3>;1?5?5:039667=2;;1>8?5:539627=20;1>5<592:436>06:2<8>68;2:466>01:2<<>6871:51?2653>;97:<=;611?2253><97:9=;6:1?2?631804=<48008<74<0<804;<48608<<7<1;12;;128?56539:27=>?;12585NLD2N3>GKM9G%H55NLD2N*A7?3HFN<@ K2e9BH@6J&M8T\YQ?d:COA5K)L;U[XR?8;@NF4H(V12KGI=C!RDE6?DJB8Z=0MAK?S/F;?DJB8Z$O=55NLD2P*A403HFN<^ ^9:COA5U)ZLM?7LBJ129BJA?25;b>/RB@<=FWOYI0<<1d:C\BVD;9;4%\LJ6;@]EWG:6;7n0MRH\B=30:+VFL01JSK]M<06=`>GXNZH7=90!P@F:?DYA[K6:93j4A^DPF9726'ZJH45N_GQA8409l2KTJ^L317<-TDB>3HUM_O2>7?f8EZ@TJ5;<2#^ND89B[CUE4825h6OPFR@?5=8)XHN27LQISC>2=;b/RB@==FWOYI0<0l;@]EWG:66'ZJH45N_GQA8769l2KTJ^L321<-TDB>3HUM_O2=1?f8EZ@TJ58:2#^ND89B[CUE4;85h6OPFR@?678)XHNi7LQISC>17?7912KTJ^L322GXNZH7?3 _AE:8EZ@TJ5>5o6OPFR@?0;(WIM20MRH\B=7=g>GXNZH793 _AE:8EZ@TJ5<5o6OPFR@?2;(WIM20MRH\B=5=g>GXNZH7;3 _AE:8EZ@TJ525o6OPFR@?<;(WIM20MRH\B=;=g>GXNZH753 _AEf8EZ@TJV;;SDLZFe9B[CUEW8;TEO[Id:C\BVDX9;UBNXHk;@]EWGY6;VCIYKj4A^DPFZ73W@H^Ji5N_GQA[43XAK_Mh6OPFR@\53YNJ\Lo7LQISC]23ZOE]On0MRH\B^3;[LDRNm1JSK]M_0;\MGSA9;1JSK]M_0;\MGSA,K_M<<:4A^DPFZ7>W@H^J)LZF1,G50=FWOYIS<7PICWE GSA8'N:=85N_GQA[4?XAK_M(O[I0/F151=FWOYIS<7PICWE GSA8'[h7LQISC]2[LDRNm1JSK]M_32\MGSAl2KTJ^LP20]JFP@6:2KTJ^LP20]JFP@#J\L;=95N_GQA[77XAK_M(O[I0/F21>GXNZHT>GXNZHT>GXNZHT8RGMUGa8EZ@TJV?TEO[Ic:C\BVDX>VCIYKm4A^DPFZ1XAK_Mo6OPFR@\6LJRS]JKGU)MQZT\YQ?139AAWTXAFHX"HV__QV\54cDR[VCEJB<4CD18GIM>3JF@>UQFOCf8GIM5PVCDN#^ND69@HNYA[Kh0OAEPFR@-TDB03JF@SDAMb:AOOZOHJ'ZJHn5LLJ]JKGYNJ\L27NABMHVWAA1Bbdhsj7HV_15]-A]Va3LR[=9Q!EYR\TQY7n2OS\<:P.DZS[URX9o1NT]?;_/G[TZVSW;l0IU^>4^,F\UYW\V9m7HV_15]-A]VXX]U?=o5JXQ37[+C_XV~xe`|jn`of`Zhb|Vk0IU^>5^,F\U`5^,F\UYW\V>:n6KWP07\*@^WW}ybakaalgg[kcsWh1NT]?9_/G[Tc=BPY;=S#KWP^RW[5`6^,F\UYW\V?:n6KWP04\*@^WW}ybakaalgg[kcsWh1NT]?8_/G[Tc=BPY;7^,F\UYW\V?:n6KWP05\*@^WW}ybakaalgg[kcsWh1NT]?7_/G[Tc=BPY;3S#KWP^RW[5`C_X83T"HV__QV\4c=BPY;2S#KWP^RW[4`9^,F\UYs{`gyicobee]maqYf3LR[>=Q!EYR2f>C_X;:T"HV__uqjiwciidooSck{_`9F\U46W'OS\k5JXQ02[+C_XVZ_S=h4EYR15Z(BPYU[XR?i;DZS64Y)MQZT\YQ=f:G[T77X&LR[S]ZP3g9F\U46W'OS\R^[_53a?@^W:8U%IU^Ptrknv`hfelnTbhzPa:G[T74X&LR[j6KWP30\*@^WWY^T;Q!EYR\TQY7n2OS\?8P.DZS[URX9o1NT]<9_/G[TZVSW;l0IU^=6^,F\UYW\V9m7HV_27]-A]VXX]U?=o5JXQ05[+C_XV~xe`|jn`of`Zhb|Vk0IU^=7^,F\U`:Q!EYR\TQY6n2OS\?9P.DZS[URX:o1NT]<8_/G[TZVSW:l0IU^=7^,F\UYW\V>:n6KWP35\*@^WW}ybakaalgg[kcsWh1NT]<7_/G[Tc=BPY83S#KWP^RW[5`W'OS\R^[_1d8A]V51V$NT]Q_T^3e?@^W:0U%IU^PPU]1b>C_X;3T"HV__QV\7c=BPY82S#KWP^RW[1`C_X::T"HV__QV\7c=BPY9;S#KWP^RW[1`>P.DZS[qune{oem`kk_ogw[d=BPY9:S#KWPg9F\U56W'OS\R^[_1d8A]V49V$NT]Q_T^3e?@^W;8U%IU^PPU]1b>C_X:;T"HV__QV\7c=BPY9:S#KWP^RW[1`?P.DZS[qune{oem`kk_ogw[d=BPY99S#KWPg9F\U55W'OS\R^[_1d8A]V4:V$NT]Q_T^3e?@^W;;U%IU^PPU]1b>C_X:8T"HV__QV\7c=BPY99S#KWP^RW[17e3LR[??Q!EYR\pvojzldjahjPndv\e>C_X:9T"HV_f:G[T65X&LR[S]ZP0g9F\U54W'OS\R^[_0d8A]V4;V$NT]Q_T^0e?@^W;:U%IU^PPU]0b>C_X:9T"HV__QV\0c=BPY98S#KWP^RW[07e3LR[?>Q!EYR\pvojzldjahjPndv\e>C_X:>T"HV_f:G[T62X&LR[S]ZP0g9F\U53W'OS\R^[_0d8A]V4C_X:>T"HV__QV\04dC_X:?T"HV__QV\14db:G[T60X&LR[Sy}fmsgmehccWgoS85J_HMA=>CXAFH%\LJn;D]JKGYNJ\L<7HjheWco=>@DDB'BCR?6;GAOO(OHW:30JNBD-HM\0==AKEABCR>7;GAOOLIX:;1MJ55ISS/JKZ343Ndo>6GA3:KM46=NF8>0EC??4:KM542OI9>>0EC?74:KM5<595FN317?LH5<=1BB?;;;HL121=NF;=?7D@=859JJ7?43@D886GA3168MK56;2CE8>5FN418MK043@DOHIJ^%H?Q_T^3g?LIFK]$O>R^[_3f8MJGD\'N9S]ZP3e9JKDES&M8T\YQ;d:KLEFR)L;U[XR;8;HMBGQ(V12CDMNZ!RDE5?LIEM[X37DAMESP-@<=NGKOY^#J>9:KLF@TU&M8n7DAMESP-@7YW\V:n7DAMESP-@7YW\V;n7DAMESP-@7YW\V8n7DAMESP-@7YW\V9n7DAMESP-@7YW\V>n7DAMESP-@7YW\V?n7DAMESP-@7YW\V<37DAMESP-Ud=NGKOY^#\JG058MJDBZ[UdclrdcwaaYg{U?7DAC069JKI6)KL<0EBB?.E58MJJ7&M;<7DAC0/F1g>OHD9$O>R^[_1a8MJJ7&M8T\YQ>6:KLH5(V02CD@= ]EF78MJTBY>1BC_K^.E:8MJTBY'N:46G@RDS-@7bOHZL[%IU^j;HMQAT(BPYU[XR>j;HMQAT(BPYU[XR?j;HMQAT(BPYU[XRa:KLV@W)MQZTx~gbrdlbi`bXfl~T;6G@RDS-U<=NG[OZ"_KH5:KLVR603@EY[= K8:KLVR6)L820EB\X0/F1`>OHZ^:%H?Q_T^2g?LIU_9$O>R^[_0f8MJTP8'N9S]ZP2e9JKWQ7&M8T\YQ0EB[?7:KLQ5(DM?1BCX>!D69JKP6)L8=0EB[?.E0`?LIR8'N9S]ZP0b9JKP6)L;U[XR?9;HMV4+W?3@E^<#\JG99JjqBbdhs87AAL7:NLCLEFD=1FT^K7;LZPA+h`ij1Fmga}Vdppmjhd3DcecXjrrklj2=IM]]D^F=4OOG1?JT43F__?6^ND59SEWR03YCEBLCJa:RJJZDR[@NSn6^FN^@VWKGJM?1[ig`d99SkwrWi}b>7_O30?;8VD:76'ZJH;5]A=33:d=UI5;;2#^ND99QE976294=7_O310/RB@0=UI5>556\N<5<-TDB23[K79374R@>6:+VFL<1YM1819:PB838)XHN>7_O37?;8VD:06'ZJH85]A=:==>TF414%\LJ:;SC?=;?POCWE*A7d3[KT_N@VBg=UIV;TCO[I.Ea8VDY6WFH^J#J>c:PB[4YHJ\L%H?j4R@]2[JDRN'OS\<<4R@]2[JDRN'OS\R^[_131?WGX9VEIYK JXQ]SPZ76:2XJSTFW;UDNXHm;SC\6ZIE]O$Oo6\N_3]LFP@)L8i0^LQ=_N@VB+B5981YMRb:PB[7YHJ\L%]45]A^1\KGSAj2XJS>Q@BTD-@f=UIV9TCO[I.E3`?WGX;VEIYK K2038VDY4WFH^J#J=_QV\447;SC\0ZIE]O$O>R^[_0`8VDY3WFH^J#_6;SC\1ZIE]Oh0^LQ:_N@VB+Bd3[KT9RAMUG,G5f=UIV?TCO[I.E025>TFW>2:PB[0YHJ\L%IU^PPU]257=UIV?TCO[I.DZS[URX:8o0^LQ:_N@VB+C_XV~xe`|jn`of`Zhb|Vh0^LQ:_N@VB+W>3[KT:RAMUG`8VDY1WFH^J#Jl;SC\2ZIE]O$O=n5]A^4\KGSA&M8:=6\N_7]LFP@)L;U[XR>>1:PB[3YHJ\L%H?Q_T^3a?WGX>VEIYK ^9:PB[2YHJ\Li7_OP7^MAQC(Ck2XJS:Q@BTD-@4eTFW1UDNXH!Db9QEZ>XGK_M"I?l;SC\WFH^Jo5]A^;\KGSA&Mi0^LQ6_N@VB+B6k2XJS4Q@BTD-@7763[KT5RAMUG,G6ZVSW9;:7_OP9^MAQC(C:VZ_SRnele~xLo4ThofkprF&Mh0XdcjotvB*A7e3]cfib{{A/F155=SadodyyO!D3]SPZ6682^bahazt@,G6ZVSW8;;7YgbenwwE+B5WY^T>n5[ilglqqG)MQZ:=6ZfmdmvpD(BPYU[XR>>1:Vji`ir|H$NT]Q_T^32`>Rnele~xL JXQ]wwlkumgkfiiQaeu]b?QojmfM#_l;UknajssI'XNK<74ThofkprFW}yban|jaugg[}iuW11_e`k`uu@b?QojmfN#Jm;UknajssJ'N:n6ZfmdmvpG(C:8:0XdcjotvA*A4XX]U;==5[ilglqqD)L;U[XR?>0:Vji`ir|K$O>R^[_3c8Plkbg|~I"\m4ThofkprE&[OL=45[ilglqqDX|zcfokntdf\|jtXk2_XI_QYIRKAH@?<^@O\SYW_E29Ugf3<_`nd?m4XB;6512_D$;;!Y_>01"+Wucbl)Lb`h4/Vdppmjh';':"86VKMM3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH18\JT13QniSDjm;Yfk[Utne@d}o6Vkh^RqmhR~xll0TifPPsknR`ttafd37Ujg_Uknaa=_laU_e`kLhdah`>^c`V^bahJjl`{24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf=1QI_Ak;blw+WcaKg~7<3h4cov,V``Df}6;2#J>0:amp*TbnJd0=0!D033?fhs'[omOcz30?,G6c=df}%YikMat=2=*T763jd#_kiCov?4;(UMN;n7n`{/SgeGkr;87UdclrdcwaaYg{Uo7n`{/SgeGkr;97l0ocz Rdd@jq:66'N:<6mat.PfbFhs484%H1:+B682iex"\jfBlw878)L8;;7n`{/SgeGkr;:7$O>k5lnu-QacEi|585"\?>;blw+WcaKg~7>3 ]EF3f?fhs'[omOcz32?]wwlkdzlkiiQwos]g?fhs'[omOcz33?d8gkr(ZllHby2<>/F24>ei|&XnjN`{<2<-@4773jd#_kiCov?7;(C:o1hby!]egAmp959&X;:7n`{/SgeGkr;;7$YIJ?j;blw+WcaKg~7?3Q{sho`v`gsmmUscQk;blw+WcaKg~783h4cov,V``Df}6?2#J>0:amp*TbnJd090!D033?fhs'[omOcz34?,G6c=df}%YikMat=6=*T763jd#_kiCov?0;(UMN;n7n`{/SgeGkr;<7UdclrdcwaaYg{Uo7n`{/SgeGkr;=7l0ocz Rdd@jq:26'N:<6mat.PfbFhs4<4%H5:+B682iex"\jfBlw838)L8;;7n`{/SgeGkr;>7$O>/G[TZVSW9;=7n`{/SgeGkr;>7$NT]Q_T^322>ei|&XnjN`{<7<-A]VXX]U9=;5lnu-QacEi|5<5"HV__QV\7745:+C_XV~xe`|jn`of`Zhb|Vl0ocz Rdd@jq:16'[:=6mat.PfbFhs4?4%^HI>e:amp*TbnJd0;0Ptrkngwcf|lnTtb|Pd:amp*TbnJd0:0i;blw+WcaKg~7;3 K119`jq)UmoIex191.E324>ei|&XnjN`{<6<-@7763jd#_kiCov?3;(BPY;=7n`{/SgeGkr;?7$NT]Q_T^222>ei|&XnjN`{<6<-A]VXX]U:=;5lnu-QacEi|5=5"HV__QV\6404:+C_XVZ_S><=;blw+WcaKg~7;3 JXQ]wwlkumgkfiiQaeu]e?fhs'[omOcz37?,R54=df}%YikMat=5=*WC@9l1hby!]egAmp919W}yban|jaugg[}iuWk1hby!]egFlj`bj;bq,jJpbzekr<#Ji;bq,jJpbzekr<#J>f:ap+kIqm{fju= K2068gv)iGoy`lw?.E0\TQY79=1h"`@vdpoe|6)L;U[XR?j;bq,jJpbzekr<#_>0:ap+kIqm{fju= ]EF3f?fu(fF|n~aov0^vpmheumh~nhR~}le]2`>et'gE}ibny1]wwlkdzlkiiQwos]`?fu(fF|n~aov1g9`w*hH~lxgmt?!CDg8gv)iGoy`lw>.Ed8gv)iGoy`lw>.E3e?fu(fF|n~aov1/F1a>et'gE}ibny0,R55=d{&dDzh|cax3-V@A33lnli55isc-BWw`f3oyi#L]}f/Fa?cue'HYyj#J>b:dpf*GTzo$O>l5isc-BWw`)Yj1mo!NSsd-V@Af3oyi#OK]R1p`?cue'KOY^=|!De9ewg)EM[X;~#J>d:dpf*DBZ[:y"I<>2:dpf*DBZ[:y"IR^[_0a8bvd(JLXY= ^e:dpf*DBZ[;y"_KHb:dpf*Tbims;~i5isc-Qadb~8{$Oi6h|b.Pfea7z'N:i6h|b.Pfea7z'N9=>5isc-Qadb~8{$O>R^[_130?cue'[ojht>}.E0\TQY69:1mo!]e`fz4w(C:VZ_S?j4fr`,V`gcq9x%]k5isc-Qadb~8{$YIJl4fr`,V`gcq8xo7k}m/Sgb`|7u&Mo0j~l Rdcg}4t)L8o0j~l Rdcg}4t)L;;87k}m/Sgb`|7u&M8T\YQ?129ewg)Umhnr= K2^RW[4743oyi#_kndx3q*A4XX]U9=>5isc-Qadb~9{$O>R^[_230?cue'[ojht?}.E0\TQY3l2lxn"\jae{2v+Wa3oyi#_kndx3q*WC@j2lxn"\jae{1va=a{k%Yiljv2s,Ga>`tj&Xnmiw=r/F2a>`tj&Xnmiw=r/F156=a{k%Yiljv2s,G6ZVSW9;87k}m/Sgb`|4u&M8T\YQ>129ewg)Umhnr> K2^RW[7743oyi#_kndx0q*A4XX]U8=>5isc-Qadb~:{$O>R^[_5f8bvd(Zlkou?|!Qg9ewg)Umhnr> ]EF58bvd(^YK27k}m/WRB*Agi;gqa+SVF&M8T\YQ>f:dpf*PWI'N9S]ZP2g9ewg)QXH$O>R^[_2d8bvd(^YK%H?Q_T^6e?cue'_ZJ"I`tj&\[M#J=_QV\2<=a{k%]\L ^b:dpf*PWI'XNK<64fr`,RUGX|zcfokntdf\|jtXj2cdn`!MESPqba=ngkg$NH\]rg,Ga>ohjd%II_\}f/F2a>ohjd%II_\}f/F1`>ohjd%II_\}f/Se?liee&HN^_|i.SGDf>ohjd%II_\}re9jkgk(JLXY~ Ke:klfh)EM[Xy~#J>e:klfh)EM[Xy~#J=d:klfh)EM[Xy~#_i;hmai*DBZ[xy"_KHc:klfh)C\HI@~kk4in`n+ARFKBxm"Ih4in`n+ARFKBxm"I?i;hmai*BSIJAyj#J=e:klfh)C\HI@~k ^119jkgk(L]KHGh!RDE`?liee&N_MNE}rd9jkgk(L]KHG|!Dg9jkgk(L]KHG|!D0d8mjdj'M^JOF|}.E0f?liee&N_MNE}r/S24>ohjd%OXLMDrs,QABgohjd%N_1>1.E0\TQY69;1bcoc ER>3:+B5WY^T>n5foco,AV:76'[n7damm.GP858)ZLM:n6g`bl-FW969W}yban|jaugg[}iuWh1bcoc ER>2:f=ngkg$I^2>>/Fg?liee&OX0<0!D0f8mjdj'LY7=3 K2008mjdj'LY7=3 K2^RW[5753`eia"K\<0<-@7YW\V;:>6g`bl-FW979&M8T\YQ=c:klfh)B[5;5"\k4in`n+@U;97$YIJo4in`n+@U;:7i0eblb/DQ?6;(Cl2cdn`!JS=0=*A7c3`eia"K\<3<-@7753`eia"K\<3<-@7YW\V::>6g`bl-FW949&M8T\YQ>139jkgk(MZ692#J=_QV\6440:+Bc3`eia"K\<2<-@4b2:klfh)B[595"Iohjd%N_1=1.Pg8mjdj'LY7?3 ]EF3a?liee&OX0>0Ptrkngwcf|lnTtb|Pa:klfh)B[5>5o6g`bl-FW929&Mn0eblb/DQ?0;(C9m1bcoc ER>7:+B59;1bcoc ER>7:+B5WY^T<<<4in`n+@U;<7$O>R^[_031?liee&OX090!D3]SPZ46:2cdn`!JS=6=*A4XX]U8o6g`bl-FW929&Xo0eblb/DQ?0;(UMN;i7damm.GP818X|zcfokntdf\|jtXi2cdn`!JT@AHg>ohjd%NXLMD.Ef8mjdj'L^JOF K1e9jkgk(M]KHG#J=e:klfh)B\HI@"HV_129jkgk(M]KHG#KWP^RW[5743`eia"K[ABI-A]VXX]U:=>5foco,AQGDC'OS\R^[_330?liee&O_MNE!EYR\TQY49:1bcoc EUC@O+C_XVZ_S9?i;hmai*CSIJA%IU^Ptrknv`hfelnTbhzPc:klfh)B\HI@"\k4in`n+@RFKB$YIJ94in`n+@t>3`eia"K}.Ec8mjdj'Lx%H5foco,MJUXNZGTJKj>.E37?liee&CD_RH\M^DE`4(C98>0eblb/HMP[CUJWOLo=#J=129jkgk(AFYTJ^CPFGf2*T723`eia"G@S^DPIZ@Al8$YIJ?>;hmai*OH[VLXARHId330?liee&CD_RH\M^DE`7(C9=1bcoc INQ\BVKXNOn9"I?>4:klfh)NGZUM_@QIFe0-@77>3`eia"G@S^DPIZ@Al;$O>R^[_13:?liee&CD_RH\M^DE`7(C:VZ_S%H??<;hmai*OH[VLXARHId5,R50=ngkg$EB]PFRO\BCb3&[OL=<5foco,MJUXNZGTJKj:129jkgk(AFYTJ^CPFGf6*A733`eia"G@S^DPIZ@Al<$O=<:4in`n+LITWOYFSKHk5/F156=ngkg$EB]PFRO\BCb2&X;>7damm.KLWZ@TEVLMh8 ]EF32?liee&CD_RH\M^DE`3743`eia"G@S^DPIZ@Al?$O=95foco,MJUXNZGTJKj9.E320>ohjd%BC^QISL]EBa0)L;;>7damm.KLWZ@TEVLMh; JXQ05?liee&CD_RH\M^DE`3(BPYUdc}eocnaaYim}U:?6g`bl-JKVYA[DUMJi8!Q078mjdj'@EXSK]B_GDg2+TBO8;0eblb/HMP[CUJWOLo;<=4in`n+LITWOYFSKHk7/F20>ohjd%BC^QISL]EBa1)L8;?7damm.KLWZ@TEVLMh: K2078mjdj'@EXSK]B_GDg3+C_X8k0eblb/HMP[CUJWOLo;#KWP^RW[57f3`eia"G@S^DPIZ@Al>$NT]Q_T^32e>ohjd%BC^QISL]EBa1)MQZT\YQ=1`9jkgk(AFYTJ^CPFGf4*@^WWY^T?ohjd%BC^QISL]EBa1)Y8?0eblb/HMP[CUJWOLo;#\JG038mjdj'@EXSK]B_GDg<45n5foco,V@Uuz'[n7damm.PFWwt)ZLMj7damm.TSEw`d3`eia"X_Asd-@a=ngkg$Z]O}f/F2`>ohjd%]\L|i.E0`?liee&\[Mh!Qd9jkgk(^YKyj#\JG`9jkgk(^YKy~n5foco,RUGuz'No7damm.TSEwt)L8n0eblb/WRBvw(C:j1bcoc VQCqv+Wb3`eia"X_Asp-V@Ae3`ei"G@ABVq`>ohjz%BCLM[r/Ff?lie{&CDMNZ}.E3f?lie{&CDMNZ}.E0g?lie{&CDMNZ}.Pd8mjdt'@EJOY|!RDE:?lie{&CD@.Pf8mjdt'@EG=#\JG`9jkgu(AFX\=n5focq,MJTP9'No7dams.KLVR7)L8n0ebl|/HMQS4(C:880ebl|/HMQS4(C:VZ_S=?=;hmaw*OHZ^;%H?Q_T^326>ohjz%BC_Y>.E0\TQY59;1bco} INPT5+B5WY^T?<<4in`p+LIU_8$O>R^[_531?lie{&CD^Z?!D3]SPZ36:2cdn~!FOSU2*A4XX]U=o6g`br-JKWQ6&Xo0ebl|/HMQS4(UMN;i7dams.KLVR7X|zcfokntdf\|jtXk2cdn~!FOSgb`|c>4:klfv)NG[ojht K2^RW[4733`ei"G@Rdcg}+B5WY^T><:4in`p+LIUmhnr"Iohjz%BCX?!D0a8mjdt'@E^=#J=b:klfv)NG\;%]i5focq,MJS6&[OLm6g`br-Okdb6k2cdn~!Co`f2*Abohjz%Gclj>.E0\TQY49;1bco} Lncg5+B5WY^T8<<4in`p+Iifl8$O>R^[_431?lie{&Fdmi?!D3]SPZ0d3`ei"B`ae3-U`=ngky$@bok1/PFC<=ngky$Ccmjb:klfv)Hfjo%Hn5focq,Kkeb&M;h7dams.Mmg`(C:8;0ebl|/Nl`a+B5WY^T<5focq,TVYA[DUMJi?!D33;?lie{&ZXSK]B_GDg5+B5WY^T<<64in`p+UUXNZGTJKj>.E0\TQY69;1bco} PR]EWHYANm;%]<:4in`p+UUXNZGTJKj>.SGD55=ngky$\^QISL]EBa46:2cdn~!_S^DPIZ@Al;$O=>5focq,TVYA[DUMJiohjz%[_RH\M^DE`7(C:VZ_S8:klfv)W[VLXARHId3,G6ZVSW<;97dams.RP[CUJWOLo>#_>4:klfv)W[VLXARHId3,QAB463`ei"^\_GQN[C@c:V~xe`m}e`vf`Z~hzV30bLJ`uuNF4a=iIMe~xAK?.QCGa>hFLf@H>POCWE55=iIMe~xAK?_N@VB+B692dJHb{{LD2\KGSA&M;:=6`NDnwwH@6XGK_M"I<>0:lB@jssDL:TCO[I.P;8jDBh}}FN=i5aAEmvpIC6&YKOi6`NDnwwH@7XGK_M==5aAEmvpIC6WFH^J#J>1:lB@jssDL;TCO[I.E325>hFLf@H?POCWE*A46>2dJHb{{LD3\KGSA&M8T\YQ?179mEAir|EO:SBLZF/F1[URX98<0bLJ`uuNF5ZIE]O$O>R^[_335?kGCg|~GI_N@VB+B5WY^T9<84n@FlqqJB9VEIYK K2^RW[3773gKOcxzCE0]LFP@)Y880bLJ`uuNF5ZIE]O$YIJ94n@Q\BVDe3gKXSK]M.QCGg>hF[VLXNRGMUG58jDUXAFHi7cO\_HMA*UGCk2dJ_RG@B^MAQCc0:lBWZOHJVEIYK ]EF58jDir|FOi7cO`uuMF*UGCm2dJcxz@E^MAQC"Vm2dJcxz@E^MAQC(Cn2dJcxz@E^MAQC(C9o1eMb{{OD]LFP@)L;;;7cO`uuMF[JDRN'OS\<;4n@mvpJCXGK_M"HV__QV\443;o@FVWYA[KUDNXH!D032?kDBZ[UM_OQ@BTD-@7753gHN^_QISC]LFP@)MQZ:;6`MESP\BVDXGK_M"HV__QV\4417:lAAWTXNZHTCO[I.DZS[URX:8=0bOK]R^DPFZIE]O$NT]Q_T^123>hEM[XTJ^LPOCWE*@^WWY^T8?=4nCGQVZ@TJVEIYK JXQ]wwlkumgkfiiQaeu]24>hEM[XTJ^LPOCWE*T?hDIZUDNXH!Qe9mGDUXGK_M"_KHa:lGPDELWOYIi6`KT@AH[CUE&YKOj6`KT@AH[CUEWFH^J7:lGPDELWOYISBLZF/F1[URX:8=0bIZNCJ]EWGYHJ\L%H?Q_T^123>hC\HI@SK]M_N@VB+B5WY^T8<94nEVBGNYA[KUDNXH!D3]SPZ36?2dOXLMD_GQA[JDRN'N9S]ZP6038jARFKBUM_OQ@BTD-U450bIgaOD]LFP@)MQZT\YQ=219m@lhHMVEIYK JXQ]wwlkumgkfiiQaeu]g?kBnfFOTCO[I.P58jAir|FOi7cJ`uuMF*UGCk2dOcxz@E^MAQCc>4:lGkprHMVEIYK K2^RW[4773gNdyyAJ_N@VB+C_X8?0bIaztNG\KGSA&LR[S]ZP0078jAir|FOTCO[I.DZS[URX9;;0bIaztNG\KGSA&LR[Sy}fmsgmehccWgoSh5aDnwwK@YHJ\L%]<>4nEmvpJCXGK_M"_KH8:lO@VYA[Ki0bAJ\_GQA*UGCl2dGH^QISC]JFP@?3gFO_RG@Bb9mHAUXAFH%\LJk;oNGWZOHJVEIYKh4nMFP[LIEWFH^J#J>0:lO@VYNGKUDNXH!D033?kJC[VCDNRAMUG,G643k5aLEQ\MJDXGK_M"\?>;oNGWZOHJVEIYK ]EF18jJC03gEN"]OK8:lLAZIE]Ok0bBKPOCWE*Ad1e^LCZWD`8jWGJ]^O%\LJl;oPBIPQBWFH^Jh5aR@OVS@YHJ\L%Hk5aR@OVS@YHJ\L%H0:lQEHSPMVEIYK JXQ36?kTFE\]NSBLZF/G[TZVSW9;>7c\NMTUF[JDRN'OS\R^[_002?kTFE\]NSBLZF/G[TZrtadxnblcjd^lfpZc;oPBWZIE]O$O>R^[_032?kTF[VEIYK K2^RW[7b<;4nTFP[LIEWFH^J#J=_QV\443hQEHUBCO _AEf8jSKFW@EISBLZFg9mRHGXAFHTCO[I$Pd8jSKFW@EISBLZF/F24>hQEHUBCOQ@BTD-@4773g\FMRG@B^MAQC(C:8;0b[CN_HMA[JDRN'OS\<84nWOB[LIEWFH^J#KWP^RW[5713g\FMRG@B^MAQC(BPYU[XR?>6:lUIDYNGKUDNXH!EYR\TQY59?1eZ@OPIN@\KGSA&LR[S]ZP3048jSKFW@EISBLZF/G[TZVSW=897cXBA^KLFZIE]O$NT]Q{shoqakgjmmUeiyQi;oTNEZOHJVEIYK ^109mRHGXAFHTCO[I.SGD5`=i^DKTEBLPOCWE[qunejxnmykk_ymq[==i^YKTJ^Ll;oTSEZ@TJ'ZJHi5aVQC\BVDXGK_Mj6`YP@]EWGYHJ\L%H<>4nWRB[CUEWFH^J#J>119mRUGXNZHTCO[I.E0e?kPWIVLXNRAMUG,R<>hQXHUBCOm4nWRB[LIE&YKOh6`YP@]JKGYNJ\L<7cYJ_GQAf>hPMVLXN#^NDb9mS@YA[KUBNXH;;ocna2=imnookh94pnqf`bc>3{kf#OOLTs`8vdk(JHI_~#Jl;scn+GGD\{$O=n5}al-AEFRu&M8i7ob/CC@Pw(Vl2xja"LNCUp-V@A?3{kf#B`le`9qeh)Hfjo%Ho5}al-Ljfc)L8h0~lc Ooaf*A4682xja"Aacd,G6ZVSW9;;7ob/Nl`a+B5WY^T=<>4r`o,Kkeb&M8T\YQ=119qeh)Hfjo%H?Q_T^1`?wgj'Fdhi#KWP038vdk(Ggin"HV__QV\447tfe&EeohQ{sho`v`gsmmUscQm;scn+WGJL@Y:h6|nm.PBIAOT9'Nn7ob/SCN@LU6&M;n7ob/SCN@LU6&M8:?6|nm.PBIAOT9'N9S]ZP0018vdk(ZHGOE^?!D3]SPZ76;2xja"\NMEKP5+B5WY^T><=4r`o,VDKCAZ;%H?Q_T^127>tfe&XJAIG\1/F1[URX<890~lc R@OGMV7)L;U[XR;>3:pbi*TFEMCX=#J=_QV\2a=uid%YM@JFS0,Rb>tfe&XJAIG\1/PFCg=uid%YM@JFS3f8vdk(ZHGOE^tfe&XJAIG\2/F1[URX;890~lc R@OGMV4)L;U[XR:>3:pbi*TFEMCX>#J=_QV\1a=uid%YM@JFS3,Rb>tfe&XJAIG\2/PFC4etfe&XJA_kndxg8vdk(ZHGYiljv.Ed8vdk(ZHGYiljv.E3e?wgj'[KF^hoky/F151=uid%YM@\jae{-@7YW\V::86|nm.PBIWcflp$O>R^[_037?wgj'[KF^hoky/F1[URX:8>0~lc R@OQadb~&M8T\YQ<159qeh)UIDXnmiw!D3]SPZ26<2xja"\NMSgb`|(C:VZ_S8?;;scn+WGJZlkou#J=_QV\2`=uid%YM@\jae{-U46tfe&XXSK]B_GDg547.E023>tfe&XXSK]B_GDg5+B5WY^T<<94r`o,VVYA[DUMJi?!D3]SPZ76?2xja"\\_GQN[C@c9'N9S]ZP2058vdk(ZZUM_@QIFe3-@7YW\V9:?6|nm.PP[CUJWOLo=#KWP0:8vdk(ZZUM_@QIFe3-A]VXX]U;=55}al-QWZ@TEVLMh< JXQ]SPZ75<2xja"\\_GQN[C@c9'OS\Rz|ilpfjdkblVdnxR?>;scn+WUXNZGTJKj>.P30?wgj'[YTJ^CPFGf2*WC@:91ym`!]S^DPIZ@Al8UdclrdcwaaYg{Um7ob/SQ\BVKXNOn9=<5}al-QWZ@TEVLMh? K139qeh)U[VLXARHId3,G5441ym`!]S^DPIZ@Al;$O>R^[_230?wgj'[YTJ^CPFGf1*@^W911ym`!]S^DPIZ@Al;$NT]Q_T^22<>tfe&XXSK]B_GDg6+C_XVZ_S<<;;scn+WUXNZGTJKj=.DZS[qune{oem`kk_ogw[47tfe&XXSK]B_GDg7+B6:2xja"\\_GQN[C@c;'N:=?5}al-QWZ@TEVLMh> K2058vdk(ZZUM_@QIFe1-@7YW\V::;6|nm.PP[CUJWOLo?#J=_QV\5417:pbi*TTWOYFSKHk3/F1[URX;8=0~lc RR]EWHYANm9%H?Q_T^623>tfe&XXSK]B_GDg7+B5WY^T9<94r`o,VVYA[DUMJi=!D3]SPZ0692xja"\\_GQN[C@c;'[:?6|nm.PP[CUJWOLo?#\JG328vdk(ZZUM_@QIFe1\pvojk{ojxhjPxnp\1>pfd|ovLM~827`8DE~6n<0M6?4>{Rfg>7?42k0:?98:5182=7c?sg82h7?4n3;f>3=#:0<1>4:4}Rf`>7?42k0:?98:5182=7c?3m;m97>51;3xWab=:091n7?<47764?7>:l20zY9>:182>4<318qXhi4=928a>453>;t3e3?61d62=3:wE<7e:&130<6n=1Qh?4={3c95ch6no0n76g78;29 76721o0b7>5$323>=cfg85?>o0m3:1(?>?:9g8j4`a2>10e:m50;&145d=h6no0;76g7c;29 76721o0b5$323>=cfg8a?>o0<3:1(?>?:9g8j4`a2j10e:<50;&1455<5<h1<75f54c94?=n!47832n7c?if;35?>o>93:1(?>?:9g8j4`a28?07d7<:18'656=0l1e=kh51598m<3=83.9<=47e:l2bc<6;21b5:4?:%034?>b3g;mj7?=;:k:=?6=,;:;65k4n0de>47<3`3i6=4+2129<`=i9ol1==54i8f94?"58903i6`>fg8e?>i2<;0;66a;b483>>i30<0;66a;ae83>>i3k10;66a;dd83>>i3mo0;66a:3683>>i58:0;6)h6no0i76a=0`83>!47838;;6`>fg8b?>i58j0;6)h6no0276a=0d83>!47838;;6`>fg8;?>i5990;6)h6no0<76a=1383>!47838;;6`>fg85?>i59=0;6)h6no0>76a=1783>!47838;;6`>fg87?>i5910;6)h6no0876a=1`83>!47838;;6`>fg81?>i3nh0;66a:2e83>>i2=:0;66a;6b83>>i3l90;66a:1483>>i5810;6)h6no0;76a=0483>!47838;;6`>fg82?>i3?:0;66a;9b83>>i2880;66a:0`83>>i2:=0;66a;8g83>>df83:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>6d<,8ln6>l4;|``5?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?13:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>0d<,8ln68l4;|`a5?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?=3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>04<,8ln68<4;|`be?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?;3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>0g<,8ln68o4;|`b=?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?93:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>0><,8ln6864;|`bN50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0n3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>00<,8ln6884;|`b3?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0l3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>74<,8ln6?<4;|`b2?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd013:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>76<,8ln6?>4;|`b0?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd>83:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>1d<,8ln69l4;|`a=?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?l3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>0b<,8ln68j4;|`aN50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd?j3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>06<,8ln68>4;|`a6?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0j3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>77<,8ln6??4;|`b1?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0?3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>16<,8ln69>4;|`b7?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0=3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>4b<,8ln6N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xd0;3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>12<,8ln69:4;|`b5?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;42<,<<:6<:4}|~?xdc>3:1=7>50z&1==<6nj1C>5k4i0d`>5<#:021=km4$0d1>7><,8ln6?64;|`2<6<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?773-?==7??;|~y>{e91:1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5119'137=991vqps4}cf;>5<6290;w)<68;3eg>N50l1b=km50;&1==<6nj1/=k<5289'5cc=:010qo?72;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:028 00628:0qpsr;|`23c<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7E<67:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:<6*:60824>{zut1vn96<:182>5<7s-8247?ic:J1<`=n9oi1<7*=9982bf=#9o81845+1gg90<=387>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96424<729q/>4651ga8L7>b3`;mo7>5$3;;>4`d3-;m>7:=;%3ea?2532wi98h50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>4:&624<6<2wvqp5rb5ca>5<6290;w)<68;3eg>N50l1b=km50;&1==<6nj1/=k<5389'5cc=;010qo:nc;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:068 00628>0qpsr;|`ge?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`2<4<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7E<67:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:<6*:60824>{zut1vn8<=:182>5<7s-8247?ic:J1<`=n9oi1<7*=9982bf=#9o81:=5+1gg925=52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96428483>7<729q/>465d09K6=c5$3;;>4`e3A82;6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627<6>2.>:<4>6:~yx=zj=oo6=4>:183!4>03;mo6F=8d9j5ce=83.9554>fb9'5c4=<>1/=kk54698yg2bm3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 00528>0(88>:068yx{z3th>?84?:083>5}#:021=km4H3:f?l7ak3:1(?77:0d`?!7a:38<7)?ie;04?>{e=:<1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:86*:60820>{zut1vn<9l:182>5<7s-8247?ic:J1<`=n9oi1<7*=9982bf=#9o81??5+1gg977=52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96424<729q/>4651ga8L7>b3`;mo7>5$3;;>4`d3-;m>7i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>4:&624<6<2wvqp5rb5a5>5<6290;w)<68;3eg>N50l1b=km50;&1==<6nj1/=k<5329'5cc=;:10qo:l7;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:068 00628>0qpsr;|`;3?6=93:1=9oi0(07plm0;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:068 00628>0qpsr;|`:6?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:0?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:2?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:e?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:g?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`:a?6=93:1=9oi0(=:0da?S70m38p(88=:068 00628>0qpsr;|`606<7280;6=u+28:95ce<@;2n7b?ic;29 7??28lh7)?i2;0e?!7am38m76sm55094?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:63826>"2>80:>6sr}|9~f1d1290:6=4?{%0:N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;44<,<<:6<<4}|~?xd30?0;6<4?:1y'6<>=9oi0D?6j;n3eg?6=,;336t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627<6:2.>:<4>2:~yx=zj=kn6=4>:183!4>03;mo6F=8d9l5ce=83.9554>fb9'5c4=7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052880(88>:008yx{z3th?o44?:083>5}#:021=km4H3:f?j7ak3:1(?77:0d`?!7a:39n7)?ie;1f?>{e;0:>6*:60826>{zut1vn9ji:182>5<7s-8247?ic:J1<`=h9oi1<7*=9982bf=#9o819:5+1gg912=oi7>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96444<729q/>4651ga8L7>b3f;mo7>5$3;;>4`d3-;m>7=l;%3ea?5d32wi8hh50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>2:&624<6:2wvqp5rb41;>5<6290;w)<68;3eg>N50l1d=km50;&1==<6nj1/=k<5449'5cc=<<10qo;<7;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:008 0062880qpsr;|`141<7280;6=u+28:95ce<@;2n7b?ic;29 7??28lh7)?i2;6e?!7am3>m76sm21194?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:63826>"2>80:>6sr}|9~f76e290:6=4?{%0:N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;44<,<<:6<<4}|~?xd58m0;6<4?:1y'6<>=9oi0D?6j;n3eg?6=,;336;:a65e=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627<6:2.>:<4>2:~yx=zj;:m6=4>:183!4>03;mo6F=8d9l5ce=83.9554>fb9'5c4=

7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052880(88>:008yx{z3th9=<4?:083>5}#:021=km4H3:f?j7ak3:1(?77:0d`?!7a:3>h7)?ie;6`?>{e:8:1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:>6*:60826>{zut1vn??<:182>5<7s-8247?ic:J1<`=h9oi1<7*=9982bf=#9o81945+1gg91<=7>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96444<729q/>4651ga8L7>b3f;mo7>5$3;;>4`d3-;m>7:<;%3ea?2432wi><:50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>2:&624<6:2wvqp5rb334>5<6290;w)<68;3eg>N50l1d=km50;&1==<6nj1/=k<5359'5cc=;=10qo<>6;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:008 0062880qpsr;|`15<<7280;6=u+28:95ce<@;2n7b?ic;29 7??28lh7)?i2;15?!7am39=76sm20:94?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:63826>"2>80:>6sr}|9~f77e290:6=4?{%0:N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;44<,<<:6<<4}|~?xd3nk0;6<4?:1y'6<>=9oi0D?6j;n3eg?6=,;336;:a0cg=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627<6:2.>:<4>2:~yx=zj<8n6=4>:183!4>03;mo6F=8d9l5ce=83.9554>fb9'5c4==:1/=kk55298yg35l3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052880(88>:008yx{z3th>994?:083>5}#:021=km4H3:f?j7ak3:1(?77:0d`?!7a:3??7)?ie;77?>{e=<91<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:>6*:60826>{zut1vn98k:182>5<7s-8247?ic:J1<`=h9oi1<7*=9982bf=#9o81?i5+1gg97a==o7>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96444<729q/>4651ga8L7>b3f;mo7>5$3;;>4`d3-;m>7;l;%3ea?3d32wi8i>50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>2:&624<6:2wvqp5rb435>5<6290;w)<68;3eg>N50l1d=km50;&1==<6nj1/=k<52c9'5cc=:k10qo;>5;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:008 0062880qpsr;|`14<<7280;6=u+28:95ce<@;2n7b?ic;29 7??28lh7)?i2;1b?!7am39j76sm21:94?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:63826>"2>80:>6sr}|9~f761290:6=4?{%0:N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;44<,<<:6<<4}|~?xd3?=0;6<4?:1y'6<>=9oi0D?6j;n3eg?6=,;336t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627<6:2.>:<4>2:~yx=zj=3o6=4>:183!4>03;mo6F=8d9l5ce=83.9554>fb9'5c4=;o1/=kk53g98yg2>k3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052880(88>:008yx{z3th>5}#:021=km4H3:f?j7ak3:1(?77:0d`?!7a:39;7)?ie;13?>{e=9;1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0:>6*:60826>{zut1vn8>m:182>5<7s-8247?ic:J1<`=h9oi1<7*=9982bf=#9o819k5+1gg91c=52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96444<729q/>4651ga8L7>b3f;mo7>5$3;;>4`d3-;m>7=>;%3ea?5632wi9?:50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4>2:&624<6:2wvqp5rb5;3>5<6290;w)<68;3eg>N50l1d=km50;&1==<6nj1/=k<5499'5cc=<110qo:7f;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:008 0062880qpsr;|`600<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg33<3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<>26=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi98<50;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?ei6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=51;294~"5110:j45G29g8k4`e290/>4651g`8?xd24?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e===1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f02?29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f02f29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<602.>:<4>8:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<>i6=47:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=<5+573954=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`60f<7210;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:o6i=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e==n1<7?>:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<9647n2\:;h4={%756?763-?==7?>;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>1:&624<692wvqp5f1`194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h90Z<9j:3y'134=981/9;?5109~yx{o6i<0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d3<^8=n6?u+57095==#=?;1=55r}|8m4g1290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e2<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`58R41b2;q/9;<5199'137=911vqps4i0:b>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?7a:T23`<5s-?=>7?7;%755?7?3twvq6g>8c83>!4>03;3;6F=969U6{Wg3>7}#:981=5l4V05f>7}#=?81=<5+573954=zutw0e<6l:18'6<>=91=0Z?7n:0yO64>d3_;f=#=?;1o6sr}|9j5=b=83.9554>869U6{Wg3>7}#:981=5j4V05f>7}#=?81o6*:608`?x{zu2c:4h4?:%0:{M0:g?7|^l:1>v*=0382<`=Q9>o1>v*:638`?!3193i0qpsr;h3;b?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb46f>5<693:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647<2\:;h4={%756?763-?==7?>;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>1:&624<692wvqp5f1`094?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h80Z<9j:3y'134=981/9;?5109~yx{=:0c0?S70m38p(88=:038 00628;0qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>8:&624<602wvqp5f1`794?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1b=l950;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+57095==#=?;1=55r}|8m4>f290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=<519`8R41b2;q/9;<5109'137=981vqps4i0:`>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282h7[?8e;0x 0052j1/9;?5c:~yx=n91n1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519f8R41b2;q/9;<5c:&6248d83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60l1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7f:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f02a290=6=4?{%0::<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e<2\:;h4={%756?e<,<<:6n5r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96n5+5739g>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9j;4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>4590o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e6<729q/>465719K6=c=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<=31<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?9;4?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;42<,<<:6<:4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo::5;292?6=8r.9554>859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>a=z^;::6?uYdd81!3183n?7){#=m21=45r}|9j625=83.9554=729U6{W3e5?4|,;:96?9<;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+5729625<,;lo688=;|&6`=<602wvq6g=6b83>!4>038=o6X=9`81I4>k3;pZ:3y'654=:?i0Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=6b9'6cb==?80q);k8;3;?x{z3f;mn7>5$3;;>4`e32wi89o50;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9:m:182>5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=?h7>53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{?i7>53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{?j7>54;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;46<,<<:6<>4}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi88>50;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>465719K6=c=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9;=:18;>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>0:&624<682wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=991/9;?5119~yx{<2\:;h4={%756?773-?==7??;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570952=#=?;1=:5r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5119'137=991vqps4i0c7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528:0(88>:028yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5119'137=991vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?8;%755?703twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=:5+573952=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m94?:%0:{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi89m50;:94?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0h7);91;a8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0c7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`634<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg30?3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5159'137=9=1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<==6=49:183!4>03;386F=8d9'5d>=9h1b=5950;&1==<60>1]>4o51zN1=f<6s_;m=74>03_;f=#=?;1o6sr}|9j`6<72-8247j<;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{1<7*=998g0>P51h09wA<6c;3xR4`62;q/>=<5d59U52c=:r.>:?4j;%755?c4l54zT131<4s_nn6?u+5729`1=#:on19;<4}Wfe>7}#=?:1=594$3dg>0053t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?3192w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7j<;%0e`?31:2w/9i65d:U657=:r\oi7a2<,;lo688=;|&6`=<612wvq6g=7283>!4>038k3;pZ:3y'654=:>90Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=729'6cb==?80q);k8;3;?x{z3`8=o7>5$3;;>70d3_82m74}Q9o;1>v*=03812f=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!31838=o6*=fe8627=z,4651g`8?xd2>h0;6>4?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e=?h1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f00c29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f00b290?6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<682.>:<4>0:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?l7><3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627o0;644?:1y'6<>=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:058 00628=0qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>7:&624<6?2wvqp5f1`694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a126=8331<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528:0(88>:028yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<6?2.>:<4>7:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;41<,<<:6<94}|~?l7f=3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9;m50;794?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>;?4?:683>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5199'137=911vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?7;%755?7?3twvq6g>9g83>!4>03;3;6F=969U6{Wg3>7}#:981=4h4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h66X>7d81!31:3;37);91;3;?x{zu2c:m>4?:%0:=:0da?S70m38p(88=:b9'137=k2wvqp5rb450>5<693:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+57095==#=?;1=55r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<64$442>4><2\:;h4={%756?7?3-?==7?7;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:964>2\:;h4={%756?7?3-?==7?7;|~y>o6i>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4l;%755?ee290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96n5+5739g>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3g7>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6`d=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c0f1?6=;3:1=91=0D?78;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:l<1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f7c?29086=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`1a<<7200;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:444?:%0:1/9;?5169~yx{<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570952=#=?;1=:5r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5169'137=9>1vqps4i0c7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7?8;%755?703twvq6g>a483>!4>03;3;6F=969U6{Wg3>7}#:981=l;4V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f7c029086=4?{%0::<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{ekh0;6?4?:1y'6<>=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{038296F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63820>"2>80:86sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wiok4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zjj91<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zjj>1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~ff0=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:ag2<72=0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~ff>=83=1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3thh57>58;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:594?:%0:0Z<9j:3y'134=991/9;?5119~yx{n2\:;h4={%756?703-?==7?8;|~y>o6i;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+570952=#=?;1=:5r}|8m4g4290/>4651958R7?f28qG>4m51zTf4?4|,;:9641i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?ef`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4l;%755?en2\:;h4={%756?e<,<<:6n5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vnnl50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?7;%755?7?3twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&62447=83:p(?77:628L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6g>a583>!4>03;3;6F=969U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m;4?:%0:=:0c4?S70m38p(88=:b9'137=k2wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=k2.>:<4l;|~y>o60k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4l;%755?ec290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6k;W34a?4|,<<96n5+5739g>{zut1b=5k50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=c<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}cag>5<4290;w)<68;3ee>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c3a1?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f4e529086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>4:&624<6<2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9j;1<7850;2x 7??282?7E<7e:&2e=<6i2c:4:4?:%0:{M0:g?7|^8l:6?u+21095=1<^8=n6?u+5709g>"2>80h7psr}:kg7?6=,;336i=4V3;b>4}K:0i1=vX>f081!47:3n87[?8e;0x 0052j1/9;?5c:~yx=nl=0;6)<68;f7?S4>i38p@?7l:0yU5c7=:r.97k4$442>`=zutF95o4;{W040?5|^mo1>v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91347}#=?:1=594$3dg>0063t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6i=4$3dg>0053t.>h54k;|T144<5s_nn6?u+5729`1=#:on19;<4}%7g3twv7d<83;29 7??2;=87[<6a;0xH7?d28q]=k?52z&147<5?:1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<83:&1ba<2>;1v(8j7:0:8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;{#=m21=55r}|9l5cd=83.9554>fc98yg7e>3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6270;6<4?:1y'6<>=:0l0D?6j;n3ef?6=,;336t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5gg=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5gd=83<1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?703-?==7?8;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=991/9;?5119~yx{=:0;e?S70m38p(88=:058 00628=0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`2ff<72?0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:444?:%0:=:0;7?S70m38p(88=:028 00628:0qpsr;h3:b?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=oj50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<682.>:<4>0:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5119'137=991vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5gc=83=1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?703-?==7?8;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=991/9;?5119~yx{=:0;e?S70m38p(88=:058 00628=0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;<7);91;34?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=oh50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<682.>:<4>0:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5119'137=991vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5g>=8321<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81o6*:608`?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:638`?!3193i0qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0c7?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9mk1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:i:4?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;42<,<<:6<:4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?j6;292?6=8r.9554>859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>a=z^;::6?uYdd81!3183n?7){#=m21=45r}|9j625=83.9554=729U6{W3e5?4|,;:96?9<;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+5729625<,;lo688=;|&6`=<602wvq6g=6b83>!4>038=o6X=9`81I4>k3;pZ:3y'654=:?i0Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=6b9'6cb==?80q);k8;3;?x{z3f;mn7>5$3;;>4`e32wi=il50;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41n2\:;h4={%756?703-?==7?8;|~y>o6i;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn:185>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570952=#=?;1=:5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?8;%755?703twvq6g>a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6g>9g83>!4>03;3;6F=969U6{Wg3>7}#:981=4h4V05f>7}#=?81==5+573955=zutw0e=91=0D?78;W0:e?7|D;3h66X>7d81!31:3;<7);91;34?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63824>"2>80:<6sr}|9j5d2=83.9554>869U6{Wg3>7}#:981=l:4V05f>7}#=?81=:5+573952=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`2a6<7200;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869K6<1<^;3j6=:0;7?S70m38p(88=:058 00628=0qpsr;h3:b?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>0:&624<682wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96415Y16g96~"2>;0:<6*:60824>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570952=#=?;1=:5r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:964150z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=991/9;?5119~yx{o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+570952=#=?;1=:5r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0:;6*:60823>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528:0(88>:028yx{z3`;j87>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e0<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m85Y16g96~"2>;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5ab=8321<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81o6*:608`?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:638`?!3193i0qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0c7?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:><1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9;i4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<73;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9=1/9;?5159~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3:1>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl=7683>6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6245<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>0:&624<682wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<94$442>41<2\:;h4={%756?703-?==7?8;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e47=83:p(?77:628L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<682.>:<4>0:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63823>"2>80:;6sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:058 00628=0qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>7:&624<6?2wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641;0:;6*:60823>{zut1b=l;50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 00528=0(88>:058yx{z3`;j:7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<6?2.>:<4>7:~yx=n9h=1<7*=9982<2=O:0=0Z?7n:0yO64g03_;41<,<<:6<94}|~?l7?i3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?7a:T23`<5s-?=>7m4$442>f=zutw0e<6m:18'6<>=91=0Z?7n:0yO64>e3_;f=#=?;1o6sr}|9j5=e=83.9554>869U6{Wg3>7}#:981=5m4V05f>7}#=?81o6*:608`?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:638`?!3193i0qpsr;h3;a?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:e?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:>i1<7?>:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9j5d5=83.9554>869K6<1<^;3j6=:0c0?S70m38p(88=:058 00628=0qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>7:&624<6?2wvqp5f1`794?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641;0:;6*:60823>{zut1b=l950;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k<7[?8e;0x 00528=0(88>:058yx{z3`;3m7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:a>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282i7[?8e;0x 0052j1/9;?5c:~yx=n91i1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519a8R41b2;q/9;<5c:&6248e83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60m1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7e:T23`<5s-?=>7m4$442>f=zutw0e<6i:18'6<>=91=0Z?7n:0yO64>a3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>:750;694?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a62c=8331<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>n2\:;h4={%756?7?3-?==7?7;|~y>o6i;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8m4g4290/>4651958R7?f28qG>4m51zTf4?4|,;:964>o6i<0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:>l1<7750;2x 7??2>:0D?6j;h3;7d81!31:3;37);91;3;?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:6382<>"2>80:46sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=55+57395==zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;4><,<<:6<64}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9j5d5=83.9554>869U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0Z?7n:0yO64g33_;4><,<<:6<64}|~?l7f=3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n5:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:li1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9j84?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;42<,<<:6<:4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qof`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5119'137=991vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528:0(88>:028yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<682.>:<4>0:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;46<,<<:6<>4}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>k?50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:5k4?:%0:=:0c1?S70m38p(88=:058 00628=0qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:ll1<7=50;2x 7??28lj7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj8i86=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=nl50;494?6|,;336<6;;I0;a>"6i10:m6g>8683>!4>03;3;6X=9`82I4>k3;pZ:3y'654=91=0Z<9j:3y'134=k2.>:<4l;|~y>oc;3:1(?77:e18R7?f28qG>4m51zT2b4<5s-8;>7j<;W34a?4|,<<96n5+5739g>{zut1bh94?:%0:4}Q9o;1>v*=038g0>P6?l09w);92;g8 0062l1vqpB=9c87S40<39pZik52z&625:=4>869'6cb==?80q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<:7p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:e18 7`c2<<97p*:d9827>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=n850;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj8i36=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528:0(88>:028yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c3`=?6=;3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:o:4?:283>5}#:021=ko4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=n:50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f4c?29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9o:1<7850;2x 7??282?7E<7e:&2e=<6i2c:4:4?:%0:{M0:g?7|^8l:6?u+21095=1<^8=n6?u+5709g>"2>80h7psr}:kg7?6=,;336i=4V3;b>4}K:0i1=vX>f081!47:3n87[?8e;0x 0052j1/9;?5c:~yx=nl=0;6)<68;f7?S4>i38p@?7l:0yU5c7=:r.97k4$442>`=zutF95o4;{W040?5|^mo1>v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91347}#=?:1=594$3dg>0063t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6i=4$3dg>0053t.>h54>3:U657=:r\oi7a2<,;lo688=;|&6`=<612wvq6g=7283>!4>038k3;pZ:3y'654=:>90Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=729'6cb==?80q);k8;3;?x{z3`8=o7>5$3;;>70d3_82m74}Q9o;1>v*=03812f=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!31838=o6*=fe8627=z,4651g`8?xd6mh0;6>4?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9lh1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f4cc29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;46<,<<:6<>4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?je;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9l31<7=50;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>7:&624<6?2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3:7>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6=b=8391<7>t$3;;>7?23A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?;;%755?733twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=91>0D?6j;%3b5$3;;>4>03_82m7?tL3;`>4}Q9o;1>v*=0382<2=Q9>o1>v*:638`?!3193i0qpsr;hf0>5<#:021h>5Y28c95~J51j0:w[?i1;0x 7652m90Z<9j:3y'134=k2.>:<4l;|~y>oc<3:1(?77:e68R7?f2;qG>4m51zT2b4<5s-8;>7j;;W34a?4|,<<96h5+5739a>{zuE82n7:tV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8627=z,v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91377}#=?:1h>5+2gf9134v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f7>229086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e3:1=7>50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`1<=<72=0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f7>>290:=7>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:;6*:60823>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<6?2.>:<4>7:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;41<,<<:6<94}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63823>"2>80:;6sr}|9j5d2=83.9554>869K6<1<^;3j6=:0c7?S70m38p(88=:058 00628=0qpsr;h3b1?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>7:&624<6?2wvqp5f1`494?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641o60h0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=g<^8=n6?u+570952=#=?;1=:5r}|8m4>e290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96n5+5739g>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528=0(88>:058yx{z3`;j87>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i=1]=:k52z&627<6?2.>:<4>7:~yx=n9h?1<7*=9982<2=O:0=0Z?7n:0yO64g23_;41<,<<:6<94}|~?l7f>3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e3=Q9>o1>v*:63823>"2>80:;6sr}|9j5d1=83.9554>869U6{Wg3>7}#:981=l94V05f>7}#=?81=:5+573952=zutw0e<6n:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:4o4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:638`?!3193i0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:g?S70m38p(88=:b9'137=k2wvqp5f19g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91o0Z<9j:3y'134=k2.>:<4l;|~y>o60o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98g9U52c=:r.>:?4l;%755?e50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&6249583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=?4;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb22a>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a747=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c131?6=;3:1=91=0D?78;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;9<1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f66?29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f66>29086=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`04d<72?0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81==5+573955=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb224>5<3290;w)<68;3ee>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj::h6=4::183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj::o6=4::183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj::n6=49:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:46*:6082<>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>>i:187>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f67529096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;831<7850;2x 7??282?7E<7e:&2e=<6i2c:4:4?:%0:{M0:g?7|^8l:6?u+21095=1<^8=n6?u+5709g>"2>80h7psr}:kg7?6=,;336i=4V3;b>4}K:0i1=vX>f081!47:3n87[?8e;0x 0052j1/9;?5c:~yx=nl=0;6)<68;f7?S4>i38p@?7l:0yU5c7=:r.97k4$442>`=zutF95o4;{W040?5|^mo1>v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91347}#=?:1=594$3dg>0063t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6i=4$3dg>0053t.>h54k;|T144<5s_nn6?u+5729`1=#:on19;<4}%7g3twv7d<83;29 7??2;=87[<6a;0xH7?d28q]=k?52z&147<5?:1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<83:&1ba<2>;1v(8j7:0:8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;{#=m21=55r}|9l5cd=83.9554>fc98yg56;3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?<:50;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj:;=6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c123?6=;3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb23b>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a774=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c12f?6=;3:1=91=0D?78;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;8i1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f67b29086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<682.>:<4>0:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=>f;292?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb203>5<0290;w)<68;53?M4?m2c:454?:%0:=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:5k4?:%0:1/9;?5169~yx{o6i:0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>?k:187>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f64429096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;;n1<7850;2x 7??282?7E<7e:&2e=<6i2c:4:4?:%0:{M0:g?7|^8l:6?u+21095=1<^8=n6?u+5709g>"2>80h7psr}:kg7?6=,;336i=4V3;b>4}K:0i1=vX>f081!47:3n87[?8e;0x 0052j1/9;?5c:~yx=nl=0;6)<68;f7?S4>i38p@?7l:0yU5c7=:r.97k4$442>`=zutF95o4;{W040?5|^mo1>v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91347}#=?:1=594$3dg>0063t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6i=4$3dg>0053t.>h54k;|T144<5s_nn6?u+5729`1=#:on19;<4}%7g3twv7d<83;29 7??2;=87[<6a;0xH7?d28q]=k?52z&147<5?:1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<83:&1ba<2>;1v(8j7:0:8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;{#=m21=55r}|9l5cd=83.9554>fc98yg55<3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi??;50;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj:8<6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c11N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:826=49:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5169'137=9>1vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627:0D?6j;h3;7d81!31:3;;7);91;33?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81==5+573955=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;46<,<<:6<>4}|~?l7f:3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7??;%755?773twvq6g>a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<682.>:<4>0:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;41<,<<:6<94}|~?l7f=3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:63824>"2>80:<6sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:058 00628=0qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>7:&624<6?2wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=k2.>:<4l;|~y>o60k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4l;%755?ec290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6k;W34a?4|,<<96n5+5739g>{zut1b=5k50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=c<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c11f?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7??;%755?773twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<682.>:<4>0:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5119'137=991vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528:0(88>:028yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<682.>:<4>0:~yx=n9h>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`68R41b2;q/9;<5119'137=991vqps4i0c6>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 00528=0(88>:058yx{z3`;j:7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<682.>:<4>0:~yx=n9h=1<7*=9982<2=O:0=0Z?7n:0yO64g03_;41<,<<:6<94}|~?l7?i3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:63823>"2>80:;6sr}|9j5=d=83.9554>869K6<1<^;3j6=:0:a?S70m38p(88=:058 00628=0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:g?S70m38p(88=:b9'137=k2wvqp5f19g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91o0Z<9j:3y'134=k2.>:<4l;|~y>o60o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98g9U52c=:r.>:?4l;%755?e3:1:7>50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&6249583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g53_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi??k50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:5<7s-8247<65:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`075<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm32094?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:986=4=1;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81==5+573955=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;46<,<<:6<>4}|~?l7><3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7??;%755?773twvq6g>9g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<682.>:<4>0:~yx=n9h81<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5119'137=991vqps4i0c0>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528:0(88>:028yx{z3`;j87>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2e0<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m85Y16g96~"2>;0:<6*:60824>{zut1b=l850;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k=7[?8e;0x 00528:0(88>:028yx{z3`;j;7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2;0:<6*:60824>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+570955=#=?;1==5r}|8m4>d290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96<>4$442>46o60l0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98d9U52c=:r.>:?4>0:&624<682wvqp5f19d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<6i;W34a?4|,<<96<94$442>41;0:<6*:60824>{zut1b=4?50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283:7[?8e;0x 00528=0(88>:058yx{z3`;2>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61;1]=:k52z&627<6?2.>:<4>7:~yx=n9091<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51818R41b2;q/9;<5c:&6249483>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61<1]=:k52z&627>3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?66:T23`<5s-?=>7m4$442>f=zutw0e<78:18'6<>=91=0Z?7n:0yO64?03_;f=#=?;1o6sr}|9j5<>=83.9554>869U6{Wg3>7}#:981=464V05f>7}#=?81o6*:608`?x{zu2c:544?:%0:{M0:g?7|^l:1>v*=0382=<=Q9>o1>v*:638`?!3193i0qpsr;h3:e?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;a?S70m38p(88=:b9'137=k2wvqp5f18a94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90i0Z<9j:3y'134=k2.>:<4l;|~y>o61m0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99e9U52c=:r.>:?4l;%755?em2\:;h4={%756?e<,<<:6n5r}|8m4g7290/>4651958R7?f28qG>4m51zTf4?4|,;:96{zut1b=l?50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d7<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th8?94?:3394?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<682.>:<4>0:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5119'137=991vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528:0(88>:028yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:<6*:60824>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570955=#=?;1==5r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:964$442>46;0:<6*:60824>{zut1b=l950;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+570955=#=?;1==5r}|8m4>f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96<>4$442>46;0:<6*:60824>{zut1b=5m50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765282h7[?8e;0x 00528:0(88>:028yx{z3`;3h7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<60m1]=:k52z&627<682.>:<4>0:~yx=n91o1<7*=9982<2=O:0=0Z?7n:0yO64>b3_;46<,<<:6<>4}|~?l7?n3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:63823>"2>80:;6sr}|9j5<6=83.9554>869K6<1<^;3j6=:0;3?S70m38p(88=:028 00628:0qpsr;h3:5?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9909U52c=:r.>:?4>7:&624<6?2wvqp5f18094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7=;W34a?4|,<<96<94$442>41;2\:;h4={%756?e<,<<:6n5r}|8m4?2290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7:;W34a?4|,<<96n5+5739g>{zut1b=4850;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<0<^8=n6?u+5709g>"2>80h7psr}:k2=2<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5:5Y16g96~"2>;0h7);91;a8yx{z3`;247>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;:>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528327[?8e;0x 0052j1/9;?5c:~yx=n90k1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518c8R41b2;q/9;<5c:&6249c83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61k1]=:k52z&627k3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6c:T23`<5s-?=>7m4$442>f=zutw0e<7k:18'6<>=91=0Z?7n:0yO64?c3_;f=#=?;1o6sr}|9j5869U6{Wg3>7}#:981=4k4V05f>7}#=?81o6*:608`?x{zu2c:m=4?:%0:{M0:g?7|^l:1>v*=0382e5=Q9>o1>v*:638`?!3193i0qpsr;h3b5?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb216>5<593:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<>4$442>46<2\:;h4={%756?773-?==7??;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>0:&624<682wvqp5f1`094?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h80Z<9j:3y'134=991/9;?5119~yx{=:0c0?S70m38p(88=:028 00628:0qpsr;h3b0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m84?:%0:{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:63824>"2>80:<6sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:028 00628:0qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>0:&624<682wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=991/9;?5119~yx{=:0:a?S70m38p(88=:028 00628:0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:63824>"2>80:<6sr}|9j5=c=83.9554>869U6{Wg3>7}#:981=5k4V05f>7}#=?81==5+573955=zutw0e<6i:18'6<>=91=0Z?7n:0yO64>a3_;41<,<<:6<94}|~?l7>83:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=5=Q9>o1>v*:63824>"2>80:<6sr}|9j5<7=83.9554>869K6<1<^;3j6=:0;2?S70m38p(88=:058 00628=0qpsr;h3:6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9939U52c=:r.>:?4>7:&624<6?2wvqp5f18194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9090Z<9j:3y'134=k2.>:<4l;|~y>o61<0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9949U52c=:r.>:?4l;%755?e>2\:;h4={%756?e<,<<:6n5r}|8m4?0290/>4651958R7?f28qG>4m51zTf4?4|,;:96<78;W34a?4|,<<96n5+5739g>{zut1b=4650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<><^8=n6?u+5709g>"2>80h7psr}:k2=<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:545Y16g96~"2>;0h7);91;a8yx{z3`;2m7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;a>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283i7[?8e;0x 0052j1/9;?5c:~yx=n90i1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518a8R41b2;q/9;<5c:&6249e83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61m1]=:k52z&627m3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6e:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g73_;f=#=?;1o6sr}|9j5d7=83.9554>869U6{Wg3>7}#:981=l?4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`073<72;;1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?773-?==7??;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=991/9;?5119~yx{=:0;e?S70m38p(88=:028 00628:0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;;7);91;33?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63824>"2>80:<6sr}|9j5d2=83.9554>869U6{Wg3>7}#:981=l:4V05f>7}#=?81==5+573955=zutw0e=91=0Z?7n:0yO64g23_;46<,<<:6<>4}|~?l7f>3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e3=Q9>o1>v*:63824>"2>80:<6sr}|9j5d1=83.9554>869K6<1<^;3j6=:0c4?S70m38p(88=:028 00628:0qpsr;h3;e?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:4o4?:%0:o60m0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+570955=#=?;1==5r}|8m4>b290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2;0:;6*:60823>{zut1b=4>50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283;7[?8e;0x 00528:0(88>:028yx{z3`;2=7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6181]=:k52z&627<6?2.>:<4>7:~yx=n9081<7*=9982<2=O:0=0Z?7n:0yO64?53_;41<,<<:6<94}|~?l7>;3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?63:T23`<5s-?=>7m4$442>f=zutw0e<7::18'6<>=91=0Z?7n:0yO64?23_;f=#=?;1o6sr}|9j5<0=83.9554>869U6{Wg3>7}#:981=484V05f>7}#=?81o6*:608`?x{zu2c:5:4?:%0:{M0:g?7|^l:1>v*=0382=2=Q9>o1>v*:638`?!3193i0qpsr;h3:7d81!31:3i0(88>:b9~yx{=:0;:?S70m38p(88=:b9'137=k2wvqp5f18c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90k0Z<9j:3y'134=k2.>:<4l;|~y>o61k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99c9U52c=:r.>:?4l;%755?ek2\:;h4={%756?e<,<<:6n5r}|8m4?c290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7k;W34a?4|,<<96n5+5739g>{zut1b=4k50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095"2>80h7psr}:k2e5<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m=5Y16g96~"2>;0h7);91;a8yx{z3`;j=7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:9:6=49:183!4>03;mm6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;e?S70m38p(88=:b9'137=k2wvqp5f1`094?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h80Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c172?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=95+573951=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f622290=6=4?{%0:=:0:4?S70m38p(88=:b9'137=k2wvqp5fd283>!4>03n87[<6a;3xH7?d28q]=k?52z&1477}#:981h95Y16g96~"2>;0n7);91;g8yx{K:0h18vX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:441?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183n87){#=m21h6sY21396~Pcm38p(88?:e68 7`c2<<97p*:d982=>{zu2c9;>4?:%0:909;>5+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?41k2.9ji4:639~ 0b?2820qps4o0da>5<#:021=kl4;|`07d<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a76d=83;1<7>t$3;;>7?a3A83i6a>fc83>!4>03;mn65rb21g>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb21f>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb21e>5<3290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`005<72?0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:444?:%0:1/9;?5169~yx{<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>7:&624<6?2wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964150z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:;6*:60823>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:>96=49:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?9=50;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=9>1/9;?5169~yx{i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e=<729q/>4651gc8L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5c:&6249g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g43_;f=#=?;1o6sr}|9j5d2=83.9554>869U6{Wg3>7}#:981=l:4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`756<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg2613:1:7>50z&1==<60=1C>5k4$0c;>4gP6?l09w);92;a8 0062j1vqps4ie194?"5110o?6X=9`82I4>k3;pZ:3y'654=l:1]=:k52z&627465d59U6{W3e5?4|,;:96i:4V05f>7}#=?81i6*:608f?x{zD;3i69uY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8624=z,v*:618g0>"5nm0>:?5rVed96~"2>90o?6*=fe8627=z,v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f17229086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e3:1=7>50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`752<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:444?:%0:=:0da?S70m38p(88=:b9'137=k2wvqp5rb537>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f17f29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<;=1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;37?!3193;?7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?>;4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj=;i6=4<:183!4>038296F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi84H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:=0;297?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:=1;297?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:=2;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8?=50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{987>54;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:594?:%0:0Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb53g>5N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 0052j1/9;?5c:~yx=n9h81<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5c:&624a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f14?29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<::1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th??94?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;42<,<<:6<:4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:<3;292?6=8r.9554>859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>a=z^;::6?uYdd81!3183n?7){#=m21=45r}|9j625=83.9554=729U6{W3e5?4|,;:96?9<;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+5729625<,;lo688=;|&6`=<602wvq6g=6b83>!4>038=o6X=9`81I4>k3;pZ:3y'654=:?i0Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=6b9'6cb==?80q);k8;3;?x{z3f;mn7>5$3;;>4`e32wi8?750;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn95<7s-8247<6f:J1<`=h9oh1<7*=9982bg=9o7>54;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81==5+573955=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8?j50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81=:5+573952=zutw0e=91=0Z?7n:0yO64g43_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:=e;294>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81==5+573955=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0c0?S70m38p(88=:058 00628=0qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<;l1<7650;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>7:&624<6?2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9>1/9;?5169~yx{<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>7:&624<6?2wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641o6i=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn95<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8>?50;:94?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:6382<>"2>80:46sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=55+57395==zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:0:8 0062820qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8>;50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf91347}#=?:1h95+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?40;2.9ji4:639~ 0b?2820qps4i34`>5<#:021>;m4V3;b>7}K:0i1=vX>f081!47:38=o6X>7d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1d=kl50;&1==<6nk10qo:<7;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi8>750;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{8:7>53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;75;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb4;2>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:86*:60820>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1<6=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c7;2?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`6<2<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm59;94?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c7;f?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?l7f:3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?8;%755?703twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=:5+573952=zutw0e=91=0Z?7n:0yO64g33_;41<,<<:6<94}|~?l7f=3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n5:T23`<5s-?=>7?8;%755?703twvq6g>a783>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<6?2.>:<4>7:~yx=n9h=1<7*=9982<2=O:0=0Z?7n:0yO64g03_;41<,<<:6<94}|~?l7?i3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?7a:T23`<5s-?=>7m4$442>f=zutw0e<6m:18'6<>=91=0Z?7n:0yO64>e3_;f=#=?;1o6sr}|9j5=e=83.9554>869U6{Wg3>7}#:981=5m4V05f>7}#=?81o6*:608`?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:638`?!3193i0qpsr;h3;a?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:e?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e=1i1<7?>:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41n2\:;h4={%756?703-?==7?8;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>7:&624<6?2wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641o6i<0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>7:&624<6?2wvqp5f1`494?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h<0Z<9j:3y'134=9>1/9;?5169~yx{o60h0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98`9U52c=:r.>:?4l;%755?ed290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96n5+5739g>{zut1b=5j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+5709g>"2>80h7psr}:k2<`<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:4h5Y16g96~"2>;0h7);91;a8yx{z3`;3j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<2o6=4>1;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<>4$442>46n2\:;h4={%756?703-?==7?8;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>7:&624<6?2wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641;0:;6*:60823>{zut1b=l;50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 00528=0(88>:058yx{z3`;j:7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<6?2.>:<4>7:~yx=n9h=1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`58R41b2;q/9;<5169'137=9>1vqps4i0:b>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282j7[?8e;0x 00528=0(88>:058yx{z3`;3n7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=<519a8R41b2;q/9;<5169'137=9>1vqps4i0:g>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282o7[?8e;0x 0052j1/9;?5c:~yx=n91o1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519g8R41b2;q/9;<5c:&6248g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60o1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;7e;2954<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41n2\:;h4={%756?703-?==7?8;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>7:&624<6?2wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641;0:;6*:60823>{zut1b=l;50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 00528=0(88>:058yx{z3`;j:7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<6?2.>:<4>7:~yx=n9h=1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`58R41b2;q/9;<5169'137=9>1vqps4i0:b>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282j7[?8e;0x 00528=0(88>:058yx{z3`;3n7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=<519a8R41b2;q/9;<5169'137=9>1vqps4i0:g>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282o7[?8e;0x 0052j1/9;?5c:~yx=n91o1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519g8R41b2;q/9;<5c:&6248g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60o1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;78;293?6=8r.9554>f`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4l;%755?en2\:;h4={%756?e<,<<:6n5r}|8m4g5290/>4651958R7?f28qG>4m51zTf4?4|,;:96{zut1b=l=50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?n:4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:l4;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb5a0>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl;b983>6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6245<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9ll:180>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9lk:1825?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528:0(88>:028yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:<6*:60824>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+570955=#=?;1==5r}|8m4g4290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2e1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m95Y16g96~"2>;0:<6*:60824>{zut1b=l;50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d3<^8=n6?u+570952=#=?;1=:5r}|8m4g1290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2e2<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`58R41b2;q/9;<5169'137=9>1vqps4i0:b>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?7a:T23`<5s-?=>7?8;%755?703twvq6g>8c83>!4>03;3;6F=969U6{Wg3>7}#:981=5l4V05f>7}#=?81=:5+573952=zutw0e<6l:18'6<>=91=0Z?7n:0yO64>d3_;41<,<<:6<94}|~?l7?l3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?7d:T23`<5s-?=>7m4$442>f=zutw0e<6j:18'6<>=91=0Z?7n:0yO64>b3_;f=#=?;1o6sr}|9j5=`=83.9554>869U6{Wg3>7}#:981=5h4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`7f`<72;;1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?773-?==7??;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=991/9;?5119~yx{=:0;e?S70m38p(88=:028 00628:0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;;7);91;33?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63824>"2>80:<6sr}|9j5d2=83.9554>869U6{Wg3>7}#:981=l:4V05f>7}#=?81==5+573955=zutw0e=91=0Z?7n:0yO64g23_;46<,<<:6<>4}|~?l7f>3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n6:T23`<5s-?=>7??;%755?773twvq6g>a683>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i>1]=:k52z&627<682.>:<4>0:~yx=n91k1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519c8R41b2;q/9;<5119'137=991vqps4i0:a>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282i7[?8e;0x 00528:0(88>:028yx{z3`;3o7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2;0:<6*:60824>{zut1b=5k50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 00528=0(88>:058yx{z3`;3j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<60o1]=:k52z&627<682.>:<4>0:~yx=n90:1<7*=9982<2=O:0=0Z?7n:0yO64?73_;41<,<<:6<94}|~?l7>93:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=4=Q9>o1>v*:63823>"2>80:;6sr}|9j5<4=83.9554>869U6{Wg3>7}#:981=4<4V05f>7}#=?81=:5+573952=zutw0e<7<:18'6<>=91=0Z?7n:0yO64?43_;f=#=?;1o6sr}|9j5<3=83.9554>869U6{Wg3>7}#:981=4;4V05f>7}#=?81o6*:608`?x{zu2c:5;4?:%0:{M0:g?7|^l:1>v*=0382=3=Q9>o1>v*:638`?!3193i0qpsr;h3:3?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;;?S70m38p(88=:b9'137=k2wvqp5f18;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9030Z<9j:3y'134=k2.>:<4l;|~y>o61h0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99`9U52c=:r.>:?4l;%755?ej2\:;h4={%756?e<,<<:6n5r}|8m4?d290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7l;W34a?4|,<<96n5+5739g>{zut1b=4j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095"2>80h7psr}:k2=`<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5h5Y16g96~"2>;0h7);91;a8yx{z3`;j<7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0c2>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k:7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;46<,<<:6<>4}|~?l7>n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7??;%755?773twvq6g>a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<682.>:<4>0:~yx=n9h91<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5119'137=991vqps4i0c7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 00528:0(88>:028yx{z3`;j97>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2e3<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m;5Y16g96~"2>;0:<6*:60824>{zut1b=l950;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+570955=#=?;1==5r}|8m4>f290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=<519`8R41b2;q/9;<5119'137=991vqps4i0:`>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?7c:T23`<5s-?=>7??;%755?773twvq6g>8e83>!4>03;3;6F=969U6{Wg3>7}#:981=5j4V05f>7}#=?81==5+573955=zutw0e<6j:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:4k4?:%0:82\:;h4={%756?703-?==7?8;|~y>o6180;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<7<^8=n6?u+570952=#=?;1=:5r}|8m4?5290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7=;W34a?4|,<<96<94$442>41;2\:;h4={%756?e<,<<:6n5r}|8m4?2290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7:;W34a?4|,<<96n5+5739g>{zut1b=4850;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<0<^8=n6?u+5709g>"2>80h7psr}:k2=2<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5:5Y16g96~"2>;0h7);91;a8yx{z3`;247>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;:>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528327[?8e;0x 0052j1/9;?5c:~yx=n90k1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518c8R41b2;q/9;<5c:&6249c83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61k1]=:k52z&627k3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6c:T23`<5s-?=>7m4$442>f=zutw0e<7k:18'6<>=91=0Z?7n:0yO64?c3_;f=#=?;1o6sr}|9j5869U6{Wg3>7}#:981=4k4V05f>7}#=?81o6*:608`?x{zu2c:m=4?:%0:{M0:g?7|^l:1>v*=0382e5=Q9>o1>v*:638`?!3193i0qpsr;h3b5?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5a3>5<593:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<>4$442>46<2\:;h4={%756?773-?==7??;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>0:&624<682wvqp5f1`094?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h80Z<9j:3y'134=991/9;?5119~yx{=:0c0?S70m38p(88=:028 00628:0qpsr;h3b0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m84?:%0:{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:63824>"2>80:<6sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:028 00628:0qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>0:&624<682wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=991/9;?5119~yx{=:0:a?S70m38p(88=:028 00628:0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:63824>"2>80:<6sr}|9j5=c=83.9554>869U6{Wg3>7}#:981=5k4V05f>7}#=?81==5+573955=zutw0e<6i:18'6<>=91=0Z?7n:0yO64>a3_;41<,<<:6<94}|~?l7>83:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=5=Q9>o1>v*:63824>"2>80:<6sr}|9j5<7=83.9554>869K6<1<^;3j6=:0;2?S70m38p(88=:058 00628=0qpsr;h3:6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9939U52c=:r.>:?4>7:&624<6?2wvqp5f18194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9090Z<9j:3y'134=9>1/9;?5169~yx{6=4+28:95=1<^;3j6=:0;6?S70m38p(88=:b9'137=k2wvqp5f18494?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90<0Z<9j:3y'134=k2.>:<4l;|~y>o61>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9969U52c=:r.>:?4l;%755?e02\:;h4={%756?e<,<<:6n5r}|8m4?>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<76;W34a?4|,<<96n5+5739g>{zut1b=4o50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095"2>80h7psr}:k2=g<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5o5Y16g96~"2>;0h7);91;a8yx{z3`;2o7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;g>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283o7[?8e;0x 0052j1/9;?5c:~yx=n90o1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518g8R41b2;q/9;<5c:&624a183>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i91]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n1:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f1e62909=7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=991/9;?5119~yx{=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63824>"2>80:<6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81==5+573955=zutw0e=91=0Z?7n:0yO64g43_;46<,<<:6<>4}|~?l7f<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7??;%755?773twvq6g>a483>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i<1]=:k52z&627<682.>:<4>0:~yx=n9h<1<7*=9982<2=O:0=0Z?7n:0yO64g13_;46<,<<:6<>4}|~?l7f?3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e2=Q9>o1>v*:63824>"2>80:<6sr}|9j5=g=83.9554>869U6{Wg3>7}#:981=5o4V05f>7}#=?81==5+573955=zutw0e<6m:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:4n4?:%0:o60l0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=c<^8=n6?u+570955=#=?;1==5r}|8m4>a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6i;W34a?4|,<<96<94$442>41;0:<6*:60824>{zut1b=4?50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283:7[?8e;0x 00528=0(88>:058yx{z3`;2>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61;1]=:k52z&627<6?2.>:<4>7:~yx=n9091<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51818R41b2;q/9;<5169'137=9>1vqps4i0;6>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283>7[?8e;0x 0052j1/9;?5c:~yx=n90<1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51848R41b2;q/9;<5c:&6249683>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61>1]=:k52z&62703:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?68:T23`<5s-?=>7m4$442>f=zutw0e<76:18'6<>=91=0Z?7n:0yO64?>3_;f=#=?;1o6sr}|9j5869U6{Wg3>7}#:981=4o4V05f>7}#=?81o6*:608`?x{zu2c:5o4?:%0:{M0:g?7|^l:1>v*=0382=g=Q9>o1>v*:638`?!3193i0qpsr;h3:g?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;g?S70m38p(88=:b9'137=k2wvqp5f18g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90o0Z<9j:3y'134=k2.>:<4l;|~y>o6i90;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a19U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9ln:18;>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81o6*:608`?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:638`?!3193i0qpsr;h3b0?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4;e>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1dd=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c7b4?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`6e4<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm5`194?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5119'137=991vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6g>9g83>!4>03;3;6F=969U6{Wg3>7}#:981=4h4V05f>7}#=?81=:5+573952=zutw0e=91=0D?78;W0:e?7|D;3h66X>7d81!31:3;<7);91;34?x{zu2c:m>4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4c;>5<0290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:1/9;?5169~yx{o6i:0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8o6:18;>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>0:&624<682wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:;6*:60823>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8o=:18;>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81o6*:608`?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:638`?!3193i0qpsr;h3b0?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4`a>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1f5=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:068 00628>0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e=ki1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;md;295?6=8r.9554=9g9K6=cnk4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>o=4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1f7=83>1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>o?4?:483>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6g>9g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;7?S70m38p(88=:b9'137=k2wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e<<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>1:&624<692wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m95Y16g96~"2>;0:46*:6082<>{zut1b=l;50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d3<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8m::18:>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=911/9;?5199~yx{<2\:;h4={%756?7?3-?==7?7;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+57095==#=?;1=55r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:964>3:1?7>50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8ln:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6<2.>:<4>4:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624a:k2<2<72-8247?77:T1=d<6sE82o7?tV0d2>7}#:981=594V05f>7}#=?81o6*:608`?x{zu2co?7>5$3;;>a5<^;3j6!4>03n?7[<6a;0xH7?d28q]=k?52z&14790o86*=fe8627=z^ml1>v*:6182<2=#:on19;<4}%7g7}#=?:1h95+2gf9134;|&6`=<6;2w]>::53zTga?4|,<<;6i:4$3dg>0053t\oj7a5<,;lo688=;|&6`=7}#=?:1h95+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?40;2.9ji4:639~ 0b?2820qps4i34`>5<#:021>;m4V3;b>7}K:0i1=vX>f081!47:38=o6X>7d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1d=kl50;&1==<6nk10qo;nd;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi9o>50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9o?50;;94?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=9>1/9;?5169~yx{o6i:0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+570952=#=?;1=:5r}|8m4g3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e0<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`78R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7??;%755?773twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5169'137=9>1vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?8;%755?703twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=:5+573952=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m84?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4`0>5<693:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528:0(88>:028yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:<6*:60824>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528:0(88>:028yx{z3`;j>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<6?2.>:<4>7:~yx=n9h91<7*=9982<2=O:0=0Z?7n:0yO64g43_;41<,<<:6<94}|~?l7f<3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:63823>"2>80:;6sr}|9j5d3=83.9554>869K6<1<^;3j6=:0c6?S70m38p(88=:058 00628=0qpsr;h3b2?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a79U52c=:r.>:?4>7:&624<6?2wvqp5f1`594?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h=0Z<9j:3y'134=k2.>:<4l;|~y>o60h0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98`9U52c=:r.>:?4l;%755?ed290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96n5+5739g>{zut1b=5j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+5709g>"2>80h7psr}:k2<`<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:4h5Y16g96~"2>;0h7);91;a8yx{z3`;3j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj03;mm6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;e?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e=k?1<7?>:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5109'137=981vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m84?:%0:2\:;h4={%756?7?3-?==7?7;|~y>o6i>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4l;%755?ee290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96n5+5739g>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624=:0::?S70m38p(88=:038 00628;0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:0:8 0062820qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>8:&624<602wvqp5f1`694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1b=l850;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k=7[?8e;0x 0052820(88>:0:8yx{z3`;j;7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:b>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282j7[?8e;0x 0052j1/9;?5c:~yx=n91h1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519`8R41b2;q/9;<5c:&6248b83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60j1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7d:T23`<5s-?=>7m4$442>f=zutw0e<6j:18'6<>=91=0Z?7n:0yO64>b3_;f=#=?;1o6sr}|9j5=`=83.9554>869U6{Wg3>7}#:981=5h4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`6f2<72:0;6=u+28:95cg<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1fg=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6270;6>4?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:068 00628>0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e=jh1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;lc;295?6=8r.9554=9g9K6=coh4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>ok4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>h=4?:483>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:;6*:60823>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6g>9g83>!4>03;3;6F=969U6{Wg3>7}#:981=4h4V05f>7}#=?81=:5+573952=zutw0e=91=0Z?7n:0yO64g53_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;k2;292?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4f0>5<1290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1a2=83<1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?703-?==7?8;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5169'137=9>1vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?9k4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:9b;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9=1/9;?5159~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb54b>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl;6183>6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6245<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn98;:187>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570952=#=?;1=:5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj=<>6=49:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;46<,<<:6<>4}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8;850;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:1/9;?5169~yx{=:0c1?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{=;7>51083>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=991/9;?5119~yx{=:0c1?S70m38p(88=:028 00628:0qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>0:&624<682wvqp5f1`694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h>0Z<9j:3y'134=991/9;?5119~yx{6=4+28:95=1<@;3<7[<6a;3xH7?d28q]i=4={%036?7f=2\:;h4={%756?703-?==7?8;|~y>o6i?0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d0<^8=n6?u+570952=#=?;1=:5r}|8m4g0290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2=<519c8R41b2;q/9;<5169'137=9>1vqps4i0:a>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282i7[?8e;0x 00528=0(88>:058yx{z3`;3o7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<60j1]=:k52z&627<682.>:<4>0:~yx=n91n1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519f8R41b2;q/9;<5c:&6248d83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60l1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7f:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f10?290:=7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=991/9;?5119~yx{=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63824>"2>80:<6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81==5+573955=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m94?:%0:{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:63824>"2>80:<6sr}|9j5d3=83.9554>869K6<1<^;3j6=:0c6?S70m38p(88=:058 00628=0qpsr;h3b2?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a79U52c=:r.>:?4>7:&624<6?2wvqp5f1`594?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9641o60k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4>7:&624<6?2wvqp5f19a94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96<>4$442>46b290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6j;W34a?4|,<<96n5+5739g>{zut1b=5h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?:?4?:683>5}#:021=ko4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81o6*:608`?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:638`?!3193i0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3i0(88>:b9~yx{=:0c0?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<<=1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?9h4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj=?36=4<:183!4>038296F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{>57>51;294~"511095k5G29g8k4`e290/>4651g`8?xd3=k0;6>4?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?9n4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e6<729q/>4651gc8L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`02=<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<:4$442>4250z&1==<60=1C>5k4$0c;>4gP6?l09w);92;a8 0062j1vqps4ie194?"5110o?6X=9`82I4>k3;pZ:3y'654=l:1]=:k52z&627465d59U6{W3e5?4|,;:96i:4V05f>7}#=?81i6*:608f?x{zD;3i69uY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8624=z,v*:618g0>"5nm0>:?5rVed96~"2>90o?6*=fe8627=z,7}Qll09w);90;f7?!4al3?=>6s+5e:95<=zut1b>:=50;&1==<5?:1]>4o52zN1=f<6s_;m=77143_;4><,<<:6<64}|O67}#=?:1>:=4$3dg>0053t.>h54>8:~y>o5>j0;6)<68;05g>P51h09wA<6c;3xR4`62;q/>=<527a8R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5>j1/>kj55708y!3c03;37psr;n3ef?6=,;336t$3;;>7?23A83i6g>8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{51;294~"511095k5G29g8k4`e290/>4651g`8?xd4>:0;694?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0c0?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{57;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;7?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;?31<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th8;<4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj:038296F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi?;j50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=9f;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627j0;694?:1y'6<>=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1`f?6=>3:10290/>4651958R7?f28qG>4m51zT2b4<5s-8;>7?77:T23`<5s-?=>7m4$442>f=zutw0ei=50;&1=={M0:g?7|^8l:6?u+2109`6=Q9>o1>v*:638`?!3193i0qpsr;hf7>5<#:021h95Y28c96~J51j0:w[?i1;0x 7652m>0Z<9j:3y'134=m2.>:<4j;|~H7?e2=q]>::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;92:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:609~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625>:3yU``<5s-?=<7j;;%0e`?31:2w/9i65189~yx=n:>91<7*=998136=Q:0k1>vB=9b82S7a938p(?>=:350?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:>90(?hk:441?x"2l10:46sr}:k12f<72-8247<9c:T1=d<5sE82o7?tV0d2>7}#:981>;m4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:61812f=#:on19;<4}%7g:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`0g0<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:444?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb2a5>5<3290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`0g2<72<0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=l8;291?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:i26=4::183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a7f2=83<1<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81o6*:608`?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{7>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>9l:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{4?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb257>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo=86;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?:950;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`03<<72=0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?l7><3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>9k:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;?7);91;37?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`0<0<72?0;6=u+28:95=2<@;2n7)?n8;3b?l7??3:1(?77:0:4?S4>i3;p@?7l:0yU5c7=:r.9869U52c=:r.>:?4l;%755?e=l:1]>4o51zN1=f<6s_;m=7a5<^8=n6?u+5709g>"2>80h7psr}:kg0?6=,;336i:4V3;b>7}K:0i1=vX>f081!47:3n?7[?8e;0x 0052l1/9;?5e:~yI4>j3>pZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:639~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55738y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=l:1/>kj55708y!3c03n0q[:=4k4:&1ba<2>;1v(8j7:0;8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;=87){#=m21=55r}|9j63e=83.9554=6b9U6{W3e5?4|,;:96?8l;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+572963e<,;lo688=;|&6`=<602wvq6a>fc83>!4>03;mn65rb25f>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c14b?6=93:1=9oh07pl<8083>1<729q/>465719K6=c=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb2:1>5<2290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;41<,<<:6<94}|~?l7>n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:;6*:60823>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1;4?6=<3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>7>:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6<2.>:<4>4:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624a:k2<2<72-8247?77:T1=d<6sE82o7?tV0d2>7}#:981=594V05f>7}#=?81o6*:608`?x{zu2co?7>5$3;;>a5<^;3j6!4>03n?7[<6a;0xH7?d28q]=k?52z&14790o86*=fe8627=z^ml1>v*:6182<2=#:on19;<4}%7g7}#=?:1h95+2gf9134;|&6`=<6;2w]>::53zTga?4|,<<;6i:4$3dg>0053t\oj7a5<,;lo688=;|&6`=7}#=?:1h95+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?40;2.9ji4:639~ 0b?2820qps4i34`>5<#:021>;m4V3;b>7}K:0i1=vX>f081!47:38=o6X>7d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1d=kl50;&1==<6nk10qo=78;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi?5l50;694?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=7c;292?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:;6*:60823>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>6k:185>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>7:&624<6?2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9>1/9;?5169~yx{=:0;7?S70m38p(88=:058 00628=0qpsr;h3:b?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb2:f>5<1290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:1/9;?5169~yx{=:0c1?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{55;294~"5110:jl5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0h7);91;a8yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:ih6=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?i?50;494?6|,;336<6;;I0;a>"6i10:m6g>8683>!4>03;3;6X=9`82I4>k3;pZ:3y'654=91=0Z<9j:3y'134=k2.>:<4l;|~y>oc;3:1(?77:e18R7?f28qG>4m51zT2b4<5s-8;>7j<;W34a?4|,<<96n5+5739g>{zut1bh94?:%0:4}Q9o;1>v*=038g0>P6?l09w);92;g8 0062l1vqpB=9c87S40<39pZik52z&625:=4>869'6cb==?80q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<:7p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:e18 7`c2<<97p*:d98g?xP58809w[jj:3y'136=l=1/>kj55708y!3c03;27psr;h047?6=,;336?9<;W0:e?4|D;3h65Y16g96~"2>;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;047>"5nm0>:?5r$4f;>4>=:?i0Z?7n:3yO64?52zTga?4|,<<;6?8l;%0e`?31:2w/9i65199~yx=h9oh1<7*=9982bg=53;294~"51109585G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th8oh4?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e;jl1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;<7);91;34?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?i<50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:j<:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=k4;295?6=8r.9554=9g9K6=c5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1gg?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f6ba290=6=4?{%0:=:0:4?S70m38p(88=:b9'137=k2wvqp5fd283>!4>03n87[<6a;3xH7?d28q]=k?52z&1477}#:981h95Y16g96~"2>;0n7);91;g8yx{K:0h18vX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:441?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183n87){#=m21h6sY21396~Pcm38p(88?:e68 7`c2<<97p*:d982=>{zu2c9;>4?:%0:909;>5+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?41k2.9ji4:639~ 0b?2820qps4o0da>5<#:021=kl4;|`0`<<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a7ag=83;1<7>t$3;;>7?a3A83i6a>fc83>!4>03;mn65rb2fa>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f6bc290?6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;4><,<<:6<64}|~?l7><3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>kl:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?h<50;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj:o86=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:o>6=46:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;4><,<<:6<64}|~?l7f=3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?h850;;94?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:0Z<9j:3y'134=911/9;?5199~yx{6=4+28:95=1<@;3<7[<6a;3xH7?d28q]i=4={%036?7f=2\:;h4={%756?7?3-?==7?7;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e<<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:6382<>"2>80:46sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1b=l;50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1fN50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<64$442>4><2\:;h4={%756?7?3-?==7?7;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+57095==#=?;1=55r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e6<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5199'137=911vqps4i0c7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7?7;%755?7?3twvq6g>a483>!4>03;3;6F=969U6{Wg3>7}#:981=l;4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f6c>29026=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5199'137=911vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9j5d2=83.9554>869K6<1<^;3j6=:0c7?S70m38p(88=:0:8 0062820qpsr;h3b1?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;lk1<7850;2x 7??28lj7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052j1/9;?5c:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5c:&624a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:?d;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb531>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl;0d83>6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6245<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>7:&624<6?2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3c3>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6d0=8391<7>t$3;;>7?23A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?;;%755?733twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=91>0D?6j;%3b5$3;;>4>03_82m7?tL3;`>4}Q9o;1>v*=0382<2=Q9>o1>v*:638`?!3193i0qpsr;hf0>5<#:021h>5Y28c95~J51j0:w[?i1;0x 7652m90Z<9j:3y'134=k2.>:<4l;|~y>oc<3:1(?77:e68R7?f2;qG>4m51zT2b4<5s-8;>7j;;W34a?4|,<<96h5+5739a>{zuE82n7:tV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8627=z,v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91377}#=?:1h>5+2gf9134v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f7g629086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`1e6<7280;6=u+28:95c?<@;2n7b?ib;29 7??28li76sm2b194?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`1g<<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<:4$442>4250z&1==<60=1C>5k4$0c;>4gP6?l09w);92;a8 0062j1vqps4ie194?"5110o?6X=9`82I4>k3;pZ:3y'654=l:1]=:k52z&627465d59U6{W3e5?4|,;:96i:4V05f>7}#=?81i6*:608f?x{zD;3i69uY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8624=z,v*:618g0>"5nm0>:?5rVed96~"2>90o?6*=fe8627=z,7}Qll09w);90;f7?!4al3?=>6s+5e:95<=zut1b>:=50;&1==<5?:1]>4o52zN1=f<6s_;m=77143_;4><,<<:6<64}|O67}#=?:1>:=4$3dg>0053t.>h54>8:~y>o5>j0;6)<68;05g>P51h09wA<6c;3xR4`62;q/>=<527a8R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5>j1/>kj55708y!3c03;37psr;n3ef?6=,;336t$3;;>7?23A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f7e2290:6=4?{%0:n2B94h5`1g`94?"5110:jo54}c0`2?6=>3:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570952=#=?;1=:5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<94$442>41<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>7:&624<6?2wvqp5f1`094?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h80Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3ab>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6fc=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:068 00628>0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e:jh1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;ih6=4>:183!4>0382j6F=8d9l5cd=83.9554>fc98yg4dl3:1;7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=9>1/9;?5169~yx{=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81=:5+573952=zutw0e=91=0Z?7n:0yO64g43_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c0g4?6=;3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052820(88>:0:8yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<602.>:<4>8:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;47<,<<:6?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>i=50;794?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th85?4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=66;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb2;f>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl<9283>6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6247::180>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>78:187>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:=6*:60825>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a7<>=83>1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th8544?:583>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1:e?6=<3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<692.>:<4>1:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5109'137=981vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:3i6=4::183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a7t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81o6*:608`?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>l?:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?lj50;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj:kn6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>kk:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b:k2<2<72-8247?77:T1=d<6sE82o7?tV0d2>7}#:981=594V05f>7}#=?81o6*:608`?x{zu2co?7>5$3;;>a5<^;3j6!4>03n?7[<6a;0xH7?d28q]=k?52z&14790o86*=fe8627=z^ml1>v*:6182<2=#:on19;<4}%7g7}#=?:1h95+2gf9134;|&6`=<6;2w]>::53zTga?4|,<<;6i:4$3dg>0053t\oj7a5<,;lo688=;|&6`=7}#=?:1h95+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?40;2.9ji4:639~ 0b?2820qps4i34`>5<#:021>;m4V3;b>7}K:0i1=vX>f081!47:38=o6X>7d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1d=kl50;&1==<6nk10qo=je;297?6=8r.9554=949K6=c>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a7``=83;1<7>t$3;;>7?a3A83i6a>fc83>!4>03;mn65rb2d2>5<4290;w)<68;53?M4?m2c:454?:%0:1/9;?5169~yx{i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e1<729q/>465719K6=co6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>4150z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627:0;6?4?:1y'6<>=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e=?>1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;95;295?6=8r.9554=9g9K6=c:;4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5d?=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=91>0D?6j;%3b5$3;;>4>03_82m7?tL3;`>4}Q9o;1>v*=0382<2=Q9>o1>v*:638`?!3193i0qpsr;hf0>5<#:021h>5Y28c95~J51j0:w[?i1;0x 7652m90Z<9j:3y'134=k2.>:<4l;|~y>oc<3:1(?77:e68R7?f2;qG>4m51zT2b4<5s-8;>7j;;W34a?4|,<<96h5+5739a>{zuE82n7:tV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8627=z,v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91377}#=?:1h>5+2gf9134v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f4gf29086=4?{%0:=2B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:mo4?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e9hn1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9ho1<7950;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>7:&624<6?2wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3`;j>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<6?2.>:<4>7:~yx=n9h91<7*=9982<2=O:0=0Z?7n:0yO64g43_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?nf;293?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869K6<1<^;3j6=:0;7?S70m38p(88=:058 00628=0qpsr;h3:b?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>7:&624<6?2wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96415Y16g96~"2>;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a5g6=8321<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?773-?==7??;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<6?2.>:<4>7:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?m1;29=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9j5d5=83.9554>869K6<1<^;3j6=:0c0?S70m38p(88=:058 00628=0qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9k81<7750;2x 7??2>:0D?6j;h3;7d81!31:3;;7);91;33?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{o6i=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570952=#=?;1=:5r}|8m4g2290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:mn4?:683>5}#:021=ko4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81o6*:608`?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:638`?!3193i0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3i0(88>:b9~yx{=:0c0?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:h=1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9mi4?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;42<,<<:6<:4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>a=z^;::6?uYdd81!3183n?7){#=m21=45r}|9j625=83.9554=729U6{W3e5?4|,;:96?9<;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+5729625<,;lo688=;|&6`=<602wvq6g=6b83>!4>038=o6X=9`81I4>k3;pZ:3y'654=:?i0Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=6b9'6cb==?80q);k8;3;?x{z3f;mn7>5$3;;>4`e32wi>l650;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn?o6:182>5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=:0da?S70m38p(88=:b9'137=k2wvqp5rb3`7>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:86*:60820>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6g5=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c0bb?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`1f5<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm2c394?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6g3=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:068 00628>0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e:k<1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;h<6=4>:183!4>0382j6F=8d9l5cd=83.9554>fc98yg4e03:187>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=9>1/9;?5169~yx{=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`1ff<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg4d:3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5159'137=9=1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;i:6=49:183!4>03;386F=8d9'5d>=9h1b=5950;&1==<60>1]>4o51zN1=f<6s_;m=74>03_;f=#=?;1o6sr}|9j`6<72-8247j<;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{1<7*=998g0>P51h09wA<6c;3xR4`62;q/>=<5d59U52c=:r.>:?4j;%755?c4l54zT131<4s_nn6?u+5729`1=#:on19;<4}Wfe>7}#=?:1=594$3dg>0053t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?3192w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7j<;%0e`?31:2w/9i65d:U657=:r\oi7a2<,;lo688=;|&6`=<612wvq6g=7283>!4>038k3;pZ:3y'654=:>90Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=729'6cb==?80q);k8;3;?x{z3`8=o7>5$3;;>70d3_82m74}Q9o;1>v*=03812f=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!31838=o6*=fe8627=z,4651g`8?xd5jm0;6>4?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:ko1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f7da290>6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9h:4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=:0da?S70m38p(88=:b9'137=k2wvqp5rb3g0>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:86*:60820>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6`4=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c0g=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`1`<<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm2ec94?>=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:;6*:60823>{zut1b=4:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+570952=#=?;1=:5r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41o6i:0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>7:&624<6?2wvqp5f1`694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h>0Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb3f`>5<1290;w)<68;53?M4?m2c:454?:%0:o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+57095==#=?;1=55r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a6ab=83<1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052820(88>:0:8yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=55+57395==zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:0:8 0062820qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>n2\:;h4={%756?7?3-?==7?7;|~y>o6i;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn?k?:186>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?4h50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:o?:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=n1;295?6=8r.9554=9g9K6=c5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c046?6=>3:10290/>4651958R7?f28qG>4m51zT2b4<5s-8;>7?77:T23`<5s-?=>7m4$442>f=zutw0ei=50;&1=={M0:g?7|^8l:6?u+2109`6=Q9>o1>v*:638`?!3193i0qpsr;hf7>5<#:021h95Y28c96~J51j0:w[?i1;0x 7652m>0Z<9j:3y'134=m2.>:<4j;|~H7?e2=q]>::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;92:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:609~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625>:3yU``<5s-?=<7j;;%0e`?31:2w/9i65189~yx=n:>91<7*=998136=Q:0k1>vB=9b82S7a938p(?>=:350?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:>90(?hk:441?x"2l10:46sr}:k12f<72-8247<9c:T1=d<5sE82o7?tV0d2>7}#:981>;m4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:61812f=#:on19;<4}%7g{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb34e>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo<80;297?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e;h<1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:k<6=4>:183!4>0382j6F=8d9l5cd=83.9554>fc98yg5f03:1?7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=9>1/9;?5169~yx{=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>m?:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?o750;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj:hi6=4;:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>4150z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=991/9;?5119~yx{=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?oj50;794?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1aa?6==3:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<94$442>41<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;kk1<7;50;2x 7??28lj7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052j1/9;?5c:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{;:7>56;294~"5110:495G29g8 4g?28k0e<68:18'6<>=91=0Z?7n:0yO67}#:981h>5Y16g96~"2>;0h7);91;a8yx{z3`n?6=4+28:9`1=Q:0k1>vB=9b82S7a938p(?>=:e68R41b2;q/9;<5e:&624a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?31:2w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7?77:&1ba<2>81v(8j7:018yS40<39pZik52z&625:=4k3:&1ba<2>;1v(8j7:e9~R7662;q]hh4={%754?b33-8mh7;92:'1a>=901vqp5f26194?"51109;>5Y28c96~J51j0:w[?i1;0x 7652;=87[?8e;0x 0052820(88>:0:8yx{K:0h1=vX=9081Sbb2;q/9;>52618 7`c2<<97p*:d982<>{zu2c9:n4?:%0:909:n5+2gf9134{e<981<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj=:86=4>:183!4>0382j6F=8d9l5cd=83.9554>fc98yg27<3:1?7>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:<6*:60824>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a051=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=91>0D?6j;%3b5$3;;>4>03_82m7?tL3;`>4}Q9o;1>v*=0382<2=Q9>o1>v*:638`?!3193i0qpsr;hf0>5<#:021h>5Y28c95~J51j0:w[?i1;0x 7652m90Z<9j:3y'134=k2.>:<4l;|~y>oc<3:1(?77:e68R7?f2;qG>4m51zT2b4<5s-8;>7j;;W34a?4|,<<96h5+5739a>{zuE82n7:tV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8627=z,v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91377}#=?:1h>5+2gf9134v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f16?29086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`74d<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:444?:%0:=:0da?S70m38p(88=:b9'137=k2wvqp5rb51`>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a01>=83<1<7>t$3;;>4>33A83i6*>a982e>o60>0;6)<68;3;3>P51h0:wA<6c;3xR4`62;q/>=<51958R41b2;q/9;<5c:&624f=#=?;1o6sr}|9j`1<72-8247j;;W0:e?4|D;3h67d81!31:3o0(88>:d9~yxJ51k0?w[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55708y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:442?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 0072m90(?hk:441?x"2l10o7pX=0081Sbb2;q/9;>5d59'6cb==?80q);k8;3:?x{z3`85$3;;>7143_82m74}Q9o;1>v*=038136=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!3183846527a8R7?f2;qG>4m51zT2b4<5s-8;>7<9c:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi770d3-8mh7;92:'1a>=911vqp5`1g`94?"5110:jo54}c60`?6=;3:1=91=0D?78;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e<:o1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f12729086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6?2.>:<4>7:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj=>:6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f12229086=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`703<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8>h50;:94?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0h7);91;a8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0c7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6247<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c4;>5<1290;w)<68;3;0>N50l1/=l651`9j5=1=83.9554>869U6{W3e5?4|,;:96<68;W34a?4|,<<96n5+5739g>{zut1bh>4?:%0:4}Q9o;1>v*=038g7>P6?l09w);92;a8 0062j1vqps4ie694?"5110o86X=9`81I4>k3;pZ:3y'654=l=1]=:k52z&6277}#=?:1h95+2gf9134::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;91:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?b43-8mh7;92:'1a>=l2w]>=?52zTga?4|,<<;6i:4$3dg>0053t.>h54>9:~y>o5?:0;6)<68;047>P51h09wA<6c;3xR4`62;q/>=<52618R41b2;q/9;<5199'137=911vqpB=9c82S4>938pZik52z&625<5?:1/>kj55708y!3c03;37psr;h05g?6=,;336?8l;W0:e?4|D;3h6;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;05g>"5nm0>:?5r$4f;>4>=9oh07pl92;297?6=8r.9554=949K6=c>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a26<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm6483>2<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5169'137=9>1vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?8;%755?703twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f30=83=1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528=0(88>:058yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;41<,<<:6<94}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:63823>"2>80:;6sr}|9j5d5=83.9554>869K6<1<^;3j6=:0c0?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{03;mm6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi:h4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj?k1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj?h1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f3e=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`2`<<72?0;6=u+28:95=2<@;2n7)?n8;3b?l7??3:1(?77:0:4?S4>i3;p@?7l:0yU5c7=:r.9869U52c=:r.>:?4l;%755?e=l:1]>4o51zN1=f<6s_;m=7a5<^8=n6?u+5709g>"2>80h7psr}:kg0?6=,;336i:4V3;b>7}K:0i1=vX>f081!47:3n?7[?8e;0x 0052l1/9;?5e:~yI4>j3>pZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:639~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625<60>1/>kj55738y!3c03;87pX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=l:1/>kj55708y!3c03n0q[:=4k4:&1ba<2>;1v(8j7:0;8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;=87){#=m21=55r}|9j63e=83.9554=6b9U6{W3e5?4|,;:96?8l;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+572963e<,;lo688=;|&6`=<602wvq6a>fc83>!4>03;mn65rb0ag>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c3`a?6=93:1=9oh07pl>d183>6<729q/>465719K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?ed083>6<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?k3;2954<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63825>"2>80:=6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81=<5+573954=zutw0e=91=0Z?7n:0yO64g53_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?>;%755?763twvq6g>a583>!4>03;3;6F=969U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m;4?:%0:o60h0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=g<^8=n6?u+57095==#=?;1=55r}|8m4>e290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2;0:46*:6082<>{zut1b=5j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+57095==#=?;1=55r}|8m4>b290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6j;W34a?4|,<<96n5+5739g>{zut1b=5h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:h94?:0394?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<692.>:<4>1:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5109'137=981vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528;0(88>:038yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:=6*:60825>{zut1b=l:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 0052820(88>:0:8yx{z3`;j97>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i<1]=:k52z&627<602.>:<4>8:~yx=n9h<1<7*=9982<2=O:0=0Z?7n:0yO64g13_;4><,<<:6<64}|~?l7f?3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n7:T23`<5s-?=>7?7;%755?7?3twvq6g>8`83>!4>03;3;6F=969U6{Wg3>7}#:981=5o4V05f>7}#=?81=55+57395==zutw0e<6m:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:4n4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:6382<>"2>80:46sr}|9j5=b=83.9554>869U6{Wg3>7}#:981=5j4V05f>7}#=?81=55+57395==zutw0e<6j:18'6<>=91=0Z?7n:0yO64>b3_;f=#=?;1o6sr}|9j5=`=83.9554>869U6{Wg3>7}#:981=5h4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`2`0<728;1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>1:&624<692wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=981/9;?5109~yx{=:0;e?S70m38p(88=:038 00628;0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;:7);91;32?x{zu2c:m>4?:%0:=:0c7?S70m38p(88=:038 00628;0qpsr;h3b1?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>8:&624<602wvqp5f1`494?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:=6*:60825>{zut1b=5o50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765282j7[?8e;0x 0052820(88>:0:8yx{z3`;3n7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=<519a8R41b2;q/9;<5109'137=981vqps4i0:g>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282o7[?8e;0x 0052820(88>:0:8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c3g2?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5109'137=981vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528;0(88>:038yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<692.>:<4>1:~yx=n9h>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`68R41b2;q/9;<5109'137=981vqps4i0c6>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n5:T23`<5s-?=>7?7;%755?7?3twvq6g>a783>!4>03;3;6F=969U6{Wg3>7}#:981=l84V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:4l4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:6382<>"2>80:46sr}|9j5=d=83.9554>869U6{Wg3>7}#:981=5l4V05f>7}#=?81=55+57395==zutw0e<6l:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:6382<>"2>80:46sr}|9j5=c=83.9554>869U6{Wg3>7}#:981=5k4V05f>7}#=?81=55+57395==zutw0e<6i:18'6<>=91=0Z?7n:0yO64>a3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=i950;794?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9jh4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=?3;292?6=8r.9554>859K6=c<,8k365<#:021=594V3;b>4}K:0i1=vX>f081!47:3;3;6X>7d81!31:3i0(88>:b9~yx{P51h0:wA<6c;3xR4`62;q/>=<5d29U52c=:r.>:?4l;%755?e=l=1]>4o52zN1=f<6s_;m=7a2<^8=n6?u+5709a>"2>80n7psrL3;a>1}Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?=>6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:<5r$4f;>4590o86*=fe8627=z^ml1>v*:618g7>"5nm0>:?5r$4f;>a=z^;::6?uYdd81!3183n?7){#=m21=45r}|9j625=83.9554=729U6{W3e5?4|,;:96?9<;W34a?4|,<<96<64$442>4>4l51zT1=4<5s_nn6?u+5729625<,;lo688=;|&6`=<602wvq6g=6b83>!4>038=o6X=9`81I4>k3;pZ:3y'654=:?i0Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=6b9'6cb==?80q);k8;3;?x{z3f;mn7>5$3;;>4`e32wi>kh50;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn>>?:182>5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=53;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>0:&624<682wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;==1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th88n4?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj:>36=4<:183!4>038296F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{51;294~"511095k5G29g8k4`e290/>4651g`8?xd44?:1y'6<>=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f62c29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;<81<7850;2x 7??282?7E<7e:&2e=<6i2c:4:4?:%0:{M0:g?7|^8l:6?u+21095=1<^8=n6?u+5709g>"2>80h7psr}:kg7?6=,;336i=4V3;b>4}K:0i1=vX>f081!47:3n87[?8e;0x 0052j1/9;?5c:~yx=nl=0;6)<68;f7?S4>i38p@?7l:0yU5c7=:r.97k4$442>`=zutF95o4;{W040?5|^mo1>v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91347}#=?:1=594$3dg>0063t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6i=4$3dg>0053t.>h54k;|T144<5s_nn6?u+5729`1=#:on19;<4}%7g3twv7d<83;29 7??2;=87[<6a;0xH7?d28q]=k?52z&147<5?:1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<83:&1ba<2>;1v(8j7:0:8yx{P6?l09w);92;3;?!3193;37psrL3;a>4}Q:0;1>vXke;0x 0072;{#=m21=55r}|9l5cd=83.9554>fc98yg53m3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=:0l0D?6j;n3ef?6=,;336t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:<6*:60824>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528:0(88>:028yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c167?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f63?290=6=4?{%0:=:0:4?S70m38p(88=:b9'137=k2wvqp5fd283>!4>03n87[<6a;3xH7?d28q]=k?52z&1477}#:981h95Y16g96~"2>;0n7);91;g8yx{K:0h18vX=7580Sbb2;q/9;>5d59'6cb==?80q[ji:3y'136=91=0(?hk:441?x"2l10:?6sY26697~Pcm38p(88?:e68 7`c2<<97pXkf;0x 007282<7){#=m21=>5rV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183n87){#=m21h6sY21396~Pcm38p(88?:e68 7`c2<<97p*:d982=>{zu2c9;>4?:%0:909;>5+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?41k2.9ji4:639~ 0b?2820qps4o0da>5<#:021=kl4;|`011<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a703=83;1<7>t$3;;>7?a3A83i6a>fc83>!4>03;mn65rb275>5<4290;w)<68;53?M4?m2c:454?:%0:i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c16a?6=>3:10290/>4651958R7?f28qG>4m51zT2b4<5s-8;>7?77:T23`<5s-?=>7m4$442>f=zutw0ei=50;&1=={M0:g?7|^8l:6?u+2109`6=Q9>o1>v*:638`?!3193i0qpsr;hf7>5<#:021h95Y28c96~J51j0:w[?i1;0x 7652m>0Z<9j:3y'134=m2.>:<4j;|~H7?e2=q]>::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;92:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:609~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625>:3yU``<5s-?=<7j;;%0e`?31:2w/9i65189~yx=n:>91<7*=998136=Q:0k1>vB=9b82S7a938p(?>=:350?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:>90(?hk:441?x"2l10:46sr}:k12f<72-8247<9c:T1=d<5sE82o7?tV0d2>7}#:981>;m4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:61812f=#:on19;<4}%7g{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb27a>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo=:c;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?o?50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:l=:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=m3;295?6=8r.9554=9g9K6=c5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c1ee?6=>3:10290/>4651958R7?f28qG>4m51zT2b4<5s-8;>7?77:T23`<5s-?=>7m4$442>f=zutw0ei=50;&1=={M0:g?7|^8l:6?u+2109`6=Q9>o1>v*:638`?!3193i0qpsr;hf7>5<#:021h95Y28c96~J51j0:w[?i1;0x 7652m>0Z<9j:3y'134=m2.>:<4j;|~H7?e2=q]>::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;92:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:609~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625>:3yU``<5s-?=<7j;;%0e`?31:2w/9i65189~yx=n:>91<7*=998136=Q:0k1>vB=9b82S7a938p(?>=:350?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:>90(?hk:441?x"2l10:46sr}:k12f<72-8247<9c:T1=d<5sE82o7?tV0d2>7}#:981>;m4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:61812f=#:on19;<4}%7g{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb2d4>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo=i8;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi?kl50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3<729q/>4651968L7>b3-;j47?n;h3;3?6=,;336<68;W0:e?7|D;3h6;0h7);91;a8yx{z3`n86=4+28:9`6=Q:0k1=vB=9b82S7a938p(?>=:e18R41b2;q/9;<5c:&6240Z?7n:3yO6`=#=?;1i6sr}M0:f?2|^;=?6>uYdd81!3183n?7){Qlo09w);90;3;3>"5nm0>:?5r$4f;>4590o86*=fe8627=z^ml1>v*:6182<2=#:on19;?4}%7g7}#=?:1h95+2gf913490o86*=fe8627=z,4652618R7?f2;qG>4m51zT2b4<5s-8;>7<83:T23`<5s-?=>7?7;%755?7?3twv@?7m:0yU6<7=:r\oi77143-8mh7;92:'1a>=911vqp5f27a94?"51109:n5Y28c96~J51j0:w[?i1;0x 7652;:0:8yx{K:0h1=vX=9081Sbb2;q/9;>527a8 7`c2<<97p*:d982<>{zu2e:jo4?:%0:hl:180>5<7s-8247<65:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo=id;295?6=8r.9554=9g9K6=c5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5119'137=991vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<=36=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi95:50;494?6|,;336<6;;I0;a>"6i10:m6g>8683>!4>03;3;6X=9`82I4>k3;pZ:3y'654=91=0Z<9j:3y'134=k2.>:<4l;|~y>oc;3:1(?77:e18R7?f28qG>4m51zT2b4<5s-8;>7j<;W34a?4|,<<96n5+5739g>{zut1bh94?:%0:4}Q9o;1>v*=038g0>P6?l09w);92;g8 0062l1vqpB=9c87S40<39pZik52z&625:=4>869'6cb==?80q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<:7p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:e18 7`c2<<97p*:d98g?xP58809w[jj:3y'136=l=1/>kj55708y!3c03;27psr;h047?6=,;336?9<;W0:e?4|D;3h65Y16g96~"2>;0:46*:6082<>{zuE82n7?tV3;2>7}Qll09w);90;047>"5nm0>:?5r$4f;>4>=:?i0Z?7n:3yO64?52zTga?4|,<<;6?8l;%0e`?31:2w/9i65199~yx=h9oh1<7*=9982bg=53;294~"51109585G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>;l4?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e=>i1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e=>n1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e=>o1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;<7);91;34?x{zu2c:444?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb45e>5<4290;w)<68;53?M4?m2c:454?:%0:1/9;?5169~yx{=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{54;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:594?:%0:0Z<9j:3y'134=9>1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb4:2>5<1290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a1=4=83<1<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?773-?==7??;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c74f?6=03:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:638`?!3193i0qpsr;h3:b?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0c1?S70m38p(88=:b9'137=k2wvqp5f1`194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h90Z<9j:3y'134=k2.>:<4l;|~y>o6i=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4l;%755?e:3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<3n6=49:183!4>03;386F=8d9'5d>=9h1b=5950;&1==<60>1]>4o51zN1=f<6s_;m=74>03_;f=#=?;1o6sr}|9j`6<72-8247j<;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{1<7*=998g0>P51h09wA<6c;3xR4`62;q/>=<5d59U52c=:r.>:?4j;%755?c4l54zT131<4s_nn6?u+5729`1=#:on19;<4}Wfe>7}#=?:1=594$3dg>0053t.>h54>3:U622=;r\oi7a2<,;lo688=;|Tgb?4|,<<;6<68;%0e`?3192w/9i65129~R7132:q]hh4={%754?b33-8mh7;92:U`c<5s-?=<7j<;%0e`?31:2w/9i65d:U657=:r\oi7a2<,;lo688=;|&6`=<612wvq6g=7283>!4>038k3;pZ:3y'654=:>90Z<9j:3y'134=911/9;?5199~yxJ51k0:w[<61;0xRac=:r.>:=4=729'6cb==?80q);k8;3;?x{z3`8=o7>5$3;;>70d3_82m74}Q9o;1>v*=03812f=Q9>o1>v*:6382<>"2>80:46sr}M0:f?7|^;3:6?uYdd81!31838=o6*=fe8627=z,4651g`8?xd21:0;6>4?:1y'6<>=:0?0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn87;:182>5<7s-8247<6f:J1<`=h9oh1<7*=9982bg=53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{57;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81==5+573955=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63823>"2>80:;6sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:058 00628=0qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>7:&624<6?2wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964113:1;7>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46;0:<6*:60824>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<6?2.>:<4>7:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;41<,<<:6<94}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi94o50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c7:f?6=?3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528:0(88>:028yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?8;%755?703twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=:5+573952=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`6=f<7210;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81==5+573955=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m?4?:%0:1/9;?5169~yx{o6i=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn87::18;>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81o6*:608`?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:638`?!3193i0qpsr;h3b0?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5:4>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0=d=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=91>0D?6j;%3b5$3;;>4>03_82m7?tL3;`>4}Q9o;1>v*=0382<2=Q9>o1>v*:638`?!3193i0qpsr;hf0>5<#:021h>5Y28c95~J51j0:w[?i1;0x 7652m90Z<9j:3y'134=k2.>:<4l;|~y>oc<3:1(?77:e68R7?f2;qG>4m51zT2b4<5s-8;>7j;;W34a?4|,<<96h5+5739a>{zuE82n7:tV357>6}Qll09w);90;f7?!4al3?=>6sYdg81!3183;3;6*=fe8627=z,v*:618g0>"5nm0>:?5rVed96~"2>90:4:5+2gf91377}#=?:1h>5+2gf9134v*:618g0>"5nm0>:?5r$4f;>4?=:>90Z?7n:3yO64?52zTga?4|,<<;6?9<;%0e`?31:2w/9i65199~yx=n:?i1<7*=99812f=Q:0k1>vB=9b82S7a938p(?>=:34`?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:?i0(?hk:441?x"2l10:46sr}:m2bg<72-8247?ib:9~f1>?29086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`7=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:444?:%0:1/9;?5169~yx{<2\:;h4={%756?703-?==7?8;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570952=#=?;1=:5r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?4n4?:683>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0:46*:6082<>{zut1b=l=50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9oi:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624a:k2<2<72-8247?77:T1=d<6sE82o7?tV0d2>7}#:981=594V05f>7}#=?81o6*:608`?x{zu2co?7>5$3;;>a5<^;3j6!4>03n?7[<6a;0xH7?d28q]=k?52z&14790o86*=fe8627=z^ml1>v*:6182<2=#:on19;<4}%7g7}#=?:1h95+2gf9134;|&6`=<6;2w]>::53zTga?4|,<<;6i:4$3dg>0053t\oj7a5<,;lo688=;|&6`=7}#=?:1h95+2gf9134i38p@?7l:0yU5c7=:r.9:?4>8:&624<602wvqA<6b;3xR7?62;q]hh4={%754?40;2.9ji4:639~ 0b?2820qps4i34`>5<#:021>;m4V3;b>7}K:0i1=vX>f081!47:38=o6X>7d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1d=kl50;&1==<6nk10qo:m0;297?6=8r.9554=949K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi8o<50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{hm7>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9mi:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{5}#:021>4;4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5a`>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo:ld;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8h>50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c6ff?6=>3:10290/>4651958R7?f28qG>4m51zT2b4<5s-8;>7?77:T23`<5s-?=>7m4$442>f=zutw0ei=50;&1=={M0:g?7|^8l:6?u+2109`6=Q9>o1>v*:638`?!3193i0qpsr;hf7>5<#:021h95Y28c96~J51j0:w[?i1;0x 7652m>0Z<9j:3y'134=m2.>:<4j;|~H7?e2=q]>::53zTga?4|,<<;6i:4$3dg>0053t\oj74>03-8mh7;92:'1a>=9:1vZ?9;:2yU``<5s-?=<7j;;%0e`?31:2w]hk4={%754?7??2.9ji4:609~ 0b?2890q[<84;1xRac=:r.>:=4k4:&1ba<2>;1vZih52z&625>:3yU``<5s-?=<7j;;%0e`?31:2w/9i65189~yx=n:>91<7*=998136=Q:0k1>vB=9b82S7a938p(?>=:350?S70m38p(88=:0:8 0062820qpsC28`95~P51809w[jj:3y'136=:>90(?hk:441?x"2l10:46sr}:k12f<72-8247<9c:T1=d<5sE82o7?tV0d2>7}#:981>;m4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:61812f=#:on19;<4}%7g{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5g1>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo:j4;297?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;41<,<<:6<94}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8h;50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c6f7?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`7a2<72>0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:444?:%0:=:0;7?S70m38p(88=:038 00628;0qpsr;h3:b?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9j5d5=83.9554>869U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f1c?290<6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=55+57395==zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63825>"2>80:=6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81=55+57395==zutw0e=91=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e;0h7);91;a8yx{z3th?j44?:783>5}#:021=5:4H3:f?!7f03;j7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj=l96=4<:183!4>038296F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{m?7>51;294~"511095k5G29g8k4`e290/>4651g`8?xd3n<0;6>4?:1y'6<>=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f1`1290>6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;41<,<<:6<94}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63823>"2>80:;6sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{m;7>56;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:058 00628=0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c6e0?6=<3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8:>:185>5<7s-8247?74:J1<`=#9h21=l5f19594?"5110:4:5Y28c95~J51j0:w[?i1;0x 765282<7[?8e;0x 0052j1/9;?5c:~yx=nl:0;6)<68;f0?S4>i3;p@?7l:0yU5c7=:r.97m4$442>f=zutw0ei:50;&1==o1>v*:638f?!3193o0qpsC28`90~P5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>51958 7`c2<<97p*:d9827>{Q:>>1?vXke;0x 0072m>0(?hk:441?xPcn38p(88?:0:4?!4al3?==6s+5e:956=z^;=?6>uYdd81!3183n?7){Qlo09w);90;f0?!4al3?=>6s+5e:9`>{Q:9;1>vXke;0x 0072m>0(?hk:441?x"2l10:56sr}:k136<72-8247<83:T1=d<5sE82o7?tV0d2>7}#:981>:=4V05f>7}#=?81=55+57395==zutF95o4>{W0:5?4|^mo1>v*:618136=#:on19;<4}%7gj1]=:k52z&627<602.>:<4>8:~yI4>j3;pZ?7>:3yU``<5s-?=<7<9c:&1ba<2>;1v(8j7:0:8yx{?l4?:283>5}#:021>4;4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9>l50;394?6|,;336?7i;I0;a>i6nk0;6)<68;3ef>=zj<9o6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<6?2.>:<4>7:~yx=n90>1<7*=9982<2=O:0=0Z?7n:0yO64?33_;41<,<<:6<94}|~?l7>n3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9>h50;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>7:&624<6?2wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a16e=83>1<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;d8 0062o1vqps4}c02`?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`15`<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm23294?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;8:6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn??i:180>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<=3;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb304>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a67c=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=:0?0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:;?1<7?50;2x 7??2;3m7E<7e:m2bg<72-8247?ib:9~f74129086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f74?290<6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:594?:%0:0Z<9j:3y'134=981/9;?5109~yx{=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:=6*:60825>{zut1b=4:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+57095==#=?;1=55r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c01e?6=03:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:=6*:60825>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528;0(88>:038yx{z3`;j>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<602.>:<4>8:~yx=n9h91<7*=9982<2=O:0=0Z?7n:0yO64g43_;4><,<<:6<64}|~?l7f<3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>?l50;:94?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:0Z<9j:3y'134=911/9;?5199~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb30`>5<>290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:0:8 0062820qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:0:8 0062820qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:6382<>"2>80:46sr}|9j5d3=83.9554>869U6{Wg3>7}#:981=l;4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f74c290=6=4?{%0::<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e<2\:;h4={%756?e<,<<:6n5r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96n5+5739g>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th9>k4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<<5;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:g9'137=n2wvqp5rb313>5<4290;w)<68;0:1>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c005?6=93:1=9oh07pl=3283>6<729q/>465719K6=c=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e::>1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;<7);91;34?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63824>"2>80:<6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>><50;194?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn?=9:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638e?!3193l0qpsr;|`172<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a66>=83;1<7>t$3;;>7?a3A83i6a>fc83>!4>03;mn65rb31b>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81=:5+573952=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;46<,<<:6<>4}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<<9;297?6=8r.9554>f`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c077?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;c=#=?;1j6sr}|9~f75b29086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`104<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>9<50;194?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?8;%755?703twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<682.>:<4>0:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb367>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a61g=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3l0(88>:g9~yx{53;294~"51109585G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th98;4?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e:=21<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;;7);91;33?x{zu2c:444?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb36:>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63823>"2>80:;6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f72029086=4?{%0::<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>1:&624<692wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<9647;0:46*:6082<>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 0052820(88>:0:8yx{z3`;j87>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i=1]=:k52z&627<602.>:<4>8:~yx=n9h?1<7*=9982<2=O:0=0Z?7n:0yO64g23_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<;c;29=?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m84?:%0:=:0da?S70m38p(88=:b9'137=k2wvqp5rb36g>5<>290;w)<68;53?M4?m2c:454?:%0:o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+57095==#=?;1=55r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 0052820(88>:0:8yx{z3`;j87>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e0<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m85Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a61c=83>1<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi>8>50;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;d8 0062o1vqps4}c065?6=;3:1=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`117<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76sm24694?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;?>6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:;6*:60823>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn?;<:180>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo<:7;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb37g>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709b>"2>80m7psr}:a60>=8391<7>t$3;;>7?23A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f73>290:6=4?{%0:n2B94h5`1g`94?"5110:jo54}c06f?6=;3:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th99n4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<94$442>41i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e6<729q/>4651gc8L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`121<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?`<,<<:6k5r}|8yg42n3:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62790;6<4?:1y'6<>=:0l0D?6j;n3ef?6=,;336t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?773-?==7??;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>7:&624<6?2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e:?91<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;;7);91;33?x{zu2c:444?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb342>5<4290;w)<68;3ee>N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c051?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f70e29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=n2.>:<4i;|~y>{e:?<1<7=50;2x 7??2;3>7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj;<<6=4>:183!4>0382j6F=8d9l5cd=83.9554>fc98yg4113:1?7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=991/9;?5119~yx{=:0::?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81==5+573955=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`12=<72:0;6=u+28:95cg<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0ce=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3l0(88>:g9~yx{mh7>53;294~"51109585G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6245<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<>4$442>467>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<986=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81j6*:608e?x{zu2wi9>>50;194?6|,;336?7:;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+5709g>"2>80h7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c705?6=93:1=9oh07pl:3383>6<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8;::181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638e?!3193l0qpsr;|`613<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj:183!4>0382j6F=8d9l5cd=83.9554>fc98yg3203:1?7>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c646?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;c=#=?;1j6sr}|9~f10a29086=4?{%0:=2B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=k2.>:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e50z&1==<51o1C>5k4o0da>5<#:021=kl4;|`734<72:0;6=u+28:935=O:1o0e<67:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:444?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5f1>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0a>=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3l0(88>:g9~yx{o?7>53;294~"51109585G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?h94?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e:0D?6j;h3;7d81!31:3;;7);91;33?x{zu2c:444?:%0:=:0;7?S70m38p(88=:028 00628:0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{o;7>56;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81==5+573955=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;46<,<<:6<>4}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63824>"2>80:<6sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:028 00628:0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3;;7);91;33?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`7`0<72:0;6=u+28:95cg<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0a?=8321<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m95Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0ag=8321<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8m4g4290/>4651958R7?f28qG>4m51zTf4?4|,;:964>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e=<729q/>465719K6=c;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m95Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0ae=83>1<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9<950;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c714?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;c=#=?;1j6sr}|9~f07?29086=4?{%0:=2B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96n5+5739g>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>=44?:083>5}#:021>4h4H3:f?j7aj3:1(?77:0da?>{e=8h1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;<7);91;34?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi94H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=9>1/9;?5169~yx{o6i:0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+570952=#=?;1=:5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8?k:18;>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<>4$442>46<2\:;h4={%756?773-?==7??;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570955=#=?;1==5r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2e6<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5169'137=9>1vqps4i0c7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7?8;%755?703twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e2<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6247<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}cce>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709b>"2>80m7psr}:aef<72:0;6=u+28:96<3<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:aea<7280;6=u+28:96<`<@;2n7b?ib;29 7??28li76smad83>6<729q/>465719K6=c=:0:;?S70m38p(88=:028 00628:0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`a7?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~fg1=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62750z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62750z&1==<51o1C>5k4o0da>5<#:021=kl4;|`a2?6=;3:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570955=#=?;1==5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<>4$442>467>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj?l1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?4<4?:783>5}#:021=5:4H3:f?!7f03;i7d?77;29 7??282<7[<6a;3xH7?d28q]=k?52z&147<60>1]=:k52z&627465d29U6{W3e5?4|,;:96i=4V05f>7}#=?81o6*:608`?x{zu2co87>5$3;;>a2<^;3j6?uC28a95~P6n809w);1v(8j7:018yS40<39pZik52z&625:=4>869'6cb==?;0q);k8;30?xP5?=08w[jj:3y'136=l=1/>kj55708ySba2;q/9;>5d29'6cb==?80q);k8;f8yS47938pZik52z&6255<#:021>:=4V3;b>7}K:0i1=vX>f081!47:387d81!31:3;37);91;3;?x{zD;3i66s+5e:95==zut1b>;m50;&1==<5>j1]>4o52zN1=f<6s_;m=770d3_;4><,<<:6<64}|O67}#=?:1>;m4$3dg>0053t.>h54>8:~y>i6nk0;6)<68;3ef>=zj===6=4<:183!4>038296F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{<;7>51;294~"511095k5G29g8k4`e290/>4651g`8?xd3?00;6>4?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6274?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th?;n4?:583>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5119'137=991vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c64`?6=<3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528=0(88>:058yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5169'137=9>1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj==n6=4;:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5169'137=9>1vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<6?2.>:<4>7:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;33?!3193;;7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5169'137=9>1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c64=91=0Z?7n:0yO64>?3_;f=#=?;1o6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81o6*:608`?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:638`?!3193i0qpsr;h3:b?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0c1?S70m38p(88=:b9'137=k2wvqp5f1`194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h90Z<9j:3y'134=k2.>:<4l;|~y>o6i=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4l;%755?em3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj=k96=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi8l750;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4i;%755?`6<729q/>4652878L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624:180>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn9o<:18;>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647<2\:;h4={%756?763-?==7?>;|~y>o61o0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570954=#=?;1=<5r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c6b0?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5109'137=981vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?>;%755?763twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m84?:%0:2\:;h4={%756?7?3-?==7?7;|~y>o6i>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4l;%755?ee290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96n5+5739g>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?>;%755?763twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=<5+573954=zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:0Z<9j:3y'134=911/9;?5199~yx{6=4+28:95=1<@;3<7[<6a;3xH7?d28q]i=4={%036?7f=2\:;h4={%756?7?3-?==7?7;|~y>o6i?0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d0<^8=n6?u+57095==#=?;1=55r}|8m4g0290/>4651958R7?f28qG>4m51zTf4?4|,;:96{zut1b=5o50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=g<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3o7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:g>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282o7[?8e;0x 0052j1/9;?5c:~yx=n91o1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519g8R41b2;q/9;<5c:&6248g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60o1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:n6;2954<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63825>"2>80:=6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81=<5+573954=zutw0e=91=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9j5d2=83.9554>869K6<1<^;3j6=:0c7?S70m38p(88=:0:8 0062820qpsr;h3b1?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>8:&624<602wvqp5f1`494?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96n5+5739g>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3h7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 0052j1/9;?5c:~yx=n91l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519d8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&6270;6:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m84?:%0:2\:;h4={%756?7?3-?==7?7;|~y>o6i>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4l;%755?ee290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96n5+5739g>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;7?S70m38p(88=:b9'137=k2wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=k2.>:<4l;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4l;%755?e7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj<:<6=4=:183!4>03n:7E<7e:k2<2<72-8247?77:9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9=750;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4i;%755?`6<729q/>4652878L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f062290:6=4?{%0:n2B94h5`1g`94?"5110:jo54}c732?6=>3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528:0(88>:028yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<682.>:<4>0:~yx=n90>1<7*=9982<2=O:0=0Z?7n:0yO64?33_;46<,<<:6<>4}|~?l7>n3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63823>"2>80:;6sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:058 00628=0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{51083>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>1:&624<692wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=981/9;?5109~yx{=:0c1?S70m38p(88=:038 00628;0qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>1:&624<692wvqp5f1`694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h>0Z<9j:3y'134=981/9;?5109~yx{6=4+28:95=1<@;3<7[<6a;3xH7?d28q]i=4={%036?7f=2\:;h4={%756?7?3-?==7?7;|~y>o6i?0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d0<^8=n6?u+57095==#=?;1=55r}|8m4g0290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2;0:46*:6082<>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+57095==#=?;1=55r}|8m4>d290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`655<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg36<3:1>7>50z&1==5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052o1/9;?5f:~yx=zj<:o6=4<:183!4>038296F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4<729q/>46528d8L7>b3f;mn7>5$3;;>4`e32wi9=h50;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a147=83;:6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;47<,<<:6<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?>;%755?763twvq6g>9g83>!4>03;3;6F=969U6{Wg3>7}#:981=4h4V05f>7}#=?81=<5+573954=zutw0e=91=0D?78;W0:e?7|D;3h66X>7d81!31:3;37);91;3;?x{zu2c:m>4?:%0:{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9j5d2=83.9554>869K6<1<^;3j6=:0c7?S70m38p(88=:0:8 0062820qpsr;h3b1?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a49U52c=:r.>:?4>8:&624<602wvqp5f1`494?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1b=5o50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=g<^8=n6?u+57095==#=?;1=55r}|8m4>e290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6m;W34a?4|,<<96<64$442>4>o60m0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+57095==#=?;1=55r}|8m4>b290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6j;W34a?4|,<<96n5+5739g>{zut1b=5h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th>=?4?:0394?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0Z?7n:0yO64g43_;4><,<<:6<64}|~?l7f<3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e1=Q9>o1>v*:6382<>"2>80:46sr}|9j5d3=83.9554>869K6<1<^;3j6=:0c6?S70m38p(88=:0:8 0062820qpsr;h3b2?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a79U52c=:r.>:?4>8:&624<602wvqp5f1`594?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>o60k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4>8:&624<602wvqp5f19a94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91i0Z<9j:3y'134=911/9;?5199~yx{o60l0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98d9U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn8?<:180>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo;=6;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb40`>5<5290;w)<68;f2?M4?m2c:4:4?:%0:4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709b>"2>80m7psr}:a171=8391<7>t$3;;>7?23A83i6g>8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81o6*:608`?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{51;294~"511095k5G29g8k4`e290/>4651g`8?xd2:h0;684?:1y'6<>=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7??;%755?773twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81==5+573955=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;46<,<<:6<>4}|~?l7>n3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:63824>"2>80:<6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi9?l50;794?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63824>"2>80:<6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:028 00628:0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<94$442>4150z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{297>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn97m:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5f:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb5;0>5<6290;w)<68;0:b>N50l1d=kl50;&1==<6nk10qo:64;295?6=8r.9554=9g9K6=c5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:=6*:60825>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo:67;292?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052820(88>:0:8yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;4><,<<:6<64}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi84650;494?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:0:8 0062820qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>8:&624<602wvqp5f18d94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a0t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?et$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62729096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=n2.>:<4i;|~y>{e83:1?7>50z&1==<51<1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627n2B94h5`1g`94?"5110:jo54}c194?5=83:p(?77:628L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624=<519:8R41b2;q/9;<5119'137=991vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?8;%755?703twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=:5+573952=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f0<72?0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;46<,<<:6<>4}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7??;%755?773twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81==5+573955=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;<7);91;34?x{zu2c:m?4?:%0:1/9;?5169~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb783>3<729q/>465719K6=co6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570955=#=?;1==5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<94$442>41;0:;6*:60823>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528=0(88>:058yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c594?1=83:p(?77:628L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<682.>:<4>0:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5119'137=991vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528:0(88>:028yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<6?2.>:<4>7:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;41<,<<:6<94}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:63823>"2>80:;6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi47>51083>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<96<>4$442>46o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>0:&624<682wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=991/9;?5119~yx{=:0c1?S70m38p(88=:028 00628:0qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3;;7);91;33?x{zu2c:m94?:%0:0Z<9j:3y'134=9>1/9;?5169~yx{6=4+28:95=1<@;3<7[<6a;3xH7?d28q]i=4={%036?7f=2\:;h4={%756?773-?==7??;|~y>o6i?0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d0<^8=n6?u+570952=#=?;1=:5r}|8m4g0290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;34?!3193;<7psr}:k2;0h7);91;a8yx{z3`;3n7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:`>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282h7[?8e;0x 0052j1/9;?5c:~yx=n91n1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519f8R41b2;q/9;<5c:&6248d83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60l1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7f:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f7<72>0;6=u+28:95cg<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?e<,<<:6n5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96n5+5739g>{zut1b=4:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+5709g>"2>80h7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0h7);91;a8yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0c0>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&6247<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}cg1>5<0290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:5k4?:%0:o6i:0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{em:0;6:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?>;%755?763twvq6g>a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<692.>:<4>1:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;4><,<<:6<64}|~?l7f=3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:6382<>"2>80:46sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:038 00628;0qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>8:&624<602wvqp5f19c94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<9647d290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96n5+5739g>{zut1b=5j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+5709g>"2>80h7psr}:k2<`<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:4h5Y16g96~"2>;0h7);91;a8yx{z3`;3j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zjl>1<7?>:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<9647n2\:;h4={%756?763-?==7?>;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>1:&624<692wvqp5f1`194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h90Z<9j:3y'134=981/9;?5109~yx{o6i<0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d3<^8=n6?u+57095==#=?;1=55r}|8m4g1290/>4651958R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1b=5o50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765282j7[?8e;0x 00528;0(88>:038yx{z3`;3n7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:`>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282h7[?8e;0x 0052j1/9;?5c:~yx=n91n1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519f8R41b2;q/9;<5c:&6248d83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60l1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?7f:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f`3=83;:6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0:=6*:60825>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528;0(88>:038yx{z3`;j87>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e0<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`78R41b2;q/9;<5199'137=911vqps4i0c5>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n6:T23`<5s-?=>7?7;%755?7?3twvq6g>a683>!4>03;3;6F=969U6{Wg3>7}#:981=l94V05f>7}#=?81=<5+573954=zutw0e<6n:18'6<>=91=0Z?7n:0yO64>f3_;4><,<<:6<64}|~?l7?j3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:63825>"2>80:=6sr}|9j5=e=83.9554>869U6{Wg3>7}#:981=5m4V05f>7}#=?81o6*:608`?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:638`?!3193i0qpsr;h3;a?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:e?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{em?0;6:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?>;%755?763twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=<5+573954=zutw0e=91=0Z?7n:0yO64g33_;47<,<<:6?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:6382<>"2>80:46sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:0:8 0062820qpsr;h3b3?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:4l4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:6382<>"2>80:46sr}|9j5=d=83.9554>869K6<1<^;3j6=:0:a?S70m38p(88=:038 00628;0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:g?S70m38p(88=:b9'137=k2wvqp5f19g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91o0Z<9j:3y'134=k2.>:<4l;|~y>o60o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98g9U52c=:r.>:?4l;%755?e:<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e<2\:;h4={%756?e<,<<:6n5r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96n5+5739g>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3thn47>52;294~"5110o=6F=8d9j5=1=83.9554>8698k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vnh750;794?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}cgb>5<2290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=55+57395==zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;4><,<<:6<64}|~?l7>n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62750z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>8:&624<602wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=911/9;?5199~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rbda94?>=83:p(?77:628L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9j5d2=83.9554>869U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f`b=83;:6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0:=6*:60825>{zut1b=l=50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+570954=#=?;1=<5r}|8m4g3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e0<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`78R41b2;q/9;<5109'137=981vqps4i0c5>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n6:T23`<5s-?=>7?7;%755?7?3twvq6g>a683>!4>03;3;6F=969U6{Wg3>7}#:981=l94V05f>7}#=?81=55+57395==zutw0e<6n:18'6<>=91=0Z?7n:0yO64>f3_;4><,<<:6<64}|~?l7?j3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?7b:T23`<5s-?=>7m4$442>f=zutw0e<6l:18'6<>=91=0Z?7n:0yO64>d3_;f=#=?;1o6sr}|9j5=b=83.9554>869U6{Wg3>7}#:981=5j4V05f>7}#=?81o6*:608`?x{zu2c:4h4?:%0:{M0:g?7|^l:1>v*=0382<`=Q9>o1>v*:638`?!3193i0qpsr;h3;b?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rbdg94?0=83:p(?77:0db?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;7?S70m38p(88=:b9'137=k2wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=k2.>:<4l;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4l;%755?e8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{en90;6>4?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528?0(88>:078yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;36?!3193;>7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3thm=7>55;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:6382<>"2>80:46sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~fc4=83?1<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>n2\:;h4={%756?7?3-?==7?7;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052820(88>:0:8yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:ab1<72>0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:=:0c0?S70m38p(88=:0:8 0062820qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{6=48:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;4><,<<:6<64}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9j5d5=83.9554>869U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~fc0=83=1<7>t$3;;>4`f3A83i6g>8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7m4$442>f=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;f=#=?;1o6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81o6*:608`?x{zu2c:m?4?:%0:{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:638`?!3193i0qpsr;h3b7?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rbg594?4=83:p(?77:e38L7>b3`;3;7>5$3;;>4>032e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`eN50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570950=#=?;1=85r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<;4$442>43290?6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<602.>:<4>8:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5199'137=911vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}cdb>5<3290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:6382<>"2>80:46sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=55+57395==zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qohm:186>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<64$442>4><2\:;h4={%756?7?3-?==7?7;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{enj0;684?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=n90>1<7*=9982<2=O:0=0Z?7n:0yO64?33_;4><,<<:6<64}|~?l7>n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&62750z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=981/9;?5109~yx{=:0::?S70m38p(88=:0:8 0062820qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wijh4?:683>5}#:021=ko4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81o6*:608`?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:638`?!3193i0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3i0(88>:b9~yx{=:0c0?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{eno0;6?4?:1y'6<>=l81C>5k4i0:4>5<#:021=594;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{53;294~"5110<<6F=8d9j5=>=83.9554>869U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`244<7210;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5109'137=981vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6F=969U6{Wg3>7}#:981=l=4V05f>7}#=?81=55+57395==zutw0e=91=0Z?7n:0yO64g33_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo??2;29=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=c<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5k5Y16g96~"2>;0:=6*:60825>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=n9h>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`68R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj8:86=47:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<9647n2\:;h4={%756?763-?==7?>;|~y>o6i;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8m4g4290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m95Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a552=8321<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>1:&624<692wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=981/9;?5109~yx{=:0;e?S70m38p(88=:038 00628;0qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e0483>3<729q/>4651gc8L7>b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052j1/9;?5c:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5c:&6249g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f46129096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e99=1<7;50;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647<2\:;h4={%756?763-?==7?>;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9921<7;50;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647<2\:;h4={%756?763-?==7?>;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9931<7;50;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647;0:=6*:60825>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<>n:186>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c33f?6==3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:=6*:60825>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<>l:185>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7m4$442>f=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;f=#=?;1o6sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`24a<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg77m3:1?7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=981/9;?5109~yx{i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e0g83>7<729q/>465d09K6=c5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c324?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5109'137=981vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 00528;0(88>:038yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<692.>:<4>1:~yx=n9h>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`68R41b2;q/9;<5109'137=981vqps4i0c6>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 0052820(88>:0:8yx{z3`;j:7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i?1]=:k52z&627<692.>:<4>1:~yx=n9h=1<7*=9982<2=O:0=0Z?7n:0yO64g03_;4><,<<:6<64}|~?l7?i3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:6382<>"2>80:46sr}|9j5=d=83.9554>869K6<1<^;3j6=:0:a?S70m38p(88=:0:8 0062820qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0:g?S70m38p(88=:b9'137=k2wvqp5f19g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91o0Z<9j:3y'134=k2.>:<4l;|~y>o60o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98g9U52c=:r.>:?4l;%755?e<4?:1y'6<>=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:=6*:60825>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+570954=#=?;1=<5r}|8m4g5290/>4651958R7?f28qG>4m51zTf4?4|,;:9647;|~y>o6i=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>1:&624<692wvqp5f1`794?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h?0Z<9j:3y'134=981/9;?5109~yx{2\:;h4={%756?763-?==7?>;|~y>o6i>0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>1:&624<692wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=981/9;?5109~yx{=:0:a?S70m38p(88=:038 00628;0qpsr;h3;g?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:4i4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:63825>"2>80:=6sr}|9j5=c=83.9554>869U6{Wg3>7}#:981=5k4V05f>7}#=?81=<5+573954=zutw0e<6i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5=4?:%0:92\:;h4={%756?7?3-?==7?7;|~y>o61;0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<4<^8=n6?u+57095==#=?;1=55r}|8m4?4290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7<;W34a?4|,<<96n5+5739g>{zut1b=4;50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<3<^8=n6?u+5709g>"2>80h7psr}:k2=3<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5;5Y16g96~"2>;0h7);91;a8yx{z3`;2;7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528337[?8e;0x 0052j1/9;?5c:~yx=n9031<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518;8R41b2;q/9;<5c:&6249`83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61h1]=:k52z&627j3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6b:T23`<5s-?=>7m4$442>f=zutw0e<7l:18'6<>=91=0Z?7n:0yO64?d3_;f=#=?;1o6sr}|9j5869U6{Wg3>7}#:981=4j4V05f>7}#=?81o6*:608`?x{zu2c:5h4?:%0:{M0:g?7|^l:1>v*=0382=`=Q9>o1>v*:638`?!3193i0qpsr;h3b4?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0c2?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9881<7<>:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<9647n2\:;h4={%756?763-?==7?>;|~y>o6i;0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>1:&624<692wvqp5f1`194?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h90Z<9j:3y'134=981/9;?5109~yx{=:0c7?S70m38p(88=:038 00628;0qpsr;h3b1?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:m;4?:%0:=:0c4?S70m38p(88=:038 00628;0qpsr;h3;e?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:4o4?:%0:;|~y>o60m0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=b<^8=n6?u+570954=#=?;1=<5r}|8m4>b290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=<519d8R41b2;q/9;<5199'137=911vqps4i0;3>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?60:T23`<5s-?=>7?>;%755?763twvq6g>9083>!4>03;3;6F=969U6{Wg3>7}#:981=4?4V05f>7}#=?81=55+57395==zutw0e<7=:18'6<>=91=0D?78;W0:e?7|D;3h66X>7d81!31:3;37);91;3;?x{zu2c:5>4?:%0:{M0:g?7|^l:1>v*=0382=6=Q9>o1>v*:638`?!3193i0qpsr;h3:1?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;5?S70m38p(88=:b9'137=k2wvqp5f18594?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90=0Z<9j:3y'134=k2.>:<4l;|~y>o6110;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9999U52c=:r.>:?4l;%755?e12\:;h4={%756?e<,<<:6n5r}|8m4?f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7n;W34a?4|,<<96n5+5739g>{zut1b=4l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095"2>80h7psr}:k2=f<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5n5Y16g96~"2>;0h7);91;a8yx{z3`;2h7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283n7[?8e;0x 0052j1/9;?5c:~yx=n9h:1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`28R41b2;q/9;<5c:&624a083>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i81]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?>3;2964<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;:7);91;32?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63825>"2>80:=6sr}|9j5<`=83.9554>869U6{Wg3>7}#:981=4h4V05f>7}#=?81=<5+573954=zutw0e=91=0Z?7n:0yO64g53_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?>;%755?763twvq6g>a583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i=1]=:k52z&627<692.>:<4>1:~yx=n9h?1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`78R41b2;q/9;<5109'137=981vqps4i0c5>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n6:T23`<5s-?=>7?>;%755?763twvq6g>a683>!4>03;3;6F=969U6{Wg3>7}#:981=l94V05f>7}#=?81=<5+573954=zutw0e<6n:18'6<>=91=0Z?7n:0yO64>f3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?7b:T23`<5s-?=>7?>;%755?763twvq6g>8b83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60j1]=:k52z&627<692.>:<4>1:~yx=n91n1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519f8R41b2;q/9;<5109'137=981vqps4i0:f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 00528;0(88>:038yx{z3`;3j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=5<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51828R41b2;q/9;<5109'137=981vqps4i0;2>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?61:T23`<5s-?=>7?7;%755?7?3twvq6g>9383>!4>03;3;6F=969U6{Wg3>7}#:981=4<4V05f>7}#=?81=55+57395==zutw0e<7<:18'6<>=91=0Z?7n:0yO64?43_;f=#=?;1o6sr}|9j5<3=83.9554>869U6{Wg3>7}#:981=4;4V05f>7}#=?81o6*:608`?x{zu2c:5;4?:%0:{M0:g?7|^l:1>v*=0382=3=Q9>o1>v*:638`?!3193i0qpsr;h3:3?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;;?S70m38p(88=:b9'137=k2wvqp5f18;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9030Z<9j:3y'134=k2.>:<4l;|~y>o61h0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99`9U52c=:r.>:?4l;%755?ej2\:;h4={%756?e<,<<:6n5r}|8m4?d290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7l;W34a?4|,<<96n5+5739g>{zut1b=4j50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095"2>80h7psr}:k2=`<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5h5Y16g96~"2>;0h7);91;a8yx{z3`;j<7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0c2>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k:7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?>;%755?763twvq6g>a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<692.>:<4>1:~yx=n9h91<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5109'137=981vqps4i0c7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 00528;0(88>:038yx{z3`;j97>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e3<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`48R41b2;q/9;<5109'137=981vqps4i0c4>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n7:T23`<5s-?=>7?>;%755?763twvq6g>8`83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<60h1]=:k52z&627<692.>:<4>1:~yx=n91h1<7*=9982<2=O:0=0Z?7n:0yO64>e3_;47<,<<:6?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:63825>"2>80:=6sr}|9j5=b=83.9554>869K6<1<^;3j6=:0:g?S70m38p(88=:038 00628;0qpsr;h3;a?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.98d9U52c=:r.>:?4>1:&624<692wvqp5f19d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91l0Z<9j:3y'134=911/9;?5199~yx{82\:;h4={%756?763-?==7?>;|~y>o6180;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<7<^8=n6?u+57095==#=?;1=55r}|8m4?5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:5>5Y16g96~"2>;0h7);91;a8yx{z3`;297>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;5>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283=7[?8e;0x 0052j1/9;?5c:~yx=n90=1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51858R41b2;q/9;<5c:&6249983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6111]=:k52z&62713:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?69:T23`<5s-?=>7m4$442>f=zutw0e<7n:18'6<>=91=0Z?7n:0yO64?f3_;f=#=?;1o6sr}|9j5869U6{Wg3>7}#:981=4l4V05f>7}#=?81o6*:608`?x{zu2c:5n4?:%0:{M0:g?7|^l:1>v*=0382=f=Q9>o1>v*:638`?!3193i0qpsr;h3:`?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;f?S70m38p(88=:b9'137=k2wvqp5f1`294?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h:0Z<9j:3y'134=k2.>:<4l;|~y>o6i80;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9a09U52c=:r.>:?4l;%755?e50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&6249583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g53_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=<850;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e1683><<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+57095==#=?;1=55r}|8m4g4290/>4651958R7?f28qG>4m51zTf4?4|,;:9647o6i<0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d3<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528;0(88>:038yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<692.>:<4>1:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;4><,<<:6<64}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9j5d5=83.9554>869K6<1<^;3j6=:0c0?S70m38p(88=:038 00628;0qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>8:&624<602wvqp5f1`794?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9h?0Z<9j:3y'134=981/9;?5109~yx{=:0c5?S70m38p(88=:0:8 0062820qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>8:&624<602wvqp5f19c94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91k0Z<9j:3y'134=k2.>:<4l;|~y>o60k0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4l;%755?ec290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6k;W34a?4|,<<96n5+5739g>{zut1b=5k50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=c<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c32=?6=980;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:;|~y>o6i=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e3<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m;5Y16g96~"2>;0:46*:6082<>{zut1b=l950;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+57095==#=?;1=55r}|8m4>f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96n5+5739g>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3h7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 0052j1/9;?5c:~yx=n91l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519d8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869K6<1<^;3j6=:0;7?S70m38p(88=:038 00628;0qpsr;h3:b?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:96472\:;h4={%756?7?3-?==7?7;|~y>o6i>0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+57095==#=?;1=55r}|8m4>f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96n5+5739g>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3h7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 0052j1/9;?5c:~yx=n91l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519d8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869K6<1<^;3j6=:0;7?S70m38p(88=:038 00628;0qpsr;h3:b?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4>8:&624<602wvqp5f1`094?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e3<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m;5Y16g96~"2>;0:46*:6082<>{zut1b=l950;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d1<^8=n6?u+57095==#=?;1=55r}|8m4>f290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96n5+5739g>{zut1b=5l50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3h7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:f>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282n7[?8e;0x 0052j1/9;?5c:~yx=n91l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519d8R41b2;q/9;<5c:&624fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;36?!3193;>7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:96*:60821>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a54`=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:46*:6082<>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+57095==#=?;1=55r}|8m4>>290/>4651958R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<64$442>4>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=981/9;?5109~yx{o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+57095==#=?;1=55r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:>?4?:483>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:46*:6082<>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:>>4?:683>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;|~y>o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+570954=#=?;1=<5r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?>;%755?763twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a573=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?=7;290?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;47<,<<:6?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f44?290>6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;47<,<<:6<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?>;%755?763twvq6g>9g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:638`?!3193i0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3i0(88>:b9~yx{=:0;7?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9;k1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:>o4?:283>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a57e=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9;n1<7=50;2x 7??2>:0D?6j;h3;P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<96<64$442>4>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:=6*:60825>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c31b?6=<3:1N50l1b=5650;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<692.>:<4>1:~yx=n90>1<7*=9982<2=O:0=0Z?7n:0yO64?33_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?<0;292?6=8r.9554>f`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4l;%755?en2\:;h4={%756?e<,<<:6n5r}|8m4g5290/>4651958R7?f28qG>4m51zTf4?4|,;:96{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a567=8381<7>t$3;;>a7<@;2n7d?77;29 7??282<76a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=<5+573954=zutw0e<7i:18'6<>=91=0Z?7n:0yO64?a3_;47<,<<:6i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?>;%755?763twvq6g>a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<692.>:<4>1:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;4><,<<:6<64}|~?l7f=3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e0=Q9>o1>v*:6382<>"2>80:46sr}|9j5d0=83.9554>869K6<1<^;3j6=:0c5?S70m38p(88=:038 00628;0qpsr;h3b3?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a69U52c=:r.>:?4>8:&624<602wvqp5f19c94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<6n;W34a?4|,<<96<64$442>4>;0:=6*:60825>{zut1b=5m50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=e<^8=n6?u+5709g>"2>80h7psr}:k2;0h7);91;a8yx{z3`;3i7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0:e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765282m7[?8e;0x 0052j1/9;?5c:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=<5+573954=zutw0e<7;:18'6<>=91=0Z?7n:0yO64?33_;47<,<<:6n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?>;%755?763twvq6g>a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<692.>:<4>1:~yx=n9h91<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5109'137=981vqps4i0c7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n4:T23`<5s-?=>7?7;%755?7?3twvq6g>a483>!4>03;3;6F=969U6{Wg3>7}#:981=l;4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m:4?:%0:{M0:g?7|^l:1>v*=0382e2=Q9>o1>v*:6382<>"2>80:46sr}|9j5=g=83.9554>869K6<1<^;3j6=:0:b?S70m38p(88=:0:8 0062820qpsr;h3;f?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.98c9U52c=:r.>:?4>1:&624<692wvqp5f19a94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91i0Z<9j:3y'134=k2.>:<4l;|~y>o60m0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98e9U52c=:r.>:?4l;%755?ea290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6i;W34a?4|,<<96n5+5739g>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a562=83;:6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0:=6*:60825>{zut1b=l=50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 00528;0(88>:038yx{z3`;j87>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i=1]=:k52z&627<692.>:<4>1:~yx=n9h?1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`78R41b2;q/9;<5109'137=981vqps4i0c5>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n6:T23`<5s-?=>7?7;%755?7?3twvq6g>a683>!4>03;3;6F=969U6{Wg3>7}#:981=l94V05f>7}#=?81=<5+573954=zutw0e<6n:18'6<>=91=0Z?7n:0yO64>f3_;4><,<<:6<64}|~?l7?j3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382o1>v*:63825>"2>80:=6sr}|9j5=e=83.9554>869U6{Wg3>7}#:981=5m4V05f>7}#=?81=55+57395==zutw0e<6k:18'6<>=91=0Z?7n:0yO64>c3_;f=#=?;1o6sr}|9j5=c=83.9554>869U6{Wg3>7}#:981=5k4V05f>7}#=?81o6*:608`?x{zu2c:4k4?:%0:{M0:g?7|^l:1>v*=0382o1>v*:638`?!3193i0qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{51083>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>1:&624<692wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=981/9;?5109~yx{=:0c1?S70m38p(88=:038 00628;0qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>1:&624<692wvqp5f1`694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:9647;|~y>o6i?0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d0<^8=n6?u+57095==#=?;1=55r}|8m4g0290/>4651958R7?f28qG>4m51zTf4?4|,;:964>o60k0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=d<^8=n6?u+570954=#=?;1=<5r}|8m4>d290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6l;W34a?4|,<<96<64$442>4>b290/>4651958R7?f28qG>4m51zTf4?4|,;:96<6j;W34a?4|,<<96n5+5739g>{zut1b=5h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=`<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:?;4?:0394?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<692.>:<4>1:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5109'137=981vqps4i0;e>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 00528;0(88>:038yx{z3`;j>7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2e6<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`18R41b2;q/9;<5109'137=981vqps4i0c7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k?7[?8e;0x 00528;0(88>:038yx{z3`;j97>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i<1]=:k52z&627<602.>:<4>8:~yx=n9h<1<7*=9982<2=O:0=0Z?7n:0yO64g13_;4><,<<:6<64}|~?l7f?3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e2=Q9>o1>v*:63825>"2>80:=6sr}|9j5=g=83.9554>869K6<1<^;3j6=:0:b?S70m38p(88=:0:8 0062820qpsr;h3;f?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:4n4?:%0:=:0:g?S70m38p(88=:b9'137=k2wvqp5f19g94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=91o0Z<9j:3y'134=k2.>:<4l;|~y>o60o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.98g9U52c=:r.>:?4l;%755?e50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&6249583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g53_;f=#=?;1o6sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=>650;094?6|,;336i?4H3:f?l7??3:1(?77:0:4?>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e3883>1<729q/>465719K6=c;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<964750z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:=6*:60825>{zut1b=4:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+570954=#=?;1=<5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<=m:187>5<7s-82479?;I0;a>o6010;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5109'137=981vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj89h6=4;:183!4>03=;7E<7e:k2<=<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6F=969U6{Wg3>7}#:981=4:4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f45c290?6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;47<,<<:6<3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?>;%755?763twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=9ok0D?6j;h3;7d81!31:3i0(88>:b9~yx{=:0::?S70m38p(88=:b9'137=k2wvqp5f18694?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90>0Z<9j:3y'134=k2.>:<4l;|~y>o61o0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.99g9U52c=:r.>:?4l;%755?e4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<=i:181>5<7s-8247j>;I0;a>o60>0;6)<68;3;3>=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj8>:6=4<:183!4>03=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:46*:6082<>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<:=:180>5<7s-8247?ia:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5c:&6248883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?;3;296?6=8r.9554k1:J1<`=n91=1<7*=9982<2==:0da?S70m38p(88=:b9'137=k2wvqp5rb067>5<4290;w)<68;53?M4?m2c:454?:%0:{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63821>"2>80:96sr}|9j5=?=83.9554>869U6{Wg3>7}#:981=574V05f>7}#=?81=85+573950=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f422290>6=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=55+57395==zutw0e<66:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:594?:%0:0Z<9j:3y'134=911/9;?5199~yx{=:0;e?S70m38p(88=:0:8 0062820qpsr;n3ef?6=,;3367d81!31:3i0(88>:b9~yx{55;294~"5110<<6F=8d9j5=>=83.9554>869K6<1<^;3j6=:0:;?S70m38p(88=:0:8 0062820qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>n2\:;h4={%756?7?3-?==7?7;|~y>i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e4683>3<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c373:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=1<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f42>290=6=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;4><,<<:6<64}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:6382<>"2>80:46sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9=k1<7950;2x 7??28lj7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052j1/9;?5c:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5c:&624a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f42e29096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9=i1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;>7);91;36?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63821>"2>80:96sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=9j50;:94?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:038 00628;0qpsr;h3:0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4>1:&624<692wvqp5f18d94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=90l0Z<9j:3y'134=911/9;?5199~yx{o6i:0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+57095==#=?;1=55r}|8m4g3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:8h4?:983>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6g>a583>!4>03;3;6F=969U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f42a29036=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=O:0=0Z?7n:0yO64>>3_;47<,<<:6<3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:63825>"2>80:=6sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a506=8321<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+570954=#=?;1=<5r}|8m4?3290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=n9h>1<7*=9982<2=O:0=0Z?7n:0yO64g33_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?:1;29=<519:8R41b2;q/9;<5109'137=981vqps4i0::>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?>;%755?763twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=O:0=0Z?7n:0yO64?a3_;4><,<<:6<64}|~?l7f:3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e7=Q9>o1>v*:6382<>"2>80:46sr}|9j5d5=83.9554>869K6<1<^;3j6=:0c0?S70m38p(88=:0:8 0062820qpsr;h3b0?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a59U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9<81<7950;2x 7??28lj7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0h7);91;a8yx{z3`;357>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052j1/9;?5c:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5c:&624a383>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7m4$442>f=zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f43429096=4?{%0:8683>!4>03;3;65`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9<>1<7=50;2x 7??2>:0D?6j;h3;7d81!31:3;>7);91;36?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63821>"2>80:96sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=8;50;:94?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?>;%755?763twvq6g>8883>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<602.>:<4>8:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m94?:%0:0Z<9j:3y'134=911/9;?5199~yx{=:0da?S70m38p(88=:b9'137=k2wvqp5rb075>5;|~y>o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+57095==#=?;1=55r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6g>a583>!4>03;3;6F=969U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f43029036=4?{%0:8983>!4>03;3;6F=969U6{Wg3>7}#:981=564V05f>7}#=?81=<5+573954=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;4><,<<:6<64}|~?l7><3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:6382<>"2>80:46sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a50>=8331<7>t$3;;>26<@;2n7d?78;29 7??282<7[<6a;3xH7?d28q]i=4={%036?7?02\:;h4={%756?763-?==7?>;|~y>o6000;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8m4?3290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=c<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n2:T23`<5s-?=>7?7;%755?7?3twvq6g>a283>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=n9h>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`68R41b2;q/9;<5199'137=911vqps4i0c6>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k>7[?8e;0x 0052820(88>:0:8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c36=?6=13:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+570954=#=?;1=<5r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2=1<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:595Y16g96~"2>;0:46*:6082<>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+57095==#=?;1=55r}|8m4g5290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e6<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m>5Y16g96~"2>;0:46*:6082<>{zut1b=l:50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d2<^8=n6?u+57095==#=?;1=55r}|8m4g2290/>4651958R7?f28qG>4m51zTf4?4|,;:964>50z&1==<6nh1C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 0052j1/9;?5c:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5c:&6249583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627n3:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7m4$442>f=zutw0e=91=0Z?7n:0yO64g53_;f=#=?;1o6sr}|9j5d5=83.9554>869U6{Wg3>7}#:981=l=4V05f>7}#=?81o6*:608`?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`21g<72;0;6=u+28:9`4=O:1o0e<68:18'6<>=91=07b?ib;29 7??28li7[<6a;3xH7?d28q]i=4={%036?7aj2\:;h4={%756?e<,<<:6n5r}|8yg72k3:1;7>50z&1==<082B94h5f19:94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9120Z<9j:3y'134=981/9;?5109~yx{;|~y>o61=0;6)<68;3;3>N51>1]>4o51zN1=f<6s_o;6?u+21095<2<^8=n6?u+570954=#=?;1=<5r}|8m4?a290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6a>fc83>!4>03;mn6X=9`82I4>k3;pZh>52z&147<6nk1]=:k52z&627=?91C>5k4i0:;>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528237[?8e;0x 00528;0(88>:038yx{z3`;357>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6001]=:k52z&627<692.>:<4>1:~yx=n90>1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51868R41b2;q/9;<5199'137=911vqps4i0;e>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?6f:T23`<5s-?=>7?7;%755?7?3twvq6g>a383>!4>03;3;6F=969U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2e:jo4?:%0:{M0:g?7|^l:1>v*=0382bg=Q9>o1>v*:638`?!3193i0qpsr;|`21`<72>0;6=u+28:935=O:1o0e<67:18'6<>=91=0Z?7n:0yO64>?3_;47<,<<:6?2\95l4>{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:63825>"2>80:=6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=55+57395==zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e5g83>2<729q/>465719K6=c=:0:;?S70m38p(88=:038 00628;0qpsr;h3;=?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:594?:%0:{M0:g?7|^l:1>v*=0382=1=Q9>o1>v*:6382<>"2>80:46sr}|9j5<`=83.9554>869K6<1<^;3j6=:0;e?S70m38p(88=:0:8 0062820qpsr;h3b6?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a39U52c=:r.>:?4>8:&624<602wvqp5f1`194?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:964>50z&1==<082B94h5f19:94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:=6*:60825>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=;?50;494?6|,;336o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4l;%755?e4651958R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96n5+5739g>{zut1b=4h50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095<`<^8=n6?u+5709g>"2>80h7psr}:k2e7<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:m?5Y16g96~"2>;0h7);91;a8yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c356?6=:3:1N50l1b=5950;&1==<60>10c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f40429086=4?{%0:8983>!4>03;3;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<6=2.>:<4>5:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5149'137=9<1vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=zj803=;7E<7e:k2<=<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:=6*:60825>{zut1b=5750;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052820(88>:0:8yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th::84?:683>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:46*:6082<>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=;850;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7?7;%755?7?3twvq6g>8883>!4>03;3;6F=969U6{Wg3>7}#:981=574V05f>7}#=?81=55+57395==zutw0e<7;:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869U6{Wg3>7}#:981=l<4V05f>7}#=?81=55+57395==zutw0e=91=0Z?7n:0yO64g43_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?97;293?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5199'137=911vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 0052820(88>:0:8yx{z3`;287>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4i0c1>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th::54?:983>5}#:021;=5G29g8m4>?290/>4651958R7?f28qG>4m51zTf4?4|,;:96<67;W34a?4|,<<9647;0:46*:6082<>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528k87[?8e;0x 0052820(88>:0:8yx{z3`;j87>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th::44?:683>5}#:021=ko4H3:f?l7?03:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?78:T23`<5s-?=>7m4$442>f=zutw0e<66:18'6<>=91=0Z?7n:0yO64>>3_;f=#=?;1o6sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81o6*:608`?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:638`?!3193i0qpsr;h3b6?6=,;336<68;W0:e?7|D;3h66X>7d81!31:3i0(88>:b9~yx{=:0c0?S70m38p(88=:b9'137=k2wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9?k1<7<50;2x 7??2m;0D?6j;h3;3?6=,;336<68;:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th::o4?:683>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?64:T23`<5s-?=>7?>;%755?763twvq6g>9g83>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?l7f;3:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382e6=Q9>o1>v*:6382<>"2>80:46sr}|9l5cd=83.9554>fc9U6{Wg3>7}#:981=kl4V05f>7}#=?81o6*:608`?x{zu2wi=;m50;594?6|,;336:>4H3:f?l7?03:1(?77:0:4?M4>?2\95l4>{M0:g?7|^l:1>v*=0382<==Q9>o1>v*:63825>"2>80:=6sr}|9j5=?=83.9554>869K6<1<^;3j6=:0::?S70m38p(88=:038 00628;0qpsr;h3:0?6=,;336<68;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:5k4?:%0:{M0:g?7|^l:1>v*=0382=c=Q9>o1>v*:6382<>"2>80:46sr}|9j5d4=83.9554>869K6<1<^;3j6=:0c1?S70m38p(88=:0:8 0062820qpsr;h3b7?6=,;336<68;I0:3>P51h0:wA<6c;3xR`6=:r.9a29U52c=:r.>:?4>8:&624<602wvqp5`1g`94?"5110:jo5Y28c95~J51j0:w[k?:3y'654=9oh0Z<9j:3y'134=k2.>:<4l;|~y>{e9?n1<7950;2x 7??2>:0D?6j;h3;7d81!31:3;:7);91;32?x{zu2c:444?:%0:{M0:g?7|^l:1>v*=0382<<=Q9>o1>v*:6382<>"2>80:46sr}|9j5<2=83.9554>869U6{Wg3>7}#:981=4:4V05f>7}#=?81=55+57395==zutw0e<7i:18'6<>=91=0D?78;W0:e?7|D;3h67d81!31:3;37);91;3;?x{zu2c:m?4?:%0:i6nk0;6)<68;3ef>P51h0:wA<6c;3xR`6=:r.9fc9U52c=:r.>:?4l;%755?e6d83>2<729q/>465719K6=c;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4>8:&624<602wvqp5f18694?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<7;;W34a?4|,<<96<64$442>4>;0:46*:6082<>{zut1b=l<50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 76528k97[?8e;0x 0052820(88>:0:8yx{z3`;j?7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i:1]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624=83:p(?77:628L7>b3`;347>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6011]=:k52z&627<692.>:<4>1:~yx=n9131<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519;8R41b2;q/9;<5109'137=981vqps4i0;7>5<#:021=594V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 00528;0(88>:038yx{z3`;2j7>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2e7<72-8247?77:J1=2=Q:0k1=vB=9b82Sc72;q/>=<51`08R41b2;q/9;<5199'137=911vqps4i0c0>5<#:021=594H3;4?S4>i3;p@?7l:0yUa5<5s-8;>7?n3:T23`<5s-?=>7?7;%755?7?3twvq6g>a583>!4>03;3;6F=969U6{Wg3>7}#:981=l:4V05f>7}#=?81=55+57395==zutw0c=9oh0Z?7n:0yO64`e3_;f=#=?;1o6sr}|9~f417290=6=4?{%0::<4l;|~y>o6000;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9889U52c=:r.>:?4l;%755?e<2\:;h4={%756?e<,<<:6n5r}|8m4?a290/>4651958R7?f28qG>4m51zTf4?4|,;:96<7i;W34a?4|,<<96n5+5739g>{zut1b=l<50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d4<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:;<4?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?82;297?6=8r.955480:J1<`=n9121<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<519:8R41b2;q/9;<5149'137=9<1vqps4i0::>5<#:021=594V3;b>4}K:0i1=vXj0;0x 76528227[?8e;0x 00528?0(88>:078yx{z3f;mn7>5$3;;>4`e3_82m7?tL3;`>4}Qm909w)P6?l09w);92;a8 0062j1vqps4}c347?6=;3:1N50l1b=5650;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=><^8=n6?u+57095==#=?;1=55r}|8m4>>290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:;94?:283>5}#:021;=5G29g8m4>?290/>4651958L7?03_82m7?tL3;`>4}Qm909w)P6?l09w);92;3;?!3193;37psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:46*:6082<>{zut1d=kl50;&1==<6nk1]>4o51zN1=f<6s_o;6?u+21095cd<^8=n6?u+5709g>"2>80h7psr}:a523=8391<7>t$3;;>26<@;2n7d?78;29 7??282<7E<67:T1=d<6sE82o7?tVd296~"58;0:455Y16g96~"2>;0:46*:6082<>{zut1b=5750;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095=?<^8=n6?u+57095==#=?;1=55r}|8k4`e290/>4651g`8R7?f28qG>4m51zTf4?4|,;:96{zut1vn<99:185>5<7s-82479?;I0;a>o6010;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9899U52c=:r.>:?4>1:&624<692wvqp5f19;94?"5110:4:5G2858R7?f28qG>4m51zTf4?4|,;:96<66;W34a?4|,<<9647;0:46*:6082<>{zut1b=4h50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283m7[?8e;0x 0052820(88>:0:8yx{z3`;j>7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<6i;1]=:k52z&627<602.>:<4>8:~yx=h9oh1<7*=9982bg=Q:0k1=vB=9b82Sc72;q/>=<51g`8R41b2;q/9;<5c:&624b3`;347>5$3;;>4>03_82m7?tL3;`>4}Qm909w)P6?l09w);92;32?!3193;:7psr}:k2<<<72-8247?77:T1=d<6sE82o7?tVd296~"58;0:445Y16g96~"2>;0:46*:6082<>{zut1b=4:50;&1==<60>1C>494V3;b>4}K:0i1=vXj0;0x 765283?7[?8e;0x 0052820(88>:0:8yx{z3`;2j7>5$3;;>4>03A82;6X=9`82I4>k3;pZh>52z&147<61o1]=:k52z&627<602.>:<4>8:~yx=n9h81<7*=9982<2=O:0=0Z?7n:0yO64g53_;4><,<<:6<64}|~?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?88;293?6=8r.9554>f`9K6=c=:0:;?S70m38p(88=:b9'137=k2wvqp5f19;94?"5110:4:5Y28c95~J51j0:w[k?:3y'654=9130Z<9j:3y'134=k2.>:<4l;|~y>o61=0;6)<68;3;3>P51h0:wA<6c;3xR`6=:r.9959U52c=:r.>:?4l;%755?en2\:;h4={%756?e<,<<:6n5r}|8m4g5290/>4651958R7?f28qG>4m51zTf4?4|,;:96{zut1b=l=50;&1==<60>1]>4o51zN1=f<6s_o;6?u+21095d5<^8=n6?u+5709g>"2>80h7psr}:m2bg<72-8247?ib:T1=d<6sE82o7?tVd296~"58;0:jo5Y16g96~"2>;0h7);91;a8yx{z3th:;44?:383>5}#:021h<5G29g8m4>0290/>4651958?j7aj3:1(?77:0da?S4>i3;p@?7l:0yUa5<5s-8;>7?ib:T23`<5s-?=>7m4$442>f=zutw0qo?8a;291?6=8r.955480:J1<`=n9121<7*=9982<2=O:0=0Z?7n:0yO64>?3_;4><,<<:6<64}|~?l7?13:1(?77:0:4?S4>i3;p@?7l:0yUa5<5s-8;>7?79:T23`<5s-?=>7?7;%755?7?3twvq6g>9583>!4>03;3;6X=9`82I4>k3;pZh>52z&147<61=1]=:k52z&627<602.>:<4>8:~yx=n90l1<7*=9982<2=Q:0k1=vB=9b82Sc72;q/>=<518d8R41b2;q/9;<5199'137=911vqps4o0da>5<#:021=kl4V3;b>4}K:0i1=vXj0;0x 76528li7[?8e;0x 0052j1/9;?5c:~yx=z{0l1<7=t=`295ce:0da?854;3;3463<3582<==:;:?1=564=215>4>?34>ii7?78:?7fc<601168n>519:891e6282370<92;3;<>;6980:455210095=><58;86<67;<320?7?02wx454?:2y><<<6nj1U4552b082<2=z{k;1<7:n{<`2>4`e34??n7?78:?60f<6011699j519:8902b282370::2;3;<>;3=:0:45522g295=><5;l:6<67;<13e?7?0278>44>899>77g=91201>4>>349?<7?78:?767<601168oj519:891db282270:mf;3;=>;3k90:44524b395=?<5=<<6<67;<65899>6ae=912019:;:0:;?87c;3;3463>d582<==:9m?1=564=0f5>4>?348=57?78:?7`2<601168:m519:891g4282370:n4;3;<>;3i<0:45524`495=><5=k<6<67;<73>l4>899>17d=9120184>899>7?78:?f7?7?027n87?78:?f1?7?027n:7?78:?f`?7?027m=7?78:?e0?7?027mn7?78:?244<60116==<519:89464282370??4;3;<>;6990:455210395=?<58;96<66;<327?7?127:=94>889>54>=912011c82<==:9;91=564=011>4>?34;8?7?78:?271<60116=>;519:8945128237p}74;297~;?=3;mo6P74:?be?7??2wxml4?:3`x9dg=9oh018:k:0::?833m3;3563<2`82<<=:;;h1=574=210>4?3349887?64:?070<61=16?>85186891dc282270:me;3:0>;3jo0:59524b295<2<5=i:6<7;;<653?7?127?:54>889>7<1=91201d482<<=:9m<1=574=34b>4>?34kn6<67;4>>34?;47?79:?;>4>>34o86<66;4>>34o>6<66;4>>34oo6<66;<333?7?027:==4>889>547=90>011582=1=:9:81=574=010>4>>34;887?79:?270<60016=>8519;8945>28237p}72;297~;?;3;mo6P72:?b=?7??2wxm44?:3;x9d?=9oh018:k:0;7?833m3;2863<0b82<==:;;k1=4:4=20a>4?33498?7?6f:?071<61o16?>;518d89651283m70:md;3:0>;3jl0:5k524cd95<`<5=i;6<7i;<6`5?7>n27?::4>959>03>=90>01>77:0:;?87c;3;2863>d582=1=:9m?1=4:4=0f5>4?3348=?7?78:?64=<61=1647?64:?f7?7><27n87?64:?f1?7><27n:7?64:?f`?7><27:==4>959>547=90l011582=c=:9;<1=564=011>4?334;8?7?64:?271<61=16=>;518689451283?7p}70;297~;?93;mo6P70:?b=9oh018:k:0;e?833m3;2j63<0e82<==:;;k1=4h4=20a>4?a3498?7?n2:?071<6i;16?>;51`08965128k970:md;3:b>;3jl0:m?524cd95d4<5=i;69g9>03>=90l01>76:0:;?87c;3;2j63>d582=c=:9m?1=4h4=0f5>4?a348>n7?78:?64=<61o1647?6f:?f7?7>n27n87?6f:?f1?7>n27n:7?6f:?f`?7>n27:==4>9g9>547=9h80163>1582e7=:9;=1=564=011>4?a34;8?7?6f:?271<61o16=>;518d89451283m7p}8e;297~;0n3;mo6P8e:?b3?7??2wxm:4?:3;x9d1=9oh018:k:0c1?833m3;j>63<2`82e7=:;;h1=l<4=210>4g4349887?n3:?070<6i:16?>851`1891dc28k970:me;3b7>;3jo0:m>524b295d5<5=i:6a39>763>d482e7=:9m<1=l<4=377>4>?34?;47?n2:?;>4g534o864g534o>64g534oo6a39>547=9h9011582e6=:9:81=l<4=010>4g534;887?n2:?270<6i;16=>851`08945f28237p}8c;297~;0l3;mo6P8c:?b2?7??2wxm;4?:dy>e3<6nk16?>=51`68965328k?70=<5;3b0>;4;?0:m9524cg95d2<5=hm6a59>61>=91201:0c7?876:3;j863>1282e1=:98>1=l:4}r5;>5<4s4=261=l;4=216>4g23498:7?n5:?7f`<6i<168oh51`7891e728k>70:l1;3b1>;5;h0:455210395d3<58;96a49~w=`=839p14>51ga8Z=`<5k31=594}r`:>5<0:r7i57?ib:?715<6011688?519:8900a282370;80;3;<>;dk3;3463>e382<==:9l91=564=0g7>4>?348m<7?79:?1b4<60016?=o519;8966d282270=?d;3;=>;48l0:455230d95=><5:8;6<67;<114>a79>762=9h<01>=::0c5?854>3;j:63<4082<==:<;81=574=507>4>?34?3h7?78:?6<`<601168n>51`4891e628k=70;n7;3;<>;2i10:45525`;95=><5n>4>899>1g3=912018l9:0:;?83c83;3463;6482<==:4>>3492;7?79:?0==<60016?47519;896?f282270=6b;3;<>;5lm0:455245695=?<5<2:6<67;<7;6?7?027>5n4>899>67>=91201?<6:0:;?845i3;3463=2c82<==::=h1=564=36`>4>?34>o:7?78:?65f<601169;3i=0:44524`795=?<5=k=6<66;<6b3?7?127>=<4>899>144=912018899>a<<60116il4>899>af<60116j84>899>bf<60116==?519;89465282270??3;3;=>;68=0:445211595=?<58:36<66;<33=?7?027:899>55d=91201:0c5?876:3;j:63>1282e3=:98>1=l84=034>4>?34;9=7?78:?267<60116=?8519;89440282270?=8;3;<>;6:l0:455213d95=><589?6889>56g=91301<=m:0:;?874k3;3463>3e82<==:9=n1=564=06f>4>?34;=87?78:?22g<60116=;m519:8940a282370?86;3;<>;6?>0:455rs9a94?5|51n1=km4^9a89g>=91=0q~l7:18;3~;e03;mn63:4c82<<=:==i1=574=46g>4g434??i7?n3:?717<6001688=519;8900a282270;80;3;=>;dk3;3563=f182=1=::o;1=4:4=22b>4?3349;i7?79:?05c<60016??>519;8964>282270==a;3b7>;4:k0:m>5232195d1<5:9?6a69>714=9120186k:0::?83?m3;3563;be82e6=:4g134>h<7?n7:?7g4<6i>169n:519:890e2282370;m1;3;=>;2j;0:44525c195=?<56<66;<7a2?7?127?::4>a29>03>=9h901?j=:0;7?85>j3;3563=dd82<==:9m91=l=4=0f7>4g434;o97?n3:?2`3<6i:16946519:890?>282370;6c;3;=>;5:10:445223;95=?<5;8j6<66;<01f?7?12798o4>889>61e=91301?:k:0:;?82c?3;3563;d882<==:4>?34?:o7?79:?65a<600168l=5186891g3283?70:n5;3:0>;3i?0:59524`595<2<5<:36=?4>889>17g=90>01979:0:;?82>?3;3463;9982<==:=3;35639:0::?81=9130154>a29>a7<60016i>4>a29>a1<6i:16i84>a29>a3<6i:16io4>899>af<60016ii4>a29>b7<60116j94>889>b0<60016==?518689465283?70??3;3:0>;68=0:595211;95=?<58:j6<66;<324?7f;27:=<4>a69>544=9h=011982<<=:9831=574=03b>4>>34;:n7?79:?266<60016=?6519;8944b282270?=f;3;=>;6;;0:m>5212195d5<589?6a29>56d=91301<=l:0::?873l3;3563>4d82<<=:9=l1=564=073>4>?34;>=7?78:?210<60116=88519:89430282370?:c;3;<>;6=m0:455214g95=><58?m6<67;<354?7?027::84>899>53d=91301<8l:0::?871l3;3463>6d82<==:9?l1=574}r:b>5<4s42i6h6<7;;<666?7><27?9>4>959>gf<61=16>k>518d897`6283m70=?a;3:b>;48j0:595231f95<2<5::n6<7;;<114?7><278>44>959>77d=9h>01>=<:0:b?854<3;3m63<34824>?34>987?79:?7fa<6i=168ok51`5891da28k<70:l0;3;e>;3k80:4l525b695=?<5<27>n;4>959>031=9h>01987:0c7?84c:3;2j63<9682=1=:;021=4:4=2;:>4?33492m7?64:?0=g<61=16>ih519:894b228k?70?k6;3b0>;21h0:455258`95=><5<3h6<7;;<01e?7><279>o4>959>61d=90>01?:l:0;7?82c?3;2863;d882<<=:=8n1=4:4=c495=><5k<1=574=5c0>4?a34>j87?6f:?7e0<61o168l8518d891g0283m70;?8;3b0>;2980:595250095<2<5<8j6<7i;<71f?7><27?5;4>889>1?7><27<6<7;;4?334o>64g334oh6<7;;4>?34l?6<7;;4>?34;;=7?6f:?247<61o16===518d89463283m70??7;3:0>;6810:595211;95<2<58:i6<66;<324?7f<27:=<4>8`9>544=91k011982=1=:9831=4:4=03b>4?334;:n7?64:?266<61=16=?8518689440283?70?=8;3:0>;6:l0:595212695d3<589>6959>56g=90>01<=m:0;7?874l3;3563>4g82<<=:9<:1=574=07`>4>>34;>h7?79:?22c<61=1v:o50;1x92d=9oi0R:o4=`795=16=4j{4`e3498?7?7b:?071<60k16?>;519`89651282i70:me;3;e>;3jo0:4l524b295=d<5=i:6<6m;<075?7?027:=<4>8c9>544=91h016}:?>0:jn5Q779>e6<60>1vl=50;gx9d5=9oh01>=<:0:`?854<3;3o63<34824>e34>ij7?7b:?7g5<60j168n?519a89754282370?>1;3;g>;69;0:4n5210195=e<58;?6<6l;|q40?6=;r7<97?ic:\40>;f:3;3;6s|a383>`}:i;0:jo5232195=b<5:9?6<6k;<101?7?l278?;4>8e9>0gc=91i019li:0:`?82d83;3h63;c0824>c34;:?7?7d:?251<60m16=9>519:8yv152908w09<:0d`?[1534k:6<68;|qb5?6=mr7j=7?ib:?076<60l16?>:519g89652282n70=<6;3;a>;3jl0:4i524cd95=b<5=i;6<6j;<6`5?7?m279>=4>899>547=91o011582<`=z{m?1<7:t=e495ces4;3?7?ib:?614>7j;;<16a?b3349i:7j;;<634?b334>nn7j;;|q2<5<72hq6=5>51g`896d72m>019>9:e68962d2m>01>;7:e6896`f2m>0196j:e6891ea2m>019h6:e6890262m>0q~j8:1878b?28lh7Sj8;<3;6?7??27:;k4>869~w4>52909jv3>8382bg=::oi1h9524479`1=:=><1h9522d`9`1=:ko0o863>e78g0>;50;0o863=f28g0>;6kk0o863>f18g0>;50j0o863<108g0>;4900o863<238g0>;4:m0o863<398g0>;4<<0o863;188g0>;3:?0o863;328g0>;3;k0o863:918g0>;3k:0o863:ac8g0>;2k10o863:b88g0>;2l?0o863;6`8g0>;3=l0o863;138g0>;5i<0o863=c98g0>;5l<0o863:698g0>;5ij0o863=b28g0>;5jh0o863=c08g0>;5m;0o863=738g0>;3<10o8639e;f7?87c13n?70;74;f7?83>m3n?70:71;f7?xu6?o0;6>u216d95cd<5::86i:4=5`7>a23>7>53z?7<6<6nj1U85<4=5:7>4>03ty?494?:4fx91>328li70;;b;3b7>;2<5=>m6<66;<664?7>n27?9?4>a29>13c=9120188i:0;7?8e0282370m6:0;7?87b:3;2j63>e282=c=::>k1=564=35a>4>?34856519:897>>282370=>6;3;<>;49l0:455233595=><5:996<67;<10b?7?027?>n4>899>07c=90>0186n:0:;?83?j3;3463:8e82=1=:=h=1=574=4`2>4?334?i?7?6f:?6f0<61o168;;518d8910028k<70;5ko0:445257495=><5=>=6<67;<3g7?7f>27:h84>a69>757=91201>>>:0::?83?93;3563:9982<<=:=031=574=4;b>4>>34?2o7?6f:?16=<61=16>?o518d8974d282370<;b;3:b>;3no0:455252095=><59g9>14e=90>018?k:0;e?820n3;3463;a582e7=:4>?34?;j7?78:?654<61o16847519:89`5=9h<01h;51`589467282370??e;3;<>;6910:m>5210;95d5<588:6<7i;<317?7f;27:>o4>899>564=9h<01<=;:0c4?874>3;j;63>4682<==:9=21=564=06:>4>?34;?h7?64:?20c<61=16=8?519;89431282270?:8;3;<>;6=00:455214a95<2<58?n6<66;<354?7?127::54>899>53d=90>01<99:0::?xu2=m0;6>u254g95ce{t=8i4>8c9>11c=91h01e582e6=:;;k1=l;4=20a>4g13498?7?60:?071<61916?>;518289651283;70:md;3b2>;3jl0:4k524cd95=`<5=i;6<7?;<6`5?7>827?::4>8b9>03>=91i01d4824>>34?8>7?79:?7`3<61=168i951`089061282270;?8;3;g>;28o0:44528;3b1>;b;3;3m63j4;3;e>;b=3;3n63j6;3;f>;bl3;j963>0c82=1=:98:1=l84=032>4?734;:>7?60:?256<61916=<:51828947028k870?>8;3b1>;6900:m85210c95d3<58;i6i4>899>564=91h01<=<:0:a?874<3;3n63>34824?33ty?ml4?:2y>0dd=9oi0R9on;<6bg?7??2wx8lm50;fx91gd28li70?mb;3;=>;6jj0:44521cf95=?<58hn6<66;<3ab?7?12788l4>899>71g=91301>;?:0:;?85283;3563;8b82<<=:4>>3tyo57>54z?ge?7ak2To563kb;3;3>;6080:4:5rse`94?7es4ni6<27:nn4>959>5gb=90>014>?349h57?78:?0`a<60116?h;519:896c1282370=j7;3;<>;4m10:45523d;95=><5:l96<67;<3a4?7?027:n<4>899>5g4=91201>lm:0:;?85ek3;34634?334>n;7?64:?7a=<61=1v<6>:18187?93;mn63{t=;;1<7:t=401>4`d3W?9=63:2282<2=:91?1=594}r717?6==r7>>>4>fc9>7c>=91201>h7:0::?85am3;34636=4={<3;1?7aj279j94>899~w1cd2908w0:jd;3eg>X3mj168hk51958yv2bm3:19v3;ed82bg=:9j21=574=534>4>?34>:;7?79:?643<61=1v8=;:180834=3;mo6P:359>160=91=0q~;<6;291~;2;?0:jo521df95=?<5=926<67;<60=?7?127>959~w41e2908w0?8c;3eg>X6?k16=:j51958yv70l3:1?v3>7e82bg=:;h81=564=2c1>4>>3ty>9l4?:2y>10d=9oi0R8;n;<76g?7??2wx98m50;7x903d28li70:?4;3;<>;38=0:445241c95=><5=:j6<66;|q7g0<72:q68n851ga8Z1e234>h;7?77:p0f1=83?p19m8:0da?852>3;3463<5782<<=:;4>>3ty3:7>53z?;3?7ak2T3:63m0;3;3>{tj90;6?u2b182bg=::;;1=574}r;2>5<4s43964082<<=z{091<7=t=8695ce6}:1?0:jn5Q949>ff<60>1vom50;0x9ge=9oh01?=m:0::?xu>?3:1?v368;3eg>X>?27ih7?77:pfa<72;q6ni4>fc9>614=9130q~76:1808?f28lh7S76;<`f>4>03tyii7>52z?aa?7aj279844>889~w5<5s4hm6=`<6nj1U5i52c182<2=z{j:1<77?ib:p113=838p18:=:0:4?833=3;mn6s|4c794?5|5=h=6;3j<0:jo5rs5`4>5<5s4>i97?77:?7f2<6nk1v96::18082?>3;mo6P;849>0=3=9oh0q~:77;296~;30<0:4:5249595cdjh7>53z?7e`<6nj1U8lj4=5cg>4`e3ty?mk4?:3y>0db=91=019oi:0da?xu3k10;6>u24b;95ce{t4>034>hm7?ib:p0ac=839p19ji:0d`?[2cm27?hh4>fc9~w1c72909w0:ke;3;3>;3m90:jo5rs5ge>5<4s4>m<7?ic:\7ac=:869>0c7=9oh0q~;<7;297~;2;10:jn5Q5258905028li7p}:3883>7}:=:=1=594=41:>4`e3ty9<>4?:2y>652=9oi0R?><;<037?7aj2wx>c;3ef>{t:9k1<7=t=32a>4`d3W8;m63=0`82bg=z{;886=4={<03e?7??279>>4>fc9~w76d2908w0X58j16>=m51g`8yv45n3:1>v3=0b82<2=::;l1=kl4}r03a?6=;r79fb9]65c<5;:n6=k51958975128li7p}=1183>6}::8;1=km4^333?84683;mn6s|22f94?4|5;;;6<68;<00`?7aj2wx><<50;1x977428lh7S<>2:?157<6nk1v?:;:181846:3;3;63=4582bg=z{;;?6=4<{<021?7ak2T9=95220695cd<7>52z?151<60>16>8>51g`8yv46>3:1?v3=1682bf=Y:8<01??9:0da?xu5=>0;6?u220495=1<5;?<6<751ga8Z77?348:47?ib:p60c=838p1??7:0:4?842m3;mn6s|20c94?5|5;;i6;59h0:jo5rs346>5<5s48:m7?77:?120<6nk1v9hn:18082aj3;mo6P;f`9>0cg=9oh0q~:ic;296~;3nh0:4:524ga95cd53z?66`<6nj1U9?j4=40g>4`e3ty>>k4?:3y>17b=91=018u254695ce{t=4>034?>97?ib:p03e=839p198k:0d`?[21k27?:n4>fc9~w10b2909w0:9c;3;3>;3>l0:jo5rs5f3>5<4s4>o=7?ic:\7`5=:869>0a4=9oh0q~;>5;297~;29?0:jn5Q5078907228li7p}:1683>7}:=8?1=594=434>4`e3ty9<54?:2y>65?=9oi0R?>7;<0365>=91=01ll51g`8yv47=3:1?v3=0782bf=Y:9?01?>::0da?xue;3:1>v3=0482<2=:j:0:jo5rs550>5<4s4><87?ic:\736=:<>91=kl4}r641?6=:r7?;>4>869>023=9oh0q~:6c;297~;31m0:jn5Q48a891?d28li7p};9d83>7}:<0i1=594=5;f>4`e3ty><<4?:2y>154=9oi0R8>>;<735?7aj2wx9==50;0x9066282<70;?3;3ef>{t=9k1<7=t=42a>4`d3W?;m63:0`82bg=z{<:h6=4={<73e?7??27>fc9~w0432908w0;=5;3eg>X2:=169?:51g`8yv35>3:1>v3:2582<2=:=;<1=kl4}r6;b?6=;r7?5=4>fb9]0=`<5=2m61}:==?1=594=467>4>034?>>7?79:?614<6nk1v8:;:187833<3;mn63:7382<==:=>91=564=43e>4>?3ty>8k4?:3y>11?=91=018:i:0da?xu2<00;6>u255;95cd<5=<86<67;<657?7?12wx99850;0x9035282370;;6;3ef>{t=<81<74`e34?>=7?77:p5=0=83k>w0?76;3ef>;2=809;>52543963e<5;lh6?9<;<0eg?41k27?984=729>003=:?i01899:350?830>38=o63=ec8136=::lh1>;m4=bd9625<5jl1>;m4=0a2>71434;h=7<9c:?2a3<5?:16=h8527a897>52;=870<72;05g>;5n=0:44522g19625<58ii6?9<;<3`f?41k27:j=4=729>5c6=:?i01?6l:350?84?k38=o63<108136=:;8;1>;m4=23:>714349:57<9c:?067<5?:16??<527a8964c2;=870==d;05g>;4;109;>5232:963e<5:>>6?9<;<171?41k27?=44=729>04?=:?i019<9:350?825>38=o63;328136=:<:91>;m4=51a>71434>8n7<9c:?6=5<5?:1694>527a891e42;=870:l3;05g>;2ik09;>525``963e<5n44=729>1g?=:?i018j9:350?83c>38=o63;6`8136=:;m4=57f>71434>>i7<9c:?022<5?:16?;9527a896162;=870=81;05g>;4kk09;>523b`963e<5:=h6?9<;<14g?41k278484=729>7=3=:?i01>7?:350?85>838=o63;m4=2f4>714349o;7<9c:?0`c<5?:16?ih527a896cd2;=870=jc;05g>;39;09;>52400963e<5;k>6?9<;<0b1?41k279o54=729>6f>=:?i01?j::350?84c=38=o63<9d8136=:;0o1>;m4=2`3>714349i<7<9c:?0b1<5?:16?k:527a8900?2;=870;98;05g>;6j=09;>521c6963e<5;kh6?9<;<0bg?41k279n>4=729>6g5=:?i01?ln:350?84ei38=o63=c08136=::j;1>;m4=3g1>714348n>7<9c:?0e1<5?:16?l:527a897152;=870<82;05g>;4ih09;>523`c963e<5:i;6?9<;<1`4?41k27?<;4=729>050=:?i019>l:350?827k38=o63;498136=:<=21>;m4=7:9625<5?21>;m4=7g9625<5?o1>;m4=0f:>71434;o57<9c:?046<5?:16?==527a8962d2;=870=;c;05g>;4=;09;>52340963e<5:?36?9<;<1670c=:?i01>l9:350?85e>38=o63;m4=523>71434>;<7<9c:?6<1<5?:1695:527a890?b2;=870;6e;05g>;30l09;>5249g963e<5=h?6?9<;<6a0?41k27?ok4=729>0f`=:?i019km:350?82bj38=o63;f88136=:;m4=462>71434??=7<9c:?7<4<5?:1685?527a8yv4>83:1=n?t=3;3>4`e34?>=7j<;<77`?7?k27>8i4>8e9>11b=91o018:k:0:e?833m3;3o63:4d824>a34>>97j<;<742?b434?;2?:0:4h5256195=`<5;oi6i=4=bd9`6=:kj0:m:52cb82522909`6=::>h1=5o4=35a>4>e348:l519g8971e282m70<8c;3;e>;5?j0:4o5226a95=e<5;=h6<6k;<04g?7?m279;n4>8g9>6c5=l:16>5m5d29>6=?=91h01?66:0:`?84?13;3h63=8882<`=::131=5h4=3:b>4>e3483m7?7c:?15o519g897>f282m70=>1;f0?85613n870==2;f0?855l3n870==a;3;e>;4:h0:4o5233c95=e<5:8j6<6k;<11e?7?m278>l4>8g9>77d=91i01>5232195<5<5:986<7:;<107?7>>278?>4>969>765=90201>=<:0;:?854;3;2m63<3282=g=:;:91=4m4=210>4?c3498?7?6e:?076<6i916?>=51`389653283870=<4;3:1>;4;=0:5;5232695<1<5:9?6<77;<100?7>1278?94>9`9>762=90h01>=;:0;`?854<3;2h63<3582=`=:;:>1=l>4=217>4g6349897?63:?070<61<16?>;518489652283<70=<5;3:<>;4;<0:5452327956<7m;<101?7>k278?84>9e9>763=90o01>=::0c3?854=3;j=63<3782=6=:;:<1=4;4=215>4?13498:7?67:?073<61116?>8518;89651283j70=<6;3:f>;4;?0:5n5232495a09>713=l:168?85d29>065=l:1694>5d29>1=d=91k0186m:0:a?83?j3;3o63:8c824>a34?3o7?7a:?6d282o70;7c;3;a>;20j0:4k5259f95=b<5<2o6<6j;<7;`?7?n27>4h4>8e9>1=c=91o0186j:0:e?82d;3n870:md;3;`>;3jm0:4h524cf95=`<5=hn6<7<;<6aa?7>=27?nh4>979>0gc=90=019lj:0;;?82em3;2563;bd82=d=:4?d34>ii7?6d:?7f`<61l168ok51`2891db28k:70:mf;3:7>;3jo0:58524cd95<0<5=hm6<78;<6ab?7>027?nk4>989>0g`=90k019li:0;a?82en3;2o63;bg82=a=:4g734>ij7?n1:?7g5<61<168n>5184891e7283<70:l0;3:<>;3k90:54524b295k27?o=4>9e9>0f6=90o019m?:0c3?82d83;j=63;c082=0=:4?034>h=7?68:?7g4<610168n?518c891e6283i70:l1;3:g>;3k80:5i524b395mo4k3:?6g=n44k3:?6f6<6i>169o=519c890d4282i70;m3;3;g>;2j:0:4i525c195=c<5n84>8`9>1g3=91h018l::0:`?83e=3;3h63:b482<`=:=k?1=5h4=4`5>4g034?i:7?7a:?6f3<60k169o8519a890d1282o70;m6;3;a>;2j?0:4k525e49`6=:5247595=b<5=<<6<6j;<653?7?n27?:54>8e9>03>=91o01987:0:e?822m3n870=97;f0?85093n870=lb;f0?850k3n870=75;f0?85>83n870=k1;f0?85c?3n870=kf;f0?85bk3n870:>2;f0?84f=3n8705a?=l:16=i=519g894b4282m70?k4;3;a>;6l=0:4k521e795=`<58n=6<6i;<137?b4349?o7j<;<166?b4349>47j<;<16a?b4349i:7j<;<1ee?b434>;<7j<;<7;0?b434?2i7j<;<6;a?b434>i87j<;<6`b?b434>nn7j<;<6e=?b434??=7j<;<6;5?b434>j87?n7:?7e1<60h168l:519`891g3282h70:n4;3;`>;3i=0:4h524`695=`<5=k>68c9>0d3=91i019o::0:g?82f=3;3i63;a4824>f34>j:7?7b:?7e3<60j168l8519f891g1282n70:n6;3;b>;3i>0:m:524`595=g<5=k<6<6m;<6b3?7?k27?m:4>8e9>0d1=91o019o8:0:e?83703;3h63:0982<`=:=921=5h4=432>4>b34?:=7?7f:?657<60l169<<519d89=<60h1647?7b:?;>4>d3421=5j4=982<`=:03;3j63j3;3;f>;b;3;3o63j3;3;`>;b;3;3i63j3;3;b>;b<3;3n63j4;3;g>;b<3;3h63j4;3;a>;b<3;3j63j5;3;g>;b=3;3h63j5;3;a>;b=3;3j63j6;3;g>;b>3;3h63j6;3;a>;b>3;3j63jd;3;f>;bl3;3o63jd;3;`>;bl3;3i63jd;3;b>;6990:4n5210295=b<58;;6<6j;<324?7?n27:=<4>929>547=90?01:0;5?87693;2;63>1082===:98;1=474=032>4?f34;:=7?6b:?254<61j16=1;3b4>;6980:m<5210095<5<58;96<7:;<326?7>>27:=?4>969>544=902011382=g=:9881=4m4=031>4?c34;:>7?6e:?257<6i916=<<51`389474283870?>3;3:1>;69:0:5;5210195<1<58;86<77;<327?7>127:=>4>9`9>545=90h011282=`=:9891=l>4=030>4g634;:87?63:?251<61<16=<:518489473283<70?>4;3:<>;69=0:545210695k27:=94>9e9>542=90o0119824>d34;:47?7d:?25=<60l16=<6519d8947>282j70?>9;3;f>;6900:4n5210;95=b<58;26<6j;<32=?7?n27:=l4>8`9>54g=91h011`82<`=:98k1=5h4=03a>4>f34;:n7?7b:?25g<60j16=b;3;b>;6;;0:4n5212095=b<58996<6j;<306?7?n27:?>4>8b9>565=91n01<=<:0:f?874;3;3j63>35821=5k4=017>4>a34;897?7d:?270<60l16=>;519d89451282o70?<6;3;a>;6;?0:4k5rs464>5<5s4??:7?78:?602<6nk1v8:7:181833>3;3563:4982bg=z{<=:6=4<{<77854>889>127=9oh0q~::7;2976}:==k1=564=46a>4?a34??o7?6f:?60a<6i=1699k51`689137282270::1;3;=>;3=;0:5k5244195<`<58o;6<67;<3f5?7?027:i?4>889>5`5=91301e882<==:<;91=564=547>4>?34>=97?79:?723<600168;951`78910?28k>70::7;3ef>;3=j0:455245795=><5?i1=564=0f2>4>?34;o?7?n4:?2`1<6i=16=i;51`7894b128k>70:8d;3;<>;3?l0:455251:95d3<5l81=4h4=d195d2<5l>1=l:4=d795d3<5l<1=l;4=034>4>>34;:47?6f:?25<<61o16=f;3;<>;6:80:445213095=?<58886<7i;<306?7f<27:?>4>a59>560=9h?0q~:?d;29e~;2<5=8n6<67;<61b?7?027??<4>899>00e=913019>k:0da?87583;346s|55c94?4|5<>j663:4b82e7=:==n1=l;4=46f>4g234>?57?ib:?70c<6011688>518689136283?70::2;3b6>;3=:0:m?52c982<<=:k00:44521d095<2<58o86<7;;<3f0?7><27?=h4>899>04c=9130194?334>=:7?64:?722<6i?168;651`489127282370:;0;3;=>;6l:0:m8521e695d3<58n>627?;o4>899>15>=9h<01h<51`089`5=9h?01h:51`789`3=9h<01h851`489470283?70?>8;3b6>;6900:m?5210c95d4<58;i6<27:>?4>959>575=9h801<==:0c6?874;3;j963>3582e3=:9:?1=l84=015>4g13ty?9k4?:23x902e28k?70;;c;3b7>;2n27?9?4>a59>005=9h901n6518689f?=90l01e382e7=:9l91=l<4=0g7>4?a34;h87?79:?2a<<600168?=519;8914c283?70:=e;3:b>;3:o0:595242395<2<5=?m6a39>030=90l01988:0:b?82103;j;63;5c82<==:<4>>34a79>5a3=91k014?a34;:47?n4:?25<<6i=16=f;3;=>;6:90:445212095d1<58986{t:oo1<7;?{<77g?7f<27>8h4>a69>6c1=913019:i:0;7?82293;j>63;5282e1=:=?o1=574=453>4?334i<6<66;4?a34;n87?n2:?13d<60016>:m519:8971a282370;5010:445229c95=><5:;=6<66;<12a?7?1278>:4>889>764=91301>=i:0::?825k3;3563;2g82=c=:<:;1=4h4=4:b>4>>34?3o7?78:?6<`<61=169l6519;890d5283?70;m6;3:b>;3>?0:m?5247:95=g<5<<=6<66;<672?7?127:h94>a69>5a0=91k01?hj:0da?83?:3;3563:9c82<<=::;31=4:4=30a>4?a3489o7?79:?10f<61o168:h519;891g128k970:n7;3b6>;2810:4l5250095<`<5=326<66;4g434o?64g034;;<7?79:?24`<60016=<951`08947f28k?70?>b;3b0>;6:;0:5k5213`95=?<58986889>51>=91301<:6:0::?873m3;2863>5182=1=:94>>34;>47?79:?21<<60016=8j51868943a282270?95;3;=>;6>10:445217a95<2<589g9>521=9130q~;;c;296~;2561y>11b=91k018:j:0:b?84a>3;mn63:6g82=c=:=>:1=4h4=ba95d4<5::n6<7i;<12b?7><278>=4>9g9>77g=9h>01>4>a34?3h7?6f:?6<`<61o168oj51`7891db282n70:mf;3;a>;3k90:4k524b395=`<56<66;<7a5?7>n27>n?4>9g9>1g5=9h8018l::0c1?83e>3;j>63;66824?a34;o?7?7a:?2`1<60h16=i;519`894b1282i70;68;3:0>;2100:595258c95<2<5<3i6<7;;<7:g?7f:279>54>9g9>67?=90l01?63=4c82e7=::=i1=l<4=36g>4>>34>om7?79:?7`g<600169;2980:m?5250095d4<5<8i6<7i;<6:3?7?127?554>889>2?7><27<6<7i;<:95d2<5l91=l94=d695d1<5l?1=5o4=d495=g<5li1=4h4=df95d2<5o?1=4:4=02b>4?334;:<7?n5:?254<60o16=<<519d89474282m70?>4;3;b>;6:10:5k5213d95<2<58996<6n;<307?7?i27:?94>8`9>563=91k01<=9:0:a?874k3;2863>4e82=c=:9=o1=4h4=072>4?334;>97?64:?213<61=16=8951868943b283?70?:f;3:0>;6>90:595217795<2<58n27::i4>959>53c=90>01<8i:0c1?xu2m6<7i;|q60`<72;q699k51g`8902a28k97p}=fc83>7}::o<1=594=3d`>4`e3ty9j54?:3y>6ce=91=01?h7:0da?xu5n>0;6?u22ga9`6=::o=1=kl4}r0e=?6=:r79j54>899>6c?=9oh0q~55z?1bd<60116>ko519;897cd28li70;5n80:m>5rs577>5<4s4>?57?77:?713<6001688;51g`8yv23i3:1>v3;5782<==:<=k1=kl4}r662?6=:r7?9;4>fc9>003=91=0q~:;b;296~;3?o7>52z?70d<6001689m51g`8yv7493:1?v3;4e82<==:<=n1=574=012>4`e3ty?8i4?:3y>01b=9oh019:l:0:;?xu28>0;6>u245g95=><5=>n6<66;<733?7aj2wx89k50;0x912b28li70:;c;3;=>{t<=l1<74`e34>?o7?64:p006=838p19;?:0da?823k3;2j6s|44394?4|5=?:6{t<<91<74`e34>?o7?n4:p123=839p1886:0:4?830?3;3563:7782bg=z{<<26=4>dz?62<<6nk169;k51868900a28k970;80;3b6>;dk3;j?63:8c82<<=:=1i1=574=4:g>4g534?3i7?n2:?6f4<6i;169o<51`0890d428k870;m5;3b7>;2j?0:m>525e395=><5h94>899>14g534;?i7?n2:?223<60116=;l51`08940d28k970?9f;3b7>{t=>>1<74>034?<87?ib:p13g=838p1898:0:;?831i3;mn6s|56594?4|5<=<6{t=?i1<74>>34?=o7?ib:p1g2=839p188k:0:;?831l3;3563:b582bg=z{<:n4>899~w00b2909w0;9e;3ef>;2>j0:445rs4`a>5<4>r7>:k4>a29>126=9h901nl519:89fe=9h>01?9j:0::?840n3;3563:8c82=1=:=1i1=4:4=4:g>4g434?3i7?n3:?6e0<601169l8519:890g0283?70;n8;3:0>;2i00:44525c`95cd<5<27>o84>959>1g7=9h9018l=:0c0?83e;3;j863:b482e1=:=k<1=l:4=4f3>4>>34?o=7?79:?6`7<600169i=519;890b3282270<80;3;<>;2?l0:455259295=><5;8h6<7;;<07f?7f<2798n4>a59>61b=90>018?>:0c7?836:3;j863;9782=1=:<0=1=4:4=5;;>4?334>257?64:?20a<6i:16=9k51`18942a283m70?:0;3:b>;6=80:5k5214795<`<58?=6<7i;<363?7>n27:954>959>531=91201<9<:0:;?870<3;346s|5`a94?3>s4?=j7?n4:?635<6i=16on4>a49>62c=90>01?9i:0;7?83?j3;2j63:8b82=c=:=1n1=l:4=4:f>4g334?j:7?79:?6e2<61o169l6518d890g>283?70;l1;3;<>;2k;0:45525b695<`<56<7i;<7bg?7aj27>n<4>a59>1g4=9h>018l<:0c6?83e=3;j963:b782e0=:=m:1=4:4=4f2>4?334?o>7?64:?6`6<61=169i:518689717282270;8e;3;=>;2?o0:455259295=?<5<2:6<7;;<7;6?7><27>554>9g9>1j3;2j63:9b82e1=::;21=l<4=30:>4g53489m7?n3:?16g<6i:16>?m518d8972e28k>70<;c;3b1>;5<27>=<4>a49>144=9h?01979:0;e?82>?3;2j63;9982=c=:<031=4h4=066>4>?34;?:7?78:?202<61=16=9651868942>283?70?;d;3b0>;6a39>500=9h801<;8:0c1?87203;2j63>5882=1=:94?a34;>i7?6f:?21c<61o16=;>518d89403282270?95;3:b>;6>?0:445217:95<2<589g9>53c=90l01<8i:0c7?870<3;3563>7482<==:9><1=4:4=054>4?33ty>ol4?:3dx900a28k>70;80;3b1>;dj3;3563lc;3b2>;5?l0:5k5226d95<`<5<2i64i4>a49>1=c=9h?018o::0::?83f>3;2863:c182<<=:=j;1=574=4a1>4>>34?i=7?n5:?6f7<6i<169o=51`4890d228k=70;m6;3b2>;2kh0:jo525e295<`<5n27>h>4>9g9>1a2=90l0189i:0::?83?83;2863=2982e6=::;31=l=4=30b>4g33489n7?n4:?654<6i?169<<51`4891?128k970:67;3b6>;3110:m?5248;95d4<58>>6<66;<372?7?127:8:4>9g9>51>=90l01<:6:0;e?87213;2j63>6682<<=:9>91=574=056>4>>3ty>:k4?:3y>13`=9oh0188l:0;7?xu2?90;6?u256295cd<5<4>?349=97?78:?02a<60116?;k519:89611282370=89;3;<>;40;0:455239195=><5:2h6<67;<1;`?7?02784h4>899>14`=9130q~=99;29b~;2?;0:595256195<2<5:fc9>73b=91301>8j:0::?850?3;3463<7882<<=:;181=574=2:0>4>>3493o7?79:?0c}:=>81=4h4=450>4?a349=87?64:?020<61=16?:<51g`89611282270=87;3;=>;4?00:595236c95=><5:296<7;;<1;7?7><2784n4>959>7=b=90>01>6j:0;7?836n3;2j6s|36f94?d|5<=969g9>733=90l01>9n:0::?850l3;mn63<8082<==:;1i1=4h4=2:g>4?a3493i7?6f:?65c<6i;1v>68:18:830:3;j?63:7282e6=:;?>1=l<4=246>4g5349b28k970;>f;3b7>{t=>81<74`e34?<87?78:p5d?=83>p189<:0c7?82683;3463;1182<<=:9h31=kl4}r635?6=:r7>;>4>a49>057=9oh0q~:?7;296~;2?:0:m;5241595cd52z?636<6nk169::519;8yv4bi3:1>v3=e582<2=::lh1=kl4}r0f0?6=;:q6>h:51g`897c?282370<8e;3b6>;5?o0:m?5259`95d5<5<2h627>4h4>a79>1d1=9h8018o7:0c1?83f13;2j63:c082=1=:=j>1=l<4=4a6>4g534?o=7?n2:?6<4<61o1695<518d890??28k970;69;3b6>;21h0:m?5258`95d4<5;8h69g9>0ag=90l019jm:0;e?83693;j;63:1382e2=:9=l1=l=4=073>4g434;>=7?n3:?210<6i:16=8851`18943028k870?:8;3b6>;6=00:m?5214a95d4<58?o6a39>536=9h801<8;:0;7?871=3;j>63>6782=1=:9?=1=4:4=04;>4?a34;=h7?n2:?22`<6i;16=:8518d89410283m70?8a;3;<>{t:l?1<74>0348n97?ib:p6`0=838p1?k::0:;?84b>3;mn6s|2d594?4|5;o>6<66;<0f3?7aj2wx>;j50;6x97c?282270<9d;3ef>;29k0:455250`95=?52z?1a=<6nk16>h9519:8yv4f83:1iv3=e882<==::>h1=574=35`>4>>348357?79:?1l>51g`897e1282370;5ih0:45522`c95=?<5;h:6<67;<0a899>6ag=9120q~4?3348:h51`1897>>283?70<7a;3:0>;20k0:m95259a95d2<5<2o6m44>a39>1f2=9h9018m::0c0?83c:3;j>63=c282bg=::jn1=574=3fb>4>>3489o7?n3:?10a<6i:168i751`0891bf28k970:kb;3b6>;2980:4l5250095=g<58>>6<7;;<373?7f:27:954>a29>50?=9h901<8;:0;e?871>3;2j63>6682=c=:9?21=l<4=05b>4>>3ty9ol4?:33x97c>283?70<8b;3:b>;5?j0:5k5226g95d2<5;=m6n2794l4>9g9>1=d=9h?0186l:0c6?83?l3;3m63:8d824?334?h87?n4:?6g0<6i=169i=51`0897ef28li70;5:j0:m95225f95d2<5=n26a29>147=91h018?=:0:a?87303;j>63>5982e1=:9<31=l:4=047>4g534;=:7?n2:?222<6i;16=;651`18941f283?7p}=a683>f}::l31=4h4=35a>4g53485o51`0897e1282270;5i>0:jo522c395=?<5;h36<66;<0ab?7?1279hl4>9g9~w7gb290iw0;5?k0:m>5226a95d5<5;226959>6fb=90l01?oj:0da?84e03;2863=bg82=1=::mk1=l<4}r0a1?6=ir79i44>a29>62d=9h>01?9l:0c7?84?13;j863=8`82e1=::j<1=4h4=3ag>4g5348i97?ib:?1fc<61o16>io51`18yv4ek3:15v3=e882e1=::>h1=l;4=35`>4g2348357?n5:?1n851`0897ec28k870;5lh0:m95rs3f4>5<58r79i44>a49>62d=9h<01?9l:0c5?840m3;j963=7g82e0=::131=l84=3:b>4g134?3n7?n6:?6b282i70;n9;3b0>;2k=0:m8525b795d3<5n4>a49>61b=9h?019j6:0c7?82ci3;j863;dc82e1=:=8;1=5m4=431>4>d34;?:7?64:?20<<6i;16=8651`78943>28k>70?94;3b7>;6>?0:m>5217595d5<58<36n2wx>h750;0x97c>28li70{tkl0;6>u2c382<2=:l90:4452cg82bg=z{j81<7?;{4`e34i<6<7;;4?a34i26889>717=91301>:=:0::?853;3;3563;3082e7=::m:1=564=5c7>4g434>j:7?n3:?f=?7?127m>7?79:?e=?7?027mn7?79:?e`?7?127:<<4>a39>554=9h80q~mk:1818ef282<70mk:0da?xudi3:1?v3la;3ef>;2j90:45525c295=?4>?34i86g6<60116o94>fc9~wf3=838p1n=519;89f3=9oh0q~:<0;297~;d>3;3463l6;3;=>;3;90:jo5rsb494?4|5j<1=kl4=b795=>4`e34i>6<66;|q2f0<72oq6o54>a39>g<<6i:16=o;51g`894de282370?mc;3;<>;6jm0:45521cg95=><58hm6<67;<61`?7>n27?>h4>a39>07`=9h8019=>:0c0?82?k3;3463;e682<==:5<49r7h47?n3:?`=?7f<27:i=4>959>5`7=90>01e582e1=:9j21=564=0a:>4>?34;nh7?78:?2a`<60116?=m518d8966c283m70=?e;3b6>;4:k0:m:5240d95=><5=;m6<66;<61`?7f:27?>h4>a29>07`=9h9019=>:0c7?82el3;j;63;6582=1=:<=;1=564=562>4>>34;o=7?79:?2`6<60j16=i:519a894b2282o70?k6;3;`>;1n3;mn63;7c82<<=:<>i1=4:4=55g>4?334>;68h0:5k5211`95<`<58;;6a79>54?=9h<0135825<5s4i364?33tyh57>52z?`=?7aj27h97?6f:pgg<72;q6oo4>fc9>ga<6011vnm50;0x9fe=9oh01nj519;8yv7d83:1?v3>b482<2=:9j81=574=0a2>4`e3ty:n;4?:3y>5f4=91201b983>7}:9k<1=574=0`;>4`e3ty?i;4?:2y>5g?=912013;mn6s|1c;94?4|58h26;30k0:jo5rs0`b>5<5s4;im7?ib:?2f=<6001v>m>:182=~;6jk0:5k521ca95<`<58ho6<7i;<3aa?7>n27:nk4>9g9>7f7=9oh01>m::0:;?87fm3;3463>ag82<==:;kh1=574=2``>4>>349ih7?79:?0f`<60016:84>899>23<6011685o519:891>d283m70:ld;3;<>;3m<0:45524d595<`<5=o36<7i;<6e2?7?027?j:4>899>16c=912018=i:0:;?xu41;0;6:u21c`95d4<58hh6fc9>5g6=91301:0::?85ej3;286s|1c`94?4|58hi6<2wx=om50;0x94dd28li70?m8;3:b>{t;==1<79t=0`g>4g5349h;7?79:?0a0<60016=o<519;896dd283?70=;7;3ef>;3m>0:m?5rs26g>5<0s4;ih7?n3:?0g2<61=16?h;5186894d5283?70=mc;3:b>;452z?2fa<6nk16=o651`08yv52;3:1;v3>bd82e7=:;j21=574=2g5>4>>349n;7?79:?0fa<61=16?8=51g`891c?28k97p}<5883>2}:9ko1=l=4=2a;>4?3349n:7?64:?0a2<61=16?oj518d8963>28li70:j8;3b7>{t9ko1<74`e34;i47?n3:p7c3=83=p14?3349m97?ib:?7hm:18487en3;j?634?3349ii7?6f:?0bg<6nk1685m51`18yv7en3:1>v3>bg82bg=:9k21=l:4}r3f1?6=;r7:hl4>869>5`1=913014`e34;n<7?6f:?2a4<61o16=h<51`7894c428k>70?j4;3b1>;6l:0:4i521e695=b<58n>6<6j;<3g2?7?m27?i94>899>0`2=913019h9:0::?834m3;3563>1682e0=:9821=l94=03:>4g034;:m7?n7:?25g<6i>1vdc82bg=z{8o<6=4={<3f3?7aj27:i;4>869~w4bd2909w0?kb;3;<>;6lj0:jo5rs0fg>5<5s4;on7?79:?2`a<6nk1vdd82<<=:98<1=kl4}r3ga?6=:r7:hh4>fc9>5ab=9120q~?k2;297~;6lo0:45521ed95=?<58n963}:9l:1=l<4=0g2>4g534>:m7?ib:?761<61=16=?m519;8944c28227p}>e183>7}:9l:1=kl4=0fg>4?33ty:i<4?:3y>5`7=9oh01e583>7}:9l>1=kl4=0fg>4g33ty94<4?:2y>620=91=01?6<:0::?84?:3;mn6s|26494?75s48<:7?ib:?13d<61=16>:l51`58971d28k<70<79;3b3>;50h0:m:5232195<7<5:9?6<7>;<101?7>9278?;4>909>0gc=90:019li:0;3?82d83;2=63;c082=4=:98;1=4?4=031>4?634;:?7?61:?251<6181v?6?:181840l3;3;63=8182bg=z{;=o6=4<{<04`?7aj27>oh4>899>1fc=9130q~<87;296~;50:0:455226595cd52z?1<6<6nk16>5<51958yv4003:1>v3=7682<==::>21=kl4}r04=?6=:r79;:4>889>62?=9oh0q~<8a;296~;5?h0:jo5226;95=>52z?13g<6nk16>:7519;8yv40k3:1>v3=7b82bg=::>31=4:4}r04a?6=:r79;h4>fc9>6=6=9120q~<8f;296~;5?o0:jo5229295=?7>53z?1af<60>16>k;519;897`428li7p}=ee83>7}::o?1=564=3gg>4`e3ty9j84?:3y>6c3=9oh01?h<:0:4?xu5n=0;6?u22g695cd<5;l86?8l;|q1a`<72;q6>hj519:897cb28li7p}=eg83>7}::ln1=574=3ge>4`e3ty9j=4?:3y>6c6=9oh01?ki:0:;?xu5n80;6?u22g395cd<5;om6<66;|q2gd<72;q6=n=5195894ee28li7p}>c283>6}:9j91=kl4=5d6>4>?34>m97?79:p5f3=838p14`e3ty:o;4?:3y>5f3=912010;6?u21b795=?<58i<67}:9j31=574=530>4`e3ty:o44?:3y>5f?=9oh01{t9lk1<74>034;nm7?ib:p5`?=838p128li7p}>ec83>7}:9lk1=564=0ga>4`e3ty:in4?:3y>5`g=91301ed83>7}:9lo1=kl4=0g`>4>>3ty94o4?:2y>6=2=91=01?6k:0::?84?k3;mn6s|29694?71s48387?ib:?1<=<61=16>57519c897>f282j70=?9;3;<>;5l80:45522ea95=?<5;no6<66;<0ga?7?1279hk4>889>0d3=9h9019o8:0c0?8c>283?70kn:0::?8ce282270h>:0::?8`5283?70h<:0::?8`e283?70hk:0;7?877;3;j>63>0582e7=z{;2>6=4={<0;`?7?0279484>fc9~w7>c2909w0<7d;3ef>;50j0:4:5rs3:5>5<5s48397?78:?1<3<6nk1v?68:18184?=3;3563=8682bg=z{;236=4={<0;899~w7>>2909w0<79;3ef>;50>0:445rs3:b>5<5s483m7?ib:?1<2<61=1v>??:181857<3;3;63<1082bg=z{::?6=4>0z?041<6nk16?=7519;8966f28k970;5l;0:m?522ea95<2<5;no6<7;;<0ga?7><279hk4>959>0d5=9h8019o::0c7?82f?3;j863i9;3;=>;ai3;3463>0282e6=:99>1=l=4}r13b?6=:r78869>75`=9oh0q~=?b;297~;48k0:jo5246;95=><5==26<66;|q040<72;q6?7}:;9?1=564=225>4`e3ty8<:4?:3y>753=91301>>8:0da?xu68m0;6>u231:95=><5::36<66;<33`?7aj2wx?=650;0x966?28li70=?7;3;<>{t;931<74`e349;;7?79:p75g=838p1>>n:0da?857?3;286s|31a94?4|5::h6{t;9o1<74`e349;j7?64:p74>=838p1>?=:0:4?85613;mn6s|30094?77s49:>7?ib:?052<60016?9>518689626283?70=;2;3:0>;4<:0:59522e295=?<5=k?6<27m=7?64:?e7?7><27mm7?79:?244<6i:16==<51`18yv56;3:1>v3<1882<2=:;891=kl4}r120?6=:r78=>4>899>742=9oh0q~=>5;296~;49:0:445230795cd52z?053<6nk16?<;519:8yv56?3:1>v3<1682bg=:;8?1=574}r115?6=:r78=l4>869>774=9oh0q~=>a;293~;49h0:jo5230d95<`<5:8;60:m?52eb82e7=:n<0:5k5rs23a>5<5s499>7?77:?05g<6nk1v>?l:181856j3;3463<1b82bg=z{:;o6=4={<12f?7?1278=i4>fc9~w67b2909w0=>e;3ef>;49m0:455rs4;1>5<0s49:j7?n2:?065<6i:1694<51g`893<6i;16;7?n3:?fg?7f;27m97?n2:p74`=838p1>?i:0da?856l3;356s|33294?4|5:8;6<2wx??m50;0x9644282<70==d;3ef>{t;;91<7ht=200>4`e349947?79:?06<<61o16??o51`48964e282j70:md;3;e>;3282270;518d89=<6i?16ii4>a79>b1<61o16jn4>889>557=9h>01<><:0c7?87683;3m6s|33694?4|5:8o6<68;<110?7aj2wx??;50;0x9643282370==5;3ef>{t;;<1<74>>3499:7?ib:p771=838p1><8:0da?855>3;346s|43:94?74s49947?64:?06<<6i;16??o51`58964e282i70:=8;3ef>;3:j0:595243f95d5<5=8n68c9>0?7><27>64g334;;87?n4:?255<60k1v><7:18185503;mn63<2782<<=z{:826=4={<11=?7aj278>;4>959~w64f2909w0==a;3ef>;4:?0:5k5rs20a>5<5s499n7?ib:?063<6i;1v>=8:181855m3;3;63<3982bg=z{:8n6=4k{<11a?7aj278?>4>939>762=90801>=::0;1?854>3;2>63;bd82=4=:4?534>h=7?62:?254<61;16=<<518089474283970?>4;3:6>{t;;l1<74>03499j7?ib:p766=838p1><50;0x965528li70=<1;3;<>{t;:91<74`e3498=7?79:p762=838p1>=;:0da?85493;286s|32794?4|5:9>6n2wx?>850;0x965128li70=<1;3b6>{t;=>1<7=t=21:>4>0349?:7?79:?000<6nk1v>=6:1824~;4;00:jo5232d95<2<5:>;6<7i;<175?7>n2788?4>9g9>715=90l01?jl:0;e?84cl3;2j63=dd82=c=::ml1=4h4=47;>4>>34>j?7?n3:?7e1<6i<168l;51`7891g128k>70:n7;3b1>{t;:k1<74>?3498m7?ib:p710=838p1>:9:0da?853=3;3;6s|32`94?4|5:9j6<67;<10f?7aj2wx?>m50;0x965f282270={t4>?3498h7?79:?7e7<6nk1v>=k:181854l3;mn63<3b82<==z{;ni6=4<{<10a?7?0278?h4>889>6ad=9oh0q~=52z?07c<6nk16?>m51868yvg=83op1>:?:0c1?85393;j>63<4382e7=:;=91=l<4=3f`>4g5348oh7?n2:?1``<6i;16>ih51`0891g428k?70:n4;3b2>;3i<0:m;524`495d0<5=k<652z?005<6nk16?>m518d8yv5393:1>v3<4082bg=:;:i1=l<4}r176?6=:r788?4>fc9>76e=9h90q~=;3;296~;4<:0:jo5232a95d2:47>52z?756<60>168<751g`8yv26=3:1>v3;1882<2=:<8?1=kl4}r620?6=:r7?=44k3:?751<6nk1v9?9:181826=3;3463;1782bg=z{=;<6=4={<621?7?127?=:4>fc9~w15d290>w0:>4;3;<>;39=0:445242495=><5=9=6<66;<60g?7aj2wx8?;50;1x917f282<70:=7;3;=>;3:?0:jo5rs53a>5<5s4>9;7?78:?75g<6nk1v9<8:181825?3;mn63;2782<2=z{=;h6=4={<62f?7?027?=n4>fc9~w17c2909w0:>b;3;=>;39m0:jo5rs53f>5<5s4>:i7?ib:?75a<6011v9?i:181826n3;mn63;1e82<<=z{88j6=4<{<614?7?027?>=4>889>57g=9oh0q~:=0;296~;3:90:jo5240f95<253z?764<601168??519;896?128li7p};2083>7}:<;;1=kl4=53g>4?a3ty?>?4?:3y>074=9oh019?k:0c1?xu3::0;6?u243195cd<5=;o66}:<;21=594=517>4>>34>8?7?ib:p067=838p19=?:0:4?82493;mn6s|43;94?4|5=9?6<67;<61=?7aj2wx8>:50;0x915328li70:<3;3;3>{t<;k1<74>?34>9m7?ib:p07d=838p19<6:0::?825j3;mn6s|43a94?4|5=8h6{t<;o1<74`e34>9n7?64:p07`=838p196<68;<60f?7aj2wx8>950;0x915e282<70:<7;3ef>{t<:<1<7a5<5=9=69519:8915?28li7p};3883>7}:<:=1=574=51:>4`e3ty>4k4?:2y>1=3=91=0187>:0::?83>83;mn6s|59794?e|5<2>6<27>4o4>a69>1=e=9h=0186k:0:`?83?m3;3o63:1b82e7=:=8n1=l=4=425>4?a34?;j7?6f:?654<60m169<<519f8yv3?>3:1>v3:9082<==:=1<1=kl4}r7:5?6=:r7>5<4>fc9>1<6=91=0q~;77;296~;20?0:455259595cd52z?6<3<6001695651g`8yv3683:1?v3:8882<==:=131=574=433>4`e3ty>444?:3y>1=?=9oh01867:0:;?xu20h0;6?u259c95cd<5<236<66;|q6?283?7p}:8b83>7}:=1i1=kl4=4:;>4?a3ty>4i4?:3y>1=b=9oh01867:0c1?xu20l0;6?u259g95cd<5<236{t1<7?:{<6`0?7aj27?ni4>8b9>0gc=908019li:0;1?82d83;2?63;c082=6=:m00:5k52e`82=c=:mk0:5k52eb82e1=:mm0:4l52f082=c=:n;0:5k52f282=c=:n=0:m>52f482e6=:n00:5952f`82=1=:nk0:5k52fb82=c=:nm0:5k5rs5`;>5<5s4>h?7?77:?7f=<6nk1v9l6:18182e03;3463;b882bg=z{=hj6=4={<6afc9~w`>=839p19lm:0:;?82ej3;3563j8;3ef>{t4`e34>im7?78:pac<72:q68om519:891dd282270ki:0da?xu3jj0;6?u24ca95cd<5=hj6<66;|q7fa<72;q68oj51g`891df283?7p};bd83>7}:4?a3ty?nk4?:3y>0g`=9oh019ln:0c1?xu3k90;6?u24b295cd<5=hj67}:=0l1=594=4ca>4`e3ty>5k4?:05x90?a28li70:m2;3;<>;3j;0:445223295=?<5;8:6<67;<007?7?1279?94>899>66g=91301?=m:0:;?84393;3563=4382<==::=21=574=36:>4>?348>87?79:?110<60116>8l519;8973d282370<92;3;=>;5>:0:445227;95=?<5;899~w0g72909w0;nb;3;3>;2i90:jo5rs4c2>5<5s4?j<7?78:?6e4<6nk1v8o=:18183f83;3563:a382bg=z{8>i6=4<{<7b7?7?027>m>4>889>51d=9oh0q~;n3;296~;2i:0:jo525`095=>?7>53z?6e1<601169l:519;8943428li7p}:a583>7}:=h>1=kl4=4c1>4>>3ty>m84?:3y>1d3=9oh018o=:0;7?xu2i?0;6?u25`495cd<54g534?3>7?n2:?6==<6i:1694751`1890?f28k870;6b;3b7>;6<<0:5k5215495<`<58>m6a59>503=9h>01<;9:0c7?872?3;j863>5b82e6=:94g434;>j7?n3:?225<6i:16=;;51`18940c28k870?9e;3b7>;6??0:m?5216595d452z?6e2<6nk169l<51`08yv3f03:1>v3:a982bg=:=h81=l=4}r7b=?6=:r7>m44>fc9>1d4=9h>0q~;l7;297~;2jk0:4:525b;95=?<56}:=j91=kl4=06`>4>?34;?o7?79:p1ge=838p18m6:0:;?83ek3;mn6s|5b;94?4|5{t=ko1<74>>34?ii7?ib:p515=839p18li:0:;?83en3;3563>4282bg=z{nh4>899~w0e72909w0;l0;3ef>;2jl0:445rs4a2>5<5s4?h=7?ib:?6f`<61=1v8m=:18183d:3;mn63:bd82=c=z{o;4>899~w0e22909w0;l5;3ef>;2k?0:445rs4`;>5<4s4?jo7?77:?6fd<600169o751g`8yv3e?3:1>v3:b582<2=:=k=1=kl4}r7b`?6=:r7>nl4>899>1db=9oh0q~;ma;296~;2jh0:jo525c;95=152z?6ea<601169lk51g`8yv3fn3:1>v3:ae82<<=:=hl1=kl4}r7a4?6=:r7>n=4>fc9>1d`=9120q~;m1;296~;2j80:jo525`d95=?7>52z?6f7<6nk169lh51868yv3e;3:1>v3:b282bg=:=hl1=4h4}r7a1?6=:r7>n84>fc9>1g1=9120q~;m6;296~;2j?0:jo525c595=?53z?6gd<60>169i9519;890b128li7p}:cc83>7}:=m=1=564=4aa>4`e3ty>h:4?:3y>1a1=9oh018j9:0:4?xu2kj0;6?u25b`95=><57}:=jo1=kl4=4ag>4>?3ty?584?:2y>1f`=912018mi:0::?82>=3;mn6s|5bd94?4|550;0x90b728li70;ld;3:0>{t=m;1<74`e34?hh7?6f:p1a4=838p18j=:0da?83dl3;j>6s|5e194?4|5{t4>034>=n7?79:?72d<6nk1v98?:181821j3;3463;6182bg=z{=869~w1062909w0:90;3;<>;3>80:jo5rs541>5<5s4>=<7?79:?727<6nk1v98<:181821;3;mn63;6382<==z{=889~w1022909w0:95;3ef>;3>;0:595rs545>5<5s4>=:7?ib:?727<61o1v988:181821?3;mn63;6382e7=z{=<36=4={<65a29~w13c2909w0::7;3;3>;3=l0:jo5rs57;>5<5s4>>i7?77:?71=<6nk1v9;6:18182203;3463;5882bg=z{=?j6=4={<66fc9~w13e2909w0::b;3ef>;3=h0:455rs57`>5<5s4>>o7?ib:?71d<6001v>89:180852n3;3;63<6982<<=:;?=1=kl4}r154?6=:r78:54>899>736=9oh0q~=98;296~;4>10:jo5237595=152z?025<60116?;?51g`8yv51:3:1>v3<6182<<=:;?81=kl4}r1:b?6=jr78:>4>889>732=9h901>8i:0:;?85003;3463<8082<<=:;181=4h4=2:a>4>>3493o7?n2:?0=c<6nk16?l6519:896g?28227p}<}:;?91=4:4=246>4g4349=j7?79:?03=<60016?5?5186896>4283m70=7b;3:0>;40m0:m?523`795cd52z?026<6nk16?;<519:8yv51<3:1>v3<6582bg=:;?81=574}r151?6=:r78:84>fc9>734=90>0q~=80;296~;4>00:4:5236395cd52z?034<60>16?;o51g`8yv51j3:1>v3<6`82<==:;?h1=kl4}r15g?6=:r78:l4>889>73e=9oh0q~=9d;296~;4>m0:jo5237a95=>52z?02`<6nk16?;m519;8yv51n3:1>v3<6g82bg=:;?i1=4:4}r1`e?6=:r78o<4>869>7fd=9oh0q~=l2;296~;4kk0:4:523b095cd52z?0g7<60116?n=51g`8yv5d<3:1>v31=kl4}r1`g?6=90q6?n;519;896e1283?70=l7;3:b>;4k10:5k523b;95<`<5:ih6n278i;4>9g9>7`1=90l01>k7:0;e?85b13;2j63>ad82<<=:9hl1=574=0`3>4?334;i=7?64:?2f7<61o16:84>889>23<6001685o519;891ec282270:j5;3;=>;3n?0:59524g595=?<5<9n6<7;;<70b?7?12wx?n;50;0x96e228li70=l4;3;<>{t;j<1<74`e349h87?79:p7f1=838p1>m8:0da?85d<3;286s|3b:94?4|5:i36n2wx?n750;0x96e>28li70=l4;3b6>{t;>h1<74>03499l:0:4?850;3;mn6s|36694?4|5:=86<67;<140?7aj2wx?:;50;0x9614282270=85;3ef>{t;><1<74`e349<97?78:p721=838p1>98:0da?850=3;356s|36:94?4|5:=36<2wx?:750;0x961>28li70=85;3:b>{t;>k1<74`e349<97?n2:p7=2=839p1>9k:0:4?85?>3;3563<8482bg=z{:=n6=4={<1;2?7?0278;h4>fc9~w6>12909w0=76;3ef>;40<0:4:5rs25e>5<5s496?:181850m3;3563<8182bg=z{:2:6=4={<1;5?7aj2784=4>899~w6>52909w0=72;3ef>;4090:445rs2:0>5<5s493?7?ib:?0<5<61=1v>6i:18085??3;3;63<9082<<=:;0:1=kl4}r1;899>7=>=9oh0q~=61;296~;4180:jo5238295=152z?0<=<60116?5751g`8yv5?i3:1>v3<8982<<=:;1k1=kl4}r1;f?6=:r784o4>fc9>7=g=9120q~=7c;296~;40j0:jo5239c95=?52z?0v3<8d82bg=:;1k1=4h4}r1g4?6=:r78on4>869>7a7=9oh0q~=ld;296~;4l80:4:523bf95cd52z?0ga<60116?nk51g`8yv5dn3:1>v3;4m<0:m?523d495d4<5:o<6a39>5dc=90>01b082=c=:9k81=l<4=7795<2<5?<1=4:4=5:b>4?334>n97?64:?7b3<61o168k951868905b283m70;{t;m<1<74>0349o;7?ib:p7a5=838p1>j8:0:4?85c;3;mn6s|3e694?4|5:n86<67;<1g0?7aj2wx?i;50;0x96b4282270=k5;3ef>{t;m21<7?<{<1g1?7?0278h84>889>7a>=9oh01>k::0c0?85b>3;j?634g434;ji7?6f:?2ec<61o16=o>51`0894d628k970?m2;3b7>;1=3;2j6396;3:b>;30h0:5k524d795<`<5=l<6<7i;<70b?7>n2wx?ik50;0x96b?282<70=kf;3ef>{t;mn1<74>0349oh7?ib:p7ae=839p1>jl:0da?85b;3;3463fc9~w6bf2909w0=k9;3;<>;4lh0:jo5rs2fa>5<5s49o57?79:?0`g<6nk1v>k?:1827~;4lk0:45523e`95=?<5:o;6a59>7`1=9h>01>k7:0c7?85b13;j863>ad82e7=:9hl1=l<4=0`3>4g434;i=7?n3:?2f7<6i=16:84>a39>23<6i;1685o51`0891c228k970:i7;3b6>;2;o0:m?5rs2ca>5<>s49oh7?79:?0a3<6i<16?h651`7896ge28li70=i2;3;=>;6il0:m>521c295d2<58h964g43ty8ii4?:8y>7ab=90>01>k::0c6?85b?3;j9634>?34;jj7?n3:?2f4<6i=16:;4>a29~w6ce2909w0=j0;3;3>;4mj0:jo5rs2gb>5<5s49n87?77:?0ad<6nk1v>k;:18085b<3;mn63>ae82<==:9hn1=574}r1f5?6=:r78in4>869>7`7=9oh0q~=j2;296~;4m80:45523d095cd52z?0a4<60016?h=51g`8yv5b=3:1>v3fc9>7`g=9130q~=j7;296~;4m>0:jo523dc95<252z?0a=<6nk16?ho518d8yv5b13:1>v3869>044=9oh0q~:?e;296~;39;0:4:5241g95cd;j7>52z?74`<601168=h51g`8yv2683:1>v3;0d82<<=:<8:1=kl4}r0b0?6=;r79m=4>869>6d0=91301?o::0da?xu5i80;6?u22`495=><5;k:6l851g`897g2282<7p}=a383>7}::h;1=564=3c1>4`e3ty9m>4?:3y>6d7=91301?o<:0da?xu5k>0;6>u22b195=1<5;i26<66;<0`n:50;0x97e>282370{t:j31<74`e348h47?77:p6f3=838p1?m;:0:;?84d=3;mn6s|2b494?4|5;i?6<66;<0`2?7aj2wx>i:50;1x97ef282<70;5l<0:jo5rs3f0>5<5s48hi7?77:?1`6<6nk1v?mj:18084dm3;mn63;a082<==:899>6fd=9oh0q~52z?1gg<60116>nm51g`8yv4dl3:1>v3=cc82<<=::jn1=kl4}r0`b?6=:r79ok4>fc9>6a5=9120q~52z?1`4<6nk16>i=51868yv4c:3:1>v3=d382bg=::m91=4h4}r1:`?6=:r785?4>869>752z?0=`<60>16?4=51g`8yv5><3:1>v3<9282<==:;0>1=kl4}r1:1?6=:r785>4>889>7<3=9oh0q~;>e;297~;41<0:455238795=?<5<;n67}:;021=kl4=2;`>4>>3ty8544?:3y>77l:0;7?xu41h0;6?u238c95cd<5:3h6<7i;|q0=g<72;q6?4l51g`896?d28k97p}7}:;hh1=594=2`3>4`e3ty8mn4?:3y>7g6=91=01>ol:0da?xu4im0;6?u23`a95=><5:ko6cb83>6}:;ho1=564=2cf>4>>34;ho7?ib:p7c5=838p1>kk:0:4?85a<3;mn6s|3dg94?4|5:l?6<68;<1fa?7aj2wx?hh50;0x96cb282370=jf;3ef>{t;o:1<74>>349m<7?ib:p7g7=839p1>h>:0::?85a:3;2863899~w6`52909w0=i2;3ef>;4n90:445rs444>5<5s4?=?7?77:?62=<6nk1v88;:18183103;3;63:6582bg=z{<<>6=4={<750?7?027>:84>fc9~w0012909w0;94;3;=>;2>?0:jo5rs0`0>5<5s4;j57?77:?2f1<6nk1va`82bg=z{8ki6=4={<3be?7?027:mo4>fc9~w4gd2909w0?na;3;=>;6ij0:jo5rs0cg>5<5s4;jh7?ib:?2ef<6011vab82<<=z{8km6=4={<3bb?7aj27:mn4>959~w4d72909w0?m0;3ef>;6ij0:5k5rs0`2>5<5s4;i=7?ib:?2ef<6i;1vab82e6=z{;ki6=4<{<0b3?7??279mi4>889>6de=9oh0q~52z?1ea<6nk16>lm51958yv4f13:1>v3=a982<==::h31=kl4}r0be?6=:r79m54>889>6dg=9oh0q~o:519:897ga28li7p}=b583>7}::k>1=kl4=3`0>4>03ty9n=4?:3y>6d`=91201?l?:0da?xu5j80;6?u22`d95=?<5;h:6o;5195897de282270{t:k<1<74>?348i:7?ib:p6gd=838p1?lm:0da?84ei3;3;6s|2c594?4|5;h=6<67;<0a3?7aj2wx>o650;0x97d1282270{t:j:1<7=t=3``>4>0348h>7?79:?1g4<6nk1v?lk:18184d:3;3463=be82bg=z{;i96=4={<0`6?7aj279o<4>869~w7db2909w0;5jl0:jo5rs3`e>5<5s48ih7?79:?1fc<6nk1v?k>:18084c?3;3;63=e282<<=::l81=kl4}r0f4?6=:r79ho4>869>6`6=9oh0q~52z?1a6<6nk16>h<51958yv4c13:1>v3=d982<==::m31=kl4}r0ge?6=:r79h54>889>6ag=9oh0q~52z?1`a<6nk16>h>519;8yv4cm3:1>v3=dd82bg=::l:1=4:4}r0gb?6=:r79hk4>fc9>6`6=90l0q~=n3;296~;41o0:4:523`695cd52z?0e1<60>16?l>51g`8yv5f93:1>v3889>7d4=9oh0q~<81;296~;5>m0:4:5226095cd52z?137<60>16>;k51g`8yv41n3:1>v3=6d82<==::?l1=kl4}r044?6=:r79:h4>889>626=9oh0q~=n9;296~;4i<0:4:523`c95cd52z?0ed<60>16?l851g`8yv5f?3:1>v3889>7d>=9oh0q~=mf;296~;4j>0:4:523b295cd54z?0f2<6nk16?o:519:896d3282270:j5;3b7>{t;k21<74>0349i47?ib:p7g?=838p1>l7:0:;?85e13;mn6s|3cc94?4|5:h36<66;<1ae?7aj2wx?ol50;0x96de28li70=ma;3;<>{t;ki1<74`e349im7?79:p7gb=838p1>lk:0da?85ei3;286s|3cg94?4|5:hn6n2wx8=;50;0x9166282<70:?6;3ef>{t<981<74>034>;>7?ib:p055=838p19>=:0:;?827;3;mn6s|41694?4|5=:96<66;<630?7aj2wx8=l50;0x9160282<70:?c;3ef>{t<921<74>034>;47?ib:p05?=838p19>7:0:;?82713;mn6s|41c94?4|5=:36<66;<63e?7aj2wx89950;0x915d282<70:;8;3ef>{t<:n1<74>034>8h7?ib:p06c=838p19=k:0:;?824m3;mn6s|42d94?4|5=9o6<66;<60b?7aj2wx89>50;0x912728li70:{t<=;1<74`e34>8j7?79:p573=839p19:=:0:;?823:3;3563>2482bg=z{=>96=4={<676?7aj27??k4>959~w45?2908w0:;3;3;<>;3<:0:445212:95cd??7>52z?706<6nk168>h518d8yv23<3:1>v3;4582bg=:<:l1=l<4}r671?6=:r7?884>fc9>06`=9h90q~:;6;296~;34>034<36=91=01;<51g`8yv042909w08=:0:;?80428li7p}94;296~;1:3;356394;3ef>{t><0;6?u26482bg=:>=0:455rs7494?4|5?<1=kl4=7695=?4>034{t9m21<74>034;o57?ib:p5a1=838p1{t9jl1<74>>34;hj7?ib:p54b=839p11e82bg=z{8n;6=4={<3g4?7aj27:ok4>899~w4b62909w0?k1;3ef>;6ko0:445rs0f0>5<5s4;o?7?ib:?2`2<6011vd682<<=z{8n>6=4={<3g1?7aj27:h:4>959~w4b12909w0?k6;3ef>;6l>0:5k5rs221>5<5s48mi7?77:?046<6nk1v?hi:181857;3;3;63=fg82bg=z{::;6=4={<0eb?7?0278<=4>fc9~w6662909w0;4880:jo5rs26a>5<5s49?;7?77:?00f<6nk1v>:7:181853k3;3;63<4982bg=z{:>26=4={<17fc9~w62f2909w0=;8;3;=>;45<5s49?h7?77:?017<6nk1v>:j:181852:3;3;63<4d82bg=z{:>m6=4={<17a?7?02788k4>fc9~w6372909w0=;e;3;=>;4=90:jo5rs274>5<5s49>?7?77:?01=<6nk1v>;;:18185203;3;63<5582bg=z{:?>6=4={<160?7?0278984>fc9~w6312909w0=:4;3;=>;4=?0:jo5rs27g>5<5s49>57?77:?01`<6nk1v>;n:181852m3;3;63<5`82bg=z{:?i6=4={<16e?7?02789o4>fc9~w63d2909w0=:a;3;=>;4=j0:jo5rs2`6>5<5s49i=7?77:?0f3<6nk1v>l=:18185e>3;3;634>fc9~w6d32909w0=m2;3;=>;4j=0:jo5rs2d:>5<5s49m97?77:?0bd<6nk1v>h9:18185ai3;3;63fc9~w6`?2909w0=i6;3;=>;4n10:jo5rs2de>5<5s49mn7?77:?745<6nk1v>hl:18182783;3;63fc9~w6`b2909w0=ic;3;=>;4nl0:jo5rs4:0>5<5s4?<47?77:?6<1<6nk1v897:18683003;mn63:1b82e6=:=8n1=l:4=425>4g534?;j7?n2:p12?=838p186;:0:4?83013;mn6s|56c94?4|5<=26<67;<74e?7aj2wx9:l50;0x901>282270;8b;3ef>{t94>?34?;i4>889>534=9oh0q~;8d;296~;2?m0:jo5256`95=?52z?63`<6nk169:l51868yv30n3:1>v3:7g82bg=:=>h1=4h4}r7;4?6=:r7>4=4>fc9>12d=9h80q~;71;296~;2080:jo5256`95d57>52z?6<7<6nk169:l51`68yv3>l3:1>v3:9382<2=:=0o1=kl4}r7:7?6=:r7>5h4>869>1<5=9oh0q~;64;296~;21:0:455258695cd52z?6=6<6001694;51g`8yv71i3:1?v3:9782<==:=0<1=574=04b>4`e3ty>5;4?:3y>1<0=9oh0187::0:;?xu6?80;6>u258595=><5<3<6<66;<345?7aj2wx94950;0x90?028li70;65;3;=>{t=021<74`e34?297?64:p1=3;2j6s|58c94?4|5<3j6{t=0i1<74`e34?297?n4:p0=b=838p1968:0:4?82?m3;mn6s|49a94?4|5=2i6<68;<6;g?7aj2wx85650;0x91>b282<70:78;3ef>{t<131<74>?34>357?ib:p0=g=838p1967:0::?82?i3;mn6s|4c194?4|5=km6<68;<6a0?7aj2wx8o>50;0x91d3282<70:m0;3ef>{t4>?34>i=7?ib:p0g4=838p19l?:0::?82e:3;mn6s|4bg94?4|5=ij6<68;<6`b?7aj2wx8nl50;0x91ea282<70:lb;3ef>{t4>?34>ho7?ib:p0fb=838p19mm:0::?82dl3;mn6s|4dc94?4|5=o;6<68;<6ff?7aj2wx8h750;0x91c1282<70:j9;3ef>{t4>034>n=7?ib:p0`4=838p19k>:0:;?82b:3;mn6s|4d194?4|5=o:6<66;<6f7?7aj2wx8h:50;0x91c328li70:j3;3;<>{t4`e34>n?7?79:p0`1=838p19k8:0da?82b13;346s|4d:94?4|5=o36{t4>034>m>7?ib:p0c5=838p19h=:0:;?82a;3;mn6s|4g694?4|5=l96<66;<6e0?7aj2wx8k;50;0x91`228li70:i4;3;<>{t4`e34>m87?79:p0c1=838p19h8:0da?82a<3;286s|55294?4|5<926<68;<775?7aj2wx9>o50;0x9026282<70;{t=:h1<74>?34?8n7?ib:p16e=838p18=n:0::?834k3;mn6s|52f94?4|5<9o6k50;0x905b28li70;{t=:l1<74`e34?8o7?64:p674=838p1??l:0:4?845:3;mn6s|20f94?4|5;896<68;<02`?7aj2wx>e;3ef>{t:8l1<74>>348:j7?ib:p676=838p1??k50;0x9744282<70<=e;3ef>{t:;n1<74>03489h7?ib:p671=839p1?<8:0da?873<3;3463>4582<<=z{;8?6=4={<01a?7??279>94>fc9~w7422909w0<=4;3;<>;5:<0:jo5rs305>5<5s48987?79:?163<6nk1v<=i:180845>3;3463=2782<<=:9:l1=kl4}r0154>fc9>67b=9120q~<=9;296~;5:00:jo5223f95=?52z?16d<6nk16>?j51868yv45j3:1>v3=2c82bg=::;n1=4h4}r01g?6=:r79>n4>fc9>67b=9h80q~<<5;296~;5:o0:4:5222795cd52z?170<60>16>>>51g`8yv4493:1>v3=3182<==:::;1=kl4}r006?6=:r79?=4>889>664=9oh0q~<<3;296~;5;:0:jo5222095=>52z?171<6nk16>><519;8yv44k3:1>v3=3782<2=:::i1=kl4}r003?6=:r79?n4>869>661=9oh0q~<<8;296~;5;>0:455222:95cd52z?172<60016>>751g`8yv44i3:1>v3=3`82bg=:::31=564}r00f?6=:r79?o4>fc9>66?=9130q~<;3;296~;5;m0:4:5225195cd52z?106<60>16>>k51g`8yv44n3:1>v3=3d82<==:::l1=kl4}r074?6=:r79?h4>889>616=9oh0q~<;1;296~;5<80:jo5225295=>7>52z?107<6nk16>9>519;8yv43n3:1>v3=4582<2=::=l1=kl4}r07a?6=:r798l4>869>61c=9oh0q~<;a;297~;5<58??6<66;|q100<72;q6>9h51958972228li7p}=4783>7}::=?1=564=365>4`e3ty98:4?:3y>613=91301?:8:0da?xu5<10;6?u225:95cd<5;><6<67;|q10<<72;q6>9751g`8972028227p}=4c83>7}::=h1=kl4=36f>4>?3ty98n4?:3y>61e=9oh01?:j:0::?xu5n6<7;;|q113<72;q6>8>51958973128li7p}=5083>7}::<<1=594=372>4`e3ty99?4?:3y>607=91201?;=:0da?xu5=:0;6?u224395=?<5;?868:51g`8973428237p}=5483>7}::4>>3ty99i4?:3y>601=91=01?;k:0da?xu5=10;6?u224f95=1<5;?3686519:8973>28li7p}=5`83>7}::<21=574=37b>4`e3ty99o4?:3y>60d=9oh01?;n:0:;?xu5=j0;6?u224a95cd<5;?j6<66;|q121<72;q6>8k51958970328li7p}=5g83>7}::?>1=594=37e>4`e3ty9:=4?:3y>60`=91201?8?:0da?xu5>80;6?u224d95=?<5;<:6;<51g`8970628237p}=6283>7}::?91=kl4=342>4>>3ty9:o4?:3y>633=91=01?8m:0da?xu5>?0;6?u227`95=1<5;<=6;8519:8970028li7p}=6983>7}::?<1=574=34;>4`e3ty9:44?:3y>63?=9oh01?87:0:;?xu5>h0;6?u227c95cd<5;<36<66;|q645<72;q68km51958906728li7p};fe83>7}:=9:1=594=5dg>4`e3ty?jh4?:3y>0cb=912019hj:0da?xu3no0;6?u24gf95=?<5=lm67}:=:91=594=413>4`e3ty>?<4?:3y>166=912018=>:0da?xu2;;0;6?u252295=?<5<99628li7p}:5783>7}:=<31=594=475>4`e3ty>9:4?:3y>100=912018;8:0da?xu2=10;6?u254495=?<57}:<>81=594=54e>4`e3ty?;=4?:3y>03`=9120199?:0da?xu3?80;6?u247d95=?<5==:67}:4`e3ty?h54?:2y>0a>=9oh01<8<:0:;?871;3;356s|4e194?4|5=no6<68;<6g7?7aj2wx8i:50;0x91b4282370:k4;3ef>{t4>>34>o97?ib:p0a0=838p19j9:0da?82c=3;346s|4e594?4|5=n<628li70:kc;3;<>{t4`e34>oo7?79:p0ad=838p19jm:0da?82ck3;286s|53294?4|5<;<6<68;<714?7aj2wx9f;3ef>{t=821<74>034?:47?ib:p14?=838p18?7:0:;?83613;mn6s|50c94?4|5<;36<66;<72e?7aj2wx9a;3;<>{t=8i1<74`e34?:m7?79:p14b=838p18?k:0da?836i3;286s|ag83>7}:ik0:4:52ag82bg=z{hi1<75<5s4kh6<67;4`e3tyji7>52z?bg?7?127ji7?ib:pf2<72;q6n>4>869>f2<6nk1vo:50;0x9g1=91=01o:51g`8yvd22909w0l;:0:;?8d228li7p}m6;296~;e<3;3563m6;3ef>{t<1:1<7=t=556>4>0346282<70:86;3ef>{t<>=1<74>?34><;7?ib:p02>=838p1999:0::?82003;mn6s|46;94?4|5==26;68?0:jo5rs55b>5<5s4>9g9~w11c2909w0:8d;3ef>;3?10:m?5rs55f>5<5s4>fc9~w1g?2909w0:n2;3;3>;3i10:jo5rs5;e>5<5s4>j57?77:?7=c<6nk1v9o?:18182>n3;3463;a182bg=z{=k:6=4={<6:b?7?127?m<4>fc9~w1g42909w0:n3;3ef>;3i10:455rs5c7>5<5s4>j87?ib:?7e=<6001v9o::18182f=3;mn63;a982=1=z{=k=6=4={<6b2?7aj27?m54>9g9~w1g02909w0:n7;3ef>;3i10:m?5rs42:>5<5s4?;?7?77:?64<<6nk1v8>7:181837?3;3;63:0982bg=z{<:?6=4={<73=?7??27><94>fc9~w0622909w0;?4;3;<>;28<0:jo5rs425>5<5s4?;87?79:?643<6nk1v8?;:181837k3;3;63:1582bg=z{<;86=4={<724?7??27>=>4>fc9~w06c2909w0;>4;3;3>;28m0:jo5rs42f>5<5s4?;h7?78:?64`<6nk1v8>i:181837l3;3563:0g82bg=z{<;:6=4={<725?7aj27>=>4>899~w0752909w0;>2;3ef>;29:0:445rs40`>5<5s4?9:7?77:?66f<6nk1v8<8:181835k3;3;63:2682bg=z{<836=4={<713?7?027>>54>fc9~w04>2909w0;=7;3;=>;2:00:jo5rs40b>5<5s4?9m7?ib:?66<<6011v8fc9~w1?f2909w0:65;3;3>;31h0:jo5rs5;1>5<5s4>2n7?77:?7=7<6nk1v97<:18182>:3;3463;9282bg=z{=3?6=4={<6:6?7?127?594>fc9~w1?12909w0:66;3ef>;31h0:455rs5;4>5<5s4>2;7?ib:?7=d<6001v977:18182>03;mn63;9`82=1=z{=326=4={<6:=?7aj27?5l4>9g9~w<<72;q6m7?77:?:>4`e3ty;6=4={<;95=1<590:jo5rs083>7}:83;3463>:0da?xu52909w0>519;897<6nk1v<>i:18085=91201>4>889>55`=9oh0q~=50;0x96<6nk16>7?78:p0?6=:r7?6fc9>6?7f:2wx47>52z?;>4`e3481=l=4}rg4>5<5s4o:6<68;4`e3tyn=7>53z?f5?7aj27:=h4>899>54c=9130q~k=:1818c528li70k8:0:;?xub;3:1>v3j3;3ef>;b?3;356s|e583>7}:m=0:jo52e682=1=z{l?1<75<5s4o=64g53tyni7>52z?ffc9>a`<6011vho50;0x9`g=9oh01hk519;8yvce2909w0km:0da?8cb283?7p}jc;296~;bk3;mn63je;3:b>{tmm0;6?u2ee82bg=:ml0:m?5rsg494?4|5ll1=594=g495cd4>?34l;6<66;4`e3tym<7>52z?e4?7aj27m:7?78:pb4<72;q6j<4>fc9>b3<6001vk<50;0x9c4=9oh01k851868yv`42909w0h<:0da?8`1283m7p}i4;296~;a<3;mn63i6;3b6>{tn<0;6?u2f482bg=:n?0:m>5rsgg94?4|5o=1=594=gg95cd4>?34l36<66;4`e3tym47>52z?efc9>b`<6001vko50;0x9cg=9oh01kk51868yv`e2909w0hm:0da?8`b283m7p}ic;296~;ak3;mn63ie;3b6>{tnm0;6?u2fe82bg=:nl0:m>5rs026>5<5s4lm6<68;<331?7aj2wx==>50;0x946728li70??5;3;<>{t99;1<74`e34;;97?79:p554=838p1<>=:0da?877=3;286s|11194?4|58:86n2wx==:50;0x946328li70??5;3b6>{t99i1<74>034;;o7?ib:p551=838p1<>8:0da?877k3;346s|11:94?4|58:3628li70??c;3:0>{t99k1<74`e34;;o7?6f:p55d=838p1<>m:0da?877k3;j>6s|11g94?4|58:o6<68;<33a?7aj2wx=<;50;0x946a282<70?>5;3ef>{t98:1<74`e34;:97?78:p547=838p1:0da?876=3;356s|10094?4|58;96<2wx=<=50;0x947428li70?>5;3:b>{t98>1<74`e34;:97?n2:p54e=838p1c;3;=>{t9831<74`e34;:o7?64:p54g=838p1{t98o1<74`e34;987?78:p54`=838p1<2wx=??50;0x944628li70?=4;3:b>{t9;81<74`e34;987?n2:p575=838p1<<<:0da?875<3;j?6s|13;94?4|588>6<68;<31=?7aj2wx=?850;0x944128li70?=9;3;<>{t9;=1<74`e34;957?79:p57>=838p1<<7:0da?87513;286s|12294?4|588j6<68;<304?7aj2wx=?l50;0x944e28li70?<0;3;<>{t9;i1<74`e34;8<7?79:p57b=838p1<n2wx=?h50;0x944a28li70?<0;3b6>{t9:=1<74>034;8;7?ib:p564=838p1<==:0da?874?3;346s|12194?4|58986:50;0x945328li70?<7;3:0>{t9:?1<74`e34;8;7?6f:p560=838p1<=9:0da?874?3;j>6s|12g94?4|58936<68;<30a?7aj2wx=>750;0x945>28li70?{t9:k1<74`e34;8i7?79:p56d=838p1<=m:0da?874m3;286s|12a94?4|589h6n2wx=>j50;0x945c28li70?{t9=81<74>034;?>7?ib:p516=838p1<:?:0da?873:3;346s|15394?4|58>:6{t9=>1<74`e34;?m7?78:p513=838p1<:::0da?873i3;356s|15494?4|58>=6<2wx=9950;0x942028li70?;a;3:b>{t9=21<74`e34;?m7?n2:p51?=838p1<:6:0da?873i3;j?6s|14094?4|58>i6<68;<366?7aj2wx=9m50;0x942d28li70?:2;3;<>{t9=n1<74`e34;>>7?79:p51c=838p1<:j:0da?872:3;286s|15d94?4|58>m6n2wx=8>50;0x943728li70?:2;3b6>{t9<;1<74`e34;>>7?n3:p50g=838p1<;<:0:4?872i3;mn6s|14694?4|58??6{t9<<1<74`e34;>m7?64:p501=838p1<;8:0da?872i3;2j6s|14:94?4|58?3628li70?:a;3b7>{t9?;1<74>034;==7?ib:p50e=838p1<;l:0da?87193;346s|14f94?4|58?o6{t94`e34;==7?6f:p536=838p1<8?:0da?87193;j>6s|17;94?4|58<96<68;<35=?7aj2wx=;=50;0x940428li70?99;3;<>{t9?>1<74`e34;=57?79:p533=838p1<8::0da?87113;286s|17494?4|58<=6n2wx=;950;0x940028li70?99;3b6>{t9?21<74`e34;=57?n3:p526=838p1<8n:0:4?87083;mn6s|17`94?4|58{t9?n1<74`e34;<<7?64:p53c=838p1<8j:0da?87083;2j6s|17d94?4|58{t9>31<7=t=051>4>?34;<>7?79:?23<<6nk1v<9=:181870:3;mn63>7982<==z{8=86=4={<347?7aj27:;54>889~w4132909w0?84;3ef>;6?10:595rs056>5<5s4;<97?ib:?23=<61o1v<99:181870>3;mn63>7982e7=z{8=<6=4={<343?7aj27:;54>a29~w41f2909w0?89;3;3>;6?h0:jo5r}o4:0<<728qvb;7;a;295~{i>0>i6=4>{|l5=1e=83;pqc864e83>4}zf?3?i7>51zm2<2a290:wp`994294?7|ug<29<4?:0y~j3?2:3:1=vsa6870>5<6std=58:50;3xyk0>=<0;60?i6=4>{|l5=0e=83;pqc865e83>4}zf?3>i7>51zm2<3a290:wp`997294?7|ug<2:<4?:0y~j3?1:3:1=vsa6840>5<6std=5;:50;3xyk0>><0;60{|l5=3e=83;pqc866e83>4}zf?3=i7>51zm2<0a290:wp`996294?7|ug<2;<4?:0y~j3?0:3:1=vsa6850>5<6std=5::50;3xyk0>?<0;621<7?t}o4:3<<728qvb;78a;295~{i>0=i6=4>{|l5=2e=83;pqc867e83>4}zf?351zm2<1a290:wp`999294?7|ug<24<4?:0y~j3??:3:1=vsa68:0>5<6std=55:50;3xyk0>0<0;602i6=4>{|l5==e=83;pqc868e83>4}zf?33i7>51zm2<>a290:wp`998294?7|ug<25<4?:0y~j3?>:3:1=vsa68;0>5<6std=54:50;3xyk0>1<0;603i6=4>{|l5=4}zf?32i7>51zm25<6std=5l:50;3xyk0>i<0;60ki6=4>{|l5=de=83;pqc86ae83>4}zf?3ji7>51zm25<6std=5o:50;3xyk0>j<0;60hi6=4>{|l5=ge=83;pqc86be83>4}zf?3ii7>51zm25<6std=5n:50;3xyk0>k<0;60ii6=4>{|l5=fe=83;pqc86ce83>4}zf?3hi7>51zm25<6std=5i:50;3xyk0>l<0;60ni6=4>{|l5=ae=83;pqc86de83>4}zf?3oi7>51zm25<6std=5h:50;3xyk0>m<0;60oi6=4>{|l5=`e=83;pqc86ee83>4}zf?3ni7>51zm25<6std=5k:50;3xyk0>n<0;60li6=4>{|l5=ce=83;pqc86fe83>4}zf?3mi7>51zm2<`a290:wp`9a1294?7|ug5<6std=m=:50;3xyk0f8<0;68:182xh1i921<7?t}o4b4<<728qvb;o?a;295~{i>h:i6=4>{|l5e5e=83;pqc8n0e83>4}zf?k;i7>51zm2d6a290:wp`9a0294?7|ug5<6std=m<:50;3xyk0f9<0;6a;295~{i>h;i6=4>{|l5e4e=83;pqc8n1e83>4}zf?k:i7>51zm2d7a290:wp`9a3294?7|ug<4?:0y~j3g5:3:1=vsa6`00>5<6std=m?:50;3xyk0f:<0;6h8i6=4>{|l5e7e=83;pqc8n2e83>4}zf?k9i7>51zm2d4a290:wp`9a2294?7|ug5<6std=m>:50;3xyk0f;<0;6h9i6=4>{|l5e6e=83;pqc8n3e83>4}zf?k8i7>51zm2d5a290:wp`9a5294?7|ug5<6std=m9:50;3xyk0f<<0;6h>i6=4>{|l5e1e=83;pqc8n4e83>4}zf?k?i7>51zm2d2a290:wp`9a4294?7|ug5<6std=m8:50;3xyk0f=<0;6h?i6=4>{|l5e0e=83;pqc8n5e83>4}zf?k>i7>51zm2d3a290:wp`9a7294?7|ug5<6std=m;:50;3xyk0f><0;6h{|l5e3e=83;pqc8n6e83>4}zf?k=i7>51zm2d0a290:wp`9a6294?7|ug5<6std=m::50;3xyk0f?<0;621<7?t}o4b3<<728qvb;o8a;295~{i>h=i6=4>{|l5e2e=83;pqc8n7e83>4}zf?k51zm2d1a290:wp`9a9294?7|ug5<6std=m5:50;3xyk0f0<0;6h2i6=4>{|l5e=e=83;pqc8n8e83>4}zf?k3i7>51zm2d>a290:wp`9a8294?7|ug:3:1=vsa6`;0>5<6std=m4:50;3xyk0f1<0;6h3i6=4>{|l5e4}zf?k2i7>51zm2d?a290:wp`9a`294?7|ug5<6std=ml:50;3xyk0fi<0;6hki6=4>{|l5ede=83;pqc8nae83>4}zf?kji7>51zm2dga290:wp`9ac294?7|ug5<6std=mo:50;3xyk0fj<0;6hhi6=4>{|l5ege=83;pqc8nbe83>4}zf?kii7>51zm2dda290:wp`9ab294?7|ug5<6std=mn:50;3xyk0fk<0;6hii6=4>{|l5efe=83;pqc8nce83>4}zf?khi7>51zm2dea290:wp`9ae294?7|ug5<6std=mi:50;3xyk0fl<0;6hni6=4>{|l5eae=83;pqc8nde83>4}zf?koi7>51zm2dba290:wp`9ad294?7|ug5<6std=mh:50;3xyk0fm<0;6hoi6=4>{|l5e`e=83;pqc8nee83>4}zf?kni7>51zm2dca290:wp`9ag294?7|ug5<6std=mk:50;3xyk0fn<0;6hli6=4>{|l5ece=83;pqc8nfe83>4}zf?kmi7>51zm2d`a290:wp`9b1294?7|ug5<6std=n=:50;3xyk0e8<0;68:182xh1j921<7?t}o4a4<<728qvb;l?a;295~{i>k:i6=4>{|l5f5e=83;pqc8m0e83>4}zf?h;i7>51zm2g6a290:wp`9b0294?7|ug5<6std=n<:50;3xyk0e9<0;6a;295~{i>k;i6=4>{|l5f4e=83;pqc8m1e83>4}zf?h:i7>51zm2g7a290:wp`9b3294?7|ug<4?:0y~j3d5:3:1=vsa6c00>5<6std=n?:50;3xyk0e:<0;6k8i6=4>{|l5f7e=83;pqc8m2e83>4}zf?h9i7>51zm2g4a290:wp`9b2294?7|ug5<6std=n>:50;3xyk0e;<0;6k9i6=4>{|l5f6e=83;pqc8m3e83>4}zf?h8i7>51zm2g5a290:wp`9b5294?7|ug5<6std=n9:50;3xyk0e<<0;6k>i6=4>{|l5f1e=83;pqc8m4e83>4}zf?h?i7>51zm2g2a290:wp`9b4294?7|ug5<6std=n8:50;3xyk0e=<0;6k?i6=4>{|l5f0e=83;pqc8m5e83>4}zf?h>i7>51zm2g3a290:wp`9b7294?7|ug5<6std=n;:50;3xyk0e><0;6k{|l5f3e=83;pqc8m6e83>4}zf?h=i7>51zm2g0a290:wp`9b6294?7|ug5<6std=n::50;3xyk0e?<0;621<7?t}o4a3<<728qvb;l8a;295~{i>k=i6=4>{|l5f2e=83;pqc8m7e83>4}zf?h51zm2g1a290:wp`9b9294?7|ug5<6std=n5:50;3xyk0e0<0;6k2i6=4>{|l5f=e=83;pqc8m8e83>4}zf?h3i7>51zm2g>a290:wp`9b8294?7|ug:3:1=vsa6c;0>5<6std=n4:50;3xyk0e1<0;6k3i6=4>{|l5f4}zf?h2i7>51zm2g?a290:wp`9b`294?7|ug5<6std=nl:50;3xyk0ei<0;6kki6=4>{|l5fde=83;pqc8mae83>4}zf?hji7>51zm2gga290:wp`9bc294?7|ug5<6std=no:50;3xyk0ej<0;6khi6=4>{|l5fge=83;pqc8mbe83>4}zf?hii7>51zm2gda290:wp`9bb294?7|ug5<6std=nn:50;3xyk0ek<0;6kii6=4>{|l5ffe=83;pqc8mce83>4}zf?hhi7>51zm2gea290:wp`9be294?7|ug5<6std=ni:50;3xyk0el<0;6kni6=4>{|l5fae=83;pqc8mde83>4}zf?hoi7>51zm2gba290:wp`9bd294?7|ug5<6std=nh:50;3xyk0em<0;6koi6=4>{|l5f`e=83;pqc8mee83>4}zf?hni7>51zm2gca290:wp`9bg294?7|ug5<6std=nk:50;3xyk0en<0;6kli6=4>{|l5fce=83;pqc8mfe83>4}zf?hmi7>51zm2g`a290:wp`9c1294?7|ug5<6std=o=:50;3xyk0d8<0;68:182xh1k921<7?t}o4`4<<728qvb;m?a;295~{i>j:i6=4>{|l5g5e=83;pqc8l0e83>4}zf?i;i7>51zm2f6a290:wp`9c0294?7|ug5<6std=o<:50;3xyk0d9<0;6a;295~{i>j;i6=4>{|l5g4e=83;pqc8l1e83>4}zf?i:i7>51zm2f7a290:wp`9c3294?7|ug<4?:0y~j3e5:3:1=vsa6b00>5<6std=o?:50;3xyk0d:<0;6j8i6=4>{|l5g7e=83;pqc8l2e83>4}zf?i9i7>51zm2f4a290:wp`9c2294?7|ug5<6std=o>:50;3xyk0d;<0;6j9i6=4>{|l5g6e=83;pqc8l3e83>4}zf?i8i7>51zm2f5a290:wp`9c5294?7|ug5<6std=o9:50;3xyk0d<<0;6j>i6=4>{|l5g1e=83;pqc8l4e83>4}zf?i?i7>51zm2f2a290:wp`9c4294?7|ug5<6std=o8:50;3xyk0d=<0;6j?i6=4>{|l5g0e=83;pqc8l5e83>4}zf?i>i7>51zm2f3a290:wp`9c7294?7|ug5<6std=o;:50;3xyk0d><0;6j{|l5g3e=83;pqc8l6e83>4}zf?i=i7>51zm2f0a290:wp`9c6294?7|ug5<6std=o::50;3xyk0d?<0;621<7?t}o4`3<<728qvb;m8a;295~{i>j=i6=4>{|l5g2e=83;pqc8l7e83>4}zf?i51zm2f1a290:wp`9c9294?7|ug5<6std=o5:50;3xyk0d0<0;6j2i6=4>{|l5g=e=83;pqc8l8e83>4}zf?i3i7>51zm2f>a290:wp`9c8294?7|ug:3:1=vsa6b;0>5<6std=o4:50;3xyk0d1<0;6j3i6=4>{|l5g4}zf?i2i7>51zm2f?a290:wp`9c`294?7|ug5<6std=ol:50;3xyk0di<0;6jki6=4>{|l5gde=83;pqc8lae83>4}zf?iji7>51zm2fga290:wp`9cc294?7|ug5<6std=oo:50;3xyk0dj<0;6jhi6=4>{|l5gge=83;pqc8lbe83>4}zf?iii7>51zm2fda290:wp`9cb294?7|ug5<6std=on:50;3xyk0dk<0;6jii6=4>{|l5gfe=83;pqc8lce83>4}zf?ihi7>51zm2fea290:wp`9ce294?7|ug5<6std=oi:50;3xyk0dl<0;6jni6=4>{|l5gae=83;pqc8lde83>4}zf?ioi7>51zm2fba290:wp`9cd294?7|ug5<6std=oh:50;3xyk0dm<0;6joi6=4>{|l5g`e=83;pqc8lee83>4}zf?ini7>51zm2fca290:wp`9cg294?7|ug5<6std=ok:50;3xyk0dn<0;6jli6=4>{|l5gce=83;pqc8lfe83>4}zf?imi7>51zm2f`a290:wp`9d1294?7|ug5<6std=h=:50;3xyk0c8<0;68:182xh1l921<7?t}o4g4<<728qvb;j?a;295~{i>m:i6=4>{|l5`5e=83;pqc8k0e83>4}zf?n;i7>51zm2a6a290:wp`9d0294?7|ug5<6std=h<:50;3xyk0c9<0;6a;295~{i>m;i6=4>{|l5`4e=83;pqc8k1e83>4}zf?n:i7>51zm2a7a290:wp`9d3294?7|ug<4?:0y~j3b5:3:1=vsa6e00>5<6std=h?:50;3xyk0c:<0;6m8i6=4>{|l5`7e=83;pqc8k2e83>4}zf?n9i7>51zm2a4a290:wp`9d2294?7|ug5<6std=h>:50;3xyk0c;<0;6m9i6=4>{|l5`6e=83;pqc8k3e83>4}zf?n8i7>51zm2a5a290:wp`9d5294?7|ug5<6std=h9:50;3xyk0c<<0;6m>i6=4>{|l5`1e=83;pqc8k4e83>4}zf?n?i7>51zm2a2a290:wp`9d4294?7|ug5<6std=h8:50;3xyk0c=<0;6m?i6=4>{|l5`0e=83;pqc8k5e83>4}zf?n>i7>51zm2a3a290:wp`9d7294?7|ug5<6std=h;:50;3xyk0c><0;6m{|l5`3e=83;pqc8k6e83>4}zf?n=i7>51zm2a0a290:wp`9d6294?7|ug5<6std=h::50;3xyk0c?<0;621<7?t}o4g3<<728qvb;j8a;295~{i>m=i6=4>{|l5`2e=83;pqc8k7e83>4}zf?n51zm2a1a290:wp`9d9294?7|ug5<6std=h5:50;3xyk0c0<0;6m2i6=4>{|l5`=e=83;pqc8k8e83>4}zf?n3i7>51zm2a>a290:wp`9d8294?7|ug:3:1=vsa6e;0>5<6std=h4:50;3xyk0c1<0;6m3i6=4>{|l5`4}zf?n2i7>51zm2a?a290:wp`9d`294?7|ug5<6std=hl:50;3xyk0ci<0;6mki6=4>{|l5`de=83;pqc8kae83>4}zf?nji7>51zm2aga290:wp`9dc294?7|ug5<6std=ho:50;3xyk0cj<0;6mhi6=4>{|l5`ge=83;pqc8kbe83>4}zf?nii7>51zm2ada290:wp`9db294?7|ug5<6std=hn:50;3xyk0ck<0;6mii6=4>{|l5`fe=83;pqc8kce83>4}zf?nhi7>51zm2aea290:wp`9de294?7|ug5<6std=hi:50;3xyk0cl<0;66?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:285N<02=1>G;984>7L2>2?78E9746<1J0<:15:C?50823H6::3;4A=34:0=F482596O318<7?D:66<1J0?>17:C?64<66<1J0??15:C?67803H69?7615:C?66833H69295N<2<7?D:36=1J080;;@>5:1=F4>4?7L27>59B8<833HFN<85NLD2N1>GKM9Yh7LBJ0^ov|5678m1J@H>Pmtz34566l2KGI=Qbuy23454c3HFNGKM8UTc>?006g?DJB9VUd~=>?14f8EIC6WVey<=>>6e9BH@7XWfx;<=?8d:COA4YXg{:;<<6l;@NF5Zgil9:;_`lg456795NNE17?DHC<=1JBI;;;@LG21=FFM2?7L]}fe9BWw`XKeaTECX>7:CPvcYI8j1J_hPnnv3457c3HYyjR``t12354?89B[CUE48;556OPFR@?578>3HUM_O2>3?;8EZ@TJ5;?245N_GQA843912KTJ^L317<:?DYA[K6:;374A^DPF97?601JSK]M<0;=<>GXNZH7=374A^DPF947601JSK]M<33==>GXNZH7>?0m;@]EWG:5;3;556OPFR@?668?3HUM_O2=>99B[CUE4:437LQISC>7:==FWOYI0807;@]EWG:1611JSK]M<6<;?DYA[K63255N_GQA8<8c3HUM_OQ>0^KAQCb_H@VBa=FWOYIS<GXNZHT=>QFBTDg?DYA[KU:8RGMUGf8EZ@TJV;>SDLZFe9B[CUEW8UBNXHk;@]EWGY60VCIYKj4A^DPFZ7>W@H^Jn5N_GQA[4YNJ\Lo7LQISC]14ZOE]On0MRH\B^02[LDRNm1JSK]M_30\MGSAl2KTJ^LP22]JFP@d3HUM_OQ=_H@VBf=FWOYIS>QFBTD`?DYA[KU?SDLZFb9B[CUEWDFK]8>7OOLTs68F@TU=2HN^_>9;CGQV5tb3KOY^=|P_np3456a3KOY^=|P_np34566n2HN^_>}_^mq4567:<1II_\>6:@FVW7um2HN^_?}_^mq4567n2HN^_?}_^mq45679o1II_\>r^]lv5678;?0NH\]249AAWT4=2HN^_::;CGQV0??e:@FVWYXign;<=>>c:@FVWYXg{:;<=j4BDPQ[Ziu89:;=i5MESP\[jt789:9h6LJRS]\kw67899o7OK]R^]lv5678=n0NH\]_^mq4567=m1II_\P_np34561>2HN^_|i9:@FVWtaWG:j7OK]Rsd\J5713KOY^|j;CGQVwtXff~;<=?i;CGQVwtXff~;<=?>8:@VWZOINF;0O95L17O0?F>J<2IJOY<4CD18GIM>3JF@>UQFOCg8GIM5PVCDNRGMUG58GIMXNZHh7NBD_GQA[LDRN>1H@FQFOCa8GIMXAFHTEO[I3:AOV6=DF]30OBCBIUVF@2=DZLK_II<4CR38@0=C\HI@:6J[ABI23>BSIJAyj:5KT@AHvw`CT4:4>7H]34?68AVY7l2OXS=QPaof3456b3LYT?0d9FWZ4XWhdo<=>?1d9FWZ4XWhdo<=>?259FWZ5c3LYT?RQnne2345c?_LzlvZTb{|f0?0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2<>0d8AVYJimnTSljk0123[H~hzVXnxb{<5<2=>CTWDkohRQnde2345YXign;<=>>a:GP[HgclVUjhi>?01]\ekb789::=45JS^Ob`aYXimn;<=>P_`lg45669h1N_RCnde]\eab789:TSl`k012254??20c8AVYJimnTSljk0123[Zgil9:;>=e:GP[TY6Wfx;<=>;e:GP[TY6Wfx;<=>:e:GP[TY6Wfx;<=>9e:GP[TY6Wfx;<=>8e:GP[TY6Wfx;<=>7e:GP[TY6Wfx;<=>6e:GP[Zgcl9:;<1?1e:GP[Zgcl9:;<1<1e:GP[Zgcl9:;<1=1e:GP[Zgcl9:;<1:15:GWEFMc3L^JOFQnne2345c5Jr3`8AwYDdbUBB[?:;Dp\J526HK4:DGG@53@DBX^ZNTD18MKP23@EJOYj4INC@PZkrp9:;=e:KLEFRXe|r;<=>;e:KLEFRXe|r;<=>:e:KLEFRXe|r;<=>9e:KLEFRXe|r;<=>8e:KLEFRXe|r;<=>7e:KLEFRXe|r;<=>66:KLEFRu>2CDNH\]e:KLF@TUWge<=>>f:KLF@TUWge<=>>1g9JKGCUZVddx=>?13d8MJDBZ[Uecy>?0017?LIEE=1BCO];;HM@W0=NGJY::6G@CR332>OHKZ;::6G@CR312>OHKZ;8:6G@CR372>OHKZ;>:6G@CR351>OHKZ8>7DALS278MJET<<1BCN]:5:KLGV023@EH_:;4INAP<0=NGJY286G@L1a8MJJ7Wds<=>?d:KLH5Yj}q:;<=?k;HMO4Zkrp9:;>2CD^H_}5:KLVR6c3@EY[=Qbuy2345c=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce895FOT2`?LIR8Vg~t=>?0e9JKP6Xe|r;<=>>d:KLQ5Yj}q:;<=j4INW3[hs89:;8i5FOT2\ip~789:>86G@U0a8MJS6Wge<=>>d:KLQ4Yig}:;<;N68KGSA;2EY>>5@R218KW233Fdhin5@nbg\[jt789:o7B`le^]lv56788n0Ccmj_^mq4567:m1DbnkP_np34564l2EeohQPos23452c3FdhiRQ`r12340bIiklUTc>?01:g?JhdmVUd~=>?0838Tf=W&;::?<>>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD`8TVYA[DUMJi?j;QQ\BVKXNOn:!D`i;QQ\BVKXNOn:!D`>f:RP[CUJWOLo= Ga2c9SWZ@TEVLMh?k4PR]EWHYANm8&Ech4PR]EWHYANm8&Ec?>0:RP[CUJWOLo> Ga1133?UUXNZGTJKj=-Hl2546 Ga7g9SWZ@TEVLMh?#Fn9d8TVYA[DUMJi<"Io;a?UUXNZGTCxz?c:RP[CUJWF<3:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ<0^LCLS048VDKD[;<0^LCLS248VDKD[=<0^LCLS448VDKD[?<0^LCLS648VDKD[1<0^LCKIR58VDKCAZ;m7_OBDHQ2[hs89:;==5]ALFJW4Yj}q:;<=?>1:PBIAOT9Vg~t=>?00225>TFEMCX=Rczx123447692XJAIG\1^ov|567888:=6\NMEKP5Zkrp9:;<<=>1:PBIAOT9Vg~t=>?00625>TFEMCX=Rczx123443692XJAIG\1^ov|56788<:=6\NMEKP5Zkrp9:;<<9>1:PBIAOT9Vg~t=>?00:25>TFEMCX=Rczx12344?682XJAIG\1^ov|5678;;;7_OBDHQ2[hs89:;?<>4R@OGMV7Xe|r;<=>;119QEHBN[8Ufyu>?01724>TFEMCX=Rczx12343773[KFHD]>_lw{4567?8:0^LCKIR3\ip~789:3==5]ALFJW4Yj}q:;<=78;SCN@LU5n2XJAIG\2^]lv56788:0^LCKIR0\[jt789::=<5]ALFJW7YXg{:;<=??119QEHBN[;UTc>?01024>TFEMCX>RQ`r12346773[KFHD]=_^mq4567<8:0^LCKIR0\[jt789:>==5]ALFJW7YXg{:;<=8>0:PBIAOT:VUd~=>?0633?WGJL@Y9SRa}0123<46?2XJAIG\369QEHBN[==0^LCKIR7;?WGJZlkou<>4R@OQadb~Wds<=>?109QEHTbimsTaxv?012257=UIDXnmiwPmtz345668880^LC]e`fz[hs89:;=?00026>TFE[ojhtQbuy2345749;1YM@\jae{\ip~789::8<<4R@OQadb~Wds<=>?1431?WGJZlkouRczx123440692XJA_kndx]nq}67898:=6\NMSgb`|Yj}q:;<==>1:PBIWcflpUfyu>?01625>TFE[ojhtQbuy23453692XJA_kndx]nq}6789<:=6\NMSgb`|Yj}q:;<=9>1:PBIWcflpUfyu>?01:25>TFE[ojhtQbuy2345?23[KXIAj4R@QFHZkrp9:;>3g9QEVCKWds<=>?15d8VDUBDVg~t=>?007e?WGTMEUfyu>?0135b>TF[LFTaxv?01223c=UIZOGS`{w01235=`;k4R@QFHZkrp9:;<>k4R@QFHZkrp9:;<9k4R@QFHZkrp9:;<8k4R@QFHZkrp9:;<;k4R@QFHZkrp9:;<:k4R@QFHZkrp9:;<5k4R@QFHZkrp9:;<474R@]3[JDRNj1YMR>Pmtz3456c3[KTTFW9Ufyu>?016b?WGX99UDNXHn;SC\54YHJ\L27_OP1^MAQCeTFW8Ufyu>?013g?WGX9Vg~t=>?03f8VDY6Wds<=>?3e9QEZ7Xe|r;<=>;9:PB[7YHJ\Lh7_OP2^ov|5678m1YMRRczx12346bTaxv?012g?WGX?00f8VDY3Wds<=>?2e9QEZ2Xe|r;<=>S`{w01237a=UIV?Taxv?0127=>TFW?UDNXHl;SC\2Zkrp9:;TFW>Ufyu>?01f8VDY0Wds<=>?1e9QEZ1Xe|r;<=>=d:PB[2Yj}q:;<==k;SC\3Zkrp9:;<974R@];[JDRNj1YMR6Pmtz3456c3[KT4Rczx12344bTFW1Ufyu>?016:?WGX1VEIYKm4R@]:[hs89:;h6\N_8]nq}6789;o7_OP9^ov|5678;n0^LQ6_lw{4567;m1YMR7Pmtz34563;2XN_95]ER36?WCTzo?0^H]}re9QAVtuWge<=>>e:PFWwtXff~;<=?>5:PLIFU13[EFO^?9;SMNGV413[EFO^=9;SMNGV213[EFO^;9;SMNGV013[EFO^9m;SQ\BVKXNOn:i6\\_GQN[C@c9$Cej6\\_GQN[C@c9$Ce=<>4RR]EWHYANm;&Ec??119QWZ@TEVLMh<#Fn0324>TTWOYFSKHk1,Km57773[YTJ^CPFGf2)Lh6;8:0^^QISL]EBa7*Ag;?j6\\_GQN[C@c9$Ce>k5]S^DPIZ@Al8'Bb>h4RR]EWHYANm;&Ec:i;SQ\BVKXNOn:!D`:f:PP[CUJWOLo= Ga6g9QWZ@TEVLMh<#Fn6d8VVYA[DUMJi?"Io:e?WUXNZGTJKj>-Hl:f>TTWOYFSKHk2d9QWZ@TEVLMh?#Fng9QWZ@TEVLMh?#Fn033?WUXNZGTJKj=-Hl2446??;SQ\BVKXNOn9!D`>4028VVYA[DUMJi<"Io3655=U[VLXARHId3/Jj40682XXSK]B_GDg6(Oi9>;;7_]PFRO\BCb5%@d:4<>4RR]EWHYANm8&Ec?6f:PP[CUJWOLo> Ga2g9QWZ@TEVLMh?#Fn2d8VVYA[DUMJi<"Io6e?WUXNZGTJKj=-Hl6b>TTWOYFSKHk2,Km2c=U[VLXARHId3/Jj2`a3[YTJ^CPFGf1)Lh>j2XXSK]B_GDg7`=U[VLXARHId2/Jjc=U[VLXARHId2/Jj4773[YTJ^CPFGf0)Lh68o1Y_RH\M^DE`6+Nf;l0^^QISL]EBa5*Ag9m7_]PFRO\BCb4%@d?j6\\_GQN[C@c;$Ce9k5]S^DPIZ@Al:'Bb;h4RR]EWHYANm9&Ec9i;SQ\BVKXNOn8!D`7f:PP[CUJWOLo? Ga9c9QWZ@TEVE~x5m4RR]EWHYH}}2:o6\\_GQN[Jss0;?0^hoky79Qadb~8>1Yiljv0sd8V`gcq9xTSb|?01224>Tbims;~RQ`r12344773[ojht>}_^mq4567:?1Yiljv169Qadb~9{l0^hoky0p\[jt789::<6\jae{2vZYhz9:;<?279Qadb~:>1Yiljv2sd8V`gcq;xTSb|?01224>Tbims9~RQ`r12344773[ojht<}_^mq4567:?1Yiljv379Qadb~TbnJd0>06;SgeGkr;<730^hhLnu>6:<=UmoIex1819:PfbFhs4>437_kiCov\4==UmoIexR?7;SgeGkrX:11YikMat^1;?WcaKg~T855]egAmpZ3?3[omOczP699QacEi|V=9?6\jfBlw[HgclVUjhi>?01]N|jtXZly~`y2>>318V``Df}UFmijP_`fg4567WDrd~R\jstnw8785;2XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}682?=4Rdd@jqYJimnTSljk0123[H~hzVXnxb{<5<17>TbnJdS@okd^]b`a6789UFtb|PRdqvhq:26;90^hhLnu]NeabXWhno<=>?_LzlvZTb{|f0;0=3:PfbFhsWDkohRQnde2345YJpfxT^h}zlu>4:70d:PfbFhsWDkohRQnde2345YXign;<=?>e:PfbFhsWDkohRQnde2345YXign;<=?>1e9QacEi|VGjhiQPaef3456XWhdo<=>=1d9QacEi|VGjhiQPaef3456XWhdo<=>=10f8V``Df}UFmijP_`fg4567WVkeh=>?30g8V``Df}UFmijP_`fg4567WVkeh=>?303g?WcaKg~TAljk_^cg`5678VUjbi>?053f?WcaKg~TAljk_^cg`5678VUjbi>?0532`>TbnJdS@okd^]b`a6789UTmcj?0172a>TbnJdS@okd^]b`a6789UTmcj?017257=UmoIexRQnde2345:76880^hhLnu]\eab789:7=3?=;SgeGkrXWhno<=>?<3<26>TbnJdSRokd12349599;1YikMat^]b`a67896?2<<4Rdd@jqYXimn;<=>35?31?WcaKg~TSljk01238386:2XnjN`{_^cg`56785=5;6\jfEmma464RddGkkcXWfx;<=>>139QacBhflUha}Qjq123445?2018V``CggoTo`~Pep234556;2XnjIaae^antZcv89:;8<=4RddGkkcXkdzTi|>?01727>TbnMeeiRmbp^gr4567>890^hhKoog\ghvXmx:;<=9>3:PfbAiimVif|Rk~0123<45Tbn\xliczn;SgeQwabf};j7_kiUsefjq4f3[omYijnu1b?Wca]{mnby:9;Sgpqir13ZIXEN]8;RAPMFU6?2YH_DM\269PGVOD[:=0_N]FCR64?VETAJY>:6]@USAFe>Uil[KFO^Y]b:Qm`WGJKZ]Y=n5\nePBIFUPZ8:h7^`kR@O@WRT69j1Xbi\NMBQTV44e3Zdo^LCLSVP1f>Uil[KFO^Y]3c9PjaTFEJY\^9l4SofQEHET_[?i7^`kR@O@WRT1j2Yeh_OBCRUQ3g=TfmXJAN]XR9`8WkbUIDIX[_7;;UPVA1=SQYO37YgbenwwE46<\`gncxzN_^mq4567981_e`k`uuC\[jt789::=<5[ilglqqGXWfx;<=>=8:Vji`ir|K;;7YgbenwwFZYhz9:;<?1038Plkbg|~ISRa}0123647<\`gncxzM_^mq4567;j1^_H\PVHQJFIC43_IH56XFEV]W]UC43_ZJo6X_A^]bja6789n0Z]OP_`lg45679k1]\LQPos2345e<^YKTSb|?0122g>PWIVUd~=>?03a8RUGXWfx;<=>o6X_A^]lv5678??0Z]O}f49UTDtul2\[M|Pnnv3457b3_ZJ~Qaou23447c3_co^LCLSVP50nc<^`nYM@M\WS47o4c<^`nYM@M\WS47o7c<^`nYM@M\WS47o6c<^`nYM@M\WS47o1c<^`nYM@M\WS47o0c<^`nYM@M\WS47o3c<^`nYM@M\WS47o2c<^`nYM@M\WS47o=7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML2^T\VMEH:5WSU]UGF0^h494:?6V|t99\ekb789:27Road12344??299\ip~789:87n`{2:ap7>`tjk1moQaou2345e`tjVddx=>?003g?cueWge<=>?1368mjdj<2cdn~74n@FlqqJB8h1eMIaztMG35g=iIMe~xAK?10`8jDBh}}FN<<>1b9mEVYig}:;<<h7cO\_omw4566=j1eM^Qaou2344003gKdyyAJc:lBkprHMVEIYK;4nCGQV3=iJLXY=45aBDPQ[CUEm2dII_\PFR@\KGSA12dII_\PIN@f?kDBZ[UBCOQFBTD7?kEF[m1eOL]PCmi\MKP6?2dHM^QA089mGDUXGK_Mo6`LAR]mkq6788n0bNO\_omw45669?1eHYOLK`9m@QGDCVLXNk5aDUC@OZ@TJVEIYKo4nEVBGNYNGKl0bIZNCJ]JKGYNJ\Ln7cJ[ABI\[jt789:m7cJ[ABI\[jt789::j6`KT@AH[Ziu89:;>;5aDhlOAg=iL`dGIRAMUGf8jAoiDLUd~=>?0d9m@lhKMVey<=>?179m@lhHM>1eHd`@E058jAoiGL8<7cJfnNG0f>hCagENSBLZF69m@jssGLi0bIaztNG\KGSAn2dOcxz@E^llp56798:0bIaztNG\jjr789;:==5aDnwwK@Yig}:;<<<>0:lGkprHMVddx=>?1233?kBh}}ENSca{0122046>8028jAir|FOTbbz?013:0>hKLZ20bAJ\_GQA`>hKLZUM_OQFBTD;?kJC[VCDNi5aLEQ\MJDXGK_Mo6`CDR]bja6788n0bAJ\_`lg45669m1e@I]Paof34575k2dGH^Qaou2344b?00a8jIBTWfx;<=?>c:lO@VYhz9:;=?m4nMFP[jt789;8o6`CDR]lv5679=i0bAJ\_np34572k2dGH^Q`r12353ehKLZUd~=>?19a8jIBTWfx;<=?64:lOS@32:lLr`tkip:Tmcj?012057=iGoy`lw?_`lg4567<880bBxjrmcz4Zgil9:;<8?=;oMuawjfq9Ujbi>?014:?kIqm{fju_O24?kTFEE]No6`]ALNTAZIE]O=0b_OBUVG`?kTFE\]NSBLZF59mVDU23gXJ_<;4nSCP60=iZHY896`]AR66?kTF[<30b_O\_N@VB1=iZLY27c\JS^KAQC0hUGD]N;6`]OLUF5g=iZFG\IRAMUG68jPBT02d^H^QISCf8jPBTWOYISDLZF99mQAUXAFHo7c[KS^KLFZIE]Oi0bXJ\_`lg4567l2d^H^Qnne23457c3g_O_Road12347ehRLZUecy>?0037?kSPM<1eYZK>c:lVS@Yffm:;<=j4nTUF[dhc89:;=i5aUVG\ekb789:9h6`ZWD]bja67899o7c[XE^cm`5678=>0b[CN8:lUIDYNGKn0b[CN_HMA[JDRNm1eZ@OP_`lg4567m2d]ALQPaof34566k2d]ALQPos2345bhQEHUTc>?011g?kPJIVUd~=>?05f8jSKFWVey<=>?5e9mRHGXWfx;<=>9d:lUIDYXg{:;<=9k;oTNEZYhz9:;<5j4nWOB[Ziu89:;5n5aVLC\ekb789:o7cXBA^cm`56788n0b[CN_`lg4567:m1eZ@OPaof34564l2d]ALQnne23452c3g\FMRoad12340bhQXH?0b[^N149mRUG502d]\LQISCf8jSVFWOYISBLZF99mRUGXAFHo7cX_A^KLFZOE]O90bZK8;oUF[CUEk2d\IRH\B^KAQC5C<528qXm;4<4d82e<<6;=<>9=4>b3f`k53j3;0b>:l:79'71g=;=?0q^o::26f>4g>289?:8;?:0`1``=c90>1<7?51zQb2?53m3;j57?<47764?7e:mi0zY=>2;295?7=:lop_l8535g95d?=9:>=98>51c0gg>"4:m0:5<5+1`c905cd3|@:8i7)==1;3:0>\>>38p>7;5}%3:4?5582cj=7>5;n1;4?6=3`9=:7>5;n632?6=3`9oo7>5;n1g5;h143?6=3f9h47>5;n14=?6=3`9o?7>5;h1;6?6=3f9=47>5;n145?6=3f9hm7>5;h635;n1a3?6=3f9>o7>5;n156?6=3`92h7>5;h;g>5<>i41o0;66a>i40k0;66a<9183>>o38k0;66a<7483>>i6k10;6)?nc;3`3>h6ik0;76a>c783>!7fk3;h;6`>ac82?>i6l80;6)?nc;3`3>h6ik0976a>d183>!7fk3;h;6`>ac80?>i6ko0;6)?nc;3`3>h6ik0?76a>cd83>!7fk3;h;6`>ac86?>i6km0;6)?nc;3`3>h6ik0=76a>cb83>!7fk3;h;6`>ac84?>i6kk0;6)?nc;3`3>h6ik0376a>c`83>!7fk3;h;6`>ac8:?>i6k00;6)?nc;3`3>h6ik0j76a>c483>!7fk3;h;6`>ac8a?>o2;3:1(;:k64?6=,8kh68<4n0ca>7=ab866>h6ik0876g;d;29 4gd2<80b5$0c`>04>6`>ac84?>o313:1(d=ab866>h6ik0i76g;5;29 4gd2<80bn7>5$0c`>04>6`>ac8e?>o213:1(42<3`??6=4+1`a917=i9hh1=854i5g94?"6ij0>>6`>ac822>=e;<>1<7?m:5`91c}O;;h0(><>:`58^<0=9r91q)?60;114>o>k3:17b?;0;29?j57k3:17b=>3;29?l142900e>j<:188k4432900e9>n:188k4b42900c?9<:188k4602900e<850;&2ef<6=2d:mo4?;:k20?6=,8kh6<;4n0ca>4=ab821>h6ik0976g>1;29 4gd28?0bab821>h6ik0>76gk:18'5de=9<1e=ll56:9jg?6=,8kh6<;4n0ca>2=5<#9hi1=85a1``9e>=n03:1(ac8g?>o6m3:1(5<51;294~"4:808>n5G3558L64e3f;j47>5;|`0fg<72<0;6=u+3339bd=O;==0D>>o>?3:17do7:188k4e32900qo=l5;291?6=8r.8><4ia:J002=O;;h0(<>l:19j=g<722cj?7>5;h;4>5<0183>>o6880;66g>0383>>o68:0;66g>0583>>o68<0;66g>0783>>i6110;66sm11;94??=83:p(><>:2g8L6203A99n6gif;29?l7783:17d??1;29?l77:3:17d??3;29?l77<3:17d??5;29?l77>3:17b?68;29?xd68h0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo=?d;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f66b29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f66a29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f67729086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi?74H264?M55j2cmj7>5;h334?6=3f;247>5;|`204<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`207<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`206<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`201<72=0;6=u+33397d=O;==0D>5<5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj:i;6=4=:183!5593;;h6F<469K77d0183>>i6110;66sm3b694?2=83:p(><>:2c8L6203A99n6gif;29?l7783:17d??1;29?j7>03:17pl>d583>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>d483>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg7c>3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg7c?3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg7c03:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a5ag=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=il50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`131<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`130<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`133<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`132<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`13=<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:5}#;;;1?45G3558L64e3`lm6=44i023>5<N4<>1C??l4igd94?=n99:1<75f11394?=h9021<75rb21g>5<2290;w)==1;1a?M53?2B8>o5ffg83>>o6890;66g>0083>>o68;0;66a>9983>>{e;:o1<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl<3g83>6<729q/???53548L6203A99n6gif;29?l7783:17b?68;29?xd4<90;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4<80;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4<;0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo=;3;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f623290?6=4?{%115?5f3A9?;6F<2c9jbc<722c:<=4?::k244<722e:554?::a742=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1=4o4H264?M55j2cmj7>5;h334?6=3f;247>5;|`05<<72<0;6=u+33397g=O;==0D>5<5<5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<53;294~"4:80856F<469K77d5<2290;w)==1;1a?M53?2B8>o5ffg83>>o6890;66g>0083>>o68;0;66a>9983>>{e;8?1<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl<1783>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd49>0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo?=5;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo?=d;291?6=8r.8><4i2B88:5G33`8mc`=831b==>50;9l5<>=831vn<5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn<=?:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a567=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a564=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a565=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1?45G3558L64e3`lm6=44i023>5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj88<6=4<:183!5593;2m6F<469K77d5<3290;w)==1;1b?M53?2B8>o5ffg83>>o6890;66g>0083>>i6110;66sm13;94?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd6:h0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl>2c83>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg75k3:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900q~850;6xZ3=:9921==?4=23:>c`<5:;j6kk4}r594?2|V>16==651108967>28:;70=>b;df?xu?290?wS64=02;>464349:57??1:?05f54z\:?87703;;863<158245=:9;o1jk5rs`83>0}Yi27:<54>049>74?=99801>?k:gg8944b28:;7p}m:180[d<58:36<>9;<120?`a3tyh6=4;{_a8946>2ol01>=k:023?875l3lm7p}k:187[b<58:26<>?;<10`?`a34;9h7??0:pa?6=;4;m0:<<5213f955755?=99801>=k:021?875l3;;>6s|1083>2}Y9816==751118966c2ol01>m?:gg897122oo01>=j:gd8944e2ol0q~?<:18:[7434;;57??4:?04`:85fd9>76c=99:01<<::gg8945728:;7p}>4;29g~X6<27:<44>049>75b=99:01<:>:gg896da28:;70?k4;df?87c=3lm70<88;de?854n3;;<63>308ea>;6;:0mj63>358eb>{t9?0;64uQ179>55?=99<01>>i:gg894242oo01>m=:gg894b02oo01?98:gg896272oo01<098245=:;821==>4}r50>5<4sW=870=l6;df?85en3lm7p}6c;297~X>k278no467:?0g0<>?2wx==950;0xZ46034;;m7?68:p55>=838p1<>7:0;;?877i3lm7p}>0883>7}:9931=464=02b>4673ty:>94?:3y]572<588h6<77;|q260<72;q6=?;518:8945428:;7p}>2783>7}:9;<1=464=004>4673ty:>:4?:3y>571=90201<<7:gd8yv7503:1>v3>2982===:9;31==>4}r31=?6=:r7:>44>999>57g=99:0q~?=a;296~;6:h0:555213`955652z?26g<61116=?m51128yv75l3:1>v3>2e82===:9;31jk5rs00f>5<5s4;9i7?68:?26=<6891v<358245=z{89;6=4={<304?7>027:>;4if:p567=838p1<=>:0;;?875>3;;<6s|12094?4|58996<77;<31=50;0x9454283370?=a;de?xu6;=0;6?u212695<><588<6kh4}r374?6=:rT:8=5215695<>52z?204<61116=9:51128yv73:3:1>v3>4382===:9=>1jk5rs060>5<5s4;??7?68:?201<6881v<7::18185303lh70=l5;c0?xu61?0;6?u235;95d><5:hi6l64}r3g7?6=:rT:h>521e`95<>52z?2`1<61116=i651128yv7c=3:1>v3>d482===:9m31jk5rs0f5>5<5s4;o:7?68:?2`<<6891vdc8245=z{8n36=4={<3g027:hl4if:p5a?=838p14?:3y]625<5;=j6<77;|q131<72;q6>::518:8971?28:;7p}=7483>7}::>?1=464=35b>c`52z?133<61116>:75fg9~w7102909w0<87;3:<>;5?00:<=5rs35;>5<5s48<47?68:?13d<6891v?96:18184013;2463=7`8244=z{::h6=4={_13g>;4980:555rs22g>5<5s49;h7?68:?0540;de?xu48o0;6?u231d95<><5:;;6<>?;|q055<72;q6?<>518:8967628:;7p}<1283>7}Y;8901>?8:0;;?xu49=0;6?u230695<><5:;>6kh4}r121?6=:r78=84>999>741=no1v>?9:181856>3;2463<168245=z{:;36=4={<120278=h4>019~w67>2909w0=>9;3:<>;49?0mj6s|30c94?4|5:;j6<77;<12b?`a3ty8=o4?:3y>74d=90201>?i:023?xu49j0;6?u230a95<><5:;m6<>>;|q05a<72;q6?7}:;8o1=464=236>4673ty8=k4?:3y>74`=90201>?9:023?xu4;j0;6?u235695<><5:;n6kh4}r10`?6=:r78?i4>999>712=99:0q~=02788?4if:p716=838p1>:?:0;;?853:3;;<6s|35394?4|5:>:6<77;<170?7792wx?9<50;0x9625283370=;3;334>{t;=91<74??349?87hi;|q0fg<72;q6?ol51b6896e22h20q~=md;296~;4jk0j?63li:0;;?85d<3;;<6s|3b294?4|5:i;6<77;<1`7?`a3ty8o<4?:3y>7f7=90201>m<:023?xu4k;0;6?u23b095<><5:i?6<>>;|q0g6<72;q6?n=518:896e32ol0q~=l5;293~;4k<0:o9521e49b`=:9m21jk522669b`=:;:l1jk5213d9b`=:9::1jk5rs2a4>5<5s49h977m;<1`2?7>02wx?i=50;0xZ6b4349io7hj;|q74d<72=qU8=o4=061>cc<5:>:6kk4=011>cco5+33395a4v<54;'5<6=;;:0e4m50;9j750=831d>n950;9l7`3=831b?;;50;9l7a>=831d?nm50;9l72?=831b?=:50;9j36<722e8n:4?::k0=f<722c?4?::m0`0<722c9;>4?::m1ec<722e8n=4?::m2g=<72-;jo7?l7:l2eg<732e:o;4?:%3bg?7d?2d:mo4>;:m2`4<72-;jo7?l7:l2eg<532e:h=4?:%3bg?7d?2d:mo4<;:m2gc<72-;jo7?l7:l2eg<332e:oh4?:%3bg?7d?2d:mo4:;:m2ga<72-;jo7?l7:l2eg<132e:on4?:%3bg?7d?2d:mo48;:m2gg<72-;jo7?l7:l2eg5=ab826>h6ik0:76gi:18'5de=9;1e=ll52:9ja?6=,8kh6<<4n0ca>6=07dm50;&2ef<6:2d:mo4:;:ka>5<#9hi1=?5a1``92>=ni3:1(10e44?:%3bg?753g;jn764;h:94?"6ij0:>6`>ac8:?>o0290/=lm5139m5dd=i21b:7>5$0c`>446`>ac8`?>o6l3:1(c=ab826>h6ik0:<65f1883>!7fk3;97c?nb;32?>o603:1(2:l2eg<6<21i?9650;394?6|,:8:6kj4H264?M55j2emo7>5;|`2b7<72<0;6=u+3339bd=O;==0D>>o>?3:17do7:188k4e32900qo?6d;291?6=8r.8><4ia:J002=O;;h0(<>l:19j=g<722cj?7>5;h;4>5<<1<7=50;2x 6462o30D>:8;I11f>o>?3:17do7:188k4e32900qo<4i9:J002=O;;h0(<>l:19j=2<722cj47>5;n3`0?6=3th9=>4?:283>5}#;;;1j45G3558L64e3-;;o7>4i8594?=ni10;66a>c583>>{e9mn1<7=50;2x 6462o30D>:8;I11f>"68j0;7d78:188md>=831d=n:50;9~f66429086=4?{%115?`>3A9?;6F<2c9'55e=82c2;7>5;hc;>5<N4<>1C??l4i8594?=ni10;66a>c583>>{e:>h1<7=50;2x 6462o30D>:8;I11f>"68j0;7d78:188md>=831d=n:50;9~f7>e29086=4?{%115?`>3A9?;6F<2c9'55e=82c2;7>5;hc;>5<N4<>1C??l4$02`>5=n1>0;66gn8;29?j7d<3:17pl=b483>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl=b`83>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd4m?0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4j80;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4l?0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd6nl0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd6no0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5890;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo=8e;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo<4>0e9K711<@:8i7dhj:188k4??2900qo<4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f61f29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f6b>29086=4?{%115?7>i2B88:5G33`8mc`=831b==>50;9l5<>=831vn>l7:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>l6:180>5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f6c?29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f6c>29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f6cf29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f6ce290>6=4?{%115?5e3A9?;6F<2c9jbc<722c:<=4?::k244<722c:k;I173>N4:k1bjh4?::m2==<722wi?o:50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?o;50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?o850;794?6|,:8:6>l4H264?M55j2cmj7>5;h334?6=3`;;=7>5;h336?6=3f;247>5;|`174<72:0;6=u+33397<=O;==0D>5<5<7>52;294~"4:80:5<53;294~"4:80856F<469K77d5<4290;w)==1;3:e>N4<>1C??l4igd94?=n99:1<75`18:94?=zj;926=4<:183!5593927E=;7:J06g=nno0;66g>0183>>i6110;66sm22c94?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm22`94?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd5;j0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl=3g83>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg4383:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg4393:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn?:=:180>5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f72229086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>9850;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi>9950;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`10=<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:5}#;;;1?45G3558L64e3`lm6=44i023>5<5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj;>n6=4<:183!5593;2m6F<469K77d5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e:<81<7<50;2x 64628:o7E=;7:J06g=nnl0;66a>9983>>{e:<91<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl=5583>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd5=>0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo<:8;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo<:9;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f73f29086=4?{%115?7>i2B88:5G33`8mc`=831b==>50;9l5<>=831vn?;k:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a60c=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a60`=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1=4o4H264?M55j2cmj7>5;h334?6=3f;247>5;|`126<72:0;6=u+33397<=O;==0D>5<5<52;294~"4:80:5<53;294~"4:80856F<469K77d5<4290;w)==1;3:e>N4<>1C??l4igd94?=n99:1<75`18:94?=zj;<26=4<:183!5593927E=;7:J06g=nno0;66g>0183>>i6110;66sm27c94?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm27`94?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd5>j0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl=a383>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd5i=0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo<4>0e9K711<@:8i7dhj:188k4??2900qo<4>0e9K711<@:8i7dhj:188k4??2900qo<4i2B88:5G33`8mc`=831b==>50;9l5<>=831vn?o6:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a6dg=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>ll50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`1e6<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:5}#;;;1==j4H264?M55j2cmi7>5;n3:5}#;;;1=4o4H264?M55j2cmj7>5;h334?6=3f;247>5;|`1=<<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`1=d<72:0;6=u+33397<=O;==0D>5<5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<53;294~"4:80856F<469K77d5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3:f>5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e:1l1<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl=9183>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg4>93:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn?7=:180>5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f7?429086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>4:50;194?6|,:8:6<7n;I173>N4:k1bjk4?::k245<722e:554?::a6<3=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>4850;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`1=2<72=0;6=u+33395<7E==b:keb?6=3`;;<7>5;h335?6=3f;247>5;|`13a<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`1<6<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`1<1<72:0;6=u+33397<=O;==0D>5<5<52;294~"4:80:5<53;294~"4:80856F<469K77d5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3:;>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3::>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3:b>5<4290;w)==1;3:e>N4<>1C??l4igd94?=n99:1<75`18:94?=zj;=n6=4<:183!5593927E=;7:J06g=nno0;66g>0183>>i6110;66sm26d94?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd5090;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo<71;290?6=8r.8><4=831vn?6=:187>5<7s-99=7?6b:J002=O;;h0ekh50;9j556=831b==?50;9l5<>=831vn<7i:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<7s-99=7=m;I173>N4:k1bjk4?::k245<722c:<<4?::k247<722e:554?::a5d2=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi><;50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`165<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16=<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16<<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16d<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16g<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16f<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16a<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`16`<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`153<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`152<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`15=<72:0;6=u+33397<=O;==0D>5<5<53;294~"4:80856F<469K77d5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e:8h1<7:50;2x 6462:k0D>:8;I11f>oan3:17d??0;29?l7793:17b?68;29?xd59j0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl=1e83>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd59l0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl=1g83>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg4593:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn?<=:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a675=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>?:50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`160<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:;4?:283>5}#;;;1?45G3558L64e3`lm6=44i023>5<5<5<53;294~"4:80:5l5G3558L64e3`lm6=44i023>5<5<5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj8oo6=4=:183!5593;;h6F<469K77d0183>>o6880;66a>9983>>{e9o;1<7<50;2x 64628:o7E=;7:J06g=nnl0;66a>9983>>{e9l:1<7<50;2x 64628:o7E=;7:J06g=nnl0;66a>9983>>{e9l;1<7:50;2x 646283i7E=;7:J06g=nno0;66g>0183>>o6880;66a>9983>>{e9l81<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl>e283>1<729q/???53`9K711<@:8i7dhi:188m4672900e<>>:188k4??2900qo?j4;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f4c229086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=h850;194?6|,:8:6<7n;I173>N4:k1bjk4?::k245<722e:554?::a5`1=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=h650;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`2a<<72=0;6=u+33395<7E==b:keb?6=3`;;<7>5;h335?6=3f;247>5;|`0ga<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`0g`<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`0gc<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`0`5<72=0;6=u+33395<7E==b:keb?6=3`;;<7>5;h335?6=3f;247>5;|`0`4<72:0;6=u+33397<=O;==0D>5<5<53;294~"4:80856F<469K77d5<3290;w)==1;1b?M53?2B8>o5ffg83>>o6890;66g>0083>>i6110;66sm1g594?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm1g:94?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm1g;94?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm1gc94?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm1g`94?3=83:p(><>:2`8L6203A99n6gif;29?l7783:17d??1;29?l77:3:17b?68;29?xd6nj0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl>fe83>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg7a=3:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900qo<4=831vn?>m:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a65e=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a65b=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a65c=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a65`=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a646=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a647=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a644=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a652=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>=;50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`143<72:0;6=u+33397<=O;==0D>5<5<54;294~"4:80:5o5G3558L64e3`lm6=44i023>5<5<54;294~"4:808m6F<469K77d5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{t>3:1>vP9;<007?`a3ty<6=4={_58975e2ol0q~650;0xZ==::=;1jk5rs883>7}Y12798:4if:pe?6=:rTj70<;d;de?xue2909wSl4=370>c`60?=no1vi4?:3y]`>;5=o0mj6s|e;296~Xb348=97hi;|q24?6=:rT:<63=308245=z{8;1<728:;7p}>7;296~X6?279?44>019~w4>=838pR<64=31e>4673ty:57>52z\2=>;5<<0:<=5rs0c94?4|V8k01?:m:023?xu6j3:1>vP>b:?114<6891v?;|q2`?6=:rT:h63=5e8245=z{8o1<7l95fg9>64o5112897?a2oo01?69:023?87f:3ln70<=0;df?845l3ln70<=2;de?87bi3ln70?jc;de?87ai3ln703:19v386;3`0>;51k0mi63=2b8ea>;6mm0mi63=058eb>{t1j0;6nuQ9b9>5c4=1>16=4j5969>33<>?279<<467:?156<>?27:hi467:?046<>?279;o467:?1?279m=467:?03d4=0d7>467348;n7hi;|q2=`<72;q6=4j59c9>5d2=9020q~?6f;296~;61o0:55521`1955652z?2e5<61116=l=51138yv7f93:1>v3>a082===:9h91==<4}r3b6?6=:r7:m?4>999>5d2=99:0q~?n3;296~;6i:0:55521`69bc=z{8i>6=4={_3`1>;5;=0:555rs0a5>5<5sW;87S?l6:p5f>=839pRk5Q1b:8970e2ol0q~?l9;296~X6k016>>m518:8yv7di3:1>vP>c`9>614=9020q~?lb;296~X6kk16>96518:8yv7dk3:1>vP>cb9>61c=9020q~?ld;296~X6km16>8:518:8yv7dm3:1>vP>cd9>60g=9020q~?lf;296~X6ko16>;>518:8yv7c83:1>vP>d19>630=9020q~?k1;296~X6l816>;m518:8yv7c;3:1jvP>d29>6g3=nl16>l:5fg9>6585fg9>5d5=no16>?l5fd9>67c=nl16>5`d=nl16=hk5fd9>7fb=nl16=kl5fg9>65d=99:01?>k:gg8yv7ck3:18v3=0182===:;lh1jk523c49bc=:;jo1jh5rs0fg>5<5s4;oh7?l4:?2bc<58o<6kh4}r3f4?6=:r7:i=4>999>5`5=99;0q~?j1;296~;6m80:55521d695567>52z?2a7<61116=h;51128yv7b;3:1>v3>e282===:9l31==?4}r3f0?6=:r7:i94>999>5`0=no1ve78245=z{8o=6=4={<3f2?7>027:i:4>019~w4c02909w0?j7;3:<>;6m10mj6s|1d:94?4|58o36<77;<3f=?7782wx=ho50;0x94cf283370?j1;de?xu6mk0;6?u21d`95<><58o:6<>>;|q2af<72;q6=hm518:894c22ol0q~?jd;296~;6mm0:55521d09bc=z{8on6=4={<3fa?7>027:i?4>019~w4ca2909w0?jf;3:<>;6m10:<=5rs0d3>5<5s4;m<7?68:?2a<fe8eb>{t9o<1<74??34;mo7hi;|q2b2<72;q6=k9518:894`e28:;7p}>f983>7}:9o21=464=0da>4663ty:j44?:3y>5c?=90201<58l>6<>?;|q2bg<72;q6=kl518:894`d28:;7p}>fb83>7}:9oi1=464=0dg>4673ty:ji4?:3y>5cb=90201v3>fd82===::9:1jk5rs0de>5<5s4;mj7?68:?145<6891v?>>:18184793;h863=b`8eb>{t:981<7d><5;:j6<77;|q146<72;q6>==518:8976>2ol0q~6=4={<031?7>0279<54>009~w7612909w0;58>0mj6s|21594?4|5;:<6<77;<03=650;0x976?283370{t:931<74??348;m7hi;|q14g<72;q6>=l518:8976028:;7p}=0b83>7}::9i1=464=327>4673ty965b=90201?>9:023?xu58l0;6?u221g95<><5;:<6<>>;|q14c<72;q6>=h518:8976?2ol0q~<>0;296~;5990:55522179bc=z{;;:6=4={<025?7>0279<84>019~w7752909w0<>2;3:<>;58h0:<=5rs330>5<6>r79=>4>c59>72c=nl16>>?5fg9>664=nl16>>75fg9>66g=nl16>>h5fg9>616=nl16>9;5fg9>610=nl16>9l5fg9>61e=nl16>8?5fg9>604=nl16>895fg9>60>=nl16>8j5fg9>60c=nl16>;=5fg9>632=nl16>;75fg9>63g=nl1v??;:181846;3k370<=7;3:<>{t:8?1<74??348:47hi;|q153<72;q6><8518:8974328:;7p}=1683>7}::8=1=464=305>4673ty9=54?:3y>64>=90201??k:gd8yv4613:1>v3=1882===::;?1jk5rs33b>5<5s48:m7?68:?15`e;334>{t:8i1<74??348:j7??0:p64b=838p1??k:0;;?84593;;<6s|20g94?4|5;;n6<77;<016?7782wx>{t:;:1<74??348:47??0:p677=838p1?<>:0;;?845?3lm7p}=2383>7}::;81=464=300>c`52z?166<61116>?:5fg9~w7432909w0<=4;3:<>;5:<0:<=5rs306>5<5s48997?68:?163?850;0x9741283370<=7;334>{t:;21<74??348:h7??0:p67?=838p1?<6:0;;?84613;;<6s|23c94?4|5;8j6<77;<02e?7782wx>?l50;0x974e283370<>b;de?xu5:j0;6?u223a95<><5;;i6<>>;|q16a<72;q6>?j518:8977d2ol0q~<=e;296~;5:l0:555220a955652z?174<61116>>:5fg9~w7562909w0<<2;3:<>;5;:0:<=5rs311>5<5s488?7?68:?171<6891v?=7:18184413;2463=3b8eb>{t::31<74??3488n7??0:p66g=838p1?=m:0;;?844k3;;<6s|22g94?4|5;9m6<77;<076?`a3ty9?k4?:3y>616=90201?:>:023?xu5<90;6?u225395<><5;>96<>?;|q101<72;q6>9;518:8972?2ol0q~<;5;296~;552z?102<61116>9651128yv43i3:1>v3=4c82===::=o1jk5rs36a>5<5s48?o7?68:?10a<6891v?:l:181843l3;2463=4d8245=z{;?;6=4={<065?7>0279994if:p607=838p1?;=:0;;?842;3;;<6s|24094?4|5;?86<77;<060?7782wx>8850;0x9730283370<:a;de?xu5=>0;6?u224:95<><5;?26<>?;|q11=<72;q6>87518:8973f28:;7p}=5b83>7}::c`h7>52z?11`<61116>8h51128yv42m3:1>v3=5g82===::?:1==>4}r056?6=:r79:>4>999>630=no1v?8<:181841<3;2463=648245=z{;0279:;4>019~w70?2909w0<99;3:<>;5>j0mj6s|27;94?4|5;;o50;0x970e283370<9c;334>{t:>91<73ln7p}=7c83>47|5;=i67??0:?1e2<68816>465fg9>672ol01:gg8977228:;70<>7;df?87bn3ln70?j3;334>;6n?0mj63>f68ea>;58:0mj63=0g8ea>{t:>i1<7d><5;296<77;|q13a<72;q6>:j518:897>f2ol0q~<8e;296~;5?l0:55522909bc=z{;=m6=4={<04b?7>02794<4if:p6=6=838p1?6?:0;;?84?:3;;<6s|29394?4|5;2:6<77;<0;6?7792wx>5=50;0x97>4283370<7a;334>{t:1>1<74??3485;518:8971b28:;7p}=8783>7}::1<1=464=3:2>4673ty94:4?:3y>6=1=90201?9i:gd8yv4?03:1>v3=8982===::>l1==>4}r0;=?6=:r79444>999>6=7=99;0q~<7a;296~;50h0:55522929556515y>6=d=9j>01?oj:gd897g52ol01?o8:021?84>l3ln70<7e;de?840l3ln70<74;de?84?03ln70?n0;df?84503ln70<>6;df?84613lm70?kf;de?87a83;;<63>f08ea>;6n?0:<=521g:9b`=::991==>4=333>cc52z?1999~w7>c2909w0<7d;3:<>;50l0:<=5rs3:f>5<5s483i7?68:?1=2<6891v?6i:18184?n3;2463=958eb>{t:0:1<74??3482>7??0:p6<7=838p1?7>:0;;?84>?3;;=6s|28094?4|5;396<77;<0:7?`a3ty95>4?:3y>6<5=90201?7;:023?xu51=0;6?u228695<><5;3>6<>?;|q1=0<72;q6>4;518:897?12ol0q~<66;296~;51?0:55522859bc=z{;336=4={<0:0279584if:p66<5;386<>?;|q1=a<72;q6>4j518:897?128:;7p}=9d83>7}::0o1=464=3;2>c`52z?1=c<61116>4?51128yv4f83:1=cc<5;336<>?;<0;7?`b348387??0:?1<<<4if:?2`c<68916=k>5113894c72oo01;5980mi6s|2`394?4|5;k;6l64=3c0>4??3ty9m?4?:3y>6d4=90201?on:gd8yv4f<3:1>v3=a582===::h31jk5rs3c6>5<5s48j97?68:?1e=<6891v?o9:18184f>3;2463=ac8245=z{;k<6=4={<0b3?7>0279m>4>019~w7g?2909w0;5i00:<=5rs3c:>5<5s48j57?68:?1ed<6891v?on:18184fi3;2463=ac8eb>{t:hh1<74??348j?7hi;|q1ef<72;q6>lj518:897gb28:;7p}=ag83>7}Y:hl01?ln:0;;?xu5j<0;6?u22c795<><5;hj6<>?;|q046<72:qU>n94=220>4e3349hj7hj;|q041<72c`<5;;i6<>?;<3fg?778279>9;<0b3?778279m54if:?1=`<0;6;uQ377896b>28:;70=m9;de?85b03ln70=m3;df?85c83;;<6s|36;94?4|V:=270=89;3`0>{t;>h1<7<1<5:=j6<77;|q03a<72;q6?:75a99>72c=9020q~=6c;296~X41j16?o;5fd9~w6d72909wS=m0:?0f4<6111v>l=:18185e93ln70=m6;3:<>{t;k91<74??349i:7??0:p7g2=838p1>l;:0;;?85e>3;;=6s|3c794?4|5:h>6<77;<1a2?77:2wx?o950;0xZ6d0349i57?68:p7g>=838p1>l7:0;;?85e13;;<6s|3ba94?4|V:ih70=k1;3:<>{t;jn1<74??349o<7hi;|q0g`<72;q6?nk518:896b728::7p}7}:;jl1=464=2f2>4673ty8h=4?:3y>7a6=90201>j>:gd8yv5c=3:1>vP7a0=9020q~=k8;296~X4l116?i7518:8yv5cj3:1>vP7`g=nl1v>k::181[5b=278i;4>999~w6c02909w0=j6;df?85bj3;246s|3d:94?4|5:o36<77;<1ff?7782wx?h750;0x96c>283370=jb;335>{t;lk1<74??349nn7??2:p05g=83?pR9>n;<1g=?`a349i47hj;<1f=?`b349i87hj;|a70d=83;86;o575yK77d<,:8:6<<<;%3:4?5582c2o7>5;h32`?6=3`;?<7>5;h33a?6=3`9=97>5;n54>5<91<75`15794?=n;0i1<75f13694?=h9<81<75f41c94?=n;mh1<75`12794?=h:hl1<75`39`94?=h91>1<75`1g83>>i5?3:17o=;8;295?6=8r.8><4id:J002=O;;h0ckm50;9~f406290>6=4?{%115?`f3A9?;6F<2c9j=g<722cj?7>5;h;4>5<=1<7;50;2x 6462ok0D>:8;I11f>o>j3:17do<:188m<1=831bm54?::m2g1<722wi=4j50;794?6|,:8:6ko4H264?M55j2.:5<>of03:17b?l4;29?xd61:0;6>4?:1y'777=n01C?994H20a?l?02900el650;9l5f2=831vn?950;794?6|,:8:6ko4H264?M55j2c2n7>5;hc0>5<>i6k=0;66sm1g83>6<729q/???5f89K711<@:8i7d78:188md>=831d=n:50;9~f42229086=4?{%115?`>3A9?;6F<2c9j=2<722cj47>5;n3`0?6=3th:=n4?:283>5}#;;;1j45G3558L64e3-;;o7>4i8594?=ni10;66a>c583>>{e91>1<7;50;2x 6462ok0D>:8;I11f>o>j3:17do<:188m<1=831bm54?::m2g1<722wi=>;50;794?6|,:8:6ko4H264?M55j2c2n7>5;hc0>5<>i6k=0;66sm14094?3=83:p(><>:gc8L6203A99n6g6b;29?lg42900e4950;9je=<722e:o94?::a5=d=83?1<7>t$202>cg<@:><7E==b:k:f?6=3`k86=44i8594?=ni10;66a>c583>>{e9:i1<7;50;2x 6462ok0D>:8;I11f>o>j3:17do<:188m<1=831bm54?::m2g1<722wi=;>50;794?6|,:8:6ko4H264?M55j2c2n7>5;hc0>5<>i6k=0;66sm1`f94?5=83:p(><>:g;8L6203A99n6*>0b83?l?02900el650;9l5f2=831vn:180>5<7s-99=7h6;I173>N4:k1/==m50:k:3?6=3`k36=44o0a7>5<52;294~"4:80:5<52;294~"4:80:5<0183>>i6110;66sm17194?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm17694?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd6k80;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd6k;0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo=7c;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f6>c29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f6>b29086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=8:50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`210<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`213<72:0;6=u+33397<=O;==0D>5<5<;7>53;294~"4:80:5l5G3558L64e3`lm6=44i023>5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj8936=4=:183!5593;;h6F<469K77d0183>>i6110;66sm12`94?5=83:p(><>:0;b?M53?2B8>o5ffg83>>o6890;66a>9983>>{e91<1<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl>8683>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>8983>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>8883>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg7?i3:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900qo?m3;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo?m8;297?6=8r.8><4>9`9K711<@:8i7dhi:188m4672900c<77:188yg7e13:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a5gb=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a5gc=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1?45G3558L64e3`lm6=44i023>5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj8h>6=4<:183!5593;2m6F<469K77d5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e9k=1<7:50;2x 646283i7E=;7:J06g=nno0;66g>0183>>o6880;66a>9983>>{e9hl1<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl>b183>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd5j<0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5jh0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5jo0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo<4>9c9K711<@:8i7dhi:188m4672900e<>>:188k4??2900qo?;7;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo?;9;297?6=8r.8><4>9`9K711<@:8i7dhi:188m4672900c<77:188yg73i3:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn<:m:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn<:l:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn<:k:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a51c=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1=4o4H264?M55j2cmj7>5;h334?6=3f;247>5;|`215<72:0;6=u+33397<=O;==0D>5<5<53;294~"4:80:5l5G3558L64e3`lm6=44i023>5<5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e;=0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl<5;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=9:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>950;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`0N4<>1C??l4igd94?=n99:1<75f11394?=h9021<75rb07:>5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{e99983>>{e99983>>{e99983>>{e9:8;I11f>oan3:17d??0;29?j7>03:17pl>5d83>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd6=o0;694?:1y'777=;h1C?994H20a?l`a2900e<>?:188m4662900c<77:188yg>f29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f=d=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::a<7E==b:kea?6=3f;247>5;|`;`?6=:3:15<5<2290;w)==1;1a?M53?2B8>o5ffg83>>o6890;66g>0083>>o68;0;66a>9983>>{e0o0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl>9g83>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>a183>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>a083>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>a383>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl>a283>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg7f<3:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f4g129086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=l950;194?6|,:8:6<7n;I173>N4:k1bjk4?::k245<722e:554?::a6d<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`1f?6=;3:1N4<>1C??l4igd94?=n99:1<75`18:94?=zj;i1<7<50;2x 64628:o7E=;7:J06g=nnl0;66a>9983>>{e:m0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5m3:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn?h50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`04?6=<3:1N4<>1C??l4igd94?=n99:1<75f11394?=h9021<75rb2394?5=83:p(><>:0;b?M53?2B8>o5ffg83>>o6890;66a>9983>>{t9o0;6?uQ1g9>5c<6k=1v?950;33[40348<697hj;<303?`a34;847hj;<3;2?`a34;3;7hj;<3a5<3s48<6l64=0;0>d><58<;64l4=2:95<>5<5s48j6<77;<0f>4673ty9n7>52z?1f?7>0278<7??0:p6f<72;q6>n4>999>6c<6891v?j50;0x97b=90201>>51138yv4b2909w0{t;:0;6?u23582===:;>0mj6s|3583>7}:;<0:5552368245=z{:?1<7<5:21==?4}r15>5<5s49<6<77;<1;>c`l3k37067:gd894032ol014e33ty3;7>52z?43?g?34236<77;|q;=?6=;r7<;77m;<355??e342m6<77;|q;e?6=:r73m7?68:?;a?7782wx4o4?:3y>009~w=e=838p15m518:89=c=9980q~6k:1818>c2833706i:023?xu?m3:1>v37e;3:<>;?n3lm7p}6c;2954}Y1j16=;?5969>32<>?27:5i467:?2=6<>?279;778;<3e><1<58>>6494=03`><1<582?6494=016><1<58?96494=0:a><1<589h6494=043><1<58ko6494=0`2><152z\24`=:98i1m55rs03`>5<1s4;:o7?l4:?2f6019>5d`=99:01<:8:gg89=d=nl1v?;<36=?`a34;j:7hi;<0e>c`52z\270=:9:?1=n:4}r302?6=:r7:?84n8:?27g<6111v<=8:181874?3;2463>3c8eb>{t9:21<74??34;8m7hi;|q27<<72;q6=>7518:8945f28:;7p}>3`83>7}:9:k1=464=01a>4673ty:?n4?:3y>56e=9j>01<=8:023?xu6;m0;6?u212a9e==:9:o1=464}r374?6=;rT:8=522cd9bc=:9h91jk5rs066>5<5sW;?963>4482g1=z{8>=6=4={<371?g?34;?47?68:p511=838p1<:8:0;;?873l3;;<6s|15;94?4|58>26<77;<37b?`a3ty:8l4?:3y>51g=90201<:j:gd8yv73j3:1>v3>4c82===:9=o1==>4}r37g?6=:r7:8n4>999>506=99:0q~?;d;296~;6n6=4={<37a?7>027:8k4>019~w42a2909w0?;f;3:<>;6=90mj6s|14294?4|58?;6<77;<37>7?l4:p505=838p1<;=:`:8943028337p}>5583>7}:9<>1=464=074>c`97>52z?210<61116=8851128yv72>3:1>v3>5782===:9<=1==>4}r365e8eb>{t94??34;>h7??0:p50d=838p1<;m:0;;?872n3lm7p}>5b83>7}:94663ty:9i4?:3y>50b=90201<;j:023?xu6=l0;6?u214g95<><58?m6<>?;|q225<72;q6=;>51b68943328:;7p}>6083>7}:9?;1=n:4=3a3>4673ty::?4?:3y>537=i116=;:518:8yv71;3:1>v3>6282===:9?>1==>4}r3;0?6=:rT:495219695f252z?2<1999~w4>12909w0?76;3:<>;60h0mj6s|19594?4|582<6<77;<3;=?`a3ty:454?:3y>5=>=90201<66:023?xu6000;6?u219;95<><582j6<>?;|q2128:;7p}>8b83>7}:91h1m55219f95<>53z?2=6<6k=16=5l59c9>56e=1k1v<7::18a85303lh70?91;c0?8102h901<7k:`18971=i:16=5:5a29>563=i:16=8<5a29>5=d=i:16=>m5a29>536=i:1v<7k:18487>l3;h863>b`8ea>;6l33i70?n7;3:<>{t90l1<74??34;j?7??0:p5d6=838p1a083>7}:9h;1=464=0c7>4673ty:m?4?:3y>5d4=90201<58k>6kh4}r3b0?6=:r7:m94>999>5d3=99:0q~?n5;296~;6i<0:55521`4955652z?2e3<61116=l95fg9~w4gc290iw0?nd;3`0>;6k80mi63>bc8ea>;6jj0mj63>ag8eb>;6v3>ae8b<>;6j90:555rs0ce>5<5s4;jj7?68:?2f5<6891v:18g87e93;h863>c38eb>;6j10mj63>be8ea>;6jl0mj63>b18eb>;6<00mj63>4e8eb>;4<3;;<63>5c8ea>;?i3ln70?n1;df?84b2ol0q~?m2;296~;6j80j463>b682===z{8h86=4={<3a7?7>027:nh4>019~w4d32909w0?m4;3:<>;6j>0:<<5rs0`6>5<5s4;i97?68:?2f3<58h>6kh4}r3a=?6=:r7:n44>999>5g`=no1vbg8245=z{8hi6=4={<3af?7>027:n;4>019~w4dd2909w0?mc;3:<>;6j=0mj6s|1cf94?4|58ho6<77;<3a0?7782wx=ok50;0x94db283370?m7;334>{t9kl1<74??34;i97??0:p5f6=838p1:0;;?87d:3;;<6s|1b194?2|58l1m5521969=g=:9:?15o521b095<>52z\1ec=::j:1=464}r0a1?6=:r79n84>999>6g`=99:0q~52z?1fc<61116>n>5fg9~w6022909wS=95:?0e3493i7?68:p7=e=838p1>6l:0;;?85?m3lm7p}<8e83>7}:;1n1=464=2:f>4673ty85n4?:2y]7cc53z\0`g=:91n1jh5219:9b`=z{=:j6=4;{_63e>;6>90j463<8b8245=:9<<1jk5r}c161?6=k38i6>=tH20a?!5593li7)?60;114>i38:0;66a<7g83>>o4><0;66a83;29?l`?2900e:650;9j3a<722c9n=4?::k1f0<722c9nl4?::m036<722c:<:4?::`00=<7280;6=u+3339ba=O;==0D>5<55;294~"4:80mm6F<469K77d>o>?3:17do7:188k4e32900qo<4ia:J002=O;;h0e4l50;9je6<722c2;7>5;hc;>5<N4<>1C??l4i8`94?=ni:0;66g67;29?lg?2900c50z&0644?::k:3?6=3`k36=44o0a7>5<5;hc0>5<>i6k=0;66sm33g94?3=83:p(><>:gc8L6203A99n6g6b;29?lg42900e4950;9je=<722e:o94?::a7c<72:0;6=u+3339b<=O;==0D>>i6k=0;66sm7583>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl85;297?6=8r.8><4>9`9K711<@:8i7dhi:188m4672900c<77:188yg4d<3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg4d=3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg4d>3:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn9=50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?5;50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?5850;194?6|,:8:6<7n;I173>N4:k1bjk4?::k245<722e:554?::a766=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:52;294~"4:80:5<<>:02g?M53?2B8>o5ffd83>>i6110;66sm7b83>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd5jm0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5jl0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl=b983>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl=b883>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xd5j:0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd5j=0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17pl;0;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo:?4;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo:?5;297?6=8r.8><4>9`9K711<@:8i7dhi:188m4672900c<77:188yg50<3:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900qo9k:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn5<50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi4>4?:383>5}#;;;1==j4H264?M55j2cmi7>5;n3:52;294~"4:80:5<6=4::183!55939i7E=;7:J06g=nno0;66g>0183>>o6880;66g>0383>>i6110;66sm32094?5=83:p(><>:0;b?M53?2B8>o5ffg83>>o6890;66a>9983>>{e;:91<7=50;2x 646283j7E=;7:J06g=nno0;66g>0183>>i6110;66sm32694?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm32794?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sm32494?3=83:p(><>:2`8L6203A99n6gif;29?l7783:17d??1;29?l77:3:17b?68;29?xd4;>0;6>4?:1y'777=90k0D>:8;I11f>oan3:17d??0;29?j7>03:17p}v3d><5;h=6l64=3`2>d><5>?1=464=2:6>cc<5:9;6kh4=929b`=:?k0mi63=be8ea>;5j10mi63=b28ea>;4;<0mi6s|7583>7}:?=0:5552748245=z{>=1<7=t=257>c`<51?1=464=215>c`999~w2d=838p1:l518:892e=99:0q~9k:180[1c342:6kh4=909b`=z{>o1<7o15o528082===z{1:1<7<51;1==>4}r:1>5<5s4=o6<77;<:6>c`4??342>6<>?;|q;0?6=:r73?7?68:?;1?7792wx484?:3y><1<61116484>039~wc>=833pRk64=25e><1<5;h:6494=3`5><1<5;hi6494=6;9=2=:?l02;63<2d8:3>;383ln7p}>0683>6}Y99=01>69:gd8965728:;7p}>9483>=}:;=21jn5236d9e6=::k;1m>522c49e6=::kh1m>52788b7>;0m3k870==e;c0?xu5j90;6>uQ2c2897d32ol01>=<:gd8yv4e93:1>v3=b082g1=:;:91==>4}r0a6?6=:r79n<46b:?1f1<6111v?l<:18184e;3;2463=b58245=z{;h>6=4<{_0a1>;5k<0mi63=b88eb>{t:k<1<74e3348h87hj;|q1f2<72;q6>o859c9>6g?=9020q~53z\1fd=::ko1jk523209bc=z{;hi6=4={<0af?7d<278??4>019~w7dd2909w0n=50;0x97e1283370=<4;df?xu5k=0;6?u22b695<><5;i=6kh4}r0`1?6=:r79o84>999>6f0=99:0q~==e;296~;4:l0:o9524169b`=z{:8m6=4={<11a?g?3498<7?68:p767=839p1>=8:0;;?xu4;;0;6?u232095<><5:9=6<>?;|q076<72;q6?>=518:8965128::7p}<3583>7}:;:>1=464=215>4653ty8?84?:3y>763=90201>=8:023?xu4;?0;6?u232495<><5:9<6kh4}r151?6==rT8:852758ea>;3;3ln70:?5;de?850<3;;<6s|36194?4|V:=870=84;3:<>{t;>l1<74??3ty8484?:3y>7=3=90201>69:023?xu38:0;6?uQ4118916228337p};0583>7}:<9>1=464=526>4673twi?8o50;36>d?=j=qC??l4$202>4453-;2<7==0:m25a<722c?<>4?::k03c<722c:4k4?::m24`<722e8:84?::ka5?6=3`9o?7>5;h371?6=3f9<=7>5;h;:>5<>d4<10;6<4?:1y'777=nm1C?994H20a?j`d2900qo=jc;291?6=8r.8><4ia:J002=O;;h0e4l50;9je6<722c2;7>5;hc;>5<5<4290;w)==1;d:?M53?2B8>o5f9683>>of03:17b?l4;29?xddj3:1?7>50z&0645}#;;;1j45G3558L64e3`3<6=44i`:94?=h9j>1<75rbb194?5=83:p(><>:g;8L6203A99n6g67;29?lg?2900c3A9?;6F<2c9j=2<722cj47>5;n3`0?6=3th85=4?:283>5}#;;;1j45G3558L64e3`3<6=44i`:94?=h9j>1<75rb0d94?5=83:p(><>:g;8L6203A99n6g67;29?lg?2900c50z&0645}#;;;1j45G3558L64e3`3<6=44i`:94?=h9j>1<75rb353>5<4290;w)==1;d:?M53?2B8>o5f9683>>of03:17b?l4;29?xd>;3:1?7>50z&064750;194?6|,:8:6k74H264?M55j2c2;7>5;hc;>5<5<4290;w)==1;d:?M53?2B8>o5f9683>>of03:17b?l4;29?xd4lk0;6>4?:1y'777=n01C?994H20a?l?02900el650;9l5f2=831vn>7l:180>5<7s-99=7h6;I173>N4:k1b5:4?::kb5;|`020<72:0;6=u+3339b<=O;==0D>5<0;66gn8;29?j7d<3:17pli4;297?6=8r.8><4i9:J002=O;;h0e4950;9je=<722e:o94?::a63`=8391<7>t$202>c?<@:><7E==b:k:3?6=3`k36=44o0a7>5<0;66gn8;29?j7d<3:17pl<3983>6<729q/???5f89K711<@:8i7d78:188md>=831d=n:50;9~fdd=8391<7>t$202>c?<@:><7E==b:k:3?6=3`k36=44o0a7>5<55;294~"4:80mm6F<469K77d>o>?3:17do7:188k4e32900qo?:1;297?6=8r.8><4i9:J002=O;;h0(<>l:19j=2<722cj47>5;n3`0?6=3thnm7>53;294~"4:80m56F<469K77d<,8:h6=5f9683>>of03:17b?l4;29?xd6><0;6>4?:1y'777=n01C?994H20a?!77k3:0e4950;9je=<722e:o94?::a530=8391<7>t$202>c?<@:><7E==b:&24f<73`3<6=44i`:94?=h9j>1<75rb04a>5<4290;w)==1;d:?M53?2B8>o5+11a94>o>?3:17do7:188k4e32900qo?86;297?6=8r.8><4i9:J002=O;;h0(<>l:19j=2<722cj47>5;n3`0?6=3th:;:4?:283>5}#;;;1j45G3558L64e3-;;o7>4i8594?=ni10;66a>c583>>{e9>21<7=50;2x 6462o30D>:8;I11f>"68j0;7d78:188md>=831d=n:50;9~f41>29086=4?{%115?`>3A9?;6F<2c9'55e=82c2;7>5;hc;>5<N4<>1C??l4$02`>4=n1>0;66gn8;29?j7d<3:17plk2;297?7=;rB8>o5+33395<4>i48k0;66l<4e83>6<729q/???53548L6203A99n6gif;29?l7783:17b?68;29?xufn3:1>vPnf:?00a<6891vo>50;0xZg6<5:>o6kh4}r13f?6=:rT853;397~N4:k1/???51808md`=831bn=4?::m04g<722h88i4?:283>5}#;;;1?984H264?M55j2cmj7>5;h334?6=3f;247>5;|qbb?6=:rTjj63<4e8245=z{k:1<7>d44?:1y'777=;=<0D>:8;I11f>oan3:17d??0;29?j7>03:17p}nf;296~Xfn2788i4>019~wg6=838pRo>4=26g>c`52z\04g=:;=n1=464}|``b?6=;3;1?vF<2c9'777=9080elh50;9jf5<722e8<7E==b:keb?6=3`;;<7>5;n3:52z\bb>;4:k:gd8yv57j3:1>vP<0c9>71b=9020qpl=6d83>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188yg1c29086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wiml4?:283>5}#;;;1?45G3558L64e3`lm6=44i023>5<5<5<7>52;294~"4:80:5<53;294~"4:80856F<469K77d5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2g1>5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{eil0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4;k0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd>=3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg40:3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg5f=3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg5b<3:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188yg5a83:1>7>50z&064<68m1C?994H20a?l`b2900c<77:188ygec29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~ffc=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:52;294~"4:80:5<0183>>i6110;66smc483>7<729q/???511f8L6203A99n6gie;29?j7>03:17pll6;297?6=8r.8><4<9:J002=O;;h0ekh50;9j556=831d=4650;9~ff7=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::ag7<72:0;6=u+33397<=O;==0D>5<5<0183>>i6110;66smd783>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188ygb?29086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wij;4?:383>5}#;;;1==j4H264?M55j2cmi7>5;n3:7>52;294~"4:80:5<<>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd5=3:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900qo<9:180>5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f4>729096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f4>629086=4?{%115?7>i2B88:5G33`8mc`=831b==>50;9l5<>=831vn<6=:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a5=5=8391<7>t$202>4?f3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi=:l50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`23f<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`23a<72:0;6=u+33397<=O;==0D>5<5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<7>55;294~"4:808n6F<469K77d5<4290;w)==1;3:e>N4<>1C??l4igd94?=n99:1<75`18:94?=zj8=?6=4<:183!5593927E=;7:J06g=nno0;66g>0183>>i6110;66sm16794?5=83:p(><>:0;b?M53?2B8>o5ffg83>>o6890;66a>9983>>{e9?21<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl>6883>0<729q/???518a8L6203A99n6gif;29?l7783:17d??1;29?l77:3:17b?68;29?xd6>h0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo=9b;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=9c;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=9d;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=9e;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=9f;296?6=8r.8><4>0e9K711<@:8i7dhj:188k4??2900qo=80;292?6=8r.8><4=831vnhm50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wiii4?:383>5}#;;;1==j4H264?M55j2cmi7>5;n3:52;294~"4:80:5<9983>>{en80;6:4?:1y'777=;m1C?994H20a?l`a2900e<>?:188m4662900e<>=:188m4642900e<>;:188k4??2900qo=ic;291?6=8r.8><43A9?;6F<2c9jbc<722c:<=4?::m2==<722wi?kk50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?kh50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi8=>50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`744<72:0;6=u+33397<=O;==0D>5<5<;>7>53;294~"4:80856F<469K77d5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;7>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;6>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;5>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;4>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;;>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;:>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb2;b>5<0290;w)==1;1g?M53?2B8>o5ffg83>>o6890;66g>0083>>o68;0;66g>0283>>o68=0;66a>9983>>{e;0h1<7=50;2x 646283j7E=;7:J06g=nno0;66g>0183>>i6110;66sm3g094?1=83:p(><>:2f8L6203A99n6gif;29?l7783:17d??1;29?l77:3:17d??3;29?l77<3:17b?68;29?xd4n:0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4n=0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4n<0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4n?0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4n>0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd4n10;694?:1y'777=;h1C?994H20a?l`a2900e<>?:188m4662900c<77:188yg5a13:1?7>50z&064<61h1C?994H20a?l`a2900e<>?:188k4??2900qo=ia;290?6=8r.8><4=831vn>o8:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>o7:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>o6:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>on:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>om:181>5<7s-99=7??d:J002=O;;h0ekk50;9l5<>=831vn>ol:180>5<7s-99=7=6;I173>N4:k1bjk4?::k245<722e:554?::a7db=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:5}#;;;1=4o4H264?M55j2cmj7>5;h334?6=3f;247>5;|`0ec<72=0;6=u+33397d=O;==0D>5<5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj88;6=4::183!5593;2o6F<469K77d5<4290;w)==1;1:?M53?2B8>o5ffg83>>o6890;66a>9983>>{elh0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xdcm3:187>50z&064<4i2B88:5G33`8mc`=831b==>50;9j557=831d=4650;9~fa`=8381<7>t$202>46c3A9?;6F<2c9jb`<722e:554?::aa5<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`f5?6=:3:15<5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rbd194?4=83:p(><>:02g?M53?2B8>o5ffd83>>i6110;66sme583>6<729q/???5389K711<@:8i7dhi:188m4672900c<77:188ygc2290?6=4?{%115?5f3A9?;6F<2c9jbc<722c:<=4?::k244<722e:554?::a`g<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:54;294~"4:808m6F<469K77d0183>>i6110;66smb883>7<729q/???511f8L6203A99n6gie;29?j7>03:17plma;291?6=8r.8><4>9b9K711<@:8i7dhi:188m4672900e<>>:188m4652900c<77:188ygde29096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~fge=8391<7>t$202>6?<@:><7E==b:keb?6=3`;;<7>5;n3:53;294~"4:80856F<469K77d<>:0;b?M53?2B8>o5ffg83>>o6890;66a>9983>>{e98:1<7;50;2x 646283h7E=;7:J06g=nno0;66g>0183>>o6880;66g>0383>>i6110;66sm10694?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd69<0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd69?0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd69>0;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd6910;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd6900;6?4?:1y'777=99n0D>:8;I11f>oam3:17b?68;29?xd69h0;684?:1y'777=;k1C?994H20a?l`a2900e<>?:188m4662900e<>=:188k4??2900qo?>b;297?6=8r.8><4>9`9K711<@:8i7dhi:188m4672900c<77:188yg7693:1?7>50z&064<412B88:5G33`8mc`=831b==>50;9l5<>=831vn5<7s-99=7?6a:J002=O;;h0ekh50;9j556=831d=4650;9~f47429086=4?{%115?7>i2B88:5G33`8mc`=831b==>50;9l5<>=831v5<5s4;m6l64=3495<>4??348=6kh4}r07>5<5s48?6<77;<06>4673ty997>52z?11?7>0279:7??0:p32<72999>53b=nl16=;75fg9>576=no16=<;5fd9~w<6=838p14>51b6892b=99:0q~7>:18b8?720=01>jm:85896?d20=01>68:858960220=01>=7:858970a20=01<;>:8589dd=1>165?4>999~w<5=838p14=51b6892b=no1v4:50;6x9<5=i1165=4n8:?25a<1<5k=15:52cc8:3>;d?33<70m<:8589g`=1>16?4>5969>5c<>?27:<1<5:926494=`a9=2=:98n15:52e`8:3>;6><02;63>678:3>;6>k02;63>778:3>;6?>02;63>798:3>;6?002;63>7d8:3>;>:3ln7p}69;29<~X>127m:7hj;<3;5?`a34;178ea>{tik0;6?u2ac82g1=:ih0:<=5rs`a94?4|5hi1=n:4=`c9bc=z{hn1<7=t=`a9e==:ik0j463ne;3:<>{tj80;6?uQb09>b1f2<6k=16ok4nf:?g0?77827no7hj;<1eg?77:278j?4if:?0b1cc<5kh1jh5rsc:94?4|5k=1m552bd82===z{k31<7<5ki1==>4}r`b>5<5s4hj6<77;<`g>c`4??34ho6<>?;|qag?6=:r7io7?68:?aa?`a3tyih7>52z?a`?7>027ii7??0:pf6<72hq6nk4>c59>gccc<5:lo6kh4=2d1>467349m?7hj;46734nm6kk4=cc9bc=z{j:1<74e334n;6o>4=e49bc=:n90mi63cc<5l91jh52b`8245=z{j>1<76=47{4e334n:6o>4=e:9bc=:mo0mi63;4n>0mi63j2;df?8df28::7p}l8;296~;d?3k370mn:0;;?xud13:1>v3l9;3:<>;di3;;<6s|b783>=}:kk0:o952d38a4>;a93;;<63;4n?0mi63ke;335>;b93ln70ln:021?xudk3:1>v3lb;c;?8eb28337p}ld;296~;dl3;2463le;334>{tl:0;6>u2d18bb>;c<3;2463k6;334>{tl<0;6>u2d08bb>;c>3;2463k8;334>{tl>0;6?u2d38bb>;c03;246s|d883>0}:km0mi63l9;df?8e22oo01n?5fd9>`a<6111vio50;0x9ag=90201h:51128yvbe2909w0jm:0;;?8bd28:;7p}kc;296~;ck3;2463kd;334>{tll0;6?u2dd82===:lk0mj6s|dg83>7}:lo0:5552e48245=z{l:1<7<5l?1jk5rsd394?4|5l;1=464=d795574??34nh6kh4}rg0>5<5s4o86<77;4663tyn87>52z?f0?7>027oh7hi;|qf1?6=:r7n97?68:?gf?7782wxi;4?:3y>gc<48k16o?4if:pa2<72;q6h=4<0c9>g3`4<48k16ol4if:pa<<72;q6h?4<0c9>g`ad<6k=16=;h5fd9>53?=99;01<:0;;?xubk3:1>v3jc;3:<>;a93lm7p}jd;296~;bl3;2463i1;335>{tml0;6?u2ed82===:n80:<>5rsdd94?4|5ll1=464=g395544??34l:6<>;;|qe0?6=4e334nj6kk4=c;9b`=z{o?1<7=t=g795f2<5l>1jk52bb8eb>{tn>0;6?u2f58:3>;a>3;246s|11g94?2|V8:n70??e;3`0>;4nj0mj631083>7}:98;1=464=031>4673ty:=?4?:3y>544=90201<58;96kh4}r321?6=:r7:=84>999>54g=no1v3;2463>1`8245=z{8;<6=4={<323?7>027:=l4>009~w47?2909w0?>8;3:<>;69h0:5<5s4;:57?68:?25g<6891v1c8eb>{t98h1<74??34;:=7??0:p54b=838pR:0;;?xu69o0;6?u210d95<><588:6kh4}r314?6=:r7:>=4>999>577=99:0q~?6483>7}:9??1=n:4=0:0>c`53z?220c59>7<1=nl1v<88:181871>3k370?9a;3:<>{t9?21<74??34;=m7hi;|q22<<72;q6=;7518:8940f28:;7p}>6c83>d}:9?h1=n:4=2:b>c`<5;91==<4=057>c`<58<36kh4=24f>cc<5:3>6kk4=2ca>cc<58;m6kh4=032>c`52z?22g999~w40c2909w0?9d;3:<>;6?;0mj6s|17g94?4|58{t9>:1<74??34;<>7??2:p527=838p1<9>:0;;?870;3;;<6s|16094?4|58=96<77;<347?`a3ty:;>4?:3y>525=90201<9;:023?xu6?=0;6?u216695<><58=>6<>?;|q233<721q6=:851b6896>f28:;70<::gd894122ol01>8k:gg896?42oo01>on:gg8947728:97p}>7683>2}:9><1m55216595f2<5;91==?4=24a>cc<5:3?6kk4=2c:>cc<58;;6<>>;|q23=<72>q6=:95a99>52>=9j>01?=5fg9>73e=nl16?465fd9>7d>=nl16=<>5fg9~w41>290;4>o0mi63<978ea>;4i>0mi63>118245=z{8=j6=4={<34=?g?34;7b83>7}:9>i1=464=05g>4673ty:;h4?:4y>52c=9j>01?:5fg9>5=4=no16=:l51128947328:;7p}>7g83>7}:9>o1m55219195<>52z?2<5<61116=5?51128yv7?93:1>v3>8082===:9181==>4}r3;6?6=:r7:4?4>999>5=5=99:0q~?7e;296~X60l16?h<5fg9~w4>a2908wS?7f:?0=<;6:90:019~w7172909w0<80;3`0>;5>l0mj6s|26394?5|5;=;6l64=34e>d><5;=96<77;|q07=<72:q6?>651b6896`d28::70=i2;337>{t;:31<7=t=21:>4e3349mo7??0:?0b7<68=1v>=n:18085413k370=<8;c;?854j3;246s|37794?4|V:<>70=95;3`0>{t;?k1<7d><5:=;6<77;|q02g<72;q6?;l518:8961728:;7p}<6b83>7}:;?i1=464=253>c`52z?02a<61116?:>51138yv51m3:1>v3<6d82===:;>:1==<4}r15b?6=:r78:k4>999>726=9990q~=81;290~X4?816?965fb9>7`e=i:16=5<5sW93;63<8682g1=z{:226=4={<1;3?g?3493m7?68:p7<6=838pR>7?;<1:4?7d<2wx?4<50;0x96?72h201>7m:0;;?xu41:0;6?u238195<><5:3j6<>?;|q0=1<72;q6?4:518:896?f2ol0q~=65;296~;41<0:555238c955752z?0=3<61116?4o51118yv5>?3:1>v3<9682===:;0k1==<4}r1:999>70q~=69;296~;4100:555238`955652z?0=d<61116?4l5fg9~w6?d2909wS=6c:?0=f<6k=1v>o>:18185f;3;2463{t;h81<74??349j?7??0:p7d2=838p1>7l:`:896g228337p}6}:;h81jh523d39b`=:;hl1=464}r1b3?6=:r78m:4>999>7de=no1v>o7:18185f03;24630278mk4if:p7dg=838p1>on:0;;?85fl3lm7p}7}:;hh1=464=2cg>4673ty8mn4?:3y>7de=90201>oj:023?xu4im0;6?u23`f95<><5:km6<>>;|q0e`<72;q6?lk518:896ga28:;7p}7}Y;m901?9=:gg8yv5cj3:1>vP7ad=9j>0q~=j0;296~;4m;0:55523d69b`=z{:o:6=4={<1f5?7>0278i?4>019~w6c42909w0=kb;c;?85b<3;246s|3da94?5|V:oh70=jc;3`0>;bl3ln7p}7}:;li1m5523g295<>52z?0af<>j278jl4>999~w6`52909w0=i2;3:<>;4n00mj6s|3g194?4|5:l86<77;<1e<5:l36<>>;|q0b3<72;q6?k8518:896`f2ol0q~=i7;296~;4n>0:55523gc955752z?0b=<61116?k751128yv5a13:1>v34}r1ef?6=:r78j=4ie:?747<6111v>hl:18185ak3;2463;038eb>{t;on1<74??34>;=7hi;|q0b`<72;q6?kk518:891672ol0q~=if;296~;4no0:55524129556;<7>52z?745<611168=?51128yv2793:1>v3;0082===:<981==>4}r637?6=:rT?<>5232`9b`=zuk9>?7>57;0e>64|@:8i7)==1;c7?!7>8399<6a<0783>>o5k>0;66a<0583>>oa03:17b==2;29?j55>3:17d7n:188f62?290:6=4?{%115?`c3A9?;6F<2c9lbf<722wi??<50;794?6|,:8:6ko4H264?M55j2c2n7>5;hc0>5<>i6k=0;66sm2g694?3=83:p(><>:gc8L6203A99n6*>0b83?l?e2900el=50;9j=2<722cj47>5;n3`0?6=3th8>;4?:483>5}#;;;1jl5G3558L64e3`3i6=44i`194?=n1>0;66gn8;29?j7d<3:17pl=d183>6<729q/???5f89K711<@:8i7)??c;28m<1=831bm54?::m2g1<722wi>i?50;194?6|,:8:6k74H264?M55j2.:5<50z&0645;|`1`1<72:0;6=u+3339b<=O;==0D>>i6k=0;66sm2e794?5=83:p(><>:g;8L6203A99n6*>0b83?l?02900el650;9l5f2=831vn?j9:180>5<7s-99=7h6;I173>N4:k1/==m50:k:3?6=3`k36=44o0a7>5<53;294~"4:80m56F<469K77d<,8:h6=5f9683>>of03:17b?l4;29?xd5ll0;6>4>:2yK77d<,:8:6<7=;hce>5<=7E=;7:J06g=nno0;66g>0183>>i6110;66s|ag83>7}Yio16?9j51128yvd72909wSl?;<17`?`a3ty8o6<77;|a6ab=8391=7=tH20a?!5593;2>6gnf;29?ld72900c>>m:188f62c29086=4?{%115?53>2B88:5G33`8mc`=831b==>50;9l5<>=831vlh50;0xZd`<5:>o6<>?;|qa4?6=:rTi<63<4e8eb>{t;9h1<75<42808wE==b:&064<61;1bmk4?::ka4?6=3f9;n7>5;c17`?6=;3:15<5<7}Yj916?9j5fg9~w66e2909wS=?b:?00a<6111vqoo5+33395<4>i48k0;66l<4e83>6<729q/???53548L6203A99n6gif;29?l7783:17b?68;29?xufn3:1>vPnf:?00a<6891vo>50;0xZg6<5:>o6kh4}r13f?6=:rT86}O;;h0(><>:0;1?lga2900eo>50;9l75d=831i?9j50;194?6|,:8:6>:9;I173>N4:k1bjk4?::k245<722e:554?::pec<72;qUmk5235f95567}Y;9h01>:k:0;;?x{e:m31<7=51;1xL64e3-99=7?62:kbb?6=3`h;6=44o22a>5<o6=4<:183!55939?:6F<469K77d:k:023?xue83:1>vPm0:?00a"4:80:5?5fag83>>oe83:17b=?b;29?g53l3:1?7>50z&064<4?:188k4??2900q~oi:181[ga349?h7??0:pf5<72;qUn=5235f9bc=z{::i6=4={_13f>;45<5<5<5<53;294~"4:80:5l5G3558L64e3`lm6=44i023>5<5<N4<>1C??l4igd94?=n99:1<75`18:94?=zj;o86=4<:183!5593927E=;7:J06g=nno0;66g>0183>>i6110;66sm2d794?5=83:p(><>:2;8L6203A99n6gif;29?l7783:17b?68;29?xd5m>0;6>4?:1y'777=;01C?994H20a?l`a2900e<>?:188k4??2900qo<4<9:J002=O;;h0ekh50;9j556=831d=4650;9~f7ce29086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi>ih50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?=950;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`04=<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`04<<72:0;6=u+33397<=O;==0D>5<5<53;294~"4:80856F<469K77d5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3da>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3d`>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3dg>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3df>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb3de>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb223>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb222>5<5290;w)==1;33`>N4<>1C??l4igg94?=h9021<75rb221>5<>290;w)==1;1f?M53?2B8>o5ffg83>>o6890;66g>0083>>o68;0;66g>0283>>o68=0;66g>0483>>o68?0;66a>9983>>{e;;21<7=50;2x 6462:30D>:8;I11f>oan3:17d??0;29?j7>03:17pl<2883>7<729q/???511f8L6203A99n6gie;29?j7>03:17pl<2`83>6<729q/???518c8L6203A99n6gif;29?l7783:17b?68;29?xu>i3:19vP6a:?067;4n8:?06144ie:pb=<72jqUj5523309=2=::o>15:523349=2=::m:15:522e39=2=::m815:522e19=2=::m>15:522e79=2=::m<15:522e59=2=z{83>6=4;{<177o<;<0e0?g43499:7o<;|q1g2<72;qU>n94=3d;>c`55z?1`5<6k=16>i65ag9>6`7=99:01?ji:gg897`f2oo0q~p1?j<:0a7?84ci3h;701}::m>1=n:4=3fa>g6<5;o<6kh4=3df>cc55z?1`0<6k=16>im5b19>6`?=no16?=75fg9>6c`=nl1v?mj:18684c>3;h863=de8a4>;5mk0mj63<068245=:;9:1jh5rs3ae>5<3s48o;7?l4:?1``h>50;1x97b>2hl01?k>:0;;?84b;3;;<6s|2d094?5|5;nj6lh4=3g0>4??348n97??0:p6`2=839p1?jm:`d897c2283370{t:l<1<7=t=3f`>d`<5;o<6<77;<0f=?7782wx>h650;1x97bc2hl01?k6:0;;?84bj3;;<6s|2dc94?4|5;nn6lh4=3ga>4??3ty9in4?:3y>6a6=i116>ih518:8yv4bl3:1>v3=d08b<>;5l1085<5s48o>7o7;<0g=?57j2wx>hh50;0x97b42h201?jn:22a?xu5n90;6?u22e69e==::mh1?=l4}r0e5?6=:r79h84n8:?1`f<48k1v?h=:18184c>3k370{t:o91<7d><5;nn6>>m;|q1b1<72:q6>k:51b6896622oo01>>7:gg8yv4a=3:1>v3=f58b<>;5n?0:555rs3d4>5<5s48m877m;<0e02wx>k750;7x96422ol01?h7:023?84a>3ln70=?2;3:<>;4:10mj6s|2gc94?4|5;lj6<77;<136?`a3ty9jo4?:3y>6cd=90201>>=:023?xu5nj0;6?u22ga95<><5::96<>>;|q1ba<72;q6>kj518:8966528:97p}=fd83>7}::oo1=464=221>4643ty9jk4?:3y>6c`=90201>>=:027?xu4890;6?u231295<><5::96<>:;|q044<72;q6?=?518:8966528:=7p}<0583>7}Y;9>01>>::0;;?xu48?0;6?uQ3148966f28337p}<0683>7}:;9=1=464=22b>c`52z?04=<61116?=751128yv5713:1>v3<0882===:;9k1==>4}r116?6=;rT8>?5233095f2<5:836<>?;|q066<72;q6??<59c9>773=9020q~==4;296~;4:=0:5552337955652z\063=:;;<1=n:4}r113?6=:r78>;46b:?06d<6111v><7:18185503;2463<2`8eb>{t;;31<74??3499m7??0:~f60429096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f63729096=4?{%115?77l2B88:5G33`8mcc=831d=4650;9~f63629086=4?{%115?5>3A9?;6F<2c9jbc<722c:<=4?::m2==<722wi?8k50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?8h50;094?6|,:8:6<>k;I173>N4:k1bjh4?::m2==<722wi?;>50;194?6|,:8:6>74H264?M55j2cmj7>5;h334?6=3f;247>5;|`01=<72;0;6=u+333955b<@:><7E==b:kea?6=3f;247>5;|`01<<72:0;6=u+33395<7E==b:keb?6=3`;;<7>5;n3:7>52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<52;294~"4:80:5<;57>52;294~"4:80:5<52;294~"4:80:5<9983>>{en:0;6?4?:1y'777=99h0D>:8;I11f>oam3:17b?68;29?xd38j0;6?4?:1y'777=99h0D>:8;I11f>oam3:17b?68;29?xd1i3:1>7>50z&064<68k1C?994H20a?l`b2900c<77:188yg0>29096=4?{%115?77j2B88:5G33`8mcc=831d=4650;9~f3>=8381<7>t$202>46e3A9?;6F<2c9jb`<722e:554?::a22<72;0;6=u+333955d<@:><7E==b:kea?6=3f;247>5;|`51?6=:3:15<5<5290;w)==1;33f>N4<>1C??l4igg94?=h9021<75rb7194?4=83:p(><>:02a?M53?2B8>o5ffd83>>i6110;66sm6383>7<729q/???511`8L6203A99n6gie;29?j7>03:17pl91;296?6=8r.8><4>0c9K711<@:8i7dhj:188k4??2900qo8?:181>5<7s-99=7??b:J002=O;;h0ekk50;9l5<>=831vn8h50;094?6|,:8:6<>m;I173>N4:k1bjh4?::m2==<722wi9h4?:383>5}#;;;1==l4H264?M55j2cmi7>5;n3:h7>52;294~"4:80:5<81<7<50;2x 64628:i7E=;7:J06g=nnl0;66a>9983>>{e?80;6?4?:1y'777=99h0D>:8;I11f>oam3:17b?68;29?xd083:1>7>50z&064<68k1C?994H20a?l`b2900c<77:188yg0a29096=4?{%115?77j2B88:5G33`8mcc=831d=4650;9~f3c=8381<7>t$202>46e3A9?;6F<2c9jb`<722e:554?::a2a<72;0;6=u+333955d<@:><7E==b:kea?6=3f;247>5;|`5g?6=:3:15<5<5290;w)==1;33f>N4<>1C??l4igg94?=h9021<75rb7494?4=83:p(><>:02a?M53?2B8>o5ffd83>>i6110;66sm39394?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm41594?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3ec94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3e094?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3b;94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm36a94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm37;94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm36094?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3b`94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3ed94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3cc94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm37394?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm37694?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3e594?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm39:94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3`294?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm3dg94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm39d94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm38394?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm36494?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm22494?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm22794?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm27594?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm27394?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm24`94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm24794?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm25d94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm25;94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm25194?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm22f94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm22594?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66sm23d94?4=83:p(><>:0;:?M53?2B8>o5ffd83>>i6110;66s|2183>7}:;4`<5:?m6kk4}r04>5<5s49>n7<8;<157?`b3ty?87>52z\70>;2k3ln7p};5;296~X3=27>h7hj;|q72?6=:rT?:63:e;df?xu3?3:1>vP;7:?6b?`b3ty?47>52z\7<>;183ln7p};9;296~X3127==7hj;|q7e?6=:rT?m6392;df?xu3j3:1>vP;b:?57?`b3ty?o7>52z\7g>;1<3ln7p};d;296~X3l27=97hj;|q7a?6=:rT?i6396;df?xu3n3:1>vP;f:?53?`b3ty><7>52z\64>;103ln7p}:1;296~X2927=57hj;|q67?6=:rT>?639a;df?xu2<3:1>vP:4:?5f?`b3ty>97>52z\61>;1k3ln7p}:6;296~X2>27=h7hj;|q63?6=:rT>;639e;df?xu203:1>vP:8:?5b?`b3ty>57>52z\6=>;083ln7p}:a;296~X2i27<=7hj;|q6f?6=:rT>n6382;df?xu2k3:1?v3<5585?827l3<018m518:8yv3c2908w0=:4;58916c2>169i4>999~w0c=839p1>;;:99>05b=027>i7?68:p1c<72:q6?8:59:?74a<>34?m6<77;|q54?6=;r78994n;<63`?g<5?:1=464}r42>5<4s49>87l4=52g>g=:>80:555rs7094?5|5:??6n5241f9g>;1:3;246s|6283>6}:;<>1h63;0e8g?80428337p}94;297~;4==0n70:?d;g8932=9020q~8::180852<3l019>k:g9>20<6111v;850;0x916c28:01;8518:8yv002908w0=:4;32?827l3;:7088:0;;?xu103:1?v3<55827>;38m0:?6398;3:<>{t>00;6?u2346951=:>00:555rs7c94?4|5:??6<84=7c95<>8:?5g?7>02wx:i4?:3y>05b=9016:i4>999~w3c=838p19>k:0c893c=9020q~8i:181827l3;i708i:0;;?xu083:1>v3;0e82g>;083;246s|7083>6}:;<>1=i5241f95a=:?80:555rs6094?5|5:??64c<5>81=464}r50>5<2s49>879<;<63`?14349>n79<;<161?14349>?77n;|q;2?6=:r789o487:?010<0l2wx5i4?:3y]=a=:1l0mi6s|9d83>7}:;50;4x963320i019>k:8a8963e20i01>;::g:896342o201l>518:8yvg62909wSo>;cc34k96<77;|qe6?6=:rTm>63i3;df?xua;3:1>v3<5`8a5>;a;3;246s|11594?4|5:??6<>8;<161?77?2wx==k50;0x963e28:n70=:a;33a>{t98n1<747c349>m7?>d:p572=838p1>;;:007?852j3;986s|12794?4|5:?i6<=:;<16e?74n2wx=9>50;0x963328>;70=:b;374>{t9=?1<7422349>m7?;5:p504=838p1>;m:071?852i3;3j6s|19694?4|5:?i6<6;;<16e?7?m2wx=n;50;0xZ4e23489j7?68:p5f0=838pR02wx=n650;0xZ4e?3488:7?68:p5f?=838pR02wx=no50;0xZ4ef3488h7?68:p5fd=838pR02wx=nm50;0xZ4ed348?57?68:p5fb=838pR02wx=nk50;0xZ4eb348>97?68:p5f`=838pR02wx=i>50;0xZ4b7348==7?68:p5a7=838pR;<053?7>02wx=i=50;0x963328n870:?d;3g7>{t:;l1<74e23489j7hj;|q170<72;q68=j51b4897522oo0q~<<6;296~;38m0:o5522249b`=z{;9<6=4={<63`?7d1279?:4ie:p66b=838p19>k:0ab?844l3ln7p}=4283>7}:<9n1=nl4=360>cc52z?74a<6kj16>975fd9~w72a2909w0:?d;3``>;505b=9jl01?;m:gg8yv4193:1>v3;0e82`5=::?;1jh5rs344>5<5s4>;h7?k1:?122:=50;0x96332;=870:?d;047>{t:j;1<77ga349>97k:3ce?852=38i<6s|2b594?4|5=:o6?m8;<167?4d?2wx?=:50;0x916c2::?70=:3;130>{t;9<1<7661349>?7=?6:p75e=838p1>;;:22`?85283ln7p}<1283>7}:;<>1?<=4=27;>cc7>52z?016<4:;16?875fg9~w6412909w0=:3;112>;4=80mj6s|35d94?4|5:?>6:64=272>4??3ty89=4?:3y>706=90201>;>:023?xu4=?0;6?u234796gg<5:?26<77;|q012<72;q6?86518:8963>28:;7p}<5b83>7}Y;8>:0;;?xu4=m0;6?u234g95<><5:<;6kh4}r16a?6=:r789k4>999>736=99:0q~=91;296~;4>90:55523739b`=z{:<96=4={_156>;4>=0:555rs247>5<5s49=?7?68:?02170=:5;151>;4>>0:555rs24;>5<5sW9=463<6882===z{:<26=4={<16e?51=278:44ie:p727=838pR>9>;<146?7>02wx?:<50;0x963f2:=:70=82;df?xu4?<0;6?uQ3678961128337p}<7783>7}:;cc52z\032=:;>21jh5rs25;>5<5s49>m7=83:?03=<6111v>96:181[501278;n4>999~w61d2909w0:?d;14=>;4?j0mi6s|39294?4|V:2;70=71;3:<>{t;1;1<761a3493=7hj;|q0<7<72;qU?5<4=2:0>cc52z?01d<4?o16?5=518:8yv5??3:1>vP<869>7=>=9020q~=78;296~;4=h084:5239:9b`=z{:2i6=4={_1;f>;40o0:555rs2:e>5<5s49>n7=7b:?050;0xZ6?73492=7?68:p7<7=838p1>;n:2;3?85>93ln7p}<9e83>7}Y;0n01>7j:gg8yv5>m3:1?v3;0e80=f=:;4??3ty85k4?:3y]7<`<5:k;6<77;|q0e5<72;q6?8o538a896g72oo0q~=m7;296~X4j>16?oo518:8yv5ei3:1>v3;0e80f2=:;kk1jh5rs2a;>5<5sW9h463mn;<1`f?7>02wx?nl50;0x916c2:o>70=lb;df?xu4kj0;6?uQ3ba896b528337p}7}:<9n1?nm4=2f1>cc52z\0`6=:;m>1jh5rs2f7>5<4s49>87=k3:?01d<4l:16?i:518:8yv5c=3:1>vP7a1=9020q~=k7;296~;38m08h8523e59b`=z{:n36=4={_1g<>;4lh0:555rs2fb>5<5s4>;h7=k8:?0`d{t;mo1<7jm;<1gb?`b3ty8ii4?:3y]7`b<5:on6<77;|q0a`<72;q6?8o53da896cb2oo0q~:?6;296~X38?168=9518:8yv27?3:1>v3<548746=:<9=1jh5rs52;>5<5sW>;463;088ea>{t<931<716434>;57?68:p05d=838pR9>m;<63g?`b3ty?702=<9k019>k:52b?852j3>;m63;0b82===zugo3>7>52zJ06g=zfl286=4={I11f>{im1>1<73:1=vF<2c9~j`>0290:wE==b:ma=>=83;pD>o5rnd:a>5<6sA99n6sae9a94?7|@:8i7p`j8e83>4}O;;h0qck7e;295~N4:k1vbh6i:182M55j2wei4>50;3xL64e3tdn5<4?:0yK77d7>51zJ06g=zfl386=4>{I11f>{im0>1<7?tH20a?xhb1<0;6>3:1=vF<2c9~j`?0290:wE==b:ma<>=83;pD>o5rnd;a>5<6sA99n6sae8a94?5|@:8i7p`j9e83>6}O;;h0qck6e;297~N4:k1vbh7i:180M55j2weil>50;1xL64e3tdnm<4?:2yK77d7>53zJ06g=zflk86=4<{I11f>{imh>1<7=tH20a?xhbi<0;69uG33`8ykcf>3:1>vF<2c9~j`g02908wE==b:mad>=83>pD>o5rndca>5<5sA99n6sae`a94?4|@:8i7p`jae83>7}O;;h0qckne;296~N4:k1vbhoi:181M55j2weio>50;0xL64e3tdnn<4?:2yK77d7>53zJ06g=zflh86=49{I11f>{imk>1<7=tH20a?xhbj<0;63:1>vF<2c9~j`d0290:wE==b:mag>=83o5rnd`a>5<6sA99n6saeca94?4|@:8i7p`jbe83>6}O;;h0qckme;297~N4:k1vbhli:180M55j2wein>50;1xL64e3tdno<4?:2yK77d7>53zJ06g=zfli86=4<{I11f>{imj>1<7=tH20a?xhbk<0;6>uG33`8ykcd>3:1=vF<2c9~j`e0290:wE==b:maf>=83;pD>o5rndaa>5<6sA99n6saeba94?7|@:8i7p`jce83>4}O;;h0qckle;295~N4:k1vbhmi:182M55j2weii>50;3xL64e3tdnh<4?:0yK77d7>53zJ06g=zfln86=4={I11f>{imm<1<70;6?uG33`8ykcc03:1>vF<2c9~j`b>2909wE==b:maag=838pD>o5rndfg>5<5sA99n6saeeg94?4|@:8i7p`jdg83>6}O;;h0qckj0;297~N4:k1vbhk>:180M55j2weih<50;1xL64e3tdni>4?:2yK77d53zJ06g=zflo>6=4={I11f>{iml<1<70;6?uG33`8ykcb03:1>vF<2c9~j`c>2909wE==b:ma`g=83;pD>o5rndgg>5<6sA99n6saedg94?7|@:8i7p`jeg83>4}O;;h0qcki0;295~N4:k1vbhh>:182M55j2weik<50;3xL64e3tdnj>4?:0yK77d54zJ06g=zfll>6=4>{I11f>{imo<1<70;6vF<2c9~j``>290:wE==b:macg=838pD>o5rnddg>5<6sA99n6saegg94?4|@:8i7p`jfg83>4}O;;h0qch?0;296~N4:k1vbk>>:182M55j2wej=<50;0xL64e3tdm<>4?:0yK77d52zJ06g=zfo:>6=4>{I11f>{in9<1<70;6vF<2c9~jc6>290:wE==b:mb5g=839pD>o5rng2g>5<6sA99n6saf1g94?4|@:8i7p`i0g83>4}O;;h0qch>0;296~N4:k1vbk?>:182M55j2wej<<50;0xL64e3tdm=>4?:0yK77d52zJ06g=zfo;>6=4>{I11f>{in8<1<7=tH20a?xha9>0;6vF<2c9~jc7>290:wE==b:mb4g=838pD>o5rng3g>5<6sA99n6saf0g94?4|@:8i7p`i1g83>4}O;;h0qch=0;296~N4:k1vbk<>:182M55j2wej?<50;0xL64e3tdm>>4?:0yK77d52zJ06g=zfo8>6=4>{I11f>{in;<1<7:tH20a?xh6i=0;6=83;pD>o5rn0ca>5<6sA99n6sa1`a94?7|@:8i7p`>ae83>4}O;;h0qc?ne;295~N4:k1vb50;3xL64e3td:n<4?:0yK77d7>51zJ06g=zf8h86=4>{I11f>{i9k>1<7?tH20a?xh6j<0;6o5rn0`g>5<6sA99n6sa1cg94?7|@:8i7p`>bg83>4}O;;h0qc?l0;295~N4:k1vb:182M55j2we=n<50;3xL64e3td:o>4?:0yK77d51zJ06g=zf8i=6=4>{I11f>{i9j=1<7?tH20a?xh6k10;6o5rn0ae>5<6sA99n6sa1e394?7|@:8i7p`>d383>4}O;;h0qc?k3;295~N4:k1vb51zJ06g=zf8n36=4>{I11f>{i9m31<7?tH20a?xh6lh0;6o5rn0g3>5<6sA99n6sa1d394?7|@:8i7p`>e383>4}O;;h0qc?j3;295~N4:k1vb51zJ06g=zf8o36=4>{I11f>{i9l31<7?tH20a?xh6mh0;6o5rn40b>5<6sA99n6sa53`94?7|@:8i7p`:2b83>4}O;;h0qc;=d;295~N4:k1vb8?=4?:0yK77d51zJ06g=zf<9m6=4>{I11f>{i==:1<7?tH20a?xh2<80;6o5rn464>5<6sA99n6sa55:94?7|@:8i7p`:4883>4}O;;h0qc;;a;295~N4:k1vb8:m:182M55j2we99m50;3xL64e3td>8i4?:0yK77d51zJ06g=zf<>m6=4>{I11f>{i=<:1<7?tH20a?xh2=80;6o5rn474>5<6sA99n6sa54:94?7|@:8i7p`:5883>4}O;;h0qc;:a;295~N4:k1vb8;m:182M55j2we98m50;3xL64e3td>9i4?:0yK77di7>51zJ06g=zf{I11f>{i=?:1<7?tH20a?xh2>80;6o5rn444>5<6sA99n6sa57:94?7|@:8i7p`:6883>4}O;;h0qc;9a;295~N4:k1vb88m:182M55j2we9;m50;3xL64e3td>:i4?:0yK77d51zJ06g=zf<{I11f>{i=>:1<7?tH20a?xh2?80;6o5rn454>5<6sA99n6sa56:94?7|@:8i7p`:7883>4}O;;h0qc;8a;295~N4:k1vb89m:182M55j2we9:m50;3xL64e3td>;i4?:0yK77d51zJ06g=zf<=m6=4>{I11f>{i=1:1<7?tH20a?xh2080;64290:wE==b:m1=2=83;pD>o5rn4:4>5<6sA99n6sa59:94?7|@:8i7p`:8883>4}O;;h0qc;7a;295~N4:k1vb86m:182M55j2we95m50;3xL64e3td>4i4?:0yK77d51zJ06g=zf<2m6=4>{I11f>{i=0:1<7?tH20a?xh2180;6:3:1=vF<2c9~j0?4290:wE==b:m1<2=83;pD>o5rn4;4>5<6sA99n6sa58:94?7|@:8i7p`:9883>4}O;;h0qc;6a;295~N4:k1vb87m:182M55j2we94m50;3xL64e3td>5i4?:0yK77d51zJ06g=zf<3m6=4>{I11f>{i=h:1<7?tH20a?xh2i80;6o5rn4c4>5<6sA99n6sa5`:94?7|@:8i7p`:a883>4}O;;h0qc;nb;295~N4:k1vb8ol:182M55j2we9lj50;3xL64e3td>mh4?:0yK77d51zJ06g=zf{I11f>{i=k;1<7?tH20a?xh2j;0;6o5rn4`;>5<6sA99n6sa5c;94?7|@:8i7p`:b`83>4}O;;h0qc;mb;295~N4:k1vb8ll:182M55j2we9oj50;3xL64e3td>nh4?:0yK77d51zJ06g=zf{I11f>{i=j;1<7?tH20a?xh2k;0;6o5rn4a;>5<6sA99n6sa5b;94?7|@:8i7p`:c`83>4}O;;h0qc;lb;295~N4:k1vb8ml:182M55j2we9nj50;3xL64e3td>oh4?:0yK77d51zJ06g=zf{I11f>{i=m;1<7?tH20a?xh2l;0;6o5rn4f;>5<6sA99n6sa5e;94?7|@:8i7p`:dc83>4}O;;h0qc;kf;295~N4:k1vb8k=:182M55j2we9h=50;3xL64e3td>i;4?:0yK77d51zJ06g=zf{I11f>{i=l31<7?tH20a?xh2mk0;6o5rn4d5>5<6sA99n6sa5g594?7|@:8i7p`:f983>4}O;;h0qc9ne;295~N4:k1vb:oi:182M55j2we;o>50;3xL64e3td7>51zJ06g=zf>h86=4>{I11f>{i?k>1<7?tH20a?xh0j<0;63:1=vF<2c9~j2d0290:wE==b:m3g>=83;pD>o5rn6`a>5<6sA99n6sa7cg94?7|@:8i7p`8bg83>4}O;;h0qc9l0;295~N4:k1vb:m>:182M55j2we;n<50;3xL64e3td4?:0yK77d51zJ06g=zf>i<6=4>{I11f>{i?jh1<7?tH20a?xh0kj0;6o5rn6f7>5<6sA99n6sa7e794?7|@:8i7p`8d783>4}O;;h0qc9k7;295~N4:k1vb:j7:182M55j2we;i750;3xL64e3td51zJ06g=zf>nh6=4>{I11f>{i?mn1<7?tH20a?xh0lo0;6o5rn6g6>5<6sA99n6sa7d494?7|@:8i7p`8e683>4}O;;h0qc9j8;295~N4:k1vb:k6:182M55j2we;ho50;3xL64e3td51zJ06g=zf>oo6=4>{I11f>{i?ll1<7?tH20a?xh0n90;6o5rn6d5>5<6sA99n6sa7g594?7|@:8i7p`8f983>4}O;;h0qc9i9;295~N4:k1vb:hn:182M55j2we;kl50;3xL64e3td51zJ06g=zf>ln6=4>{I11f>{i?ol1<7?tH20a?xh?890;6793:1=vF<2c9~j=65290:wE==b:m<55=83;pD>o5rn925>5<6sA99n6sa81594?7|@:8i7p`70983>4}O;;h0qc6?9;295~N4:k1vb5>n:182M55j2we4=l50;3xL64e3td351zJ06g=zf1:n6=4>{I11f>{i09l1<7?tH20a?xh?990;6693:1=vF<2c9~j=75290:wE==b:m<45=83;pD>o5rn934>5<6sA99n6sa80:94?7|@:8i7p`71c83>4}O;;h0qc6>c;295~N4:k1vb5j8:182M55j2we4i650;3xL64e3td3h44?:0yK77d51zJ06g=zf1nh6=4>{I11f>{i0mo1<7?tH20a?xh?lo0;6b83:1=vF<2c9~j=c5290:wE==b:m<`5=83;pD>o5rn9g5>5<6sA99n6sa8d594?7|@:8i7p`7e983>4}O;;h0qc6jb;295~N4:k1vb5kk:182M55j2we4hk50;3xL64e3td3ik4?:0yK77d51zJ06g=zf1l96=4>{I11f>{i0o91<7?tH20a?xh?n<0;6a>3:1=vF<2c9~j=`0290:wE==b:m=83;pD>o5rn9da>5<6sA99n6sa8ga94?7|@:8i7p`7fe83>4}O;;h0qc6ie;295~N4:k1vb5hi:182M55j2we5=>50;3xL64e3td2<<4?:0yK77d7>51zJ06g=zf0:=6=4>{I11f>{i19=1<7?tH20a?xh>800;6290:wE==b:mffg=83;pD>o5rncag>5<6sA99n6sabbg94?7|@:8i7p`mcg83>4}O;;h0qclk0;295~N4:k1vboj<:182M55j2weni:50;3xL64e3tdih84?:0yK77d51zJ06g=zfkn36=4={I11f>{ijm31<7?tH20a?xhelh0;6o5rncg3>5<6sA99n6sabd394?7|@:8i7p`me383>7}O;;h0qclj3;295~N4:k1vbok;:182M55j2wenh;50;0xL64e3tdii;4?:0yK77d51zJ06g=zfko36=4={I11f>{ijl31<7?tH20a?xhemh0;6vF<2c9~jgcd2909wE==b:mf`b=838pD>o5rncd3>5<6sA99n6sabg394?7|@:8i7p`mf383>4}O;;h0qcli3;295~N4:k1vboh;:182M55j2wenk;50;3xL64e3tdij;4?:0yK77d51zJ06g=zfkl36=4>{I11f>{ijo31<7?tH20a?xhenh0;6?uG33`8ykdaj3:1>vF<2c9~jg`d2909wE==b:mfcb=838pD>o5rnb23>5<6sA99n6sac1394?7|@:8i7p`l0383>4}O;;h0qcm?3;295~N4:k1vbn>;:182M55j2weo=;50;3xL64e3tdh<;4?:0yK77d51zJ06g=zfj:26=4>{I11f>{ik9k1<7?tH20a?xhd8k0;6o5rnb32>5<6sA99n6sac0094?7|@:8i7p`l1283>4}O;;h0qcm>4;295~N4:k1vbn?9:182M55j2weo<950;3xL64e3tdh=54?:0yK77d51zJ06g=zfj;h6=4>{I11f>{ik8n1<7?tH20a?xhd9l0;6o5rnb07>5<6sA99n6sac3794?7|@:8i7p`l2783>4}O;;h0qcm=7;295~N4:k1vbn<7:182M55j2weo?750;3xL64e3tdh>l4?:0yK77d51zJ06g=zfj8h6=4>{I11f>{ik;n1<7?tH20a?xhd:l0;6o5rnb17>5<6sA99n6sac2794?7|@:8i7p`l3783>4}O;;h0qcm<9;295~N4:k1vbn=n:182M55j2weo>l50;3xL64e3tdh?n4?:0yK77d51zJ06g=zfj9n6=4>{I11f>{ik:l1<7?tH20a?xhd<80;6o5rnb6a>5<6sA99n6sac5f94?7|@:8i7p`l4d83>4}O;;h0qcm;f;295~N4:k1vbn;?:182M55j2weo8?50;3xL64e3tdh9?4?:0yK77d?7>51zJ06g=zfj??6=4>{I11f>{ik0;6290:wE==b:mg0g=83;pD>o5rnb7g>5<6sA99n6sac4g94?7|@:8i7p`l5g83>4}O;;h0qcm90;295~N4:k1vbn8>:182M55j2weo;<50;3xL64e3tdh:84?:0yK77d51zJ06g=zfj<<6=4>{I11f>{ik?31<7?tH20a?xhd>h0;6o5rnb53>5<6sA99n6sac6394?7|@:8i7p`l7383>4}O;;h0qcm83;295~N4:k1vbn9;:182M55j2weo:;50;3xL64e3tdh;;4?:0yK77d51zJ06g=zfj=36=4>{I11f>{ik>31<7?tH20a?xhc>l0;6vF<2c9~ja162909wE==b:m`24=838pD>o5rne56>5<5sA99n6sad6494?4|@:8i7p`k7683>7}O;;h0qcj88;296~N4:k1vbi96:181M55j2weh:o50;0xL64e3tdo;o4?:3yK77d52zJ06g=zfm=o6=4={I11f>{il>o1<7?tH20a?xhc?o0;6?uG33`8ykb?83:1>vF<2c9~ja>62909wE==b:m`=4=838pD>o5rne:6>5<5sA99n6sad9494?7|@:8i7p`k8683>4}O;;h0qcj78;295~N4:k1vbi66:182M55j2weh5o50;3xL64e3tdo4o4?:0yK77d51zJ06g=zfm2o6=4>{I11f>{il1o1<7?tH20a?xhc0o0;683:1=vF<2c9~ja?6290:wE==b:m`<2=83;pD>o5rne;;>5<6sA99n6sad8;94?7|@:8i7p`k9c83>4}O;;h0qcj6c;295~N4:k1vbi7k:182M55j2wvqpNOCz3150sO@Cy3yEFWstJK \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.ngd b/cpld/XC95144XL/MXSE.ngd new file mode 100644 index 0000000..6ebbf87 --- /dev/null +++ b/cpld/XC95144XL/MXSE.ngd @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$56f5=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4009257=6:3E^X][[:SC?54<768:0=;4FNQWW>D:5;325==5=1;KMTPR=I58:6<0>4:00>LHW]]0JSK]M<3195;3G;9;4>7L2>3?78E9736<1J0<;15:C?53823H6:;3;4A=3;:0=F483586O31?78E9476>1J0??51?78E9466<1J0?<17:C?66G;07>0M1714:COA53GKM9Ufyu>?01f8EIC7Wds<=>?1e9BH@6Xe|r;<=>=d:COA5Yj}q:;<==k;@NF4Zkrp9:;<9:4AMG2g>GKM8UTc>?01a8EIC6WVey<=>>d:COA4YXg{:;<_^mq4566?m1J@H?P_np3457?k2KGIGKM8Ujbi>?011g?DJB9Vkeh=>?05f8EIC6Whdo<=>?5e9BH@7Xign;<=>93:CM@1=FFM8?7L@K359BJA233HDO995NNE47?DHC0=1J_hk;@QqbZEkcVCEZ<94ARpe[K6d3HYyjR``t1235a=F[{lTbbz?01321>GXNZH27LQISC>24;?>89B[CUE488556OPFR@?568>3HUM_O2>4?;8EZ@TJ5;>245N_GQA840912KTJ^L316<:?DYA[K6:4374A^DPF97>611JSK]M<0<:?DYA[K69<374A^DPF946601JSK]M<30=f>GXNZH7>>4>>89B[CUE4;9546OPFR@?6;>GXNZH7:364A^DPF91902KTJ^L38?:8EZ@TJ535h6OPFR@\55YNJ\Lo7LQISC]25ZOE]On0MRH\B^31[LDRNm1JSK]M_01\MGSAl2KTJ^LP15]JFP@c3HUM_OQ>5^KAQCbGXNZHT=5QFBTDg?DYA[KU:5RGMUGa8EZ@TJV;TEO[Id:C\BVDX:9UBNXHk;@]EWGY59VCIYKj4A^DPFZ45W@H^Ji5N_GQA[75XAK_Mo6OPFR@\6ZOE]Oi0MRH\B^1\MGSAk2KTJ^LP4^KAQCeGXNZHT:RGMUGa8EZ@TJV=TEO[Ic:C\BVDX0VCIYKm4A^DPFZ?XAK_M86LNCU78FDES9<1IMNZ=5:@BGQt33KOY^85MESP32>DBZ[:yi6LJRS2q[Ziu89:;j6LJRS2q[Ziu89:;=k5MESP3vZYhz9:;DBZ[?27OK]R^KLFVb?00f8F@TUWVey<=>?2e9AAWTXWfx;<=>DBZ[xySca{0122b>DBZ[xySca{012256=E]O;;7O[FLE]WEWAB\HXHD55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBLj;BNH6]YNGKUBNXH8;BNH[CUEk2IGGRH\B^KAQC15LLS18GKR>3JEFADZ[EE58GWCF\LN97N]>;Eg8@WEX[\IHI^]IUM78@QGDC?1OXLMD169GPDELzo=0HYOLKspe?ARFKBxySca{012255=C\HI@~Qaou2344703Mkm1>17:Fbpd:6681N96K\<1<6?@U;97?0I^2=>49FW959=2OX090;;DQ\4a=B[V:TSl`k0123a>CTW9UTmcj?0122a>CTW9UTmcj?0121a>CTW9UTmcj?0120a>CTW9UTmcj?0127a>CTW9UTmcj?0126a>CTW9UTmcj?01250>CTW8n0I^Q>_^cm`5678l1N_R?P_`lg45679l1N_R?P_`lg4567:=1N_RCTW:UTmcj?012f?@UX;VUjbi>?013f?@UX;VUjbi>?0107?@UXPMymq[Wct}e~7?3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1:1189FWZKflmUTmij?012\[dhc89:;=l5JS^Ob`aYXimn;<=>P_`lg45679830I^QBaef\[dbc89:;SRoad12354g?103:?@UXEhnoSRokd1234ZYffm:;?0^]bja678;;o7H]PQ^3\kw6789o0I^Q^_0]lv56788l0I^Q^_0]lv56788:m7H]PQ^3\kw6789;:j6K\_P]2[jt789::>h5JS^S\5Ziu89:;>h5JS^S\5Ziu89:;?h5JS^S\5Ziu89:;8h5JS^S\5Ziu89:;9h5JS^S\5Ziu89:;:h5JS^S\5Ziu89:;;h5JS^S\5Ziu89:;4h5JS^S\5Ziu89:;5h5JS^]b`a67896:2h5JS^]b`a6789692h5JS^]b`a6789682h5JS^]b`a67896?285JT@AH`>CSIJATmcj?012f?@RFKBUjbi>?013f?@RFKBUjbi>?010f?@RFKBUjbi>?011f?@RFKBUjbi>?016f?@RFKBUjbi>?017f?@RFKBUjbi>?014;?@^WIGNNHO6:2C9>6G<2:K76>O2:2C=>6G84:KBGV3OFKZ9?7DLZF39JJ==NFOOY^HJ6;HLJPVRF\L90ECXj;HL\JBBXIGNTNNJ:;HMBGQb>2CDMNZ}6:KLF@TUm2CDNH\]_omw4566n2CDNH\]_omw45669o1BCOK]R^llp5679;l0EBLJRS]mkq67889?7DAMM59JKGU13@EHC@^;;HM@W0=NGJY::6G@CR332>OHKZ;::6G@CR312>OHKZ;8:6G@CR372>OHKZ;>:6G@CR351>OHKZ8>7DALS278MJET<<1BCN]:5:KLGV023@EH_:;4INAP<0=NGJY286G@L1a8MJJ7Wds<=>?d:KLH5Yj}q:;<=?k;HMO4Zkrp9:;>2CD^H_}5:KLVR6c3@EY[=Qbuy2345c=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce8;5FOU[SA1=NG\:h7DAZ0^ov|5678m1BCX>Pmtz34566l2CDY=Qbuy23454c3@E^OH]8i0EB[>_omw4566l2CDYMBMYUCEH\NRBJZ3>JNJ[KYU;5CO@FF@2=KGNCHMAo4LQO\\JT5W8?0@bok159N\VC692GS_HQ[ILGPT@EX]JLh7@oeosTfvvohfj1Feca}Vdppmjh53G:97C?8;OGWSJTL92E?7BLZF29LJ@4IU<:1DYY:4Ooafg>IiklUTc>?01f8KkebWVey<=>?1e9LjfcXWfx;<=>=d:Mmg`YXg{:;<==k;Nl`aZYhz9:;<9j4Ooaf[Ziu89:;9i5@nbg\[jt789:=h6Aacd]\kw6789=o7B`le^]lv56781n0Ccmj_^mq4567181[o6^!21305574WE90\LJ;;QCQP61?01"sW%NNOA*><#>?0123456'-:>0\H\FOE"!GIMXAFH),: 732434567(DBq,EGHH!73*56789:;<=.*249SAWOHL3IGG?VPIN@950(1>>81AEt5HHEK>06":91[I_G@D;AOOZ@TJ3>;<=>5pR8KMBN==9/9>6^JRHMG>FJLW@EI6: 73249IM|=@@MC68>*a:RJJZDR[@NSn6^FN^@VWKGJM:1[^Hl4PR]EWHYANm;n7]]PFRO\BCb6%@dm7]]PFRO\BCb6%@d:j6^\_GQN[C@c9$Ce>o5_S^DPIZ@Al;o0\^QISL]EBa4*Agl0\^QISL]EBa4*Ag;:<6^\_GQN[C@c:$Ce==??;QQ\BVKXNOn9!D`>1028TVYA[DUMJi<"Io3155=W[VLXARHId3/Jj45a3YYTJ^CPFGf1)Lh5n2ZXSK]B_GDg6(Oi;o1[_RH\M^DE`7+Nf=l0\^QISL]EBa4*Ag?m7]]PFRO\BCb5%@d=j6^\_GQN[C@c:$Ce;k5_S^DPIZ@Al;'Bb5h4PR]EWHYANm8&Ec7m;QQ\BVKXG|~;o6^\_GQN[Jss88i0\^QISL]Lqq65l2ZXSnc_ds345663X?0^L2?>79QE977611YM1?>:1<5?WG;984>7_O31?78VD:56<1YM1=15:PB81823[K793;4R@>5:0=UI5=596\N<9<6?WG;1790^LC:;SCNGV084R@O@W10_lw{4567991YM@JFS0]nq}6789;:=6\NMEKP5Zkrp9:;<<>>1:PBIAOT9Vg~t=>?00325>TFEMCX=Rczx123444692XJAIG\1^ov|567889:=6\NMEKP5Zkrp9:;<<:>1:PBIAOT9Vg~t=>?00725>TFEMCX=Rczx123440692XJAIG\1^ov|56788=:=6\NMEKP5Zkrp9:;<<6>1:PBIAOT9Vg~t=>?00;24>TFEMCX=Rczx12347773[KFHD]>_lw{4567;8:0^LCKIR3\ip~789:?==5]ALFJW4Yj}q:;<=;>0:PBIAOT9Vg~t=>?0733?WGJL@Y:S`{w0123346TFEMCX>RQ`r1234460:PBIAOT:VUd~=>?0233?WGJL@Y9SRa}0123046?8028VDKCAZ8TSb|?012:3>TFEMCX?:5]ALFJW11>139QEHTbimsTaxv?01224442:PBIWcflpUfyu>?013057=UIDXnmiwPmtz34566<880^LC]e`fz[hs89:;=8?=;SCNV`gcqVg~t=>?00425>TFE[ojhtQbuy23454692XJA_kndx]nq}67899:=6\NMSgb`|Yj}q:;<=:>1:PBIWcflpUfyu>?01725>TFE[ojhtQbuy23450692XJA_kndx]nq}6789=:=6\NMSgb`|Yj}q:;<=6>1:PBIWcflpUfyu>?01;6?WGTMEn0^L]JL^ov|5678l1YM^KC_lw{45679o1YM^KC_lw{456799l0^L]JL^ov|56788;m7_O\EM]nq}6789;9j6\NSDN\ip~789::?k5]ARGO[hs89:;=9h4R@QFHZkrp9:;<<;i;SCPAIYj}q:;<=?9f:PBW@JXe|r;<=>>7g9QEVCKWds<=>?19d8VDUBDVg~t=>?00;f?WGTMEUfyu>?010e?WGTMEUfyu>?0103b>TF[LFTaxv?01215c=UIZOGS`{w012367`o0^L]JL^ov|56781o0^L]JL^ov|5678030^LQ?_N@VBf=UIV:Taxv?012g?WGX8Vg~t=>?00f8VDY7Wds<=>?2e9QEZ6Xe|r;<=>3[KT=RAMUGa8VDY6Wds<=>?d:PB[4Yj}q:;<=?k;SC\5Zkrp9:;Rczx1234a=UIV8Taxv?0122`>TFW;Ufyu>?010g?WGX:Vg~t=>?02f8VDY5Wds<=>?489QEZ5XGK_Mo6\N_2]nq}6789n0^LQ<_lw{45679m1YMR=Pmtz34565l2XJS>Qbuy23455c3[KT?Rczx12341?k;SC\0Zkrp9:;<i5]A^6\ip~789:8h6\N_5]nq}6789>27_OP5^MAQCeS`{w0123`>TFW?013g?WGX=Vg~t=>?03f8VDY2Wds<=>?3e9QEZ3Xe|r;<=>;9:PB[3YHJ\Lh7_OP6^ov|5678m1YMR8Pmtz34566l2XJS;Qbuy23454c3[KT:Rczx12346b?00f8VDY?Wds<=>?2e9QEZ>Xe|r;<=>TB[=1YI^?:;SGPvc30028VVYA[DUMJi?"Io3255=U[VLXARHId0/Jj44682XXSK]B_GDg5(Oi9:;;7_]PFRO\BCb6%@d:8k5]S^DPIZ@Al8'Bb?h4RR]EWHYANm;&Ec=i;SQ\BVKXNOn:!D`;f:PP[CUJWOLo= Ga5g9QWZ@TEVLMh<#Fn7d8VVYA[DUMJi?"Io5e?WUXNZGTJKj>-Hl;b>TTWOYFSKHk1,Km=g=U[VLXARHId3g8VVYA[DUMJi<"Iod8VVYA[DUMJi<"Io324>TTWOYFSKHk2,Km55773[YTJ^CPFGf1)Lh698:0^^QISL]EBa4*Ag;9==5]S^DPIZ@Al;'Bb<=>0:PP[CUJWOLo> Ga1533?WUXNZGTJKj=-Hl21469g9QWZ@TEVLMh?#Fn3d8VVYA[DUMJi<"Io1e?WUXNZGTJKj=-Hl7b>TTWOYFSKHk2,Km1c=U[VLXARHId3/Jj3`#Fn8`8VVYA[DUDyy6l;SQ\BVKXG|~3=n5]S^DPIZIr|18>7_kndx48V`gcq9=0^hoky1pe?Wcflp:ySRa}012355=Umhnr<QPos23457682Xnmiw?r^]lv5678;<0^hoky058V`gcq8xm7_kndx3q[Ziu89:;==5]e`fz5wYXg{:;<=?>0:Pfea6zVUd~=>?0348V`gcq;=0^hoky3pe?Wcflp8ySRa}012355=Umhnr>QPos23457682Xnmiw=r^]lv5678;<0^hoky248V`gcq=k0^hoky^KLFV?i5]e`fz[jt789;8:6\jf@ah=>TbnJd0=06;SgeGkr;9730^hhLnu>1:<=UmoIex1=19:PfbFhs4=427_kiCov?1;?89QacEi|5=546\jfBlw[5>8:PfbFhsW;20^hhLnu]0<>TbnJdS964Rdd@jqY202XnjN`{_7:8V``Df}U<>>5]egAmpZKflmUTmij?012\I}iuW[oxyaz31?00?WcaKg~TAljk_^cg`5678VGscQ]erwop949::1YikMat^Ob`aYXimn;<=>PMymq[Wct}e~7?3<<;SgeGkrXEhnoSRokd1234ZKg{UYi~{ct=6=66=UmoIexRCnde]\eab789:TAua}_Sgpqir;=7887_kiCov\IdbcWVkoh=>?0^O{kwYUmzgx181229QacEi|VGjhiQPaef3456XEqeyS_k|umv?3;413[omOczPM`fg[Zgcl9:;d:PfbFhsWDkohRQnde2345YXign;<=>>e:PfbFhsWDkohRQnde2345YXign;<=>>1e9QacEi|VGjhiQPaef3456XWhdo<=>>1d9QacEi|VGjhiQPaef3456XWhdo<=>>10f8V``Df}UFmijP_`fg4567WVkeh=>?20g8V``Df}UFmijP_`fg4567WVkeh=>?203g?WcaKg~TAljk_^cg`5678VUjbi>?023f?WcaKg~TAljk_^cg`5678VUjbi>?0232`>TbnJdS@okd^]b`a6789UTmcj?0162a>TbnJdS@okd^]b`a6789UTmcj?01625a=UmoIexRCnde]\eab789:TSl`k01265`=UmoIexRCnde]\eab789:TSl`k01265442:PfbFhsWVkoh=>?0=0=57=UmoIexRQnde2345:46880^hhLnu]\eab789:783?=;SgeGkrXWhno<=>?<4<26>TbnJdSRokd12349099;1YikMat^]b`a67896<2:5]egFlj`773[omHb`j_^cm`56788;0^hhKoog\[dhc89:;=k5]egFlj`YXg{:;<=??;Sge@jhbWVey<=>?1008V``CggoTo`~Pep2345743[omHb`j_bos[`w789::=>5]egFlj`YdeyUn}=>?0330?WcaLfdnSnc_ds345649:1YikJ`nd]`iuYby9:;<9?<;Sge@jhbWjg{Sh?012656=UmoNdbhQlmq]fu5678?;87_kiDnlf[fkwWl{;<=>8129QacBhflUha}Qjq1234=743[omHb`j_bos[`w789:2:6\jfSCP2>Tbn[oz;6\jfSgr5<=Umo_ykh`{a:PfbPt`mg~:m6\jfTpdakr5i2XnjX|heov0e>Tbn\xlicz;6:Pfwpjs>2YH_DM\7:Q@WLET9>1XO^GLS358WFUNKZ9<7^M\IBQ73>UD[@IX9>5\EUc8WkbUIDIX[_l4SofQEHET_[;h7^`kR@O@WRT68j1Xbi\NMBQTV47d3Zdo^LCLSVP26g=TfmXJAN]XR3`8WkbUIDIX[_=m;RlgVDKD[^X?n6]adSCNGVQU=k1Xbi\NMBQTV3d<[gnYM@M\WS5a?VhcZHGH_Z\7b:Qm`WGJKZ]Y555[ESOJJDJ?3]CFI^^JC29WJH1<\GGTBHZ;;UPVAf=S[VIGG?VPIN@b?QUXKEATJ^Ln;UQ\GIMXAFHi7Y]PTHOFWUCD>2^XSY@B4:VZT@><\`gncxzN119Wmhch}}KTSb|?01225>Rnele~xLQPos23457692^bahazt@]\kw6789837YgbenwwF46<\`gncxzM_^mq4567981_e`k`uu@\[jt789::=<5[ilglqqDXWfx;<=>=109Wmhch}}HTSb|?01207>SDNh1^_H\PAMKBWf=R[LXTZD]FBMG0?SED12\BIZQ[YQG0?SVFk2\[MRQnne2345b<^YKTSl`k01235g=QXHUTc>?01a8RUGXWfx;<=>>c:TSEZYhz9:;PWI{xTbbz?013f?SVFz{Uecy>?003g?SocZHGH_Z\94jg8RlbUIDIX[_8;k0g8RlbUIDIX[_8;k3g8RlbUIDIX[_8;k2g8RlbUIDIX[_8;k5g8RlbUIDIX[_8;k4g8RlbUIDIX[_8;k7g8RlbUIDIX[_8;k6g8RlbUIDIX[_8;k93g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH18\JT33QEY>;5WSUNJF2=_[]ULBI94XRV\RFE23QUDBH84X^XFVJ0Yffm:;<=74_`lg4567901Tmcj?0121<>Yj}q:;<==4cov1?fu43oyin6h|b^llp5678j1moQaou23457c3oyiSca{012354bhF[VLXNRGMUG58jDUXAFHh7cO\_HMA[JDRNk1eM^Qaou2344ehF[Vddx=>?13a8jDUXff~;<=?o6`NS^llp5679?=0bLaztNG`?kGh}}ENSBLZF49mF@TU>2dII_\>9:lAAWTXNZHn7cLJRS]EWGYHJ\L27cLJRS]JKGchDIZUDNXHl;oABWZhh|9:;=i5aC@Q\jjr789;::6`KT@AHe>hC\HI@SK]Mf:lGPDELWOYISBLZF`9m@QGDCVCDNk5aDUC@OZOHJVCIYKk4nEVBGNYXg{:;<=h4nEVBGNYXg{:;<=?i;oFWEFMXWfx;<=>=6:lGmkJBj2dOecBJ_N@VBa=iL`dGIRa}0123a>hCagFNSb|?01222>hCagEN;6`KioMF52=iL`dDI?94nEkmK@5e3gNbbBKPOCWE3>hCg|~DIn5aDnwwK@YHJ\Lm7cJ`uuMF[kis89::==5aDnwwK@Yig}:;<0:lGkprHMVddx=>?1333?kBh}}ENSca{0122746>7028jAir|FOTbbz?013;55=iLfCHQaou2344?33gFO_55aLEQ\BVDc3gFO_RH\B^KAQC>?003`?kJC[Vey<=>>2b9mHAUXg{:;<<=l;oNGWZiu89::8n5aLEQ\kw6788?h7cBKS^mq4566>j1e@I]Pos23441d3gFO_Ra}0122?00;7?kJPM<1e@ZK>c:lOS@Yffm:;<=j4nMUF[dhc89:;=i5aLVG\ekb789:9h6`CWD]bja67899o7cBXE^cm`5678=90bBK;;oMF51=iGL837cAJ_N@VB<=iGoy`lw?129mKscudhs;SLmcr^KMR4e?0331?kIqm{fju=Qnne234556:2dDzh|cax2\ekb789:?=?5aOwgqhd7Whdo<=>?5008jJpbzekrhUIZ?0b_O\149mVDU5=2dYM^=:;oPBW13hRLZUBCOj4nTFP[LIEWFH^Jn5aUEQ\ekb789:o7c[KS^cm`56788n0bXJ\_`lg4567:j1eYI]Pnnv3457c3g_O_R``t123542?0e9mQRCXign;<=>>d:lVS@Yffm:;<=j4nTUF[dhc89:;895aVLC;?kPJIVCDNi5aVLC\MJDXGK_Mh6`YM@]\ekb789:n7cXBA^]bja6789;h7cXBA^]lv5678m1eZ@OP_np34566l2d]ALQPos23454c3g\FMRQ`r12346bhQEHUTc>?014g?kPJIVUd~=>?06f8jSKFWVey<=>?8e9mRHGXWfx;<=>6c:lUIDYffm:;<=j4nWOB[dhc89:;=i5aVLC\ekb789:9h6`YM@]bja67899o7cXBA^cm`5678=n0b[CN_`lg4567=m1eZ@OPaof34561l2d]ALQnne2345133g\[M85aVQC21>hQXH837cX_A^DPFa=i^YKTJ^LPOCWE<>hQXHUBCOj4nWRB[LIEW@H^J>5aWD58jRCXNZHh7cYJ_GQA[LDRN11ekilzimf0?wgj02rh58>?xm32?}e>=8>?taz~112.55>a8c8DE~6im0M694>{R`3>6>?28i36<=;6473>4d5ljqe?5;51:l0<3<13-93<7=88:Pec<4010:o54>354615<6j;nn7^?n9;67=98>51c0f4>Ufn3>?47=51265106=9k8n=6j>ae83>4<6sZh;6>67:0a;>453>5yTgf94?7=939<4v]m0;1;{#9jk189l4$023>5=#nl0?8i5+fg8267=e9hn1<7:<:849e0}O;;=:0cg?_g72;q36o4r$0c4>62d3`ki6=44o2`a>5<5<5<5<5<5<5<6=44i560>5<5<5<>o6880;66a>i4lh0;66a;2983>>i4k?0;66a>o3>i6mo0;6)?k2;3fa>h6l80;76a>ee83>!7c:3;ni6`>d082?>i6n10;6)?k2;3fa>h6l80976a>f683>!7c:3;ni6`>d080?>i6n?0;6)?k2;3fa>h6l80?76a>f483>!7c:3;ni6`>d086?>i6n=0;6)?k2;3fa>h6l80=76a>f283>!7c:3;ni6`>d084?>i6n;0;6)?k2;3fa>h6l80376a>f083>!7c:3;ni6`>d08:?>i6n90;6)?k2;3fa>h6l80j76a>eb83>!7c:3;ni6`>d08a?>o2j3:1(;:k67=d386e>h6l80876g:5;29 4b52:598m02=83.:h?4:a:l2`4<232c>?7>5$0f1>0gm6`>d084?>o293:1(50;&2`7<2i2d:h<46;:k7b?6=,8n968o4n0f2>d=d386e>h6l80i76g;d;29 4b52:b98m1e=83.:h?4:a:l2`45$0f1>0gm6`>d08e?>o193:1(42<3`?h6=4+1e091d=i9m;1=854i4494?"6l;0>m6`>d0822>=e;1l1<7?m:5`91c}O;;=:c38^d6=9r31q)?n7;17g>\4=80:wl75}hc5>5<5<7?m;o3g5?4<3`;<6=4+1e095g=i9m;1?65f1483>!7c:3;i7c?k1;68?l73290/=i<51c9m5a7==21b=>4?:%3g6?7e3g;o=784;h31>5<#9m81=o5a1e393>=n980;6)?k2;3a?k7c93207d??:18'5a4=9k1e=i?59:9jb?6=,8n96d=5<#9m81=o5a1e39`>=n:=0;6)?k2;3a?k7c93o07d<<:18'5a4=9k1e=i?5f:9a72e=83;1<7>t$271>46a3A96=:0`a?>{e;>n1<7?50;2x 6352:?m7E=8b:J01`=#;181=o84$0c;>12d3f;h;7>5$2:1>4de32wi?k850;796?0|,:?96<>6;I14f>N4=l1/=?>56:&2e=<3xof=3:17dok:188md7=831bn?4?::m2ag<722h:io4?:783>5}#;181==l4Z272>4}f13wb=l<50;&0<7<5i;10c4<729q/?5<539;8k4de290/?5<51c`8?xu6mk0;6?uQ1d`894ce28hi7p}m2;296~Xe:27:io4>1d9~wdb=838pRlj4=0ga>7g53tyj97>52z\b1>;6mk0j96s|a083>7}Yi816=hl5a79~w46a2909w0?jb;10e>;4?o0:no5r}c634?6==381:v*<53824<=O;>h0D>;j;%314?0<,8k369:l;[165?7|i00vel;50;9jea<722cj=7>5;h`1>5<5<1290;w)=72;33f>\4=80:wl75}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61a290:6=4?{%1;6?5?12e:no4?:%1;6?7ej21vbc9~wg4=838pRo<4=0ga>47b3tyjh7>52z\b`>;6mk09m?5rs`794?4|Vh?01;<3ff?g13ty:5`d=;:k01>9i:0`a?x{e;o=1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb522>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=59;294~"4=;0?96F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21b=<=50;&0<7<69l10e5;29 6>528;n76g>1783>!5?:3;:i65f10594?"40;0:=h54i03;>5<#;181=t$271>13<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>o69=0;6)=72;32a>=n98?1<7*<83825`=5$2:1>47b32c:=54?:%1;6?76m21d=o:50;&0<7<6jk10qo?>c;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c101?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg54>3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl<3683>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e;:21<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi?>750;194?6|,:?969>4H25a?M52m2.84?4528hi76sm14594?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj8?36=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c36=?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th:9l4?:583>5}#;<818<5G36`8L63b3-93>7=i;%3b528hi76sm3gc94?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn>hl:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5al3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`0bc<72=0;6=u+340904=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98m474290/?5<510g8?j7e<3:1(>6=:0`a?>{e9oh1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb0d`>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f4`c29096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo?ie;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd6no0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b6<729q/?8<51c:8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?mb:9~f76529086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj;3i6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c0:g?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th95i4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a6t$271>4463A96=:0`a?M5?921vn?7i:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`1e5<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd5i80;694?:1y'704=<81C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5$2:1>4de32wi?:>50;794?6|,:?969<4H25a?M52m2.84?43;29 6>528;n76g>1583>!5?:3;:i65`1c694?"40;0:no54}c145?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg50:3:1?7>50z&017<4?h1C?:l4H27f?!5?:39<56*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi?:=50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f61329096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo=85;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c142?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg50?3:187>50z&017<392B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=n9891<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b6<729q/?8<51c:8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?mb:9~f626290>6=4?{%166?253A96=:03f?>o69:0;6)=72;32a>=n98>1<7*<83825`=5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a715=8381<7>t$271>4463A96=:0`a?M5?921vn>:;:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg53=3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl<4783>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`002<72<0;6=u+340907=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98m474290/?5<510g8?l76<3:1(>6=:03f?>i6j=0;6)=72;3af>=zj:9o6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn>=j:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`07c<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd6;k0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:508L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=7?>e:9l5g2=83.84?4>bc98yg73<3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=9;50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f42129086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj8><6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c37h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th:844?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b4`83>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`27f<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd6;m0;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn<=j:187>5<7s-9>>7:>;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76g>1283>!5?:3;:i65`1c694?"40;0:no54}c30b?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg7383:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=9?50;194?6|,:?969>4H25a?M52m2.84?4528hi76sm15094?5=83:p(>;=:0`;?M50j2B89h5+39095g1<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yve=83>pRn5210c9545<5:>:6;<176?7682wxh7>54z\g?876i3;:863<408257=:;=91=<>4}rg94?2|Vl16={tn3:18vPi;<32e?76>278?n4>139>512=98;0q~??:186[7734;:m7?>7:?004<69=16?9;51028942328;97p}>1;297~X6927:=l4>199>76e=98;0q~?=:187[7534;:n7?>1:?035<69;16=9=51038yv74290?wS?<;<32f?76:278;=4>109>515=9880q~?;:187[7334;:n7?>3:?035<69:16=9=51018yv72290?wS?:;<32f?76<278;=4>159>515=98>0q~?8:184[7034;:n7?>5:?070<69816?kl5102897?d28;;70=81;325>;6<80:=<5rs0;94??|V83013;:<63477349<=7?>2:?27g<69916=9851008yv7f290hwS?n;<32f?76?278?84>139>501=98:01>hn:031?87aj3;:<63>fb8254=::0l1=47534;?;7?>0:?20<<69816=9o51038yv7d2902wS?l;<32f?760278?:4>119>50?=98:01>hk:033?87am3;:<63=9d8255=:;>91=<>4=061>4763ty9?7>53z\17>;69h0:=<52352954763<418257=z{>h1<7=t^6`8916628;;70=ia;325>{ti?0;6>uQa79>7c0=i8168=>5a09~w47>2909wS?>9:?25f<6j=1v1b8254=z{8;i6=4={<32f?7e<27:=n4>139~w45f2909wS?488257=z{89h6=4={<30g?7e<27:?i4>139~w45c2909w0?;6;l0:=<5rs01f>5<5s4;8i7?m4:?27c<69;1v<=i:181874n3;i863>418257=z{8>;6=4={<374?7e<27:8<4>139~w4262909w0?;1;3a0>;6<;0:=?5rs060>5<5s4;??7?m4:?27c<6981v<:;:181873<3;i863>3d8257=z{8>>6=4={<371?7e<27:8l4>139~w4212909w0?;6;3a0>;6;j0:=<5rs064>5<5s4;?;7?m4:?27f<69;1v<:7:18187303;i863>3d8256=z{8>26=4={<37=?7e<27:8=4>109~w42f2909w0?;a;3a0>;6;m0:=<5rs075>5<5sW;>:63>5`82f1=z{8?<6=4={<363?7e<27:9l4>139~w43?2909w0?:8;3a0>;6=h0:=<5rs07:>5<5s4;>57?m4:?21d<69:1v:181850k3;;i63;018b`>{t9k81<74e0349m:7l=;|q2bd<72;qU=ko4=321>4d33ty:jo4?:3y>5cd=9k>01;|q2ba<72;q6=kj51c68976728;97p}>fd83>7}:9oo1=o:4=321>4753ty:jk4?:3y>5c`=9k>01?>>:032?xu5890;6?u221295g2<5;::6=?51c68976528;:7p}=9`83>7}Y:0k01?o>:0`7?xu51k0;6?u228`95g2<5;3m64m51c6897g628;:7p}=9e83>7}::0n1=o:4=3c3>4763ty95h4?:3y>601?o?:031?xu51o0;6?u228d95g2<5;k:6l>51c6897g628;87p}<3583>7}Y;:>01>=6:0`7?xu4;<0;6?u232795g2<5:926;|q073<72;q6?>851c68965?28;:7p}<3683>7}:;:=1=o:4=21;>4753ty8?54?:3y>76>=9k>01>=6:031?xu4;k0;6?uQ32`8965a28h?7p}<3b83>7}:;:i1=o:4=21g>4763ty8?i4?:3y>76b=9k>01>=i:032?xu4;l0;6?u232g95g2<5:9m651c68962128;97p}<4083>7}:;=;1=o:4=21f>4763ty88?4?:3y>714=9k>01>:8:032?xu4<:0;6?u235195g2<5:><67}:;=?1=o:4=264>4733ty88;4?:3y>710=9k>01>=k:031?xu4<>0;6?u235595g2<5:9n67}:;>:1=o:4=254>4753ty8;<4?:3y>727=9k>01>99:032?xu4?;0;6?u236095g2<5:=>6;|q036<72;q6?:=51c68961228;97p}<7583>7}:;>>1=o:4=254>4743ty8;84?:3y>723=9k>01>99:031?xu4??0;6?u236495g2<5:=<6;|q0b3<72;q6?k851d`891672k80q~=i8;296~;4n?0jh63hn:0`7?85an3;:>6s|3g`94?4|5:li6{t;on1<74d3349mj7?>3:p7cc=838p1>hj:0`7?85an3;:=6s|41294?1|5=:;6109>69=:032?873=3;:<63>478254=z{=:96=4={<634?g234>;=7?m4:p05c=838pR9>j;<1e3?7682wx89;50;6xZ12234;>47?>0:?031<69916=9651028yxd3<00;6>:5c98f3~N4=l1/?8<51g;8^d6=:r21m7s+1`5971eh4?::m1ac<722e?>=4?::k0e5<722e?=>4?::m742<722e8n94?::k06f<722c5;n1e6?6=3`9o;7>5;h671?6=3`>::7>5;h3ee?6=3f>:<7>5;h0:e?6=3f8o;7>5;n1ff?6=3f;nj7>5$0f1>4cb3g;o=7>4;n3f`?6=,8n965$0f1>4cb3g;o=7<4;n3e3?6=,8n965$0f1>4cb3g;o=7:4;n3e1?6=,8n965$0f1>4cb3g;o=784;n3e7?6=,8n967>5$0f1>4cb3g;o=764;n3e5?6=,8n965$0f1>4cb3g;o=7o4;n3fg?6=,8n96!7c:3;37c?k1;38?l72290/=i<5199m5a7=:21b=94?:%3g6?7?3g;o=7=4;h30>5<#9m81=55a1e390>=n9;0;6)?k2;3;?k7c93?07d?>:18'5a4=911e=i?56:9j55<72-;o>7?7;o3g5?1<3`l1<7*>d382<>h6l80376gj:18'5a4=911e=i?59:9j`?6=,8n96<64n0f2>d=7?7;o3g5?b<3`896=4+1e095==i9m;1i65f2083>!7c:3;37c?k1;d8?l47290/=i<5199m5a7=9910e1:9j5`<72-;o>7?7;o3g5?7532c:h7>5$0f1>4>5<#9m81=55a1e3951=:183!52:3;;j6F<7c9K70c<,:296>66;%3b7<1s-9>>7??9:J03g=O;=<=i0V>;>:0yb=?{ni<0;66gnd;29?lg62900eo<50;9l5`d=831i=hl50;494?6|,:296<>m;[165?7|i00ve21b?>o50;&0<7<4;h10e?o=:18'7=4=:h807b?mb;29 6>528hi76sm36d94?7=83:p(>6=:2::?j7ej3:1(>6=:0`a?>{t9lh1<77}Yj;16=hl510g8yvgc2909wSok;<3ff?4f:2wxm84?:3y]e0=:9lh1m85rs`394?4|Vh;01v3>ec807d=:;>l1=ol4}|`2ff<72<096;u+340955?<@:=i7E=:e:&265<13-;j47:;c:X014<6sh31qdo::188mdb=831bm<4?::ka6?6=3f;nn7>5;c3ff?6=>3:17?>e:9je0<72-93>7o:;:kb2?6=,:296l84;h10e?6=,:296>=n;:k1e7<72-93>7bc98yg50n3:1=7>50z&0<7<4001d=ol50;&0<7<6jk10q~?jb;296~X6mk16=hl51c`8yvd52909wSl=;<3ff?76m2wxmi4?:3y]ea=:9lh1>l<4}rc6>5<5sWk>70?jb;c6?xuf93:1>vPn1:?2ag2wx==h50;0x94ce2:9j70=8f;3af>{zj>o1<7=52;6x 63528:37E=8b:J01`=#9h2189m4Z272>4}f13wbm<4?::ka6?6=3f;nn7>5;c3ff?6==381:v*<53824d=#9h2189m4Z272>4}f13wd=hl50;9j5a5=831bn?4?::kb`?6=3`k:6=44b02b>5<1290;w)=72;33f>\4=80:wl75}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61b290:6=4?{%1;6?7e>2e:o:4?:%1;6?7ej21vo<50;0xZg4<58:j60`8b2>{tim0;6?uQae9>55g=:h80q~?k3;296~X6l:16==o532c8yv7bj3:1>vP>ec9>55g=9kh0q~=:f;296~;68h0j963<7d82g2=zuk;1<7?50;2x 63c2=1/?8<511d8 6>52:227)?n8;67g>i68l0;6)=72;3af>=z{8oi6=4={_3ff>;6mk0:io5rsc094?4|Vk801;<3ff?g63ty96=4<{<3ff?7c;27:io4nd:?2>46b3-9>h7;4}|`16=<72:0969u+340955><@:=i7E=:e:&265<13-;j47:;c:X014<6sh31qdo>:188mg4=831d=hl50;9a5`d=83?1>78t$271>46f3-;j47:;c:X014<6sh31qb?jb;29?l7c;3:17dl=:188mdb=831bm<4?::`24d<72?0;6=u+390955d1d98md3=83.84?4n5:9je3<72-93>7o9;:k07d<72-93>7=1d9~wd7=838pRl?4=02b>d06s|1e194?4|V8n870??a;10e>{t9lh1<74e03twi=7>51;294~"4=m0?7)=:2;33b>"40;08445+1`:901e63>ec8a6>{ti80;6?uQa09>5`d=i81v?4?:2y>5`d=9m901>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^63628qj57s`1d`94?=n9m91<75fb383>>ofl3:17do>:188f46f290=6=4?{%1;6?77j2P89<4>{`;9yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=n6=4>:183!5?:3;i:6a>c683>!5?:3;in65rsc094?4|Vk801<>n:03f?xuf93:1>vPn1:?24d2wxmi4?:3y]ea=:99k1>l<4}r3g7?6=:rT:h>5211c976g52z\2ag=:99k1=ol4}r16b?6=:r7:1vqo?50;394?6|,:?o695+340955`<,:296>66;%3bec9~wg4=838pRo<4=0ga>g4ec8b`>;628:n7)=:d;78yxd58=0;6>4=:5y'704=9920D>9m;I16a>"6:90=7)?n8;67g>\4=80:wl75}hc2>5<\4=80:wl75}n3ff?6=3`;o?7>5;h`1>5<>d68h0;6;4?:1y'7=4=99h0V>;>:0yb=?{n98o1<7*<83825`==ni?0;6)=72;c5?>o4;h0;6)=72;10e>=n:h81<7*<8381e7=5}#;181=o84o0a4>5<#;181=ol4;|qa6?6=:rTi>63>0`825`=z{h;1<7n:`78961b28i<7psm1;295?6=8r.89i4;;%166?77n2.84?4<889'5d>=<=i0c<>j:18'7=4=9kh07p}>ec83>7}Y9lh01vPm2:?2ag6}:9lh1=i=4=0ga>db<580:{zj:8i6=4<:387!52:3;;46F<7c9K70c<,88;6;5+1`:901e7>5;n3ff?6=3k;nn7>55;092~"4=;0:5;hc2>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9j:182>5<7s-93>7?m6:m2g2<72-93>7?mb:9~wg4=838pRo<4=02b>47b3tyj=7>52z\b5>;68h0j:6s|ae83>7}Yim16==o52`08yv7c;3:1>vP>d29>55g=;:k0q~?jb;296~X6mk16==o51c`8yv52n3:1>v3>0`8b1>;4?l0:o:5r}c394?7=83:p(>;k:59'704=99l0(>6=:2::?!7f03>?o6a>0d83>!5?:3;in65rs0ga>5<5sW;nn63>ec82ag=z{k81<7:181[g634;nn7o>;|q1>5<4s4;nn7?k3:?2agj;%16`?31}#;<81==64H25a?M52m2.:m54;4b9Y707=9rk26pgn1;29?ld52900c6?49{%166?77i2.:m54;4b9Y707=9rk26pa>ec83>>o6l:0;66gm2;29?lgc2900el?50;9a55g=83<1<7>t$2:1>46e3S9>=7?ta88~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~yg4f;3:1?7<54z&017<6811C?:l4H27f?!7583<0(ec83>>d6mk0;684=:7y'704=99k0(5<50z&0<7<68k1Q?8?51zc:>xo69l0;6)=72;32a>=ni<0;6)=72;c6?>of>3:1(>6=:`48?l54i3:1(>6=:21b?>o5i;0;6)=72;0b6>=h9kh1<7*<8382fg=51;294~"40;0:n;5`1b594?"40;0:no54}r`1>5<5sWh970??a;32a>{ti80;6?uQa09>55g=i?1vlj50;0xZdb<58:j6?o=;|q2`6<72;qU=i=4=02b>65f3ty:io4?:3y]5`d<58:j672c=9j=0qpl>:182>5<7s-9>h7:4$271>46a3-93>7=79:&2e=<352z\b5>;6mk0j=6s|2;297~;6mk0:h>521d`9ea=:93;;i6*<5e86?x{e:k91<7=52;6x 63528:37E=8b:J01`=#9;:1:6*>a9870f=];<;1=vo6:|kb5?6=3`h96=44o0ga>5<a9870f=];<;1=vo6:|m2ag<722c:h>4?::ka6?6=3`ko6=44i`394?=e99k1<7850;2x 6>528:i7W=:1;3xe<6=4+3909e0==n;:k1<7*<83807d=5$2:1>4de32wi?:k50;394?6|,:296bc9~w63a2909w0??a;c6?850m3;h;6srb083>4<729q/?8j54:&017<68o1/?5<539;8 4g?2=>h7b??e;29 6>528hi76s|1d`94?4|V8oi70?jb;3ff>{tj;0;6?uQb39>5`d=j;1vl?50;0xZd7<58oi6l?4}r094?5|58oi60=zuk8h47>53;090~"4=;0:<55G36`8L63b3-;9<784$0c;>12d3S9>=7?ta88~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta88~k4ce2900e56;294~"40;0:2tc:=h4?:%1;6?76m21bm84?:%1;6?g232cj:7>5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi>ij50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f7c529086=4?{%166?7e02B8;o5G34g8 6>528h<7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=<4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a7`e=8381<7>t$271>4463A96=:0`a?M5?921vn9?>:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg45=3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl=2783>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e:;=1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi?o750;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f7b229096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c1a1?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th?=94?:283>5}#;<81=o64H25a?M52m2.84?4>b69'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb2d0>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm43194?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj=8?6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c611?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th?>;4?:483>5}#;<818?5G36`8L63b3-93>7=i;%3b4;29 6>528;n76a>b583>!5?:3;in65rb2gf>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bm2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi?k?50;794?6|,:?969<4H25a?M52m2.84?43;29 6>528;n76g>1583>!5?:3;:i65`1c694?"40;0:no54}c06N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg4213:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl=5`83>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`11g<72:0;6=u+34095g><@:=i7E=:e:&0<7<6j>1/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=zj;<;6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn?8>:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg41:3:1?7>50z&017<382B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm27494?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn?87:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb34:>5<4290;w)=:2;3a<>N4?k1C?8k4$2:1>4d03-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd5>j0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a63c=8391<7>t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:?l1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo<82;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c047?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th9;94?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b6<729q/?8<51c:8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?mb:9~f71?29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj;=26=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c04e?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg40j3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>:k50;194?6|,:?969>4H25a?M52m2.84?4528hi76sm26d94?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj;2;6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn?6>:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`1<1<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd50<0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo<7b;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd50j0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<81=o64H25a?M52m2.84?4>b69'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb3;3>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f7?629096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo<62;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c0:7?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:jk1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c0``?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th9oh4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a6f`=83?1<7>t$271>14<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>o69=0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm2e394?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qob99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn?m?:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`1g4<72;0;6=u+3409577<@:=i7E=:e:&0<7<>m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi>n<50;194?6|,:?969>4H25a?M52m2.84?4528hi76sm2b194?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj;i?6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c0`1?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th9o;4?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e:k<1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>o950;194?6|,:?969>4H25a?M52m2.84?4528hi76sm2c:94?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:kk1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c0ag?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:kn1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c0ab?6=<3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>i6j=0;6)=72;3af>=zj;k>6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c0bf?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th9mn4?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e:ho1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>lh50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f7d729096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd5j;0;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn?o9:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb3c4>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f7g?29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj;k26=4;:183!52:3>:7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32c:=>4?:%1;6?76m21d=o:50;&0<7<6jk10qob89K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=7?mb:9~f4db29096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo?mf;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd6k90;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj8i96=4::183!52:3>97E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32c:=>4?:%1;6?76m21b=<:50;&0<7<69l10cc283>6<729q/?8<51c:8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?mb:9~f75d29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj;><6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c07b?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th99=4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a607=8381<7>t$271>4463A96=:0`a?M5?921vn?;=:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg42;3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl=5583>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e:5$2:1>4de3A93=65rb31g>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd5<90;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b1<729q/?8<5409K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54i030>5<#;181=t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm25794?5=83:p(>;=:0`;?M50j2B89h5+39095g1<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg43>3:1?7>50z&017<382B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo<;9;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c07e?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:=h1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>9m50;194?6|,:?96N4=l1/?5<51c58 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c07`?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg43m3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>=850;194?6|,:?96N4=l1/?5<51c58 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c025?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th9=?4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a645=8391<7>t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:8>1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb336>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98m474290/?5<510g8?j7e<3:1(>6=:0`a?>{e:821<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb324>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=54;294~"4=;0:n45G36`8L63b3-93>7?m7:&2e=<33;29 6>528;n76a>b583>!5?:3;in65rb32:>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f76f290?6=4?{%166?263A96=:03f?>o69:0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c03`?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:9o1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c024?6=<3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>i6j=0;6)=72;3af>=zj=:36=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c63=?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th?5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a05d=83>1<7>t$271>4d>3A96=:03f?>o69;0;6)=72;32a>=n9891<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`15a<72=0;6=u+340904=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98m474290/?5<510g8?j7e<3:1(>6=:0`a?>{e:8o1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb33e>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bm2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi>?<50;794?6|,:?969<4H25a?M52m2.84?43;29 6>528;n76g>1583>!5?:3;:i65`1c694?"40;0:no54}c017?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:;>1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>N4=l1/?5<51c58 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c01e?6=<3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9j545=83.84?4>1d98k4d3290/?5<51c`8?xd5;;0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a662=8381<7>t$271>4463A96=:0`a?M5?921vn?=::181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg44>3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl=3683>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e::21<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb31:>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm23a94?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:;o1<7:50;2x 63528h27E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21b=<=50;&0<7<69l10c1<729q/?8<5409K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54i030>5<#;181=t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10q~m50;0xZf=::;<51038yvc=838pRh5227:954763c=98;0q~??:181[77348<87?>1:p54<72;qU=<5226c95477}Y9:16>5851038yv732909wS?;;<0;g?7692wx=;4?:3y]53=::<21=<<4}r34>5<5sW;<70<60;326>{t9m0;6?uQ1e9>636=9880q~?j:181[7b348=:7?>2:p5c<72;qU=k5227a95446s|2083>7}Y:816>:651008yv452909wS<=;<04a?76:2wx>>4?:3y]66=::1>1=<<4}r07>5<5sW8?70<7a;326>{t?k0;6<<75b39>5ge=j;16>nm5100897ea28;:70;5k;0:=?522b59546<5;kn6119>602=98:01?:6:032?84693;:<63=128254=::;;1=<>4=316>4773ty55z?4a?7bj279o>4>119>605=98:01??;:033?845j3;:=6s|a783>f}Yi?16><75a09>5ge=i816;h4n1:?16=o4n1:?1e64n1:?1g=119~w4d62908w0=8c;33a>;5900jh63>bb8b`>{t9ki1<79t=0``>4ce348hh7?>0:?1ec<69916>8?51028976?28;970<>b;326>;5;;0:=<5rs0`g>5<5s4;io7o:;<3`7?7e<2wx=ok50;0x94db28h?70?l2;326>{t9kl1<74d334;h>7?>3:p5f6=838p1{t9li1<7f183>7}Y9o:01?8<:0`7?xu6n80;6?uQ1g38970>28h?7p}>f383>7}Y9o801?8i:0`7?xu6n:0;6?uQ1g18971228h?7p}>f583>7}Y9o>01?9m:0`7?xu6n<0;6?uQ1g7897>628h?7p}>f783>7}Y9o<01?68:0`7?xu6n>0;6?uQ1g5897>c28h?7p}>f983>7}Y9o201?7<:0`7?xu6nh0;6kuQ1gc897bc28;;70;5k=0:==522`g9547<58i96;<066?768279984>119>617=98;01??=:033?846=3;:<63;098255=::;81=475348887?>0:p655=83>p1?<8:0`7?825>3;:=634}r030?6=:r79<94>ec9>670=98:0q~63=1182f1=z{;:=6=4={<032?7e<279

109~w7602909w0;58h0:=>5rs32;>5<5s48;47?m4:?14g<69;1v?>6:18184713;i863=0b8257=z{;:j6=4={<03e?7e<279==4>129~w76e2909w0;58m0:=<5rs32`>5<5s48;o7?m4:?14a<69;1v?>k:181847l3;i863=0d8257=z{;:n6=4={<03a?7e<279109~w76a2909w0;5990:=?5rs332>5<5s48:=7?m4:?14=<6981v??=:181846:3;i863=098256=z{;;86=4={<027?7e<279109~w7732909w0<>4;3a0>;5800:=<5rs336>5<5s48:97?m4:?14<<69;1v??9:181846>3;i863=0g8257=z{;;<6=4={<023?7e<279==4>109~w77?2909w0<>8;3a0>;58h0:=<5rs33:>5<5s48:57?jb:?160<6991v??n:18184613k>70<>c;3a0>{t:8h1<74d3348987?>1:p64b=838p1??k:0`7?845;3;:=6s|20g94?4|5;;n6{t:;:1<74d33489>7?>4:p677=838p1?<>:0`7?846k3;:>6s|23094?4|5;896?=50;0x974428h?70<=4;326>{t:;>1<74d3348:o7?>1:p673=838p1?<::0`7?845?3;:=6s|23494?4|5;8=6?650;0x974?28oi70{t:;31<7g4<5;9:6?o51c68975728;:7p}=2c83>7}::;h1=o:4=30g>4763ty9>n4?:3y>67e=9k>01?;|q16`<72;q6>?k51c68974a28;97p}=2g83>7}::;l1=o:4=313>4753ty9?=4?:3y>666=9k>01?=>:032?xu5;;0;6?u222095g2<5;8n6>=51c68974e28;97p}=3583>7}:::>1=o:4=30g>4753ty9?84?:3y>663=9k>01?;|q172<72;q6>>951c68974d28;:7p}=3983>7}:::21=o:4=30`>4753ty9?44?:3y>66?=9k>01?=>:031?xu5;h0;6<8t=31b>4ce349i57?>0:?11=<69816>8751028970728;:70<91;324>;5>?0:=<522759546<5;;<05`?768279;?4>109>625=98:01?97:032?84013;:<63=7d8254=::>l1=<>4=3:7>476348397?>0:?15l5102897?728;:70<61;324>{t::h1<7g4<5;>n6>m51c68975a28;:7p}=3e83>7}:::n1=o:4=36a>4753ty9?h4?:3y>66c=9k>01?:k:031?xu5;o0;6?u222d95g2<5;>?6;|q105<72;q6>9>51c68972d28;:7p}=4083>7}::=;1=o:4=366>4763ty98?4?:3y>614=9k>01?:::031?xu5<:0;6?u225195g2<5;>=69:51c68972?28;97p}=4483>7}::=?1=o:4=36:>4753ty98;4?:3y>610=9k>01?:n:031?xu5<>0;6?u225595g2<5;9m69651c68972b28;:7p}=4883>7}::=31=o:4=36b>4763ty98l4?:3y>61g=9k>01?:m:032?xu5h69m51c68972c28;:7p}=4e83>7}::=n1=o:4=36f>4753ty98k4?:3y>61`=9k>01?:;:031?xu5=90;6?u224295g2<5;>;68?51c68972628;97p}=5383>7}::<81=o:4=361>4763ty99>4?:3y>605=9k>01?:=:030?xu5==0;6?u224695g2<5;>86;|q110<72;q6>8;51c68972428;97p}=5683>7}::<21=o:4=37a>4763ty9954?:3y>60?=9k>01?;n:031?xu5=00;6?u224c95g2<5;?i6;>51c68970428;:7p}=6183>7}::?;1=o:4=341>4753ty9:<4?:3y>634=9k>01?8<:031?xu5><0;6?u227495g2<5;<26;|q123<72;q6>;951c68970?28;97p}=6683>7}::?21=o:4=34:>4753ty9:o4?:3y>63e=9k>01?8i:032?xu5>j0;6?u227f95g2<5;;k51c68970a28;97p}=7083>7}::>81=o:4=356>4763ty9;?4?:3y>625=9k>01?9;:031?xu5?:0;6?u226695g2<5;=>6:651c68971e28;:7p}=7983>7}::>31=o:4=35b>4753ty9;44?:3y>62g=9k>01?9m:031?xu5?m0;6?u226g95g2<5;2:6;|q13`<72;q6>:h51c6897>728;97p}=7g83>7}::1:1=o:4=3:2>4753ty94>4?:3y>6=2=9k>01?68:032?xu50=0;6?u229795g2<5;2=65851c6897>028;97p}=8883>7}::1k1=o:4=3:g>4763ty94l4?:3y>6=d=9k>01?6l:031?xu50k0;6?u229a95g2<5;2o64>51c6897?428;:7p}=9183>7}::0;1=o:4=3;1>4753ty95<4?:3y>6<4=9k>01?7<:031?xu51h0;6?uQ28c8917628;;7p}=a283>47|5;k86139>6f`=98901?m?:032?84d>3;:>63=ae8255=::h21=4773488o7?>2:?17`<69916><851028976f28;970<>d;325>;59l0:==5223c9547<5;9=6l=5b39>6dg=9k>0q~52z?1e3<6j=16>lo51038yv4f?3:1>v3=a682f1=::h31=b59>6dg=9880q~52z?1eg<6j=16>o<51008yv4fk3:1>v3=ab82f1=::h<1=b59>6d0=9880q~52z?1ec<6j=16>l951038yv4e83:1>v3=b182f1=::h=1=<<4}r0a5?6=:r79n<4>b59>6d?=9890q~515y>6g5=9lh01?j9:032?84di3;:=63=cg8251=::j?1=<>4=3`5>476348j97?>0:?1ef<69816>o>5102894da28;;70<;f;324>;5;m0:==522529547<5;:=6;<023?76:279=54>119>64b=98801??i:033?845i3;:>63=368255=z{;h?6=4={<0a7?d5348ij7?m4:p6g3=838p1?l::0`7?84e>3;:>6s|2c494?4|5;h=6o950;0x97d028h?70{t:k21<74d3348im7?>2:p6g?=838p1?l6:0`7?84en3;:?6s|2cc94?4|5;hj6ol50;0x97de28h?70{t:ki1<74d3348ih7?>2:p6gb=838p1?lk:0`7?84em3;:=6s|2cg94?4|5;hn6n>50;0x97e728h?70{t:j;1<74d3348i;7?>2:p6f4=838p1?m=:0`7?84e03;:=6s|2b194?4|5;i86n:50;0x97e328h?70{t:j?1<74d3348ii7?>2:p6f0=838p1?m9:0`7?84e13;:=6s|2b594?4|5;i<6n650;3284d03;nn63=cd8255=::k?1=<>4=3a3>475348jn7?>0:?1ef<69;16>o?5102894db28;;70<:0;324>;5<10:=<522149544<5;;<6129>676=98:01?4d33ty9ol4?:3y>6fg=9k>01?j=:032?xu5kj0;6?u22ba95g2<5;n:6;|q1ga<72;q6>nj51c6897b728;97p}=cd83>7}::jo1=o:4=3f0>4753ty9ok4?:3y>6f`=9k>01?mm:031?xu5l90;6?u22e295g2<5;n:6i?51c6897b528;97p}=d383>7}::m81=o:4=3f0>4763ty9h>4?:3y>6a5=9k>01?mm:032?xu5l=0;6?u22e795g2<5;n=6i94=3g1>4d33ty9hi4?:3y>6ab=9k>01?k=:031?xu4:k0;6>uQ2dd8964e28oi70:?a;324>{t;;i1<7;t^20`?84d:3;:=63=438257=::891=<<4=310>4773ty8>h4?:by]77c<5;im6109>6g1=98;01?ln:032?844k3;:=63=478254=::8=1=476348:n7?>1:?17<<6991v>6k:181855j3h970{t;h:1<78t^2c3?826<3;:>634=2gf>47734>;n7?>2:p7g2=838pR>l;;<1a0?7bj2wx?o850;0x96d32h;01>l::0`7?xu4j10;6?u23c69f7=:;k31=o:4}r1g3?6=:rT8h:523g2954652z\0ag=:;li1=o:4}r1f`?6=:r78in4>119>7c7=9k>0q~=je;296~;4ml0:n9523g3954452z?0ac<6j=16?k?51018yv5a83:1>v352z?0b6<6j=16?k:51008yv27?3:1>vP;069>05e=9k>0q~:?8;296~;3810:n95241`9547;57>52z?74<<6j=168=l51018yv27i3:1>v3;0`82f1=:<9i1=<<4}r63f?6=:r7?b59>05e=98;0q~:>0;296~X399168vP;129>042=9k>0q~:>6;296~X39?168?;51028yv2583:1>vP;219>077=9k>0q~:=2;296~;3:80:==5243495g29?7>52z?766<6j=168?851008yv25<3:1>v3;2582f1=:<;<1=<=4}r611?6=:r7?>84>b59>070=98>0q~:;5;291~X3<<168<:5103896`428;;70:=4;324>;4mo0:==5r}c1:2?6=9:0=m79;{I16a>"4=;0:?55+1`5971e>4?::k0e5<722e5;h5a>5<5<5<>6=44i535>5<i6=44o3f4>5<5<>d4?j0;6<4?:1y'704=99l0D>9m;I16a>"40;08445+1`:901e3}#;<81==74H25a?M52m2.:m54;4b9Y707=9rk26pgn5;29?lgc2900el?50;9jf7<722e:io4?::`2ag<72?0;6=u+390955d1d98md3=83.84?4n5:9je3<72-93>7o9;:k07d<72-93>7=ec83>7}Y9lh01vPm2:?2ag<69l1vlj50;0xZdb<58oi6?o=;|qb1?6=:rTj963>ec8b1>{ti80;6?uQa09>5`d=i?1v<>i:18187bj398m63<7g82fg=zuk=m6=4::385!52:3;;56F<7c9K70c<,8k369:l;[165?7|i00vel;50;9jea<722cj=7>5;h`1>5<5<1290;w)=72;33f>\4=80:wl75}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61a290:6=4?{%1;6?5?12e:no4?:%1;6?7ej21vbc9~wg4=838pRo<4=0ga>47b3tyjh7>52z\b`>;6mk09m?5rs`794?4|Vh?01;<3ff?g13ty:5`d=;:k01>9i:0`a?x{e9ki1<7;52;4x 63528:27E=8b:J01`=#9;:1:6*>a9870f=];<;1=vo6:|kb1?6=3`ko6=44i`394?=nj;0;66a>ec83>>d6mk0;6;4?:1y'7=4=99h0V>;>:0yb=?{n98o1<7*<83825`==ni?0;6)=72;c5?>o4;h0;6)=72;10e>=n:h81<7*<8381e7=5}#;181?574o0`a>5<#;181=ol4;|q2ag<72;qU=hl4=0ga>4de3tyi>7>52z\a6>;6mk0:=h5rs`f94?4|Vhn01vPn5:?2ag5<5s4;nn7=099K72d<@:?n7)?n8;67g>\4=80:wl75}hc2>5<\4=80:wl75}n3ff?6=3`;o?7>5;h`1>5<>d68h0;6;4?:1y'7=4=99h0V>;>:0yb=?{n98o1<7*<83825`==ni?0;6)=72;c5?>o4;h0;6)=72;10e>=n:h81<7*<8381e7=5}#;181=o84o0a4>5<#;181=ol4;|qa6?6=:rTi>63>0`825`=z{h;1<7n:`78961b28i<7psm1;295?6=8r.89i4;;%166?77n2.84?4<889'5d>=<=i0c<>j:18'7=4=9kh07p}>ec83>7}Y9lh01vPm2:?2ag6}:9lh1=i=4=0ga>db<580:{zj;n1<7;52;4x 63528:27E=8b:J01`=#9h2189m4Z272>4}f13wbm84?::kb`?6=3`k:6=44ic094?=h9lh1<75m1d`94?0=83:p(>6=:02a?_5293;pm44ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>6>>3f;in7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?mb:pf7<72;qUn?521d`954c6s|a483>7}Yi<16=hl5a49~wd7=838pRl?4=0ga>d052z?2ag<4;h16?:h51c`8yxd5=3:1?7<54z&017<6811C?:l4H27f?!7f03>?o6T<5082d?=u`k:6=44ic094?=h9lh1<75m1d`94?3=:3;=:02b?!7f03>?o6T<5082d?=uf;nn7>5;h3g7?6=3`h96=44i`f94?=ni80;66l>0`83>3<729q/?5<511`8^63628qj57sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;5<42;0?w)=:2;33<>N4?k1C?8k4$0c;>12d3S9>=7?ta88~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta88~k4ce2900e56;294~"40;0:2tc:=h4?:%1;6?76m21bm84?:%1;6?g232cj:7>5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi=>?50;196?2|,:?96<>7;I14f>N4=l1/=?>56:&2e=<3xof93:17dl=:188k4ce2900n7<1s-9>>7??a:&2e=<3xi6mk0;66g>d283>>oe:3:17dok:188md7=831i==o50;494?6|,:296<>m;[165?7|i00ve21b?>o50;&0<7<4;h10e?o=:18'7=4=:h807b?mb;29 6>528hi76sm36g94?7=83:p(>6=:0`5?j7d?3:1(>6=:0`a?>{tj;0;6?uQb39>55g=98o0q~o>:181[g634;;m7o9;|qb`?6=:rTjh63>0`81e7=z{8n86=4={_3g7>;68h08?l5rs0ga>5<5sW;nn63>0`82fg=z{:?m6=4={<33e?g2349"4=;0:r.89?4>089K72d<@:?n7)?n8;67g>\4=80:wl75}hc6>5<>oe:3:17b?jb;29?g7bj3:1:7>50z&0<7<68k1Q?8?51zc:>xo69l0;6)=72;32a>=ni<0;6)=72;c6?>of>3:1(>6=:`48?l54i3:1(>6=:21b?>o5i;0;6)=72;0b6>=h9kh1<7*<8382fg=51;294~"40;08445`1c`94?"40;0:no54}r3ff?6=:rT:io521d`95gd7}Yim16=hl52`08yvg22909wSo:;<3ff?g23tyj=7>52z\b5>;6mk0j:6s|11d94?4|58oi6>=n;<14b?7ej2wvn<:m:186>7<1s-9>>7??9:J03g=O;{`;9yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=m6=4>:183!5?:39356a>bc83>!5?:3;in65rs0ga>5<5sW;nn63>ec82fg=z{k81<7d30g83>7}:9lh1?>o4=25e>4de3twi=;650;796?0|,:?96<>6;I14f>N4=l1/=l6545a8^63628qj57sfa483>>ofl3:17do>:188mg4=831d=hl50;9a5`d=83<1<7>t$2:1>46e3S9>=7?ta88~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>l1<7?50;2x 6>52:227b?mb;29 6>528hi76s|1d`94?4|V8oi70?jb;3af>{tj;0;6?uQb39>5`d=98o0q~ok:181[gc34;nn73}#;<81==74H25a?M52m2.:m54;4b9Y707=9rk26pgn5;29?lgc2900el?50;9jf7<722e:io4?::`2ag<72?0;6=u+390955d1d98md3=83.84?4n5:9je3<72-93>7o9;:k07d<72-93>7=ec83>7}Y9lh01vPm2:?2ag<69l1vlj50;0xZdb<58oi6?o=;|qb1?6=:rTj963>ec8b1>{ti80;6?uQa09>5`d=i?1v<>i:18187bj398m63<7g82fg=zuk;>>7>55;092~"4=;0:<45G36`8L63b3-;j47:;c:X014<6sh31qdo::188mdb=831bm<4?::ka6?6=3f;nn7>5;c3ff?6=>3:17?>e:9je0<72-93>7o:;:kb2?6=,:296l84;h10e?6=,:296>=n;:k1e7<72-93>7bc98yg50n3:1=7>50z&0<7<4001d=ol50;&0<7<6jk10q~?jb;296~X6mk16=hl51c`8yvd52909wSl=;<3ff?76m2wxmi4?:3y]ea=:9lh1>l<4}rc6>5<5sWk>70?jb;c6?xuf93:1>vPn1:?2ag2wx==h50;0x94ce2:9j70=8f;3af>{zj8==6=4::385!52:3;;56F<7c9K70c<,8k369:l;[165?7|i00vel;50;9jea<722cj=7>5;h`1>5<5<1290;w)=72;33f>\4=80:wl75}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61a290:6=4?{%1;6?5?12e:no4?:%1;6?7ej21vbc9~wg4=838pRo<4=0ga>47b3tyjh7>52z\b`>;6mk09m?5rs`794?4|Vh?01;<3ff?g13ty:5`d=;:k01>9i:0`a?x{e9m>1<7=52;6x 63528:37E=8b:J01`=#9;:1:6*>a9870f=];<;1=vo6:|kb5?6=3`h96=44o0ga>5<a9870f=];<;1=vo6:|m2ag<722c:h>4?::ka6?6=3`ko6=44i`394?=e99k1<7850;2x 6>528:i7W=:1;3xe<6=4+3909e0==n;:k1<7*<83807d=5$2:1>4de32wi?:k50;394?6|,:296bc9~w63a2909w0??a;c6?850m3;h;6srb083>4<729q/?8j54:&017<68o1/?5<539;8 4g?2=>h7b??e;29 6>528hi76s|1d`94?4|V8oi70?jb;3ff>{tj;0;6?uQb39>5`d=j;1vl?50;0xZd7<58oi6l?4}r094?5|58oi60=zuk;o47>53;090~"4=;0:<55G36`8L63b3-;9<784$0c;>12d3S9>=7?ta88~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta88~k4ce2900e56;294~"40;0:2tc:=h4?:%1;6?76m21bm84?:%1;6?g232cj:7>5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi=8:50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f4g329096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo7?:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb05:>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo?j8;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd6m00;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e;j31<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=;o50;194?6|,:?969>4H25a?M52m2.84?4528hi76sm17`94?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj8;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn<8k:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`20a<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd69m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj8?;6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn<;>:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`2=a<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd61l0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj8k;6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`2`d<72;0;6=u+3409577<@:=i7E=:e:&0<7<>m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi=ih50;194?6|,:?96N4=l1/?5<51c58 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c3f4?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg7b93:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl>e383>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e9l91<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=h:50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f4c229086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj8o=6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb0f`>5<4290;w)=:2;3a<>N4?k1C?8k4$2:1>4d03-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd6lm0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<81=o74H25a?M52m2.84?4>b69'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76g>1283>!5?:3;:i65`1c694?"40;0:no54}c3g2?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg7c?3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi>ij50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f7c529096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c0fh0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>i6j=0;6)=72;3af>=zj8?o6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c36b?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e9?:1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=;?50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f40529096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo?93;297?6=8r.89?4;0:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c350?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg71=3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi=;850;194?6|,:?969>4H25a?M52m2.84?4528hi76sm14g94?5=83:p(>;=:0`;?M50j2B89h5+39095g1<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg5>29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj:k1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo=m:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5d29096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo=k:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb2g94?2=83:p(>;=:538L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=7?mb:9~f40a29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj8=;6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c345?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th:;?4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a525=8391<7>t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e9>>1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo?85;290?6=8r.89?4;1:J03g=O;6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65f10194?"40;0:=h54o0`7>5<#;181=ol4;|`:6?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th2?7>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th297>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bN4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9j545=83.84?4>1d98m473290/?5<510g8?j7e<3:1(>6=:0`a?>{e1>0;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg7en3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl>c183>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e9j;1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb0a1>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f4e429086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj8i?6=4<:183!52:3;i46F<7c9K70c<,:296c483>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`2g3<72:0;6=u+34095g><@:=i7E=:e:&0<7<6j>1/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=zj::1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb2394?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi?>4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a71<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd4=3:1?7>50z&017<382B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=:7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32c:=>4?:%1;6?76m21d=o:50;&0<7<6jk10qo=8:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|q11?6=:rT9963=5;3ff>{t:m0;6<>t^3f897b=9lh01<8n:032?871j3;:<63>4e8254=:9=o1=<>4=0;g>47634;2i7?>0:?2`c<69;16>ij51028943a28;970=m:033?870<3;:=6364;324>;6jl0:==52328255=z{;o1<7:t=3f9f7=:9hi1n?521649e0=:;l0:n95rs3d94?4|5;n1m8523682f1=z{::1<71=<<4}r12>5<5s49:64753ty8>7>52z?06?7e<27897?>2:p76<72;q6?>4>b59>73<69:1v>:50;0x962=9k>01>951038yv522909w0=::0`7?85128;:7p}<6;296~;4>3;i863<7;326>{t;10;6?u23882f1=:;l0:=?5rs2;94?4|5:k1=o:4=2f95474d3349o67a<6j=16?h4>109~w2d=83hpR:l4=0``>g4<50:1=47634;n<7?>2:?225<69;16?44>109>53`=988014;5102894e628;;70=>:032?xu0n3:1>vP8f:?4b?7bj2wx4k4?:3y>3cb59>=3<69;1v4=50;0x9<5=9k>014851018yv?32909w07;:0`7?8?128;?7p}65;296~;>=3;i86367;326>{t1?0;6?u29782f1=:1>0:=<5rs`494?76sWk=70?87;c2?81a2h;0160?51d`894bf28;;70?j3;326>;6l?0:=?5214f9546<5091=<>4}r306?6=;rT:??52918257=:9>31=<>4}r30e?6=1rT:?l523b59547<58o;6;<0f6?76827::=4>109>7<<69;16=;h5103894e228;:70=::032?xu64b83>7}:9=h1n?5214395g252z?20a<6j=16=8?51038yv73m3:1>v3>4d82f1=:9<:1=b59>506=9880q~?:0;296~;6=90:n9521439544>7>52z?217<6mk16=9j51008yv72;3:1>v3>538a6>;6==0:n95rs075>5<4sW;>:63=e68254=:9j81=o7>52z?21gb59~w43c2909w0?:d;3a0>;6>:0:=?5rs07e>5<5s4;>j7?m4:?220<6981v<8?:18187183;i863>658254=z{8<:6=4={<355?7e<27::94>139~w4052909w0?92;3a0>;6>?0:=?5rs040>5<5s4;=?7?m4:?21`<6981v<8;:181871<3;i863>648257=z{8<>6=4={<351?7e<27::;4>109~w4012909w0?96;3a0>;6=l0:=?5rs04;>5<5sW;=463>6982ag=z{8<26=4={<35{t9?o1<7d3<58=>67183>7}:9>:1=o:4=050>4753ty:;<4?:3y>527=9k>01<9::032?xu6?;0;6?u216095g2<58=>67583>7}:9>>1=o:4=056>4753ty:;;4?:3y>520=9lh01<8n:031?xu6?>0;6?u216595`d<5;o3652g=9k>0q~?89;296~;6?00:n95216c954452z\2=g=:90h1=hl4}r3:g?6=:r7:5o4m2:?2e4<6j=1v<7k:18187>l3;i863>a08254=z{83n6=4={<3:a?7e<27:m=4>109~w4?a2909w0?6f;3a0>;6i90:=?5rs0c3>5<5s4;j<7?m4:?2e4<69;1v9e8257=z{8k86=4={<3b6?d534;j87?m4:p5de=839p170?:2;c6?xu6j80;6ou236a955c<58=<6lj4=6d9ea=:9ki1mi522e8b`>;61k0jh63>4c8b`>;6>10jh63>a38b`>;6=;0jh63>778b`>{t9ki1<79t=0``>4ce34;n=7?>0:?224<69916?n4>119>526=98:014851038964=98:0q~?md;296~;6jj0j963>c782f1=z{8hn6=4={<3aa?7e<27:o?4>139~w4da2909w0?mf;3a0>;6k:0:=<5rs0a3>5<5s4;h<7?m4:?2g6<69;1v:18187d93;i863>c78257=z{8i96=4={<3`6?7e<27:o94>109~w4e42909w0?l3;3a0>;6k=0:=?5rs0a7>5<5s4;h87?m4:?2g0<69;1vc78254=z{8n?6=4m{<3g0?7bj27:i54>119>5`4=98:013;:=63>638255=:;h0:=<521609546<58hm6477349:65a1=9k>0q~?k6;296~;6l?0:n9521e595445dz?2`=<6mk16=h75103894ba28;:70?j4;324>;6m<0:=<521e59547<58?m6;<357?769278m7?>2:?234<699165?4>119>5f6=98:01>:51038yv7c13:1>v3>d98a6>;6ll0:n95rs0fb>5<5s4;om7?m4:?2a0<69;1vdd8256=z{8nh6=4={<3gg?7e<27:hi4>109~w4bc2909w0?kd;3a0>;6ll0:=<5rs0fe>5<5s4;oj7?m4:?2`f<6981ve78254=z{8o:6=4={<3f5?7e<27:i;4>139~w4c52909w0?j2;3a0>;6lm0:=?5rs0g0>5<5s4;n?7?m4:?2`g<6981vdc8257=z{8o>6=4={<3f1?7e<27:hh4>139~w4c12909w0?j6;3a0>;6lj0:=?5rs0g4>5<5s4;n47?m4:?2a<<69;1v0;6?uQ2e5897c?28h?7p}=de83>7}::mn1=o:4=3g4>4753ty9i?4?:3y>6`4=9k>01?k7:030?xu5m>0;6?u22d595g2<5;o36;|q0e5<72;qU?l>4=2a;>4773ty8o;4?:3y]7f0<5:i2628;:7p}7}:;j21=o:4=2a:>4753ty8h:4?:2y]7a1<58??60:?2=c<6991v9:::187[23=27:;;4m2:?0g2<69;16=;m51038yxd4190;6n4=b;10M52m2.89?4>0e9'5d1=;=i0V>;>:0yb=?{h<:o1<75`3cc94?=n;h:1<75`7c83>>o68>0;66g70;29?l>22900e?j7:188m7bc2900e?k=:188k6gb2900e52:227)?n8;67g>i68l0;6)=72;3af>=zj:hj6=4::385!52:3;;56F<7c9K70c<,8k369:l;[165?7|i00vel;50;9jea<722cj=7>5;h`1>5<5<1290;w)=72;33f>\4=80:wl75}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61a290:6=4?{%1;6?5?12e:no4?:%1;6?7ej21vbc9~wg4=838pRo<4=0ga>47b3tyjh7>52z\b`>;6mk09m?5rs`794?4|Vh?01;<3ff?g13ty:5`d=;:k01>9i:0`a?x{e:m31<7;52;4x 63528:27E=8b:J01`=#9h2189m4Z272>4}f13wbm84?::kb`?6=3`k:6=44ic094?=h9lh1<75m1d`94?0=83:p(>6=:02a?_5293;pm44ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>6>>3f;in7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?mb:pf7<72;qUn?521d`954c6s|a483>7}Yi<16=hl5a49~wd7=838pRl?4=0ga>d052z?2ag<4;h16?:h51c`8yxd5ll0;684=:7y'704=9930D>9m;I16a>"6i10?8n5U34395~g>2tcj97>5;hcg>5<>i6mk0;66l>ec83>3<729q/?5<511`8^63628qj57sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03c<7280;6=u+39097=?63>ec825`=z{hn1<7bc9~yg4b;3:197<56z&017<6801C?:l4H27f?!7f03>?o6T<5082d?=u`k>6=44i`f94?=ni80;66gm2;29?j7bj3:17o?jb;292?6=8r.84?4>0c9Y707=9rk26pg>1d83>!5?:3;:i65fa483>!5?:3k>76gn6;29 6>52h<07d=52:9j76g=a383>!5?:38j>65`1c`94?"40;0:no54}c14b?6=93:152z\2ag=:9lh1=ol4}r`1>5<5sWh970?jb;32a>{tim0;6?uQae9>5`d=:h80q~o::181[g234;nn7o:;|qb5?6=:rTj=63>ec8b2>{t99l1<765f34978t$271>46>3A9=<=i0V>;>:0yb=?{ni<0;66gnd;29?lg62900eo<50;9l5`d=831i=hl50;494?6|,:296<>m;[165?7|i00ve21b?>o50;&0<7<4;h10e?o=:18'7=4=:h807b?mb;29 6>528hi76sm36d94?7=83:p(>6=:2::?j7ej3:1(>6=:0`a?>{t9lh1<77}Yj;16=hl510g8yvgc2909wSok;<3ff?4f:2wxm84?:3y]e0=:9lh1m85rs`394?4|Vh;01v3>ec807d=:;>l1=ol4}|`;2?6==381:v*<53824<=O;>h0D>;j;%3b{`;9ylg22900elj50;9je4<722ci>7>5;n3ff?6=3k;nn7>56;294~"40;0:2tc:=h4?:%1;6?76m21bm84?:%1;6?g232cj:7>5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8f;295?6=8r.84?4<889l5gd=83.84?4>bc98yv7bj3:1>vP>ec9>5`d=9kh0q~l=:181[d534;nn7?>e:pea<72;qUmi521d`96d46=4={_c6?87bj3k>7p}n1;296~Xf927:io4n6:p55`=838p15<22;0=w)=:2;33=>N4?k1C?8k4$0c;>12d3S9>=7?ta88~md3=831bmi4?::kb5?6=3`h96=44o0ga>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9i:182>5<7s-93>7=79:m2fg<72-93>7?mb:9~w4ce2909wS?jb:?2ag<6jk1vo<50;0xZg4<58oi6ec81e7=z{h?1<7:181[g634;nn7o9;|q24c<72;q6=hl532c8961a28hi7psm4783>6<52=q/?8<511:8L61e3A9>i6*>2185?!7f03>?o6T<5082d?=u`k:6=44ic094?=h9lh1<75m1d`94?3=:3;=:02b?!7f03>?o6T<5082d?=uf;nn7>5;h3g7?6=3`h96=44i`f94?=ni80;66l>0`83>3<729q/?5<511`8^63628qj57sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj>n1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd5mm0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi?n>50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f6e629086=4?{%166?7e02B8;o5G34g8 6>528h<7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=4?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd?13:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wi4>4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a<1<72:0;6=u+34095g><@:=i7E=:e:&0<7<6j>1/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=zj;o>6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c0f2?6=;3:1h0D>;j;%1;6?7e?2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e:l:1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb3g2>5<4290;w)=:2;3a<>N4?k1C?8k4$2:1>4d03-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd5lk0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:0`;?M50j2B89h5+39095g1<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg2029096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo:209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd3<90;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn>oi:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`;1?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th3m7>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th3o7>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bN4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9j545=83.84?4>1d98m473290/?5<510g8?j7e<3:1(>6=:0`a?>{e;??1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo=96;297?6=8r.89?4>b99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn>87:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5113:197>50z&017<3:2B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=n9891<7*<83825`=5$2:1>4de32wi?;o50;194?6|,:?96N4=l1/?5<51c58 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}r65>5<5s4>=64763ty?47>52z?72?g634><64d33ty5fz\4f>;?>3h9706>:c0897c42k801?jj:c0897b>2k801:j51c6896e728;;70=93;325>;?03;:<6373;324>;5m<0:==522d29546<5;ni63f<6j=16;i4>139~w2`=839p1>oi:032?8>c28h?70=99;325>{t090;6>uQ819><1<698164n4>119~w=7=838p15?51d`89=3=98:0q~6=:1818>62h?015:51c68yv>42909w06<:0`7?8>328;97p}75;297~X?=27357?>1:?;e?7682wx4;4?:3y><3<6mk164o4>119~w=1=838p1585a49><<<6j=1v5650;0x9=>=9k>015751008yv>f2909w06::0`7?8>c28;:7p}7b;296~;?i3;i8637d;326>{t0j0;6?u28c82f1=:0m0:=>5rs9f94?4|51i1=o:4=9f954259z\242=:;kk1m<522e;9e4=::mo1m<522d19e4=:080j=6376;c2?85193k:70:8:033?xu6900;6>uQ10;896e628;:70=93;326>{t9k;1<76t=25`>46b349im7ok;<0g=?gc348oi7ok;<0f7?gc342:6lj4=949ea=:;?;1mi5rs3f;>5<4sW8o463=db8254=:;?<1=ec9>730=9880q~139~w7bc2908wSh?51038yv4cm3:1>v3=dd82ag=::li1=<>4}r0gb?6=:r79hh4n5:?1a4<6j=1v?k?:18184b83;i863=e08257=z{;o96=4<{_0f6>;5m?0:=<52377954752z?1a6<6mk16?;;51008yv4b<3:1>v3=e28b1>;5m?0:n95rs3g6>5<5s48n97?m4:?1a3<69;1v?km:18184bm3;i863<668255=z{;oh6=4={<0fg?7e<279ih4>109~w7cc2909w0;5ml0:=?5rs242>5<5s49==7?jb:?77c<6991v>8=:18185193h970=93;3a0>{t;?>1<7=t=242>d3<5:hj6l;4=24b>4d33ty8:84?:3y>733=9k>01>86:031?xu4>?0;6?u237495g2<5:<2628;?7p}<6983>7}:;?21=o:4=24b>4753ty8:44?:3y>73?=9k>01>8n:032?xu4i90;68uQ3`2892e=98:019o51028912728;:70=nf;326>{t;ho1<7{t;kl1<7g4<5:i:651c6896e628;97p};3d83>7}Y<:o019:?:0`7?xu3;o0;6?u242d95g2<5=>;66l75b5yK70c<,:?96<=8;%3b3?53k2P89<4={`59eg5<5<5<3:17d?n5;29?l5fm3:17b=l2;29?j5dj3:17b<::188k1402900n>9l:182>5<7s-9>>7??f:J03g=O;6=:2::?!7f03>?o6a>0d83>!5?:3;in65rb504>5<22;0=w)=:2;33=>N4?k1C?8k4$0c;>12d3S9>=7?ta68~md3=831bmi4?::kb5?6=3`h96=44o0ga>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9i:182>5<7s-93>7=79:m2fg<72-93>7?mb:9~w4ce2909wS?jb:?2ag<6jk1vo<50;0xZg4<58oi6ec81e7=z{h?1<7:181[g634;nn7o9;|q24c<72;q6=hl532c8961a28hi7psmc383>6<52=q/?8<511:8L61e3A9>i6*>a9870f=];<;1=vo8:|kb5?6=3`h96=44o0ga>5<a9870f=];<;1=vo8:|m2ag<722c:h>4?::ka6?6=3`ko6=44i`394?=e99k1<7850;2x 6>528:i7W=:1;3xe26=4+3909e0==n;:k1<7*<83807d=5$2:1>4de32wi?:k50;394?6|,:296bc9~w63a2909w0??a;c6?850m3;h;6srb083>4<729q/?8j54:&017<68o1/?5<539;8 4g?2=>h7b??e;29 6>528hi76s|1d`94?4|V8oi70?jb;3ff>{tj;0;6?uQb39>5`d=j;1vl?50;0xZd7<58oi6l?4}r094?5|58oi60=zukn=6=4<:387!52:3;;46F<7c9K70c<,8k369:l;[165?7|i>0vel?50;9jf7<722e:io4?::`2ag<72<096;u+340955g<,8k369:l;[165?7|i>0vc5;c33e?6=>3:17?>e:9je0<72-93>7o:;:kb2?6=,:296l84;h10e?6=,:296>=n;:k1e7<72-93>7bc98yg50m3:1=7>50z&0<7<6j?1d=n950;&0<7<6jk10q~l=:181[d534;;m7?>e:pe4<72;qUm<5211c9e3=z{hn1<7d283>7}Y9m901<>n:21b?xu6mk0;6?uQ1d`8946f28hi7p}<5g83>7}:99k1m85236g95f1:183!52l3>0(>;=:02e?!5?:39356*>a9870f=h99o1<7*<8382fg=52z\2ag=:9lh1=hl4}r`1>5<5sWh970?jb;`1?xuf93:1>vPn1:?2ag7>53z?2ag<6l:16=hl5ae9>5?77m2.89i4:;|a`7<72:0969u+340955><@:=i7E=:e:&2e=<3xof93:17dl=:188k4ce2900n7<1s-9>>7??a:&2e=<3xi6mk0;66g>d283>>oe:3:17dok:188md7=831i==o50;494?6|,:296<>m;[165?7|i>0ve21b?>o50;&0<7<4;h10e?o=:18'7=4=:h807b?mb;29 6>528hi76sm36g94?7=83:p(>6=:0`5?j7d?3:1(>6=:0`a?>{tj;0;6?uQb39>55g=98o0q~o>:181[g634;;m7o9;|qb`?6=:rTjh63>0`81e7=z{8n86=4={_3g7>;68h08?l5rs0ga>5<5sW;nn63>0`82fg=z{:?m6=4={<33e?g2349"4=;0:7<3s-9>>7??8:J03g=O;ec83>>d6mk0;684=:7y'704=99k0(5<50z&0<7<68k1Q?8?51zc4>xo69l0;6)=72;32a>=ni<0;6)=72;c6?>of>3:1(>6=:`48?l54i3:1(>6=:21b?>o5i;0;6)=72;0b6>=h9kh1<7*<8382fg=51;294~"40;0:n;5`1b594?"40;0:no54}r`1>5<5sWh970??a;32a>{ti80;6?uQa09>55g=i?1vlj50;0xZdb<58:j6?o=;|q2`6<72;qU=i=4=02b>65f3ty:io4?:3y]5`d<58:j672c=9j=0qpl>:182>5<7s-9>h7:4$271>46a3-93>7=79:&2e=<352z\b5>;6mk0j=6s|2;297~;6mk0:h>521d`9ea=:93;;i6*<5e86?x{ekh0;6>4=:5y'704=9920D>9m;I16a>"6i10?8n5U34395~g02tcj=7>5;h`1>5<5<22;0=w)=:2;33e>"6i10?8n5U34395~g02te:io4?::k2`6<722ci>7>5;hcg>5<6=:02a?_5293;pm:4ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>4d13f;h;7>5$2:1>4de32wxn?4?:3y]f7=:99k1=5<5sWk:70??a;c5?xufl3:1>vPnd:?24d<5i;1v;i:181877i3k>70=8e;3`3>{zj80;6<4?:1y'70b=<2.89?4>0g9'7=4=;130(6=:0`a?>{t9lh1<77}Yj;16=hl5b39~wd7=838pRl?4=0ga>d74b434;nn7ok;<3955c<,:?o685r}c1`f?6=;3818v*<53824==O;>h0D>;j;%3b{`59ylg62900eo<50;9l5`d=831i=hl50;796?0|,:?96<>n;%3b{`59yj7bj3:17d?k3;29?ld52900elj50;9je4<722h:5}#;181==l4Z272>4}f?3wb=l<50;&0<7<5i;10c4<729q/?5<51c48k4e0290/?5<51c`8?xue:3:1>vPm2:?24d<69l1vl?50;0xZd7<58:j6l84}rcg>5<5sWko70??a;0b6>{t9m91<7{t;d3<5:=n65<#;181=ol4;|q2ag<72;qU=hl4=0ga>4ce3tyi>7>52z\a6>;6mk0i>6s|a083>7}Yi816=hl5a09~w7<72:q6=hl51e1894ce2hn01<4>0d9'70b==2wvn?;50;196?2|,:?96<>7;I14f>N4=l1/=l6545a8^63628qj;7sfa083>>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^63628qj;7s`1d`94?=n9m91<75fb383>>ofl3:17do>:188f46f290=6=4?{%1;6?77j2P89<4>{`59yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=n6=4>:183!5?:3;i:6a>c683>!5?:3;in65rsc094?4|Vk801<>n:03f?xuf93:1>vPn1:?24d2wxmi4?:3y]ea=:99k1>l<4}r3g7?6=:rT:h>5211c976g52z\2ag=:99k1=ol4}r16b?6=:r7:1vqo?50;394?6|,:?o695+340955`<,:296>66;%3bec9~wg4=838pRo<4=0ga>g4ec8b`>;628:n7)=:d;78yxd6::0;6>4=:5y'704=9920D>9m;I16a>"6i10?8n5U34396~g02hh1qdo>:188mg4=831d=hl50;9a5`d=83?1>78t$271>46f3-;j47:;c:X014<5sh=1mo4ro0ga>5<50z&0<7<68k1Q?8?52zc4>dd=u`;:i7>5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9j:182>5<7s-93>7?m6:m2g2<72-93>7?mb:9~wg4=838pRo<4=02b>47b3tyj=7>52z\b5>;68h0j:6s|ae83>7}Yim16==o52`08yv7c;3:1>vP>d29>55g=;:k0q~?jb;296~X6mk16==o51c`8yv52n3:1>v3>0`8b1>;4?l0:o:5r}c394?7=83:p(>;k:59'704=99l0(>6=:2::?!7f03>?o6a>0d83>!5?:3;in65rs0ga>5<5sW;nn63>ec82ag=z{k81<7:181[g634;nn7o>;|q1>5<4s4;nn7?k3:?2agj;%16`?31}#;<81==64H25a?M52m2.:m54;4b9Y707=9rk<6pgn1;29?ld52900c6?49{%166?77i2.:m54;4b9Y707=9rk<6pa>ec83>>o6l:0;66gm2;29?lgc2900el?50;9a55g=83<1<7>t$2:1>46e3S9>=7?ta68~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~yg4>?3:1?7<54z&017<6811C?:l4H27f?!7f03>?o6T<5082d1=u`k:6=44ic094?=h9lh1<75m1d`94?3=:3;=:02b?!7f03>?o6T<5082d1=uf;nn7>5;h3g7?6=3`h96=44i`f94?=ni80;66l>0`83>3<729q/?5<511`8^63628qj;7sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;p(>;=:02;?M50j2B89h5+1`:901e7>5;n3ff?6=3k;nn7>55;092~"4=;0:5;hc2>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9j:182>5<7s-93>7?m6:m2g2<72-93>7?mb:9~wg4=838pRo<4=02b>47b3tyj=7>52z\b5>;68h0j:6s|ae83>7}Yim16==o52`08yv7c;3:1>vP>d29>55g=;:k0q~?jb;296~X6mk16==o51c`8yv52n3:1>v3>0`8b1>;4?l0:o:5r}c394?7=83:p(>;k:59'704=99l0(>6=:2::?!7f03>?o6a>0d83>!5?:3;in65rs0ga>5<5sW;nn63>ec82ag=z{k81<7:181[g634;nn7o>;|q1>5<4s4;nn7?k3:?2agj;%16`?31}#;<81==64H25a?M52m2.:m54;4b9Y707=9rk<6pgn1;29?ld52900c6?49{%166?77i2.:m54;4b9Y707=9rk<6pa>ec83>>o6l:0;66gm2;29?lgc2900el?50;9a55g=83<1<7>t$2:1>46e3S9>=7?ta68~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~ygd029086?4;{%166?7702B8;o5G34g8 4g?2=>h7W=:1;3xe2>i6mk0;66l>ec83>0<52?q/?8<511c8 4g?2=>h7W=:1;3xe25<>of93:17o??a;292?6=8r.84?4>0c9Y707=9rk<6pg>1d83>!5?:3;:i65fa483>!5?:3k>76gn6;29 6>52h<07d=52:9j76g=a383>!5?:38j>65`1c`94?"40;0:no54}c14a?6=93:17}Yi816==o5a79~wdb=838pRlj4=02b>7g53ty:h>4?:3y]5a5<58:j6>=n;|q2ag<72;qU=hl4=02b>4de3ty89k4?:3y>55g=i<16?:k51b58yxd6290:6=4?{%16`?2<,:?96<>i;%1;6?5?12.:m54;4b9l55c=83.84?4>bc98yv7bj3:1>vP>ec9>5`d=9lh0q~l=:181[d534;nn7l=;|qb5?6=:rTj=63>ec8b5>{t:3:1?v3>ec82`6=:9lh1mi521;33a>"4=m0>7psm40494?5=:3>p(>;=:02;?M50j2B89h5+1`:901e7>5;n3ff?6=3k;nn7>55;092~"4=;0:5;hc2>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9j:182>5<7s-93>7?m6:m2g2<72-93>7?mb:9~wg4=838pRo<4=02b>47b3tyj=7>52z\b5>;68h0j:6s|ae83>7}Yim16==o52`08yv7c;3:1>vP>d29>55g=;:k0q~?jb;296~X6mk16==o51c`8yv52n3:1>v3>0`8b1>;4?l0:o:5r}c394?7=83:p(>;k:59'704=99l0(>6=:2::?!7f03>?o6a>0d83>!5?:3;in65rs0ga>5<5sW;nn63>ec82ag=z{k81<7:181[g634;nn7o>;|q1>5<4s4;nn7?k3:?2agj;%16`?31}#;<81==64H25a?M52m2.:m54;4b9Y707=9rk<6pgn1;29?ld52900c6?49{%166?77i2.:m54;4b9Y707=9rk<6pa>ec83>>o6l:0;66gm2;29?lgc2900el?50;9a55g=83<1<7>t$2:1>46e3S9>=7?ta68~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~yg5f83:1?7<54z&017<6811C?:l4H27f?!7f03>?o6T<5082d1=u`k:6=44ic094?=h9lh1<75m1d`94?3=:3;=:02b?!7f03>?o6T<5082d1=uf;nn7>5;h3g7?6=3`h96=44i`f94?=ni80;66l>0`83>3<729q/?5<511`8^63628qj;7sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;5<42;0?w)=:2;33<>N4?k1C?8k4$0c;>12d3S9>=7?ta68~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta68~k4ce2900e56;294~"40;0:5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi===50;196?2|,:?96<>7;I14f>N4=l1/=l6545a8^63628qjn7sfa083>>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^63628qjn7s`1d`94?=n9m91<75fb383>>ofl3:17do>:188f46f290=6=4?{%1;6?77j2P89<4>{``9yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=n6=4>:183!5?:3;i:6a>c683>!5?:3;in65rsc094?4|Vk801<>n:03f?xuf93:1>vPn1:?24d2wxmi4?:3y]ea=:99k1>l<4}r3g7?6=:rT:h>5211c976g52z\2ag=:99k1=ol4}r16b?6=:r7:1vqo?50;394?6|,:?o695+340955`<,:296>66;%3bec9~wg4=838pRo<4=0ga>g4ec8b`>;628:n7)=:d;78yxd51?0;6>4=:5y'704=9920D>9m;I16a>"6i10?8n5U34395~g02tcj=7>5;h`1>5<5<22;0=w)=:2;33e>"6i10?8n5U34395~g02te:io4?::k2`6<722ci>7>5;hcg>5<6=:02a?_5293;pm:4ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>4d13f;h;7>5$2:1>4de32wxn?4?:3y]f7=:99k1=5<5sWk:70??a;c5?xufl3:1>vPnd:?24d<5i;1v;i:181877i3k>70=8e;3`3>{zj80;6<4?:1y'70b=<2.89?4>0g9'7=4=;130(6=:0`a?>{t9lh1<77}Yj;16=hl5b39~wd7=838pRl?4=0ga>d74b434;nn7ok;<3955c<,:?o685r}c;;>5<42;0?w)=:2;33<>N4?k1C?8k4$0c;>12d3S9>=7?ta68~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta68~k4ce2900e56;294~"40;0:5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi?;l50;196?2|,:?96<>7;I14f>N4=l1/=l6545a8^63628qj;7sfa083>>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^63628qj;7s`1d`94?=n9m91<75fb383>>ofl3:17do>:188f46f290=6=4?{%1;6?77j2P89<4>{`59yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=n6=4>:183!5?:3;i:6a>c683>!5?:3;in65rsc094?4|Vk801<>n:03f?xuf93:1>vPn1:?24d2wxmi4?:3y]ea=:99k1>l<4}r3g7?6=:rT:h>5211c976g52z\2ag=:99k1=ol4}r16b?6=:r7:1vqo?50;394?6|,:?o695+340955`<,:296>66;%3bec9~wg4=838pRo<4=0ga>g4ec8b`>;628:n7)=:d;78yxde>3:1?7<54z&017<6811C?:l4H27f?!7f03>?o6T<5082d1=u`k:6=44ic094?=h9lh1<75m1d`94?3=:3;=:02b?!7f03>?o6T<5082d1=uf;nn7>5;h3g7?6=3`h96=44i`f94?=ni80;66l>0`83>3<729q/?5<511`8^63628qj;7sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;5<22;0=w)=:2;33=>N4?k1C?8k4$0c;>12d3S9>=7m;[165?4|i>0jn7sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03c<7280;6=u+39097=?63>ec825`=z{hn1<7bc9~yg71?3:1?7<54z&017<6811C?:l4H27f?!7583<0(ec83>>d6mk0;684=:7y'704=99k0(5<50z&0<7<68k1Q?8?51zc4>xo69l0;6)=72;32a>=ni<0;6)=72;c6?>of>3:1(>6=:`48?l54i3:1(>6=:21b?>o5i;0;6)=72;0b6>=h9kh1<7*<8382fg=51;294~"40;0:n;5`1b594?"40;0:no54}r`1>5<5sWh970??a;32a>{ti80;6?uQa09>55g=i?1vlj50;0xZdb<58:j6?o=;|q2`6<72;qU=i=4=02b>65f3ty:io4?:3y]5`d<58:j672c=9j=0qpl>:182>5<7s-9>h7:4$271>46a3-93>7=79:&2e=<352z\b5>;6mk0j=6s|2;297~;6mk0:h>521d`9ea=:93;;i6*<5e86?x{en<0;6>4=:5y'704=9920D>9m;I16a>"6:90=7)?n8;67g>\4=80:wl95}hc2>5<\4=80:wl95}n3ff?6=3`;o?7>5;h`1>5<>d68h0;6;4?:1y'7=4=99h0V>;>:0yb3?{n98o1<7*<83825`==ni?0;6)=72;c5?>o4;h0;6)=72;10e>=n:h81<7*<8381e7=5}#;181=o84o0a4>5<#;181=ol4;|qa6?6=:rTi>63>0`825`=z{h;1<7n:`78961b28i<7psm1;295?6=8r.89i4;;%166?77n2.84?4<889'5d>=<=i0c<>j:18'7=4=9kh07p}>ec83>7}Y9lh01vPm2:?2ag6}:9lh1=i=4=0ga>db<580:{zj8=i6=4<:387!52:3;;46F<7c9K70c<,88;6;5+1`:901e7>5;n3ff?6=3k;nn7>55;092~"4=;0:5;hc2>5<5$2:1>47b32cj97>5$2:1>d3<3`k=6=4+3909e3=7>5$2:1>7g532e:no4?:%1;6?7ej21vn>9j:182>5<7s-93>7?m6:m2g2<72-93>7?mb:9~wg4=838pRo<4=02b>47b3tyj=7>52z\b5>;68h0j:6s|ae83>7}Yim16==o52`08yv7c;3:1>vP>d29>55g=;:k0q~?jb;296~X6mk16==o51c`8yv52n3:1>v3>0`8b1>;4?l0:o:5r}c394?7=83:p(>;k:59'704=99l0(>6=:2::?!7f03>?o6a>0d83>!5?:3;in65rs0ga>5<5sW;nn63>ec82ag=z{k81<7:181[g634;nn7o>;|q1>5<4s4;nn7?k3:?2agj;%16`?31}#;<81==64H25a?M52m2.:>=49;%3b>i6mk0;66l>ec83>0<52?q/?8<511c8 4g?2=>h7W=:1;0xe25<1290;w)=72;33f>\4=809wl95ac8~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~yg7?93:1?7<54z&017<6811C?:l4H27f?!7583<0(7>5;n3ff?6=3k;nn7>55;092~"4=;0:xi6mk0;66g>d283>>oe:3:17dok:188md7=831i==o50;494?6|,:296<>m;[165?4|i>0jn7sf10g94?"40;0:=h54i`794?"40;0j965fa783>!5?:3k=76g<3`83>!5?:398m65f2`094?"40;09m?54o0`a>5<#;181=ol4;|`03`<7280;6=u+39095g07>52z\a6>;68h0:=h5rs`394?4|Vh;01<>n:`48yvgc2909wSok;<33e?4f:2wx=i=50;0xZ4b434;;m7=9j:0a4?x{e93:1=7>50z&01a<33-9>>7??f:&0<7<4001/=l6545a8k46b290/?5<51c`8?xu6mk0;6?uQ1d`894ce28oi7p}m2;296~Xe:27:io4m2:pe4<72;qUm<521d`9e4=z{;0;6>u21d`95a5<58oi6lj4=0824`=#;5<42;0?w)=:2;33<>N4?k1C?8k4$003>3=#9h2189m4Z272>4}f?3wbm<4?::ka6?6=3f;nn7>5;c3ff?6==381:v*<53824d=#9h2189m4Z272>4}f?3wd=hl50;9j5a5=831bn?4?::kb`?6=3`k:6=44b02b>5<1290;w)=72;33f>\4=80:wl95}h32a?6=,:2965<#;181m;54i21b>5<#;181?>o4;h0b6?6=,:296?o=;:m2fg<72-93>7?mb:9~f61b290:6=4?{%1;6?7e>2e:o:4?:%1;6?7ej21vo<50;0xZg4<58:j60`8b2>{tim0;6?uQae9>55g=:h80q~?k3;296~X6l:16==o532c8yv7bj3:1>vP>ec9>55g=9kh0q~=:f;296~;68h0j963<7d82g2=zuk;1<7?50;2x 63c2=1/?8<511d8 6>52:227)?n8;67g>i68l0;6)=72;3af>=z{8oi6=4={_3ff>;6mk0:io5rsc094?4|Vk801;<3ff?g63ty96=4<{<3ff?7c;27:io4nd:?2>46b3-9>h7;4}|`2<@:=i7E=:e:&265<13-;j47:;c:X014<6sh=1qdo>:188mg4=831d=hl50;9a5`d=83?1>78t$271>46f3-;j47:;c:X014<6sh=1qb?jb;29?l7c;3:17dl=:188mdb=831bm<4?::`24d<72?0;6=u+390955d1d98md3=83.84?4n5:9je3<72-93>7o9;:k07d<72-93>7=1d9~wd7=838pRl?4=02b>d06s|1e194?4|V8n870??a;10e>{t9lh1<74e03twi=7>51;294~"4=m0?7)=:2;33b>"40;08445+1`:901e63>ec8a6>{ti80;6?uQa09>5`d=i81v?4?:2y>5`d=9m901b29086?4;{%166?7702B8;o5G34g8 4472?1/=l6545a8^63628qj;7sfa083>>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^63628qj;7s`1d`94?=n9m91<75fb383>>ofl3:17do>:188f46f290=6=4?{%1;6?77j2P89<4>{`59yl76m3:1(>6=:03f?>of=3:1(>6=:`78?lg1290/?5<5a798m65f290/?5<532c8?l4f:3:1(>6=:3c1?>i6jk0;6)=72;3af>=zj:=n6=4>:183!5?:3;i:6a>c683>!5?:3;in65rsc094?4|Vk801<>n:03f?xuf93:1>vPn1:?24d2wxmi4?:3y]ea=:99k1>l<4}r3g7?6=:rT:h>5211c976g52z\2ag=:99k1=ol4}r16b?6=:r7:1vqo?50;394?6|,:?o695+340955`<,:296>66;%3bec9~wg4=838pRo<4=0ga>g4ec8b`>;628:n7)=:d;78yxd60o0;6>4=:5y'704=9920D>9m;I16a>"6:90=7)?n8;67g>\4=809wl95ac8~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7n:185>5<7s-93>7??b:X014<5sh=1mo4ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>4d13f;h;7>5$2:1>4de32wxn?4?:3y]f7=:99k1=5<5sWk:70??a;c5?xufl3:1>vPnd:?24d<5i;1v;i:181877i3k>70=8e;3`3>{zj80;6<4?:1y'70b=<2.89?4>0g9'7=4=;130(6=:0`a?>{t9lh1<77}Yj;16=hl5b39~wd7=838pRl?4=0ga>d74b434;nn7ok;<3955c<,:?o685r}c3:0?6=;3818v*<53824==O;>h0D>;j;%314?1<,8k369:l;[165?4|i>0jn7sfa083>>oe:3:17b?jb;29?g7bj3:197<56z&017<68h1/=l6545a8^6362;qj;7om:|m2ag<722c:h>4?::ka6?6=3`ko6=44i`394?=e99k1<7850;2x 6>528:i7W=:1;0xe2l<50;&0<7<5i;10c4<729q/?5<51c48k4e0290/?5<51c`8?xue:3:1>vPm2:?24d<69l1vl?50;0xZd7<58:j6l84}rcg>5<5sWko70??a;0b6>{t9m91<7{t;d3<5:=n65<#;181=ol4;|q2ag<72;qU=hl4=0ga>4ce3tyi>7>52z\a6>;6mk0i>6s|a083>7}Yi816=hl5a09~w7<72:q6=hl51e1894ce2hn01<4>0d9'70b==2wvnij50;195?5|@:?n7)=:2;3bf>oei3:17dlm:188k6542900n>68:180>5<7s-9>>7=8a:J03g=O;6=:25:?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|qae?6=:rTim63<868257=z{kh1<7028;:7p}<3283>7}Y;:901>68:0`7?x{elj0;6>4>:2yK70c<,:?965<12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10q~ln:181[df3493;7?>2:pfg<72;qUno52395954752z\076=:;1=1=o:4}|`gf?6=;3;1?vF<5d9'704=9hh0eoo50;9jfg<722e8?>4?::`0<2<72:0;6=u+340972g<@:=i7E=:e:&0<7<4?01/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=z{kk1<7028;97p}mb;296~Xej2784:4>109~w6542909wS=<3:?0<2<6j=1vqojn:180>4<4sA9>i6*<5382eg=njh0;66gmb;29?j54;3:17o=77;297?6=8r.89?4<7`9K72d<@:?n7)=72;14=>"6i10?8n5f10394?"40;0:=h54i031>5<#;181={zj;3>6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn5;50;194?6|,:?969>4H25a?M52m2.84?4528hi76smb483>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`0g0<72:0;6=u+34095g><@:=i7E=:e:&0<7<6j>1/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=zj:no6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c1ga?6=;3:1N4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98yg26k3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl;1e83>6<729q/?8<5419K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`a=?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th8:h4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a=a<72;0;6=u+3409577<@:=i7E=:e:&0<7<>m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi>4750;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f6c729096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo:>f;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd3:k0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{el00;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bN4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9l5g2=83.84?4>bc98ygb729096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qoj>:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rbba94?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zjjn1<7=50;2x 6352=:0D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wihk4?:283>5}#;<818=5G36`8L63b3-93>7=i;%3b6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}cg0>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f46229096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo7n:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg4>290>6=4?{%166?7ei2B8;o5G34g8 6>528h<7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5$2:1>47b32e:n94?:%1;6?7ej21vn?o50;194?6|,:?969>4H25a?M52m2.84?4528hi76sm2c83>6<729q/?8<51c:8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?mb:9~f7e=8391<7>t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=52;294~"4=;0:><5G36`8L63b3-93>77j;%3b<@:=i7E=:e:&0<7<6j>1/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=zj8336=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn<76:180>5<7s-9>>7?m8:J03g=O;6=:0`4?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54o0`7>5<#;181=ol4;|`2=4<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd61;0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn<6;:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg7?=3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl>8783>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e91=1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb0:;>5<2290;w)=:2;61?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?>e:9j542=83.84?4>1d98k4d3290/?5<51c`8?xd6000;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn<6n:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb0:a>5<4290;w)=:2;3a<>N4?k1C?8k4$2:1>4d03-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd6?l0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<81=oo4H25a?M52m2.84?4>b69'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76g>1283>!5?:3;:i65f10694?"40;0:=h54o0`7>5<#;181=ol4;|`2<5<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd4i?0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj:k36=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c1b=?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th8ml4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a7dd=83<1<7>t$271>15<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>o69=0;6)=72;32a>=n98?1<7*<83825`=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3thm57>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3thmn7>52;294~"4=;0:><5G36`8L63b3-93>77j;%3bN4?k1C?8k4$2:1>6`<,8k369:l;h325?6=,:2967?>e:9j545=83.84?4>1d98m473290/?5<510g8?l76=3:1(>6=:03f?>o69?0;6)=72;32a>=h9k>1<7*<8382fg=8;7>55;294~"4=;0?>6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21b=<=50;&0<7<69l10e528hi76sm42:94?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>4463A96=:0`a?M5?921vn9=n:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg24j3:1?7>50z&017<382B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=8o7>53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo:6=:2d8 4g?2=>h7d?>1;29 6>528;n76g>1383>!5?:3;:i65`1c694?"40;0:no54}c1`a?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th8ok4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a7a6=8381<7>t$271>4463A96=:0`a?M5?921vn>j>:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5c:3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e;m>1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb2f6>5<0290;w)=:2;67?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?>e:9j542=83.84?4>1d98m472290/?5<510g8?l76>3:1(>6=:03f?>i6j=0;6)=72;3af>=zj:n=6=4<:183!52:3;i46F<7c9K70c<,:2962<729q/?8<5459K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54i030>5<#;181=7?>e:9j540=83.84?4>1d98k4d3290/?5<51c`8?xd3:l0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj=9;6=4=:183!52:3;9=6F<7c9K70c<,:2964k4$0c;>12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej2B84<54}c605?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th???4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a065=83>1<7>t$271>17<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?l76;3:1(>6=:03f?>i6j=0;6)=72;3af>=zj=9?6=4<:183!52:3;i46F<7c9K70c<,:2961<729q/?8<5409K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54i030>5<#;181=t$271>4463A96=:0`a?M5?921vn>k<:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5b<3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e;l<1<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb2g4>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f6c?29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj:o26=4<:183!52:3;i46F<7c9K70c<,:2961<729q/?8<5409K72d<@:?n7)=72;1e?!7f03>?o6g>1083>!5?:3;:i65f10094?"40;0:=h54i030>5<#;181=t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e9:?1<7;50;2x 63528hj7E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21b=<=50;&0<7<69l10e528hi76sm12494?5=83:p(>;=:528L61e3A9>i6*<8380b>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wii44?:583>5}#;<818<5G36`8L63b3-93>7=i;%3b528hi76sme`83>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{emk0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{emm0;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{emo0;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=54;294~"4=;0?=6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21b=<=50;&0<7<69l10cb99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98m474290/?5<510g8?j7e<3:1(>6=:0`a?>{em10;6>4?:1y'704=9k20D>9m;I16a>"40;0:n:5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vnn:50;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~ff3=83?1<7>t$271>4df3A96=:03f?>o69;0;6)=72;32a>=n9891<7*<83825`=5$2:1>4de32wio;4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:ag2<72:0;6=u+340905=O;>h0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xdd03:1?7>50z&017<382B8;o5G34g8 6>52:l0(6=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=2483>0<729q/?8<51cc8L61e3A9>i6*<8382f2=#9h2189m4i032>5<#;181=7?>e:9j542=83.84?4>1d98k4d3290/?5<51c`8?xd6:00;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=l4?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a57d=8381<7>t$271>4463A96=:0`a?M5?921vn<5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg75l3:1>7>50z&017<6:81C?:l4H27f?!5?:33n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=O;1;07pl>2d83>7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e9;l1<7;50;2x 6352=80D>9m;I16a>"40;08j6*>a9870f=n98;1<7*<83825`=5$2:1>47b32c:=94?:%1;6?76m21d=o:50;&0<7<6jk10qo?<0;297?6=8r.89?4>b99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=t$271>16<@:=i7E=:e:&0<7<4n2.:m54;4b9j547=83.84?4>1d98m475290/?5<510g8?j7e<3:1(>6=:0`a?>{e9;=1<7=50;2x 63528h37E=8b:J01`=#;181=o94$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo?=8;297?6=8r.89?4>b99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=8522482ag=z{;21<74d3348i6<0<6j=16=5=51028941a28;:70?<5;325>;6:h0:==5rs8:94?4|5021=hl4=979544d7<5=;=6l?4=2f4>d7<5:i96l?4=2c3>d7<5:d7<58<<6l?4=c49e4=:1h0:n95rs8`94?4|50h1=hl4=979547g4<5021n?521209f7=:1m0:n95rs`094?7fsWk970:=7;c2?8e52h;01i85a09>`7;d7<5:ii6l?4=379e4=:9;91m<521169e4=::0=1m<529c8b5>;4>j0j=63m7;c2?874:3k:70h::`38941e2h;01<9l:`3894>62h;01<6l:`3894>c2h;01<6j:`3894>a2h;01<7;:`3890:?2=2<69816=4<5102894>028;;70?8e;326>;6;=0:=?5213g95464d334;387?>0:?23c<69;16=>;51008944e28;;7p}m6;296~;e>3;nn63m5;326>{tj>0;6?u2b682ag=:j<0:=<5rsc:94?5|5k=1n?52b78a6>;e13;i86s|bb83>7}Yjj16===5b39~wgb=83kp1n<51d`89ag=jh16hk4>139>b2<699168>951068914c28;:70:=f;324>;b13;:=63jb;324>;d>3;:<6s|c283>7}:k;0i>63l9;3a0>{tk=0;6?u2c582f1=:k>0:=?5rsb794?4|5j?1=o:4=b:95474d334i36g=<6j=16o44>139~wgc=83kp1no51d`89ag=jk16hk4>109>b<<699168>651038914c28;970:=e;324>;b13;:>63ja;324>;d=3;:=6s|cc83>7}:kh0i>63ld;3a0>{tkj0;6?u2cb82f1=:km0:=?5rscd94??|5jo1=hl4=e`9fg=:m80:=<52fc8255=:<:21=<<4=50g>47434>8<7?>0:?fa?76827h97?>2:pgc<72;q6oh4m2:?g5?7e<2wxh=4?:3y>`5<6j=16h<4>139~wf6=832p1i<51d`89ae=jk16i>4>109>bd<699168>751028915528;;70kk:033?8e228;87p}k3;296~;c:3h970j::0`7?xuc<3:1>v3k4;3a0>;c=3;:>6s|c083>=}:l?0:io52de8af>;ak3;:>63;3`8255=:<:;1=<>4=d;9545<5li1=<>4=b79542g4<5m31=o:4}rf;>5<5s4n364753tyoi7>53z?gf?df34nm64753tyn<7>53z?gg?df34o:64753tyn>7>52z?g`?df34o860:?g0?76827o<7?>0:?`g?76827n47?m4:pa0<72;q6i84>b59>ac<69;1vh850;0x9`0=9k>01h951008yvc02909w0k8:0`7?8c?28;97p}j9;296~;b13;i863j6;325>{tmh0;6?u2e`82f1=:n90:=?5rsd`94?4|5lh1=o:4=g295474d334l;6a`<6j=16i:4>129~w``=838p1hh51c689`>=98;0q~h?:1818`728h?70k9:031?xua93:1>v3ka;107>;dl3;:=6s|f383>7}:lk08?>52d08254=z{o91<75<5s4no6>=<;4763tym97>55z?e1?7bj27:484>119>52`=98901<=::030?875k3;:<6s|f783>7}:n<0i>63ic;3a0>{tn>0;6?u2f682f1=:nj0:=<5rsg:94?4|5o21=o:4=ga95454d334lh6bg<6j=16jn4>179~w464290?w0??4;`1?877;3;nn63j5;324>;d<3;:<6s|11694?5|58:?647634i<6;|q243<72;q6===5a09>553=9k>0q~?=3;290~X6::16=?=51d`8915028;:70:=d;320>{t9;>1<7g4<588362783>7}:9;<1=o:4=004>4753ty:>:4?:3y>571=9k>01<<7:031?xu6:00;6?u213;95g2<588<6;|q26d<72;q6=?o51c68944a28;:7p}>2c83>7}:9;h1=o:4=00e>4753ty:>n4?:3y>57e=9k>01<2g83>7}:9;l1=o:4=013>4763ty:?=4?:3y>566=9k>01<<9:031?xu6;;0;6?uQ1208945528oi7p}>3283>7}:9:81m85212495g252z?271<6j=16=>851038yv74=3:1>v3>3482f1=:9:<1=<<4}r361?6=:rT:98523eg9547n7>52z\21g=:9?=1n?5rs044>5<2s4;=;7?jb:?1e?76:27:5;4>119>5<7=98;01<<6:032?xu6?k0;6?u216`95`d<58326;|q23f<72:q6=:l5b39>52e=9lh01>j=:033?xu6?m0;6?u216a9f7=:91:1=o:4}r34a?6=:r7:;h4>b59>5=6=98;0q~?8f;296~;6?o0:n95219295445az?2<4<6mk16?n;5103897?=98>01<6n:032?870m3;:=634=2g5>47734;887?>1:?263<6981v<6=:18187?93h970?7b;3a0>{t9191<74d334;347?>1:p5=2=838p1<6;:0`7?87?03;:>6s|19794?4|582>6128h?70?78;320>{t91=1<74d334;357?>2:p5=>=838p1<67:0`7?87?13;:=6s|19;94?4|58226f28h?70?7b;326>{t91i1<76t=0:`>4ce349h97?>2:?1f?76927:4o4>109>7d>=98:01>mj:033?85b=3;:<63>248251=z{82o6=48{<3;g?d534;3h7?jb:?1=?76;278m;4>119>7f`=98:01>k;:033?875=3;:?6s|19g94?1|582o6o<4=0:f>4ce34826;<1b3?768278h>4>119>7`5=98:01<<::032?xu60o0;6:u219g9f7=:91l1=hl4=3;9544<5:kj6119>573=9880q~?60;296~;60o0i>63>9282f1=z{83:6=4={<3:5?7e<27:5>4>109~w4?52909w0?62;3a0>;61:0:=?5rs0;7>5<2s4;287?jb:?1e?76927:554>109>5<7=98801<<6:031?xu61<0;6?u21869f7=:9031=o:4}r3:2?6=:r7:5;4>b59>5<1=9880q~?67;296~;61>0:n95218:954452z?2==<6j=16=4751008yv7f=3:1>vP>a49>04b=98;0q~?n6;297~X6i?16?i:5102896c>28;:7p}=9583>0}::0?1=o:4=0:5>47734;4:?270<69=16=?j51028yv4>>3:1>v3=9782ag=::0?1=<<4}r0:3?6=:r795:4>ec9>6<3=98;0q~<68;297~;51>0i>63=978a6>;5100:n95rs24a>5<4s49=n7?jb:?772<69:168?j51078yv51k3:1?v3<6b82ag=:<:=1=<<4=50g>4713ty8:i4?:2y>73e=j;16?;l5b39>73c=9k>0q~=n0;296~X4i916?l>51d`8yv5f=3:1>v3;4ik0:n95rs2c5>5<5s49j:7?m4:?0eg<69;1v>o8:18185f?3;i863129~w6g>2909w0=n9;3a0>;4ik0:=95rs2cb>5<5s49jm7?m4:?0eg<69<1v>ol:187[5fk278;n4>0d9>071=im16=><5ae9~w6gb2909wS=ne:?:`?7682wx?oo50;0xZ6df34h264ce3ty8o94?:3y>7f4=j;16?n;51c68yv5dj3:1>vP7fd=9lh0q~=ld;296~;4kk0i>63139~w6ea2909w0=lf;3a0>;4l<0:=<5rs2f3>5<5s49o<7?m4:?0`0<69:1v>j>:18185c93;i863159~w6b42909w0=k3;3a0>;4l<0:=;5rs2f7>5<5s49o87?m4:?0`3<69;1v>j::18185c=3;i863;4l>0:io5rs2f`>5<5s49oi7?m4:?0a5<6991v>jk:18185cl3;i863jk:033?826k3;:<63109~w6c42909w0=j3;3a0>;4m>0:=?5rs2g7>5<5s49n87?m4:?0ad<6981v>k::18185b=3;i863139~w6c02909w0=j7;3a0>;4m00:=?5rs2g;>5<5s49n47?m4:?0ad<69:1v>k6:18185b13;i863;5100:==5rs535>5<5sW>::63;1782ag=z{=;i6=4={<62`?7e<27?=k4>119~w17d2909w0:>c;3a0>;39m0:=?5rs53f>5<5s4>::7l=;<62b?7e<2wx8?950;1xZ14034>9;7?jb:?ei4>b59>062=98;0q~:=e;296~;3:l0:n95242195449j7>52z?76c<6j=168>=51038yv2483:1>v3;3182f1=:<:91=<=4}r605?6=:r7??<4>b59>063=98;0q~:<2;296~;3;;0:n95242795458?7>52z?776<6j=168>:51008yv24<3:1>v3;3582f1=:<:?1=<<4}r602?6=:r7?>o4>119>06b=9k>0q~:<7;296~;3;>0:n95242f9547847>52z?77=<6j=168>m51038yv2413:1>v3;3882f1=:<:h1=b59>06d=9880q~:8o7>52z?77f<6j=168>j51008yv24m3:1>vP;3d9>73c=98:0qpl<8d83>2<5n399wE=:e:&017>o5mo0;66a<2b83>>o68>0;66a<5283>>i4=>0;66gn4;29?g50k3:1=7>50z&017<68o1C?:l4H27f?!5?:39356*>a9870f=h99o1<7*<8382fg=?7>55;092~"4=;0:<45G36`8L63b3-;j47:;c:X014<6sh31qdo::188mdb=831bm<4?::ka6?6=3f;nn7>5;c3ff?6=>3:17?>e:9je0<72-93>7o:;:kb2?6=,:296l84;h10e?6=,:296>=n;:k1e7<72-93>7bc98yg50n3:1=7>50z&0<7<4001d=ol50;&0<7<6jk10q~?jb;296~X6mk16=hl51c`8yvd52909wSl=;<3ff?76m2wxmi4?:3y]ea=:9lh1>l<4}rc6>5<5sWk>70?jb;c6?xuf93:1>vPn1:?2ag2wx==h50;0x94ce2:9j70=8f;3af>{zj:;h6=4::385!52:3;;56F<7c9K70c<,88;6;5+1`:901e5;hc2>5<528:i7W=:1;3xe<6=4+3909e0==n;:k1<7*<83807d=5$2:1>4de32wi?:h50;394?6|,:296>66;n3af?6=,:2965<5sWko70?jb;0b6>{ti<0;6?uQa49>5`d=i<1vl?50;0xZd7<58oi6l84}r33b?6=:r7:io4<3`9>72`=9kh0qpl<5683>0<52?q/?8<511;8L61e3A9>i6*>a9870f=];<;1=vo6:|kb1?6=3`ko6=44i`394?=nj;0;66a>ec83>>d6mk0;6;4?:1y'7=4=99h0V>;>:0yb=?{n98o1<7*<83825`==ni?0;6)=72;c5?>o4;h0;6)=72;10e>=n:h81<7*<8381e7=5}#;181?574o0`a>5<#;181=ol4;|q2ag<72;qU=hl4=0ga>4de3tyi>7>52z\a6>;6mk0:=h5rs`f94?4|Vhn01vPn5:?2ag5<5s4;nn7=099K72d<@:?n7)?=0;48 4g?2=>h7W=:1;3xe<>i6mk0;66l>ec83>0<52?q/?8<511c8 4g?2=>h7W=:1;3xe<5<>of93:17o??a;292?6=8r.84?4>0c9Y707=9rk26pg>1d83>!5?:3;:i65fa483>!5?:3k>76gn6;29 6>52h<07d=52:9j76g=a383>!5?:38j>65`1c`94?"40;0:no54}c14a?6=93:17}Yi816==o5a79~wdb=838pRlj4=02b>7g53ty:h>4?:3y]5a5<58:j6>=n;|q2ag<72;qU=hl4=02b>4de3ty89k4?:3y>55g=i<16?:k51b58yxd6290:6=4?{%16`?2<,:?96<>i;%1;6?5?12.:m54;4b9l55c=83.84?4>bc98yv7bj3:1>vP>ec9>5`d=9lh0q~l=:181[d534;nn7l=;|qb5?6=:rTj=63>ec8b5>{t:3:1?v3>ec82`6=:9lh1mi521;33a>"4=m0>7psm2g;94?5=:3>p(>;=:02;?M50j2B89h5+13292>"6i10?8n5U34395~g>2tcj=7>5;h`1>5<5<22;0=w)=:2;33e>"6i10?8n5U34395~g>2te:io4?::k2`6<722ci>7>5;hcg>5<6=:02a?_5293;pm44ri03f>5<#;181=5<#;181m854i`494?"40;0j:65f32c94?"40;08?l54i3c1>5<#;181>l<4;n3af?6=,:296t$2:1>4d13f;h;7>5$2:1>4de32wxn?4?:3y]f7=:99k1=5<5sWk:70??a;c5?xufl3:1>vPnd:?24d<5i;1v;i:181877i3k>70=8e;3`3>{zj80;6<4?:1y'70b=<2.89?4>0g9'7=4=;130(6=:0`a?>{t9lh1<77}Yj;16=hl5b39~wd7=838pRl?4=0ga>d74b434;nn7ok;<3955c<,:?o685r}c0ee?6=;3818v*<53824==O;>h0D>;j;%314?0<,8k369:l;[165?7|i00vel?50;9jf7<722e:io4?::`2ag<72<096;u+340955g<,8k369:l;[165?7|i00vc5;c33e?6=>3:17?>e:9je0<72-93>7o:;:kb2?6=,:296l84;h10e?6=,:296>=n;:k1e7<72-93>7bc98yg50m3:1=7>50z&0<7<6j?1d=n950;&0<7<6jk10q~l=:181[d534;;m7?>e:pe4<72;qUm<5211c9e3=z{hn1<7d283>7}Y9m901<>n:21b?xu6mk0;6?uQ1d`8946f28hi7p}<5g83>7}:99k1m85236g95f1:183!52l3>0(>;=:02e?!5?:39356*>a9870f=h99o1<7*<8382fg=52z\2ag=:9lh1=hl4}r`1>5<5sWh970?jb;`1?xuf93:1>vPn1:?2ag7>53z?2ag<6l:16=hl5ae9>5?77m2.89i4:;|a6cd=8391>7:t$271>46?3A92.:m54;4b9Y707=9rk26pgn1;29?ld52900c6?49{%166?77i2.:m54;4b9Y707=9rk26pa>ec83>>o6l:0;66gm2;29?lgc2900el?50;9a55g=83<1<7>t$2:1>46e3S9>=7?ta88~m47b290/?5<510g8?lg2290/?5<5a498md0=83.84?4n6:9j76g=83.84?4<3`98m7g5290/?5<52`08?j7ej3:1(>6=:0`a?>{e;>o1<7?50;2x 6>528h=7b?l7;29 6>528hi76s|b383>7}Yj;16==o510g8yvg62909wSo>;<33e?g13tyjh7>52z\b`>;68h09m?5rs0f0>5<5sW;o?63>0`807d=z{8oi6=4={_3ff>;68h0:no5rs27e>5<5s4;;m7o:;<14a?7d?2wvn<4?:083>5}#;12d3f;;i7>5$2:1>4de32wx=hl50;0xZ4ce34;nn7?jb:pf7<72;qUn?521d`9f7=z{h;1<7;k:49~yg4ak3:1?7<54z&017<6811C?:l4H27f?!7583<0(ec83>>d6mk0;684=:7y'704=99k0(5<50z&0<7<68k1Q?8?51zc:>xo69l0;6)=72;32a>=ni<0;6)=72;c6?>of>3:1(>6=:`48?l54i3:1(>6=:21b?>o5i;0;6)=72;0b6>=h9kh1<7*<8382fg=51;294~"40;0:n;5`1b594?"40;0:no54}r`1>5<5sWh970??a;32a>{ti80;6?uQa09>55g=i?1vlj50;0xZdb<58:j6?o=;|q2`6<72;qU=i=4=02b>65f3ty:io4?:3y]5`d<58:j672c=9j=0qpl>:182>5<7s-9>h7:4$271>46a3-93>7=79:&2e=<352z\b5>;6mk0j=6s|2;297~;6mk0:h>521d`9ea=:93;;i6*<5e86?x{e:on1<7=52;6x 63528:37E=8b:J01`=#9;:1:6*>a9870f=];<;1=vo6:|kb5?6=3`h96=44o0ga>5<a9870f=];<;1=vo6:|m2ag<722c:h>4?::ka6?6=3`ko6=44i`394?=e99k1<7850;2x 6>528:i7W=:1;3xe<6=4+3909e0==n;:k1<7*<83807d=5$2:1>4de32wi?:k50;394?6|,:296bc9~w63a2909w0??a;c6?850m3;h;6srb083>4<729q/?8j54:&017<68o1/?5<539;8 4g?2=>h7b??e;29 6>528hi76s|1d`94?4|V8oi70?jb;3ff>{tj;0;6?uQb39>5`d=j;1vl?50;0xZd7<58oi6l?4}r094?5|58oi60=zuk8mi7>53;090~"4=;0:<55G36`8L63b3-;9<784$0c;>12d3S9>=7?ta88~md7=831bn?4?::m2ag<722h:io4?:481>3}#;<81==o4$0c;>12d3S9>=7?ta88~k4ce2900e56;294~"40;0:2tc:=h4?:%1;6?76m21bm84?:%1;6?g232cj:7>5$2:1>d0<3`98m7>5$2:1>65f32c9m?4?:%1;6?4f:21d=ol50;&0<7<6jk10qo=8e;295?6=8r.84?4>b79l5f1=83.84?4>bc98yvd52909wSl=;<33e?76m2wxm<4?:3y]e4=:99k1m;5rs`f94?4|Vhn01<>n:3c1?xu6l:0;6?uQ1e18946f2:9j7p}>ec83>7}Y9lh01<>n:0`a?xu4=o0;6?u211c9e0=:;>o1=n94}|`2>5<6290;w)=:d;68 63528:m7)=72;1;=>"6i10?8n5`11g94?"40;0:no54}r3ff?6=:rT:io521d`95`dd29>5`d=im16=7??e:&01a<23twi>kh50;196?2|,:?96<>7;I14f>N4=l1/=?>56:&2e=<3xof93:17dl=:188k4ce2900n7<1s-9>>7??a:&2e=<3xi6mk0;66g>d283>>oe:3:17dok:188md7=831i==o50;494?6|,:296<>m;[165?7|i00ve21b?>o50;&0<7<4;h10e?o=:18'7=4=:h807b?mb;29 6>528hi76sm36g94?7=83:p(>6=:0`5?j7d?3:1(>6=:0`a?>{tj;0;6?uQb39>55g=98o0q~o>:181[g634;;m7o9;|qb`?6=:rTjh63>0`81e7=z{8n86=4={_3g7>;68h08?l5rs0ga>5<5sW;nn63>0`82fg=z{:?m6=4={<33e?g2349"4=;0:>i4;:0;66l<8683>6<729q/?8<536c8L61e3A9>i6*<83803<=#9h2189m4i032>5<#;181=7?mb:9~wgg=838pRoo4=2:4>4753tyin7>52z\af>;40>0:=<5rs210>5<5sW98?63<8682f1=zuk9;97>53;397~N4=l1/?8<51``8mgg=831bno4?::m076<722h84:4?:283>5}#;<81?:o4H25a?M52m2.84?4<789'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rscc94?4|Vkk01>68:031?xuej3:1>vPmb:?0<2<6981v>=<:181[54;2784:4>b59~yg57<3:1?7?53zJ01`=#;<81=ll4icc94?=njk0;66a<3283>>d40>0;6>4?:1y'704=;>k0D>9m;I16a>"40;08;45+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21voo50;0xZgg<5:2<6;40>0:n95r}c137?6=;3;1?vF<5d9'704=9hh0eoo50;9jfg<722e8?>4?::`0<2<72:0;6=u+340972g<@:=i7E=:e:&0<7<4?01/=l6545a8m476290/?5<510g8?l76:3:1(>6=:03f?>i6j=0;6)=72;3af>=z{kk1<7028;97p}mb;296~Xej2784:4>109~w6542909wS=<3:?0<2<6j=1vqo=?2;297?7=;rB89h5+34095dd>i4;:0;66l<8683>6<729q/?8<536c8L61e3A9>i6*<83803<=#9h2189m4i032>5<#;181=7?mb:9~wgg=838pRoo4=2:4>4753tyin7>52z\af>;40>0:=<5rs210>5<5sW98?63<8682f1=zuk9;=7>53;397~N4=l1/?8<51``8mgg=831bno4?::m076<722h84:4?:283>5}#;<81?:o4H25a?M52m2.84?4<789'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rscc94?4|Vkk01>68:031?xuej3:1>vPmb:?0<2<6981v>=<:181[54;2784:4>b59~yg5783:1?7?53zJ01`=#;<81=ll4icc94?=njk0;66a<3283>>d40>0;6>4?:1y'704=;>k0D>9m;I16a>"40;08;45+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21voo50;0xZgg<5:2<6;40>0:n95r}c11`?6=:3:1h0D>;j;%1;6??b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc9K7=7<3th8984?:383>5}#;<81=??4H25a?M52m2.84?46e:&2e=<350;&0<7<69l10c6>;:a700=8391<7>t$271>4d?3A96=:03f?>o69;0;6)=72;32a>=h9k>1<7*<8382fg=53;294~"4=;0:n55G36`8L63b3-93>7?m7:&2e=<3528hi76sm30g94?4=83:p(>;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj::26=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn>>m:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb22g>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f66a29086=4?{%166?273A96=:03f?>i6j=0;6)=72;3af>=zj:;:6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn>?<:180>5<7s-9>>7:?;I14f>N4=l1/?5<53g9'5d>=<=i0e:18'7=4=98o07d?>2;29 6>528;n76a>b583>!5?:3;in65rb224>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=53;294~"4=;0?<6F<7c9K70c<,:296>h4$0c;>12d3`;:=7>5$2:1>47b32c:=?4?:%1;6?76m21d=o:50;&0<7<6jk10qo=<0;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd4;80;6>4?:1y'704=<91C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5}#;<818=5G36`8L63b3-93>7=i;%3b7<729q/?8<51338L61e3A9>i6*<838:a>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4H2:2?>{e;;91<7<50;2x 635288:7E=8b:J01`=#;1815h5+1`:901e5$2:1>4de3A93=65rb207>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bm2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8L6>632wi??950;094?6|,:?96<<>;I14f>N4=l1/?5<59d9'5d>=<=i0e528hi7E=71:9~f64?29096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo==9;296?6=8r.89?4>209K72d<@:?n7)=72;;f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no5G3938?xd4:h0;644?:1y'704=<<1C?:l4H27f?!5?:39m7)?n8;67g>o6980;6)=72;32a>=n9881<7*<83825`=5$2:1>47b32c:=84?:%1;6?76m21b=<850;&0<7<69l10e8;29 6>528;n76a>b583>!5?:3;in65rb27:>5<4290;w)=:2;63?M50j2B89h5+39097c=#9h2189m4i032>5<#;181=7?mb:9~f63f29096=4?{%166?7592B8;o5G34g8 6>520o0(6=:03f?>i6j=0;6)=72;3af>N40810qo=:b;297?6=8r.89?4>b99K72d<@:?n7)=72;3a3>"6i10?8n5f10394?"40;0:=h54i031>5<#;181=d7<5:;h6l?4=274>d7<5;l36l?4=3d:>d7<5;lj6l?4=3da>d7<5;lh6l?4=3dg>d7<5;ln6l?4=3de>d754z?03f<68l16?8=5ae9>74e=im16?895ae9~w7ca2909wS;4800:=?523159546<5:896k751d`896672kh01>>6:032?855;3;:<6s|2g094?2|5;lj61:?061<6991v?h<:18784aj3;nn63<038af>;48m0:=<52337954654z?1bf<6mk16?==5bc9>75`=98;01><9:033?xu5n<0;68u22gf95`d<5::?6ol4=232>4763498=7?>1:?062<6991v?h9:18684am3;nn63<048af>;49:0:=<5233d9544<5:836kh51d`896612kh01>4d3349;n7?>2:p75g=839p1>>=:cc8966e28h?70=?d;326>{t;9i1<7=t=220>gg<5::o6>i:0`7?85693;:>6s|30294?5|5::>6oo4=232>4d3349:?7?>2:p744=838p1>>9:cc8967428h?7p}<1583>7}::o21n?5231595g252z?1b<6543ty8=54?:3y>6ce=j;16?==53218yv5613:1>v3=fe8a6>;48=08?>5rs23b>5<5s48mi7l=;<131?54;2wx?>9:210?xu49j0;6>u230a95`d<5:8o6?j:0`7?xu49o0;6?u230a9e0=:;;:1=o:4}r115?6==r789;4>109>776=98801>?j:033?855i3;i863<588254=z{:896=4={<116?7e<278>l4>109~w6442909w0==3;3a0>;4:h0:=?5rs207>5<5s49987?m4:?06d<69:1v><::181855=3;i863<2`8251=z{:8=6=4={<112?7e<278>l4>149~w6402909w0==7;3a0>;4:h0:=;5rs20;>5<5s49947?m4:?06d<69>1v><6:18185513;i863<2`825==z{:8h6=4={_11g>;4:m0:n95rs20f>5<5sW99i63<3382f1=z{:8m6=4={<11b?7e<278??4>109~w6572909w0=<0;3a0>;4;80:=?5rs212>5<5s498=7?m4:?077<69;1v>;<:180[52;2789>4>ec9>70?=9880q~=:4;296~;4=:0j963<5782f1=z{:?>6=4={<161?7e<2789;4>139~w6302909wS=:7:?012<6mk1v>;7:181852?3k>70=:b;3a0>{t;<31<74d3349>n7?>1:p70g=838p1>;n:0`7?852j3;:>6srb2;f>5<5290;w)=:2;315>N4?k1C?8k4$2:1>7?mb:J0<4=52;294~"4=;0:><5G36`8L63b3-93>77j;%3bh0D>;j;%1;6?5a3-;j47:;c:k254<72-93>7?>e:9j544=83.84?4>1d98k4d3290/?5<51c`8?xd4100;6?4?:1y'704=9;;0D>9m;I16a>"40;02i6*>a9870f=n98:1<7*<83825`=;=:002?M50j2B89h5+3909=`=#9h2189m4i033>5<#;181==zj:3i6=4<:183!52:3>;7E=8b:J01`=#;181?k5+1`:901e7>5$2:1>47b32e:n94?:%1;6?7ej21vn>7<:181>5<7s-9>>7?=1:J03g=O;6=:8g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in6F<8098yg5><3:1?7>50z&017<6j11C?:l4H27f?!5?:3;i;6*>a9870f=n98;1<7*<83825`=5$2:1>4de32wimn4?:383>5}#;<81=12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej21vn>o=:181>5<7s-9>>7?>d:J03g=O;6=:8g8L45>3-;2m7?>e:&2e=<350;&0<7<69l10c7<729q/?8<510f8L61e3A9>i6*<838:a>N6;01/=4o510g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in65rb2`0>5<5290;w)=:2;32`>N4?k1C?8k4$2:1>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4;|`74c<72;0;6=u+340954b<@:=i7E=:e:&0<7<>m2B:?45+18c954c<,8k369:l;h324?6=,:2967?mb:9~f6db29096=4?{%166?76l2B8;o5G34g8 6>520o0D<=6;%3:e?76m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8?xd3<=0;6?4?:1y'704=98n0D>9m;I16a>"40;02i6F>389'56=:03f?>i6j=0;6)=72;3af>=zj:n26=4=:183!52:3;:h6F<7c9K70c<,:2964k4H01:?!7>i3;:i6*>a9870f=n98:1<7*<83825`=52;294~"4=;0:=i5G36`8L63b3-93>77j;I30=>"61h0:=h5+1`:901e5$2:1>4de32wiml4?:383>5}#;<81=12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej21vn<>=:181>5<7s-9>>7?>d:J03g=O;6=:8g8L45>3-;2m7?>e:&2e=<350;&0<7<69l10c7<729q/?8<510f8L61e3A9>i6*<838:a>N6;01/=4o510g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in65rb6094?4=83:p(>;=:03g?M50j2B89h5+3909=`=O9:30(<7n:03f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c52>5<5290;w)=:2;32`>N4?k1C?8k4$2:1>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4;|`44?6=:3:1h0D>;j;%1;6??b3A;856*>9`825`=#9h2189m4i033>5<#;181=m2B:?45+18c954c<,8k369:l;h324?6=,:2967?mb:9~f3b=8381<7>t$271>47c3A974$0;b>47b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg0d29096=4?{%166?76l2B8;o5G34g8 6>520o0D<=6;%3:e?76m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8?xd1j3:1>7>50z&017<69m1C?:l4H27f?!5?:33n7E?<9:&2=d<69l1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e>h0;6?4?:1y'704=98n0D>9m;I16a>"40;02i6F>389'56=:03f?>i6j=0;6)=72;3af>=zj?31<7<50;2x 63528;o7E=8b:J01`=#;1815h5G12;8 4?f28;n7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=i3;:i6*>a9870f=n98:1<7*<83825`=52;294~"4=;0:=i5G36`8L63b3-93>77j;I30=>"61h0:=h5+1`:901e5$2:1>4de32wi:;4?:383>5}#;<81=12d3`;:<7>5$2:1>47b32e:n94?:%1;6?7ej21vn;;50;094?6|,:?96N4=l1/?5<59d9K56?<,83j65<7s-9>>7?>d:J03g=O;6=:8g8L45>3-;2m7?>e:&2e=<350;&0<7<69l10c1e9K72d<@:?n7)=72;;f?M7412.:5l4>1d9'5d>=<=i0e528hi76sm7883>7<729q/?8<510f8L61e3A9>i6*<838:a>N6;01/=4o510g8 4g?2=>h7d?>0;29 6>528;n76a>b583>!5?:3;in65rb6:94?4=83:p(>;=:03g?M50j2B89h5+3909=`=O9:30(<7n:03f?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c54>5<5290;w)=:2;32`>N4?k1C?8k4$2:1>"6i10?8n5f10294?"40;0:=h54o0`7>5<#;181=ol4;|`42?6=:3:1h0D>;j;%1;6??b3A;856*>9`825`=#9h2189m4i033>5<#;181=m2B:?45+18c954c<,8k369:l;h324?6=,:2967?mb:9~f22=8381<7>t$271>47c3A974$0;b>47b3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg1429096=4?{%166?76l2B8;o5G34g8 6>520o0D<=6;%3:e?76m2.:m54;4b9j546=83.84?4>1d98k4d3290/?5<51c`8?xd1m3:1>7>50z&017<69m1C?:l4H27f?!5?:33n7E?<9:&2=d<69l1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e;ki1<7<50;2x 63528h>7E=8b:J01`=#;1815h5G12;8 4?f28hi7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=?>7>52;294~"4=;0:n85G36`8L63b3-93>77j;I30=>"61h0:no5+1`:901e5$2:1>4de32wi8<;50;094?6|,:?96N4=l1/?5<59d9K56?<,83j6b49K72d<@:?n7)=72;;f?M7412.:5l4>bc9'5d>=<=i0e528hi76sm41694?4=83:p(>;=:0`6?M50j2B89h5+3909=`=O9:30(<7n:0`a?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c1a3?6=:3:1h0D>;j;%1;6??b3A;856*>9`82fg=#9h2189m4i033>5<#;181=t$271>4d23A974$0;b>4de3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg5fl3:1>7>50z&017<6j<1C?:l4H27f?!5?:33n7E?<9:&2=d<6jk1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e<9<1<7<50;2x 63528h>7E=8b:J01`=#;1815h5G12;8 4?f28hi7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=:m7>52;294~"4=;0:n85G36`8L63b3-93>77j;I30=>"61h0:no5+1`:901e5$2:1>4de32wi?k;50;094?6|,:?96N4=l1/?5<59d9K56?<,83j6b49K72d<@:?n7)=72;;f?M7412.:5l4>bc9'5d>=<=i0e528hi76sm38d94?4=83:p(>;=:0`6?M50j2B89h5+3909=`=O9:30(<7n:0`a?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c626?6=:3:1h0D>;j;%1;6??b3A;856*>9`82fg=#9h2189m4i033>5<#;181=t$271>4d23A974$0;b>4de3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg5cj3:1>7>50z&017<6j<1C?:l4H27f?!5?:33n7E?<9:&2=d<6jk1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e<;31<7<50;2x 63528h>7E=8b:J01`=#;1815h5G12;8 4?f28hi7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=52;294~"4=;0:n85G36`8L63b3-93>77j;I30=>"61h0:no5+1`:901e5$2:1>4de32wi?nm50;094?6|,:?96N4=l1/?5<59d9K56?<,83j6b49K72d<@:?n7)=72;;f?M7412.:5l4>bc9'5d>=<=i0e528hi76sm24f94?4=83:p(>;=:0`6?M50j2B89h5+3909=`=O9:30(<7n:0`a?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c06g?6=:3:1h0D>;j;%1;6??b3A;856*>9`82fg=#9h2189m4i033>5<#;181=t$271>4d23A974$0;b>4de3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg4?03:1>7>50z&017<6j<1C?:l4H27f?!5?:33n7E?<9:&2=d<6jk1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e:181<7<50;2x 63528h>7E=8b:J01`=#;1815h5G12;8 4?f28hi7)?n8;67g>o6990;6)=72;32a>=h9k>1<7*<8382fg=52;294~"4=;0:n85G36`8L63b3-93>77j;I30=>"61h0:no5+1`:901e5$2:1>4de32wi>:850;094?6|,:?96N4=l1/?5<59d9K56?<,83j6b49K72d<@:?n7)=72;;f?M7412.:5l4>bc9'5d>=<=i0e528hi76sm27c94?4=83:p(>;=:0`6?M50j2B89h5+3909=`=O9:30(<7n:0`a?!7f03>?o6g>1183>!5?:3;:i65`1c694?"40;0:no54}c050?6=:3:1h0D>;j;%1;6??b3A;856*>9`82fg=#9h2189m4i033>5<#;181=t$271>4d23A974$0;b>4de3-;j47:;c:k255<72-93>7?>e:9l5g2=83.84?4>bc98yg42>3:1>7>50z&017<6j<1C?:l4H27f?!5?:33n7E?<9:&2=d<6jk1/=l6545a8m477290/?5<510g8?j7e<3:1(>6=:0`a?>{e;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm4d83>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wi8k4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9Y71g=9r>i6pT>0b8262f2tP89<4>{5`9yl7d13:1(>6=:0a:?>{e=90;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm5383>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wi9>4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9Y71g=9r>i6pT>0b8262f2tP89<4>{5`9yl7d13:1(>6=:0a:?>{e==0;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm5783>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wi9:4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9Y71g=9r>i6pT>0b8262f2tP89<4>{5`9yl7d13:1(>6=:0a:?>{e=10;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm5c83>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wi9n4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9Y71g=9r>i6pT>0b8262f2tP89<4>{5`9yl7d13:1(>6=:0a:?>{e=m0;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm5g83>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wi:=4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9Y71g=9r>i6pT>0b8262f2tP89<4>{5`9yl7d13:1(>6=:0a:?>{e>80;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5U35c95~2e2tP:{26b>x\4=80:w9l5}h3`=?6=,:296f:&2=d<69l1Q?9o51z6a>x\68j0:w>:n:|X014<6s=h1qd?l9;29 6>528i276sm6283>4<729q/?5<51b;8L63b3A;:j6*>9`825`=];=k1=v:m:|X24f<6s:>j6pT<50821d=u`;h57>5$2:1>4e>32wim:4?:083>5}#;181=n74H27f?M76n2.:5l4>1d9j5f?=83.84?4>c898ygg>290:6=4?{%1;6?7d12B89h5G10d8 4?f28;n7d?l9;29 6>528i276smac83>4<729q/?5<51b;8L63b3A;:j6*>9`825`=n9j31<7*<8382g<=51;294~"40;0:o45G34g8L47a3-;2m7?>e:k2g<<72-93>7?l9:9~f4cd290:6=4?{%1;6?7d12B89h5G10d8 4?f28hi7b?l9;29 6>528i276sm1df94?7=83:p(>6=:0a:?M52m2B:=k5+18c95gd5}#;181=n74H27f?M76n2.:5l4>bc9l5f?=83.84?4>c898yg7a83:1=7>50z&0<7<6k01C?8k4H03e?!7>i3;in6a>c883>!5?:3;h565rb0d2>5<6290;w)=72;3`=>N4=l1C=4de3f;h57>5$2:1>4e>32wi=k<50;394?6|,:296N69o1/=4o51c`8k4e>290/?5<51b;8?xd6n:0;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:no5`1b;94?"40;0:o454}c3e0?6=93:15<7s-93>7?l9:J01`=O98l0(<7n:0`a?j7d13:1(>6=:0a:?>{e9o<1<7?50;2x 6>528i27E=:e:J25c=#90k1=ol4o0a:>5<#;181=n74;|`2b2<7280;6=u+39095f?<@:?n7E?>f:&2=d<6jk1d=n750;&0<7<6k010qo?i8;295?6=8r.84?4>c89K70c<@8;m7)?6a;3af>i6k00;6)=72;3`=>=zj:3<6=4>:183!5?:3;h56F<5d9K54`<,83j6t$2:1>4e>3A9>i6F>1g9'54<729q/?5<51b;8L63b3A;:j6*>9`825`=n9j31<7*<8382g<=51;294~"40;0:o45G34g8L47a3-;2m7?mb:m2g<<72-93>7?l9:9~f6gd290:6=4?{%1;6?7d12B89h5G10d8 4?f28hi7b?l9;29 6>528i276sm3c294?7=83:p(>6=:0a:?M52m2B:=k5+18c95gd5}#;181=n74H27f?M76n2.:5l4>1d9j5f?=83.84?4>c898yg5e<3:1=7>50z&0<7<6k01C?8k4H03e?!7>i3;in6a>c883>!5?:3;h565rb2`a>5<6290;w)=72;3`=>N4=l1C=4de3f;h57>5$2:1>4e>32wi?oj50;394?6|,:296N69o1/=4o510g8m4e>290/?5<51b;8?xd4k;0;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:no5`1b;94?"40;0:o454}c1`2?6=93:1mm:182>5<7s-93>7?l9:J01`=O98l0(<7n:0`a?j7d13:1(>6=:0a:?>{e;m21<7?50;2x 6>528i27E=:e:J25c=#90k1=5<#;181=n74;|`0`d<7280;6=u+39095f?<@:?n7E?>f:&2=d<6jk1d=n750;&0<7<6k010qo=i2;295?6=8r.84?4>c89K70c<@8;m7)?6a;3af>i6k00;6)=72;3`=>=zj=:86=4>:183!5?:3;h56F<5d9K54`<,83j6t$2:1>4e>3A9>i6F>1g9'54<729q/?5<51b;8L63b3A;:j6*>9`82fg=h9j31<7*<8382g<=;i7>51;294~"40;0:o45G34g8L47a3-;2m7?>e:k2g<<72-93>7?l9:9~f177290:6=4?{%1;6?7d12B89h5G10d8 4?f28hi7b?l9;29 6>528i276sm40194?7=83:p(>6=:0a:?M52m2B:=k5+18c95gd5}#;181=n74H27f?M76n2.:5l4>1d9j5f?=83.84?4>c898yg2613:1=7>50z&0<7<6k01C?8k4H03e?!7>i3;in6a>c883>!5?:3;h565rb50;>5<6290;w)=72;3`=>N4=l1C=4de3f;h57>5$2:1>4e>32wi89?50;394?6|,:296N69o1/=4o51c`8k4e>290/?5<51b;8?xd3<:0;6<4?:1y'7=4=9j30D>;j;I32b>"61h0:=h5f1b;94?"40;0:o454}c672?6=93:15<7s-9>>7=;9:J01f=O;=20@>:6:2y'71c=9ji0(>:k:0aa?!53n3;hh6srb273>5<7290;w)=:2;164>"6i10?8n5G35:8H6>32:q/?9k51bd8 62c28in7)=;f;3g4>{z{;<1<773<5:3267j:033?xu3k3:1?vP;c:?50?76827?o7?l9:p0a<72:qU8i52648255=:4e>3ty><7>53z\64>;103;:<63:0;3`=>{t=80;6>uQ509>2<<699169<4>c89~w04=839pR8<4=7c9546<5<81=n74}r70>5<4sW?8708m:033?83428i27p}:4;297~X2<27=o7?>0:?60?7d12wx984?:2y]10=:>m0:==525482g<=z{<<1<7=t^44893c=98:018851b;8yv302908wS;8;<4e>47734?<646380;324>;203;h56s|5883>6}Y=016;<4>119>1<<6k01v8l50;1xZ0d<5>81=<>4=4`95f?h7?l9:p1`<72:qU9h52748255=:=l0:o45rs4d94?5|V4e>3ty==7>53z\55>;003;:<6391;3`=>{t>;0;6>uQ639>3<<69916:?4>c89~w35=839pR;=4=6c9546<5?91=n74}r47>5<4s493j7m4=56:>f=:>=0:n95rs7794?5|5:2m6i5245;9`>;1=3;i86s|6783>6}:;1l1i63;488f?80128h?7p}97;297~;40o0m70:;9;d8931=9k>0q~87:18085?n3;;70:;9;33?80?28h?7p}99;297~;40o0:=63;48825>;113;i86s|6`83>6}:;1l1=?5245;957=:>h0:n95rs7`94?5|5:2m6<=4=56:>45<5?h1=o:4}r4`>5<4s493j7?;;<67=?73345:?70<<6=27=h7?m4:p2`<72;q68975179>2`<6j=1v;h50;1x96>a28=019:6:05893`=9k>0q~9?:18085?n3;270:;9;3:?81728h?7p}81;296~;40o0:m6381;3a0>{t?;0;6?u239d95f=:?;0:n95rs6194?4|5=>26f:?41?7e<2wx;;4?:3y>01?=:916;;4>b59~w21=838p19:6:338921=9k>0q~97:18182313897097:0`7?xu013:1?v3<8g817>;3<009?6389;3a0>{t?h0;6>u239d961=:<=31>9527`82f1=z{>h1<7;t=2:e>2d<5=>26:l4=2;5>2d<5:3;6:l4=2:f>d2;f?3;h56s|a983>7}:;0?1m?52a982f1=z{h31<7=t^`;89dg=98:01l751b;8yvgf290=w0=7f;c5?82313k=70=66;c5?85>83;;;63<8d8242=:ih0:n95rs``94?5|Vhh01lm510289dd=9j30q~ol:18185>=3k870ol:0`7?xu6880;6>uQ1138946528;;70??1;3`=>{t9981<7ge<58:962283>7}:;0<1=?=4=2;6>4443ty:??4?:3y>7<0=9:801>7::011?xu6;h0;6?u239d956g<5:3=6<=n;|q20g<72;q6?48515`896?228?>7p}>5783>7}:;1l1=884=2;5>4313ty:9o4?:3y>7<0=97::07a?xu6>10;6?u2384953><5:3>67p}>eb83>6}Y9li01?;9:0`7?87bk3;h56s|1df94?5|V8oo70<:c;3a0>;6mm0:o45rs0ge>5<4sW;nj63=5e82f1=:9ll1=n74}r3e4?6=;rT:j=5224g95g2<58l;64d334;m=7?l9:p5c4=839pRc89~w4`42908wS?i3:?135<6j=16=k=51b;8yv7a<3:1?vP>f59>620=9k>01uQ1g78971d28h?70?i5;3`=>{t9o<1<7=t^0d5?84?:3;i863>f782g<=z{8l<6=4<{_3e3>;5010:n9521g595f?53z\2b==::1o1=o:4=0d;>4e>3ty:jl4?:3y>7=`=9ok019:6:0db?xu5=?0;6?u245;95`e<5;?=67}:<=31=hh4=37g>4773ty99h4?:3y>01?=9o:01?;j:033?xu5>=0;6?u245;95c7<5;7}:<=31=k=4=353>4773ty9;;4?:3y>01?=9o>01?99:033?xu5?j0;6?u245;95c3<5;=h6528;;7p}=8983>7}:<=31=k94=3:;>4773ty94h4?:3y>01?=9o201?6j:033?xu51h0;6?u239d9626?7n;|q1a<<72;q6?4852e5896?72;no7p}=e`83>7}:<=31>i94=2;3>7b?3ty9ik4?:3y>01?=:ll01>6j:3ge?xu4:j0;6?u245;977e<5:2n6>b2:8n7p}<3583>7}:;1l1?>:4=2:a>4773ty8?o4?:3y>7=`=;:h01>7<:033?xu4=:0;6?u239g9705<5:3?6;|q012<72;q6?5k5345896>d28;:7p}<8`83>7}:;0:14=5239a95g252z?093:1>v3<9181a7=:;0>1=o:4}r1:6?6=:r785>4>b59>7<2=9880q~=67;297~X41>16?4m51c6896?028i27p}<9983>7}:;031=o:4=2;a>4763ty8544?:3y>701>7m:031?xu41j0;6?u238`95g2<5:3h64d33492h7?l9:p7<`=838p1>7j:0`7?85>n3;:<6s|3`394?5|V:k:70=n2;324>;4i80:o45rs2c1>5<3s4>?57=n0:?0=3<4i916?4>53`2896g528h?7p}6}Y;h901>o;:0`7?85f;3;h56s|3`694?4|5:3>6>o?;<1b0?7682wx?lm50;1xZ6gd349jh7?m4:?0ef<6k01v>ok:18185>=39jo63;4j80:n9523c295f?52z?0=5<4il16?o?51028yv5e:3:1?vP7g5=98:01>l=:0a:?xu4j:0;6?u238797dc<5:h864d3349i87?l9:p7g1=838p19:6:2`7?85e?3;:<6s|3c`94?5|V:hi70=mc;3a0>;4jk0:o45rs2``>5<5s492<7=ma:?0ff<6991v>lk:180[5el278nh4>119>7gb=9j30q~=me;296~;41<08nl523cg95g27>53z\0g7=:;j91=o:4=2a1>4e>3ty8o>4?:3y>7<3=;j801>m<:033?xu4k?0;6>uQ3b4896ef28h?70=l6;3`=>{t;jk1<76e1349hm7?>0:p7fd=839pR>mm;<1`g?7e<278oo4>c89~w6ed2909w0=65;1`f>;4kj0:==5rs2f;>5<4sW9o4637<0=;m=01>j6:0`7?xu4lh0;6>uQ3ec896be28h?70=ka;3`=>{t;mh1<76b0349on7?>0:p7c4=839pR>h=;<1e1?7e<278j?4>c89~w6`22909w0:;9;1e6>;4n<0:==5rs520>5<4sW>;?63;0582f1=:<991=n74}r630?6=:r7?844052=98:0q~:?5;297~X38<168=851c68916228i27p};0783>7}:<=318?>4=525>4773ty?<:4?:2y]051<5=:o62=:<70:?d;324>{t<9o1<7=t^52f?827n3;:<63;0d82g<=z{=:m6=4<{<1;b?27m278584;0d9>05`=9k>0q~:>0;297~X399168<<51c68917728i27p};1383>7}:<=318<>4=531>4773ty?=>4?:2y]045<5=;>62=;870:>5;324>{t<8=1<7=t^534?82603;:<63;1682g<=z{=;36=4<{<67=?26>2785;4;179>04>=9k>0q~:>9;297~X39016828i27p};1`83>7}:;0?18<84=53b>4773ty?>54?:2y]07><5=826{t<=;1<7=t^562?823:3;i863;4082g<=z{=>96=4={<1:4?24m27?8?4>119~w1242908wS:;3:?701<6991689=51b;8yv23<3:1>v3<94877`=:<=>1=o:4}r672?6=;rT?8;524559546<5=>=62=>>70=66;671>;3<>0:n95r}o353a<72=qC?8k4}o353`<72=qC?8k4}o353c<72:qC?8k4}o35<5<728qC?8k4I0a:>4}62twe=;6>:182M52m2C:o44>{08~yk710;0;628q:6psa17:0>5<6sA9>i6G>c8824:|m53>2290:wE=:e:K2g<<6s80vqc?98783>4}O;x{i9?2<6=4>{I16a>O6k00:w<4r}o35<=<728qC?8k4I0a:>4}62twe=;66:182M52m2C:o44>{08~yk710h0;628q:6psa17:a>5<6sA9>i6G>c8824:|m53>c290:wE=:e:K2g<<6s80vqc?98d83>4}O;x{i9?2m6=4>{I16a>O6k00:w<4r}o35=5<728qC?8k4I0a:>4}62twe=;7>:182M52m2C:o44>{08~yk711;0;628q:6psa17;0>5<6sA9>i6G>c8824:|m53?2290:wE=:e:K2g<<6s80vqc?99783>4}O;x{i9?3<6=4<{I16a>{i9?336=4<{I16a>{i9?326=4<{I16a>{i9?3j6=4<{I16a>{i9?3i6=4<{I16a>{i9?3h6=4<{I16a>{i9?3o6=4<{I16a>{i9?3n6=4<{I16a>{i9?3m6=4<{I16a>{i9?k;6=4;{I16a>{i9?k:6=4={I16a>{i9?k96=4<{I16a>{i9?k86=4;{I16a>{i9?k?6=4={I16a>{i9?k>6=4={I16a>{i9?k=6=4={I16a>{i9?k<6=4={I16a>{i9?k36=4={I16a>{i9?k26=4={I16a>{i9?kj6=4={I16a>{i9?ki6=4={I16a>{i9?kh6=4<{I16a>{i9?ko6=4<{I16a>{i9?kn6=4>2zJ01`=zf854zJ01`=zf851zJ01`=N9j31=v?5}[17f?7|i>0vV<>l:0y00g0b8262e2twe=;l<:187e~N4=l1vb<8m4;295~N4=l1B=n751z39y_53j3;pmo4rZ02`>4}47}O;4}O;x{i9?h<6=4;{I16a>{i9?h36=4<{I16a>{i9?h26=48{I16a>{i9?hj6=4;{I16a>{i9?hi6=4<{I16a>{i9?hh6=4;{I16a>{i9?ho6=4<{I16a>{i9?hn6=48{I16a>{i9?hm6=4;{I16a>{i9?i;6=4;{I16a>{i9?i:6=4>{I16a>O6k00:w?4r}o35g7<728qC?8k4I0a:>4}52twe=;m<:182M52m2C:o44>{38~yk71k=0;628q96psa17a6>5<6sA9>i6G>c88274}O;x{i9?i26=4>{I16a>O6k00:w?4r}o35gd<728qC?8k4I0a:>4}52twe=;mm:182M52m2C:o44>{38~yk71kj0;628q96psa17ag>5<4sA9>i6sa17af>5<5sA9>i6sa17f2>5<5sA9>i6sa17f1>5<5sA9>i6sa17f0>5<5sA9>i6sa17f7>5<5sA9>i6sa17f6>5<5sA9>i6sa17f5>5<5sA9>i6sa17f4>5<5sA9>i6sa17f;>5<5sA9>i6sa17f:>5<5sA9>i6sa17fb>5<4sA9>i6sa17fa>5<4sA9>i6sa17f`>5<4sA9>i6sa17fg>5<2sA9>i6sa17ff>5<4sA9>i6sa17fe>5<4sA9>i6sa17g3>5<5sA9>i6sa17g2>5<5sA9>i6sa17g1>5<4sA9>i6sa17g0>5<4sA9>i6sa17g7>5<5sA9>i6sa17g6>5<6sA9>i6sa17g5>5<5sA9>i6sa17g4>5<6sA9>i6sa17g;>5<6sA9>i6G>c88271}O;4}O;x{i9?l:6=4;{I16a>{i9?l96=4>{I16a>O6k00:w?4r}o35b6<72=qC?8k4}o35b1<728qC?8k4I0a:>4}52twe=;h::181M52m2we=;h9:182M52m2C:o44>{08~yk71n>0;6?uG34g8yk71n10;628q96psa17d:>5<3sA9>i6sa17db>5<6sA9>i6G>c8827:|m53`c2909wE=:e:m53`b290:wE=:e:K2g<<6s;0vqc?9fg83>1}O;4}O;x{i9>::6=4={I16a>{i9>:96=4>{I16a>O6k00:w?4r}o3446<72=qC?8k4}o3441<728qC?8k4I0a:>4}62twe=:>::180M52m2we=:>9:182M52m2C:o44>{38~yk708>0;69uG34g8yk70810;628q96psa162:>5<5sA9>i6sa162b>5<6sA9>i6G>c88277}O;4}O;x{i9>;:6=4<{I16a>{i9>;96=4>{I16a>O6k00:w?4r}o3456<72;qC?8k4}o3451<728qC?8k4I0a:>4}52twe=:?::181M52m2we=:?9:182M52m2C:o44>{08~yk709>0;6>uG34g8yk70910;628q96psa163:>5<3sA9>i6sa163b>5<6sA9>i6G>c88277}O;4}O;x{i9>8:6=4:{I16a>{i9j?1<7?tH27f?xh6k?0;6290:wE=:e:m5fg=83;pD>;j;|l2gg<728qC?8k4}o3`g?6=9rB89h5rn0ag>5<6sA9>i6sa1bg94?7|@:?n7p`>cg83>4}O;:182M52m2we=i<50;3xL63b3td:h>4?:0yK70c51zJ01`=zf8n>6=4>{I16a>{i9m<1<7?tH27f?xh6l10;6;j;|l2`f<72;qC?8k4}o3ga?6=9rB89h5rn0fe>5<6sA9>i6sa1d294?7|@:?n7p`>e083>4}O;51zJ01`=zf8o36=4>{I16a>{i9l31<7?tH27f?xh6mh0;6;j;|l2ac<728qC?8k4}o3e4?6=9rB89h5rn0d1>5<6sA9>i6sa1g194?7|@:?n7p`>f583>4}O;51zJ01`=zf8lj6=4>{I16a>{i9oh1<7?tH27f?xh6nj0;6;j;|l145<728qC?8k4}o035?6=9rB89h5rn321>5<6sA9>i6sa21194?7|@:?n7p`=0583>4}O;9:181M52m2we>=950;0xL63b3td9<54?:0yK70c51zJ01`=zf;:j6=4>{I16a>{i:9h1<7?tH27f?xh58j0;6vF<5d9~j76b2909wE=:e:m20?=839pD>;j;|l51g<72:qC?8k4}o46g?6=:rB89h5rn77g>5<5sA9>i6sa64g94?7|@:?n7p`95g83>4}O;:182M52m2we:;<50;3xL63b3td=;=4?:0yK70c53zJ01`=zf?=96=4<{I16a>{i>>91<7?tH27f?xh1?=0;6;j;|l53=<728qC?8k4}o44=?6=9rB89h5rn75b>5<6sA9>i6sa66`94?7|@:?n7p`97b83>4}O;51zJ01`=zf?296=4>{I16a>{i>191<7?tH27f?xh10=0;6vF<5d9~j3>12909wE=:e:m2=1=83;pD>;j;|l5<=<728qC?8k4}o4;=?6=9rB89h5rn7:b>5<6sA9>i6sa69`94?7|@:?n7p`98b83>4}O;51zJ01`=zf?396=4<{I16a>{i>091<7=tH27f?xh11=0;6=3:1=vF<5d9~j3?1290:wE=:e:m2<1=83;pD>;j;|l5==<728qC?8k4}o4:=?6=9rB89h5rn7;b>5<6sA9>i6sa68`94?7|@:?n7p`99b83>4}O;51zJ01`=zf?k96=4>{I16a>{i>h91<7=tH27f?xh1i=0;6>uG34g8yk0f=3:1=vF<5d9~j3g1290:wE=:e:m2d1=83;pD>;j;|l5e=<728qC?8k4}o4b=?6=9rB89h5rn7cb>5<6sA9>i6sa6``94?7|@:?n7p`9ab83>4}O;51zJ01`=zf?h96=4>{I16a>{i>k91<7?tH27f?xh1j=0;6;j;|l5f=<728qC?8k4}o4a=?6=9rB89h5rn7`b>5<6sA9>i6sa6c`94?7|@:?n7p`9bb83>4}O;51zJ01`=zf?i96=4>{I16a>{i>j91<7?tH27f?xh1k=0;6;j;|l5g=<728qC?8k4}o4`=?6=9rB89h5rn7ab>5<6sA9>i6sa6b`94?7|@:?n7p`9cb83>4}O;51zJ01`=zf?n96=4>{I16a>{i>m91<7?tH27f?xh1l=0;6;j;|l5`=<728qC?8k4}o4g=?6=9rB89h5rn7fb>5<6sA9>i6sa6e`94?7|@:?n7p`9de83>6}O;50;3xL63b3td=i<4?:0yK70c7>51zJ01`=zf?o86=4>{I16a>{i>l>1<7?tH27f?xh1m<0;63:1=vF<5d9~j3c0290:wE=:e:m2`>=83;pD>;j;|l5a<<728qC?8k4}o4fe?6=9rB89h5rn7ga>5<6sA9>i6sa6da94?5|@:?n7p`9ee83>6}O;50;3xL63b3td=j<4?:0yK70c7>51zJ01`=zf?l86=4>{I16a>{i>o>1<7?tH27f?xh1n<0;63:1=vF<5d9~j3`0290:wE=:e:m2c>=83;pD>;j;|l5b<<728qC?8k4}o4ee?6=9rB89h5rn7da>5<6sA9>i6sa6ga94?7|@:?n7p`9fe83>4}O;50;1xL63b3td<<<4?:2yK70c7>51zJ01`=zf>:86=4>{I16a>{i?9>1<7?tH27f?xh08<0;63:1=vF<5d9~j260290:wE=:e:m35>=83;pD>;j;|l44<<728qC?8k4}o53e?6=9rB89h5rn62a>5<6sA9>i6sa71f94?7|@:?n7p`81083>6}O;4;297~N4=l1vb:?::180M52m2we;<650;3xL63b3td<=44?:0yK70c51zJ01`=zf>;i6=4>{I16a>{i?8n1<7?tH27f?xh09o0;6;j;|l462<728qC?8k4}o515<6sA9>i6sa73c94?7|@:?n7p`n1983>0}O;9;296~N4=l1vbl?n:182M52m2wem51zJ01`=zfh;n6=4>{I16a>{ii8l1<7?tH27f?xhf:90;6;j;|lb61<728qC?8k4}oc11?6=9rB89h5rn`0;>5<5sA9>i6saa3;94?5|@:?n7p`n2`83>4}O;h4?:0yK70c53zJ01`=zfh9>6=4={I16a>{ii:<1<7?tH27f?xhf;>0;6290:wE=:e:me6g=838pD>;j;|lb7g<72;qC?8k4}oc0a?6=;rB89h5rn`1e>5<6sA9>i6saa5294?7|@:?n7p`n4083>4}O;51zJ01`=zfh><6=4>{I16a>{ii=31<7;j;|lb0`<728qC?8k4}oc7b?6=9rB89h5rn`73>5<6sA9>i6saa4394?7|@:?n7p`n5383>4}O;;7>51zJ01`=zfh?26=4={I16a>{ii;j;|lb1c<72;qC?8k4}oc54?6==rB89h5rn`42>5i6saa7094?4|@:?n7p`n6283>7}O;51zJ01`=zfh<26=4>{I16a>{ii?k1<7?tH27f?xhf>k0;6;j;|lb2c<72:qC?8k4}oc44?6=;rB89h5rn`52>5<6sA9>i6saa6094?7|@:?n7p`n7283>4}O;51zJ01`=zfh=26=4>{I16a>{ii>k1<7?tH27f?xhf?k0;6;j;|lb<5<728qC?8k4}oc;5?6=9rB89h5rn`:1>5<6sA9>i6saa9794?7|@:?n7p`n8783>4}O;51zJ01`=zfkih6=4={I16a>{ijjn1<7vF<5d9~jgb62909wE=:e:mfa4=83;pD>;j;|la`6<728qC?8k4}o`g0?6=9rB89h5rncf6>5<6sA9>i6sabe494?7|@:?n7p`md883>=}O;52zJ01`=zfko:6=4>{I16a>{ijl91<7;j;|laa=<728qC?8k4}o`f=?6=:rB89h5rncgb>5<5sA9>i6sabd`94?5|@:?n7p`meb83>4}O;52zJ01`=zfkl>6=4>{I16a>{ijo=1<7?tH27f?xh6::>1<7=tH27f?xh6::?1<7?tH27f?xh6::<1<7?tH27f?xh6::=1<7?tH27f?xh6::21<7?tH27f?xh6::31<7=tH27f?xh6::k1<7?<{I16a>{i9;9i6=4<{I16a>{i9;9h6=49{I16a>{i9;9m6=4>{I16a>{i9;>;6=4<{I16a>{i9;>:6=4<{I16a>{i9;>96=4:{I16a>{i9;>?6=4;{I16a>{i9;>>6=4<{I16a>{i9;>=6=4>{I16a>{i9;><6=4>{I16a>{i9;>36=4>{I16a>{i9;>26=4>{I16a>{i9;>j6=4>{I16a>{i9;>i6=4;{I16a>{i9;>h6=4<{I16a>{i9;>o6=4>{I16a>{i9;>n6=4;{I16a>{i9;>m6=4<{I16a>{i9;?;6=4>{I16a>{i9;?:6=4;{I16a>{i9;?96=4<{I16a>{i9;?86=4>{I16a>{i9;??6=4;{I16a>{i9;?>6=4<{I16a>{i9;?=6=4>{I16a>{i9;?<6=4={I16a>{i9;?36=4={I16a>{i9;?26=4={I16a>{i9;?j6=4>{I16a>{i9;?i6=4>{I16a>{i9;?h6=4>{I16a>{i9;?o6=4>{I16a>{i9;?n6=4>{I16a>{i9;?m6=4>{I16a>{i9;<;6=4>{I16a>{i9;<:6=4>{I16a>{i9;<96=4>{I16a>{i9;<86=4>{I16a>{i9;{I16a>{i9;<>6=4>{I16a>{i9;<=6=4={I16a>{i9;<<6=4={I16a>{i9;<36=4={I16a>{i9;<26=4={I16a>{i9;{i9;{i9;{I16a>{i9;{I16a>{i9;{I16a>{i9;{I16a>{i9;=;6=4>{I16a>{i9;=:6=4:{I16a>{i9;=96=4<{I16a>{i9;=86=4<{I16a>{i9;=>6=4<{I16a>{i9;==6=4>{I16a>{i9;=<6=4>{I16a>{i9;=36=4>{I16a>{i9;=26=4>{I16a>{i9;=j6=4>{I16a>{i9;=i6=4>{I16a>{i9;=h6=4>{I16a>{i9;=o6=4>{I16a>{i9;=n6=4>{I16a>{i9;=m6=4>{I16a>{i9;2;6=4>{I16a>{i9;296=4={I16a>{i9;286=4>{I16a>{i9;2?6=4>{I16a>{i9;2<6=4<{I16a>{i9;236=4<{I16a>{i9;226=4:{I16a>{i9;2j6=4<{I16a>{i9;2i6=4>{I16a>{i9;2h6=4>{I16a>{i9;2o6=4<{I16a>{i9;2n6=4<{I16a>{i9;2m6=4>{I16a>{i9;3;6=4>{I16a>{i9;3:6=4>{I16a>{i9;396=4>{I16a>{i9;386=4>{I16a>{i9;3?6=4>{I16a>{i9;3>6=4>{I16a>{i9;3=6=4>{I16a>{i9;3<6=4<{I16a>{i9;336=4:{I16a>{i9;326=4:{I16a>{i9;3j6=4:{I16a>{i9;3i6=4<{I16a>{i9;3h6=4>{I16a>{i9;3o6=4>{I16a>{i9;3n6=4<{I16a>{i9;3m6=4<{I16a>{i9;k;6=4>{I16a>{i9;k:6=4>{I16a>{i9;k96=4>{I16a>{i9;k>6=4>{I16a>{i9;k=6=4<{I16a>{i9;k<6=4<{I16a>{i9;k36=4:{I16a>{i9;k26=4<{I16a>{i9;kj6=4<{I16a>{i9;ki6=4:{I16a>{i9;ko6=4<{I16a>{i9;kn6=4>{I16a>{i9;km6=4>{I16a>{i9;h;6=4>{I16a>{i9;h:6=4>{I16a>{i9;h96=4>{I16a>{i9;h<6=4<{I16a>{i9;h26=4<{I16a>{i9;hj6=4>{I16a>{i9;hi6=4>{I16a>{i9;hh6=4>{I16a>{i9;ho6=4>{I16a>{i9;hn6=4>{I16a>{i9;hm6=4>{I16a>{i9;i;6=4>{I16a>{i9;i:6=4>{I16a>{i9;i86=4>{I16a>{i9;i?6=4>{I16a>{i9;i>6=4<{I16a>{i9;i=6=4>{I16a>{i9;i<6=4>{I16a>{i9;i36=4>{I16a>{i9;i26=4>{I16a>{i9;ij6=4>{I16a>{i9;ii6=4>{I16a>{i9;ih6=4>{I16a>{i9;io6=4>{I16a>{i9;in6=4>{I16a>{i9;n:6=4>{I16a>{i9;n96=4>{I16a>{i9;n86=4<{I16a>{i9;n>6=4={I16a>{i9;n=6=4={I16a>{i9;n<6=4>{I16a>{i9;n36=4>{I16a>{i9;n26=4>{I16a>{i9;nj6=4>{I16a>{i9;ni6=4>{I16a>{i9;nh6=4>{I16a>{i9;no6=4>{I16a>{i9;nn6=4>{I16a>{i9;nm6=4>{I16a>{i9;o;6=4>{I16a>{i9;o:6=4>{I16a>{i9;o96=4>{I16a>{i9;o86=4>{I16a>{i9;o?6=4>{I16a>{i9;o>6=4>{I16a>{i9=nm6=4;{I16a>{i9=o:6=4;{I16a>{i9=o96=4;{I16a>{i9=o86=4;{I16a>{i9=o?6=4;{I16a>{i9=o>6=4;{I16a>{i9=o=6=4;{I16a>{i9=o<6=4;{I16a>{i9=o36=4;{I16a>{i9=o26=4={I16a>{i9=oj6=4={I16a>{i9=oi6=4={I16a>{i9=oh6=4={I16a>{i9=oo6=4={I16a>{i9=on6=4={I16a>{i9=om6=4<{I16a>{i9=l;6=4;{I16a>{i9=l:6=4;{I16a>{i9=l96=4;{I16a>{i9=l86=4;{I16a>{i9=l?6=4;{I16a>{i9=l>6=4;{I16a>{i9=l=6=4;{I16a>{i9=l<6=4={I16a>{i9=l36=4={I16a>{i9=l26=4={I16a>{i9=lj6=4>{I16a>{i9=li6=4>{I16a>{i9=lh6=4>{I16a>{i9=lo6=4>{I16a>{i9=ln6=4>{I16a>{i9=lm6=4>{I16a>{i9<:;6=4>{I16a>{i9<::6=4>{I16a>{i9<:96=4>{I16a>{i9<:>6=4>{I16a>{i9<:=6=4>{I16a>{i9<:<6=4>{I16a>{i9<:26=4={I16a>{i9<:j6=4>{I16a>{i9<:h6=4={I16a>{i9<:o6=4>{I16a>{i9<:n6=4>{I16a>{i0;0;6o7>51zm627=83;pqc<60;297~{i:1k1<7?t}o0bb?6=;rwe>l750;3xyk4dm3:1?vsa2b:94?7|ug8nh7>53zm6`1=83;pqc=?c;297~{i;9<1<7?t}o11f?6=;rwe??;50;3xyk53i3:1?vsa35694?7|ug9=57>53zm735=83;pqc=78;297~{i;181<7?t}o562?6=9rwe;;l50;3xyk1?83:1=vsa78d94?5|ug=257>51zm3g2=83;pqc9k3;297~{i?jn1<7?t}o5e6?6=;rwe;hm50;3xyk>693:1?vsa81`94?7|ug29:7>51zm<6d=83;pqc6:0;295~{i0??1<7?t}o:4e?6=9rwe45h50;3xyk>fm3:1?vsa8`:94?7|ug2hh7>53zm51zmf1g=839pqcl;4;295~{ik;>1<7?t}oa77?6=;rweo>j50;3xyke1:3:1?vsac4a94?7|ugi3=7>53zmg2d=83;pqcmn0;297~{ik0k1<7?t}oaab?6=;rweoo750;3xykecm3:1?vsace:94?7|ugimh7>53zmgc1=83;pqcj>c;297~{il8<1<7?t}of0f?6=;rweh>;50;3xykb2i3:1?vsad4694?7|ugn<57>53zm`25=83;pqcj68;297~{il081<7?t}ofa3?6=;rweho?50;3xykbc>3:1?vsade294?7|ugnm97>53zm```=83;pqck>4;297~{im9o1<7?t}og07?6=;rwei?j50;3xykc2:3:1?vsae5a94?7|ugo<=7>53zma3d=83;pqck60;297~{im1k1<7?t}ogbb?6=;rweil750;3xykcdm3:1?vsaeb:94?7|ugon?7>51zmb54=839pqckic;295~{in;;1<7=t}od2f?6=9rwej9>50;1xyk`4i3:1=vsaf4d94?5|ugl>57>51zmb2c=839pqch88;295~{in0n1<7=t}od:3?6=9rwejom50;1xyk`e>3:1=vsafe`94?5|uglo97>51zmbcg=839pqchi4;295~{i99;26=4<{|l2445=83;pqc?<0483>4}zf89:m7>51zm564a290:wp`>35g94?5|ug;8854?:0y~j451l3:1?vsa1244>5<6std:?5m50;1xyk740?0;6o::182xh6;jk1<7=t}o30g1<728qvb<=j9;297~{i9:o86=4>{|l205>=839pqc?;0383>4}zf8>9;7>53zm5146290:wpsr}AB@4g>i3lm999705CDG}7uIJ[wpNO \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.ngr b/cpld/XC95144XL/MXSE.ngr new file mode 100644 index 0000000..99fcfbc --- /dev/null +++ b/cpld/XC95144XL/MXSE.ngr @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$2d6==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q12$%#@V\E/t;?+((ZHG%z<5?1:326>752F__\XZ5R@>25?69j2;96_O31083:d=6>3K7>>47>028534f3;;1M1<>:0<24>462@D[YY4N<3395;c<::0JSK]M<3195;733;91EC^ZT;C\BVD;::0:2<5<1:62?0><=3N787>1c:79@drfI5>1<3745;GP81<76l1>6D@_UU8G81<7688097GAPTV9@drfI5>1<3h45;MVPUSS2X6?6=0>4:79KPRW]]0Yi~{ct=694;><=3[787>1d:79V`urd}6?6=0i;48\eab789:787>11:42?2><03N7;7>1c::9@drfI5=1<3k48;KMTPR=L5=1<3?=;98JJUSS2MkmL28:1>=Zly~`y28:137;2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?j;@NF4Zkrp9:;<GKM8Ud~=>?0^kntZGKM8Ud~=>?0068EKB5>2KEH?L>6:CM@7D5<2KEH>84AOF0F40GIL=H9:6OAD5@02>GIL=H?:6OAD4@12>GILGIL86OAD968EVtak2KX~kQaou23447b3HYyjR``t1235ZojxVKX~kQaou23447>3HUM_O2>0?;8EZ@TJ5;:245N_GQA844912KTJ^L312<:?DYA[K6:8374A^DPF972601JSK]M<04==>GXNZH7=:06;@]EWG:60730MRH\B=3::==FWOYI0<06;@]EWG:58730MRH\B=02:<=FWOYI0?<1b:C\BVD;::0:245N_GQA875902KTJ^L32?:8EZ@TJ59546OPFR@?0;>720MRH\B=5=<>GXNZH74364A^DPF9?9<2Kh`?9;@aovZOI^Vcf|ROlls]JJS733KKHXh5MABV\mhvXJHI_=85MABVq0>DBZ[?0NH\]079AAWT7z<1II_\>6:@FVW7u12HN^_QFOCQf?GCUZVcf|RLJRS35?GCUZ{l=7OK]Rspf?GCUZ{xTbbz?01316>DBZ[xySca{0122[lkwWKOY^|Pnnv3457602H^_RGAFN38G1=D9?G87N6B4:ABGQ4B;:7>0H1=16:F?0?69<2N783:4D=7=0>B;>7<0H1950?68@919=2N_MNE>0:FWEFMXadzTHYOLK058@QGDC{l<7IZNCJpqb>BSIJAy~R``t1235723:2=Ci}k7=3;4D`vbE==Ci}kJ0=07;EcweD:6611OmyoN<3<;?AgsiH682l5KaucB81<7611OmyoN<5<;?AgsiH6>255KaucB838f3MkmL28:1<;?AgsiH6<2<5J2:GP1>CT494>7H]31?78AV:56<1N_1=17:GP81<76<1N_1:1a:GP[dhc89:;=l5JS^cm`5678Vcf|RK\_`lg45679h1N_Road12354g?00]jiuYB[Vkeh=>?10f8AVYdeyUn}=>?0328AVYdeyUn}=>?0^kntZCTWjg{Sh?0122`>CTWjg{Sh?01314>CTWjg{Sh?013\mhvXMZUha}Qjq12354??00:8AVYhz9:;?0103?@RFKBUjbi>?01]jiuYB\HI@Sl`k0123546?149F[LIE:2Oy?6K}259EGIM53ON?7KJLE39EB1=ANm;<7KHk1,Km<>@Al8'Bb<74FGf2)Lh6911MJi?"Io0:?C@c9$Ce><64FGf2)Lh412LMh<#Fn23:?C@c9$Ce8<64FGf2)Lh2j2LMh<#Fn^knt1=ANm8<7KHk2,Km<>@Al;'Bb<74FGf1)Lh6911MJi<"Io0:?C@c:$Ce><64FGf1)Lh412LMh?#Fn23;?C@c:$Ce845IFe0.Mk2602LMh?#Fn4;8BCb5%@d>=55IFe0.Mk0>3OLo> Ga60;8BCb5%@d<=55IFe0.Mk>e3OLo> Ga_hos0>@Al:=0JKj<-Hl;?C@c;$Ce=45IFe1.Mk7602LMh>#Fn3;8BCb4%@d9=55IFe1.Mk5>3OLo? Ga30:8BCb4%@d?56HId2/Jj17>3OLo? Ga50:8BCb4%@d=n6HId2/JjZojx=1MJi:;;GDg11=ANm03OLo4 Ga8:DE`=+Nf830JKj7-Hl25<=ANm2&Ec<>8:DE`=+Nf:h0JKj7-Hl\mhv43OYI?6H\Mb9EWHY7%ykyiczl;GQN[4+wi{oexn5ISL]1)ugumg~:7J=4GOF2?L4=5FO@AW[dhc89:;Sdc_HMBGQYffm:;<=?k;HMBGQYj}q:;<=k4INC@PZkrp9:;<e:KLEFRXe|r;<=><109JKDESWds<=>?_hosg>OHIJ^Tc>?013f?LIFK]Ud~=>?0^kntZOHIJ^Tc>?0135?LIFK]x=7DAMESPf?LIEM[XTmcj?01216>OHJLXYSl`k0123[lkwW@EII_\Paof34566m2CDNH\]_omw4566:;1BCOK]R^llp5679Vcf|RG@BDPQ[kis89::=i5FOCGQVZiu89:;>=5FOCGQVZiu89:;Sdc_HMAAWTXg{:;<=?;;HMAI1=NGKY?7DALS49JKFU6=2CDO^<:;HM@W6`?1^kntZOHKZUha}Qjq12354`?3^kntZOHKZUha}Qjq12374`?5^kntZOHKZUha}Qjq12314`Vcf|RG@CR]`iuYby9:;:?7^kntZOHKZUha}Qjq12334>OHD9Ufyu>?013f?LIK8Vg~t=>?003f?LIK8Vg~t=>?033g?LIK8Vg~t=>?0233?LIK8Vg~t=>?0^knt1=NGE;h7DAC1^llp56798o0EBB>_omw4566W`g{SDAC1^llp56798?0EBBKS79JKUQD[880EB^XCR]jiuYNGY]H_<;4INPFUa=NG[OZSl`k012365=NG[OZSl`k0123[lkwW@EYI\Qnne23457c3@EYI\Qbuy2345c=5FOSU3[dhc89:;Sdc_HMQS5Yffm:;<=?k;HMQS5Yj}q:;<=k4INPT4Zkrp9:;<e:KLVR6Xe|r;<=><109JKWQ7Wds<=>?_hos`>OHZ^:Tbbz?01314>OHZ^:Tbbz?013\mhvXAFX\OHZ^:Tc>?00]jiuYNG[];Sb|?01321>OHZ^;<7DA]e`fzb>OHZlkouRoad123472OHZlkouRa}012367=NG[ojhtQ`r1234ZojxVCD^hoky^mq45679:1BC^k4INQ\ghvXmx:;<=k4INQ\ghvXmx:;<k4INQ\ghvXmx:;<9k4INQ\ghvXmx:;<8k4INQ\ghvXmx:;<;k4INQ\ghvXfl:;<=:4INW3g>OH]9Ufyu>?01f8MJS7Wds<=>?1d9JKP6Xe|r;<=>>1d9JKP6Xe|r;<=>=1e9JKP6Xe|r;<=><119JKP6Xe|r;<=>Pilr7?LIR9j1BCX?Pnnv34576m2CDYOi;:1Bb9=4Io7f?NCBXVBBI_O]CI[4?II@AJKGh6B_M^CG@ZURJV;o7A^B_@FG[VSEW;n0@]CPCNWMP@TX9m1G\@QLOTLWAWY502F[ARJIF^;8HUKXLOLT=l5CPL]GBCY68h1G\@QKFG]25d=KXDUOJKQ>2`9OTHYCNOU:?l5CPL]GBCY66`9OTHYCNOU:;l5CPL]GBCY60h1G\@QKFG]2=<=KXDUOJKQ=a:NSIZBANV8;m6B_M^FEBZ46i2F[ARJIF^01e>JWEVNMJR<JWEVNMJR<8a:NSIZBANV83m6B_M^FEBZ4>12F[ARJIF^1b?IVJWMLMS>>n;MRN[A@AW:;j7A^B_EDE[64f3EZFSIHI_21b?IVJWMLMS>:6;MRN[A@AW=30@]CPDGD\1<=KXDUOJKQ99:NSIZBANV=27A^B_EDE[=?Jhim;Tbbz?01314>Jhim;Tbbz?013\mhvXDfko=R``t123542497C>=;O31?K453G9?7CA]809L0>ICKZo0CIM\_hos[JBD[890C_<:;NP1F43>5@R278KW5E9<1D^>L=3:MQ06=HZ<90C_8<;NP47>IU0=1Dbnkl;Nl`aZgil9:;<0Cxz?e:Mvp5YneyUDyy>>4:Mvp4cIr|?Uba}Q@uu420>Ir|>>0Cxz7e:Mvp=YneyUDyy6>1:R`?U(5889:<<=PL59SEWRf3YCESO[\IEZa?UOIWK_XBLCJ2:RP`>VTWjg{Sh?012g?UUXkdzTi|>?00f8TVYdeyUn}=>?2e9SWZejxVoz<=>>;P68U969<2[7=3:4Q=0=0>W;;7<0]1:50?68U929<2[793:4Q=4=2>W;?3:586_37?c8UZ7Xg{:;<=?n;P]2[jt789:Te`~PQ^3\kw6789;>7_O30?48VD:68720^L2>1;2=2>TF48;596\N<0<6?WG;:7?0^L2<>49QE929=2XJ080:;SC?2;3TF40487_OB5:PBIFU682XJAN]POwgqhdHno8=7_OBCR]Lr`tkipEmjRgbp^PBIFUXGoy`lw@fg3e?WGJKZUDzh|caxMm61=UIDIXSBxjrmczKkYneyUYM@M\_Ntfvig~Gg;o7_OBCR]bja67898;7_OBCR]bja6789Uba}Q]ALAP[dhc89:;=i5]ALAP[dhc89::>=5]ALAP[dhc89::Sdc_SCNGVYffm:;<0:PBIFUXadzT^LCLS048VDKCAZ=0^LCKIR3e?WGJL@Y:S`{w012355=UIDNB_0:PBIAOT9Vg~t=>?0232?WGJL@Y:S`{w012374763[KFHD]>_lw{4567<8;:7_OBDHQ2[hs89:;9;SCN@LU6Wds<=>?6033?WGJL@Y:S`{w0123345TFEMCX>Road123472TFEMCX>Ra}012367=UIDNB_?Q`r1234ZojxVXJAIG\2^mq45679880^LCKIR]jiuYUIDNB_<64R@OQadb~991YM@\jae{\ekb789:9:6\NMSgb`|Yffm:;<=Qfmq]QEHTbimsTmcj?012255=UIDXnmiwPaof34575>2XJA_kndx]bja6788Uba}Q]ALPfeaXign;<=?>119QEHTbimsTmcj?01012>TFE[ojhtQnne2347YneyUYM@\jae{\ekb7898:==5]ALPfeaXign;<===6:PBIWcflpUjbi>?02]jiuYUIDXnmiwPaof34556991YM@\jae{\ekb789>9:6\NMSgb`|Yffm:;<9Qfmq]QEHTbimsTmcj?016255=UIDXnmiwPaof34535>2XJA_kndx]bja678119QEHTbimsTaxv?01225>TFE[ojhtQbuy234576:2XJA_kndx]nq}6789;:=?5]ALPfeaXe|r;<=>=1038VDKUmhnrS`{w0123742Pilr\VDKUmhnrSb|?01221>TF[LFo7_O\EM]nq}6789o0^L]JL^ov|56788l0^L]JL^ov|56788;m7_O\EM]nq}67898:i6\NSDN\ip~789:8j6\NSDN\ip~789:8=k5]ARGO[hs89:;8;SCPAIYj}q:;<=Qfmqa8VDY7Wds<=>?d:PB[5Yj}q:;<=?j;SC\4Zkrp9:;<??;SC\4Zkrp9:;k;SC\5Zkrp9:;<4R@]2[hs89:;Sdcc:PB[7Yj}q:;<=j4R@]1[hs89:;=h5]A^0\ip~789::=h5]A^0\ip~789:9=i5]A^0\ip~789:8==5]A^0\ip~789:Te`~l;SC\7Zkrp9:;7_K\rg78V@Uuzm1YI^|}_omw4566:91YI^|}_omw4566W`g{S_K\rs]mkq6788;>7_ABCRf8VJKD[Vkeh=>?0328VJKD[Vkeh=>?0^kntZTHEJYTmcj?012255=UGDIXSnc_ds34565>2XDAN]Pclr\at6789Uba}Q]OLAP[fkwWl{;<=>>119QKHETW`g{S_ABCR31?WUc3[YTo`~Pep2345bTTWjg{Sh?011g?WUXkdzTi|>?05f8VVYdeyUn}=>?5e9QWZejxVoz<=>93:PWH0=Umhnr:6\jae{33>Tbims;~;5]e`fz52=Umhnr=84Rdcg}712XnjLmd6:PfbFhs12XnjN`{<1<:?WcaKg~7=374Rdd@jq:5601YikMat=1==>TbnJd0906;SgeGkr;=730^hhLnu>5:g=UmoIex1950?;8V``Df}6<2:5]egFlj`753[omHb`j_bos[`w789:9m6\jfEmmaZejxVoz<=>?_hos[WcaLfdnSnc_ds34566n2XnjIaae^llp5679;>0^hhKoog\jjr789;Te`~PRddGkkcXff~;<=?>6:PfbWGT>2Xnj_k~139QacTbyVcf|R\jfSgr5<=Umo_ykh`{199QacSuoldSdc_SgeQwabf};=7_k|umv:?Wct}e~7<374Rdqvhq:6601Yi~{ct=0==>Tb{|f0>0m;Sgpqir;<3:556\jstnw818>3[oxyaz35?;8V`urd}6=2o5]erwop91=8730^h}zlu>4:70UNOVH^_COBE59Pgit33Zixxl5\nePBIFUPZ880_cj]ALAPSWYffm:;<=6]adSCNGVQUWhdo<=>>2`9PjaTFEJY\^Road1235ZojxVYeh_OBCRUQ[dhc89::=<;4SofQEHET_[Uha}Qjq123466<[gnYM@M\WS]`iuYby9:;>319PjaTFEJY\^Rmbp^gr4566W`g{S^`kR@O@WRTXkdzTi|>?00321>Uil[KFO^Y]_bos[`w78988<6]adSCNGVQUWjg{Sh?010\mhvX[gnYM@M\WS]`iuYby9:;>Qfmq]PjaTFEJY\^Rmbp^gr456498?0_cj]ALAPSWYdeyUn}=>?4228WkbUIDIX[_Qlmq]fu567?=5\nePBIFUPZVif|Rk~0126[lkwWZdo^LCLSVP\ghvXmx:;<8?>a:Qm`WGJKZ]YSdc_RlgVDKD[^X:=<5\nePBIFUPZVey<=>?299PjaTFEJY\^Ra}0123[lkwWZdo^LCLSVP\kw6789;:=6]adSCNGVQUWfx;<=?=8:Qm`WGJKZ]YSb|?013\mhvX[gnYM@M\WS]lv56798;:7^`kR@O@WRTXg{:;?03]jiuYTfmXJAN]XR^mq45659=1Xhz;;U[SA==SadodyyO7;UknajssJ8:0XdcjotvA[dhc89:;>;5[ilglqqDXign;<=>Pilr\Plkbg|~ISl`k012356=QKJ30ZDKX_U[SA6=QXHi0Z]OPilr\RUG6=2\[Mh:;WRBvwb<^YKy~R``t123576<^YKy~R``t1235ZojxV\[M|Pnnv34576i2\bh_OBCRUQ`>Pnl[KFO^Y]65i2<>Pnl[KFO^Y]65i\ghvXmx:;<==9;WkgVDKD[^X=8fQlmq]fu5678Vcf|RXfdSCNGVQU>=aTo`~Pep23457602\bh_OBCRUQ21mXkdzTi|>?0015?SocZHGH_Z\94j]`iuYby9:;=Rgbp^Tj`WGJKZ]Y:9ePclr\at6788;9<6XfdSCNGVQU>=aTe`~PVhfQEHET_[?1228RlbUIDIX[_Qlmq]fu5679Vcf|RXfdSCNGVQUWjg{Sh?013250=QamXJAN]XR^antZcv89:9?=5YiePBIFUPZVif|Rk~0121[lkwW_co^LCLSVP\ghvXmx:;5:Tj`WGJKZ]YSnc_ds3455482\bh_OBCRUQ[fkwWl{;<==Pilr\RlbUIDIX[_Qlmq]fu567;8;>7[gkR@O@WRTXkdzTi|>?0513?SocZHGH_Z\Pclr\at678=Uba}QYiePBIFUPZVif|Rk~0127543<^`nYM@M\WS]`iuYby9:;9>>4VhfQEHET_[Uha}Qjq1231ZojxV\bh_OBCRUQ[fkwWl{;<=;>149UmaTFEJY\^Rmbp^gr4561;91]ei\NMBQTVZejxVoz<=>9_hos[SocZHGH_Z\Pclr\at678?;:96XfdSCNGVQUWjg{Sh?01504>Pnl[KFO^Y]_bos[`w789=Te`~PVhfQEHET_[Uha}Qjq12334723_co^LCLSVP\ghvXmx:;<5=?;WkgVDKD[^XTo`~Pep234=YneyU]ei\NMBQTVZejxVoz<=>71078RlbUIDIX[_Qlmq]fu5671::0Zdj]ALAPSWYdeyUn}=>?9^kntZPnl[KFO^Y]_bos[`w7893:=85YiePBIFUPZVif|Rk~013375=QamXJAN]XR^antZcv89;;Sdc_WkgVDKD[^XTo`~Pep235576=2\bh_OBCRUQ[fkwWl{;<>103b?SocZHGH_Z\Pilr\RlbUIDIX[_?>1:Tj`WGJKZ]YSb|?01226>Pnl[KFO^Y]_np345669;1]ei\NMBQTVZiu89:;><<4VhfQEHET_[Ud~=>?0236?SocZHGH_Z\Pos2345Yney;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@<0T^ZCIC58\VRXOGN:i6V\T^KNTICJMG^JXDAA_BMMWQTFAG^X==5WSU]QPIYWZFZN^YW8;YQW[SED>2RonRGk119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk3;5Wo=2=7<=_g5:5Sdc3:Zpp<=_{}MFcikcb:]b`a67896;2o5Paef3456;97h0Sljk0123878e3Vkoh=>?0=1=`>Yflm:;<=2;:12o5Paef3456;>7n0Sljk012382<76k1Tmij?012?3;>?179\ekb789:Te`~P_`lg4567911Tmcj?01322>Yffm:;<?2^kntZYffm:;?30:8[dhc89:?=;5Paof3452XadzTSl`k01275==Xign;<=;>6:]bja678?0158[jt789::86Q`r1234ZojxVUd~=>?0058[jt789;:86Q`r1235ZojxVUd~=>?1078eabbzl1jbi>?01]lv5678;80mcj?012\kw6789Uba}Qnne2345Yhz9:;<<=4cov4?firf}oy>6m|3:feb<=ca{ohxdaa3:dpfg=a{kUecy>?013`?cueWge<=>?_hos[cueWge<=>?159jkgk33`ei45aAEmvpIC7911eMIaztMG3[lkwWgKOcxzCE1324>hFLf@H>Pos2345413gKOcxzCE1]lv5678Vcf|R`NDnwwH@6Xg{:;<=?6;oCGkprKM8;37cOKotvOA4YneyUeMIaztMG256=iIZ=0bL]PFR@4?kGTW@EIn6`NS^llp56798i0bL]Pnnv3457XadzTbL]Pnnv34576i2dJ_Ra}01235d=iIZUd~=>?0^kntZhF[Vey<=>?169mEjssGL?0bOK]R89mF@TUWOYI56`MESP\MJDc3gHN^_Qnne2345473gHN^_Qnne2345YneyUeNH\]_`lg456798:0bOK]R^kntZhEM[X:86`LARa8jFGTWge<=>>1d9mGDUXff~;<=?Pilr\jFGTWge<=>>179m@QGDCh1eHYOLK^DPFd=iL]KHGRG@Bd9m@QGDCVkeh=>?0308jARFKBUjbi>?01]jiuYiL]KHGRoad1234404nEkmH@Yhz9:;>6:lGmkIB9;1eHd`@E^kntZhCagEN=:5aDnwwK@`?1^kntZhCg|~DIR``t12354chKLZUjbi>?01]jiuYiDMYTmcj?0122g>hKLZUjbi>?003f?kJC[Vkeh=>?1^kntZhKLZUjbi>?003`?kJC[Vddx=>?10g8jIBTWge<=>>_hos[kJC[Vddx=>?10`8jIBTWfx;<=>>c:lO@VYhz9:;0bAYJc:lOS@Yffm:;<=?j;oNTAZgil9:;hHMVkeh=>?00a8jJCXign;<=>Pilr\jJCXign;<=>>c:lLAZojxVdDI<74nNtfvig~88;0bBxjrmcz4Zgil9:;?0^kntZhH~lxgmt>Paof3456612dDzh|cax34?kTFEE]N;6`]ALWTA1=iZHYh7c\NS^cm`56788o0b_O\_`lg4567W`g{Sc\NS^cm`56788o0b_O\_hos[kTF[8h0b_O\_np34566k2dYM^Q`r1234ZojxVdYM^Q`r123442?0308jWIJ_LUjbi>?01]jiuYiZFG\IRoad12344753gXDAZKPilr\jWIJ_L;?7c[KS99mQAUXNZH37c[KS^KLFf=i]MYTmcj?0122a>hRLZUjbi>?01]jiuYi]MYTmcj?0122g>hRLZUecy>?003f?kSC[Vddx=>?1^kntZhRLZUecy>?0037?kSPMj1eYZKPaof34566m2d^[HQnne2345YneyUeYZKPaof34566m2d^[HQfmq]mQRC6<2d]AL64nWOB[LIEk2d]ALQnne23457b3g\FMRoad1234ZojxVd]ALQnne23457a3g\FMRmbp^gr4567:=1eZ@OPclr\at6789Uba}QaVLC\ghvXmx:;<=?l;oTNEZhh|9:;=hQXHUM_O64nWRB[LIEk2d]\LQnne23457b3g\[MRoad1234ZojxVd]\LQnne23457b3g\[MRgbp^lUTD743g]N;6`XE^DPFc=ig}:;<=Qnne2345433ge<=>?_`lg4567W`g{Sca{0123[dhc89:;=:5om]`hn?pfd|oTod`6;wcoq`Yhxk20tn7:01zoa>~d1<9=ta~l45/6yEFw9:=?7MNw35:9B?4=9rY2m7=;7;034?74<7?m2eaxj627281e?9?56:&07c<4;01v_4753559656=9:>=98>51c0g`>b6km0;6<4>{R;b>6202;:;6<=;6473>4d5lj1}J?l<:182>4<7sZ3j6>:8:323>453>>:2d7?g7dl3:18>4l:72xH65d2;qC=l>4$322>6`43tF8?i4>{%1fa?34?::k0`3<722e8o>4?::m0ed<722e8;<4?::m030<722c85l4?::k:0?6=3`3>6=44o2`a>5<=h:;91<7*=02815<=i:981>65`23094?"58:09=45a21097>=h:;;1<7*=02815<=i:981865`23294?"58:09=45a21091>=h:8l1<7*=02815<=i:981:65`20g94?"58:09=45a21093>=h:8n1<7*=02815<=i:981465`20a94?"58:09=45a2109=>=h:8h1<7*=02815<=i:981m65`20594?"58:09=45a2109f>=n?=0;6)=<51:9j34<72-8;?79<;o036?4<3`=;6=4+211936=i:981?65f6d83>!47;3=87c==5729m654==21b:n4?:%037?143g8;>784;h4a>5<#:991;>5a21093>=n>h0;6)=<59:9j2=<72-8;?79<;o036?g<3`<<6=4+211936=i:981n65f6783>!47;3=87c==5729m654=l21b;n4?:%037?143g8;>7k4;h5a>5<#:991;>5a2109b>=n?h0;6)90b?>=:038?l1?290/>==5729m654=9;10e:950;&146<0;2d93:9j33<72-8;?79<;o036?7332c<97>5$320>255<#:991;>5a210953=b;15>1g|D:9h6ki:3a8 6cb2<1v(>>8:8`8^=b=9ri1qW5g83>>i5m>0;66a=ed83>>o0n3:17d=ma;29?j74n3:17d=ka;29?j45=3:17b=<50:9j7<<72-8;?7=n;o036?7<3`936=4+21197d=i:981>65f3783>!47;39j7c==53`9m654=<21b?>4?:%037?5f3g8;>7;4;h11>5<#:991?l5a21092>=n;80;6)=<58:9j6c<72-8;?7=n;o036??<3`8n6=4+21197d=i:981m65f2e83>!47;39j7c==53`9m654=k21b>o4?:%037?5f3g8;>7j4;h60>5<#:991?l5a2109a>=n<;0;6)j51z&0a`<63t.8<:4>a69je4<722e950z&0420;66g7e;29?lg62900c?>n:188yg1b29096=4?{%133?7512B8?l5f10c94?=h9mh1<75rs8a94?4|V0i01:47e:p3a<72;qU;i527d825d=z{8n=6=4={<59=2=:?l0:ho5rs8f94?4|V0n01:462:p5a1=838p1:4=0`9]65g52z\b5>;02h;0qpl0<42?qG?>m51zJ2e5=zD:9o6{#;9=1=o<4i`394?=h:9k1<75f9b83>>o>l3:17d78:188f2<72<0;6=u+3159`g=O;:k0@>=l:0y'57>=;lk0qd7=:188m<1=831b4h4?::kb5?6=3f8;m7>5;|`07g<7280;6=u+315954?<@:9j7b?>8;29?xd>m3:1>7>50z&042<6:01C?>o4i03b>5<5<5sW3h70958d9~w52z?4><4<50o1=il4}r3g3?6=:r78?o4>199>3??03ty:h54?:3y>3?47i2T95<5sWk:7095a09~yg75?3:1=<4<:01x 660288<7b?=3;29?l252900e9=50;9j6g<722c9o7>5;h0g>5<>o483:17d=>:188m64=831b?>4?::k00?6=3`9=6=44i2:94?=n;00;66g50z&042<192B8?l5f10`94?=n98i1<75f10f94?=n98o1<75f10d94?=n9;:1<75f13394?=n9;81<75`1e`94?=zj88>6=46:183!57?3<:7E==4?::k264<722c:>?4?::m2`g<722wi=?850;194?6|,::<68?4H21b?l76j3:17d?>c;29?j7cj3:17p}>2283>7}Y9;901<<9:0fa?xu3:3:1>vP;2:?261<69k1v9=50;0xZ15<588?625825a=z{;i1<794>1g9~w7c=838pR?k4=007>4473ty9j7>52z\1b>;6:=0:><5rs2294?4|V::01<<;:001?xu493:1>vP<1:?260<69k1v><50;0xZ64<588>624825a=z{:>1<727:>84>1g9~w6>=838pR>64=006>4473ty857>52z\0=>;6:<0:><5rs2`94?4|V:h01<<::001?xu6:=0;6?u213695ad<588=6>8:070?j74n3:17de99K76g5<5<5<5<50z&042<6ll1C?>o4i03a>5<5<52z\27c=:9=81=il4}r0f3?6=:rT9i:52152954e52z\206=:9=:1=52z\202=:9=:1=52z\20g=:9=:1=??4}r37`?6=:rT:8i52152957652z\20c=:9=:1=?<4}r365?6=:rT:9<52153954d52z\051=:9=;1=dc9>514=98h0q~?;1;296~;6<80:ho52150954ec}#;9=1?>64o217>5<5<5<5<5<5<5<6=46:183!57?3;n46F<3`9j54d=831b=50;9j577=831b=?<50;9l5ad=831vn>=9:186>5<7s-9;;7?j4:J07d=n98h1<75f10a94?=n98n1<75f10g94?=h9mh1<75rb214>5<4290;w)=?7;3ga>N4;h1b=?m:181[56j278?84>1b9~w67c2909wS=>d:?070<69k1v>?i:181[56n278?84>1e9~w6462909wS==1:?070<69o1v><<:181[55;278?84>1d9~w6422909wS==5:?070<6:91v><8:181[55?278?84>239~w64>2909wS==9:?070<6:81v>1c9~w64c2909wS==d:?073<69m1v>1b9~w6562909wS=<1:?073<69l1v>=::181854=3;on63<36825g=z{:9=6=4={<102?7cj278?:4>1b9~yg7cl3:197>50z&042<2j2B8?l5f10`94?=n98i1<75f10f94?=n98o1<75`1e`94?=zj;8<6=4<:183!57?3?87E=?750;694?6|,::<68;4H21b?l76j3:17d?>c;29?l76l3:17b?kb;29?xd5:l0;6>4?:1y'751=9mo0D>=n;h32f?6=3`;:o7>5;n3gf?6=3th8=l4?:483>5}#;9=1945G32c8m47e2900e5<4290;w)=?7;72?M54i2c:=o4?::k25f<722e:ho4?::a6dg=8391<7>t$224>4bb3A98m6g>1c83>>o69j0;66a>dc83>>{e:l21<7;50;2x 6602=n;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;n3gf?6=3th::=4?:283>5}#;9=19?5G32c8m47e2900e5<4290;w)=?7;72?M54i2c:=o4?::k25f<722e:ho4?::a74?=83?1<7>t$224>0><@:9j7d?>b;29?l76k3:17d?>d;29?l76m3:17b?kb;29?xd49j0;684?:1y'751==k1C?>o4i03a>5<5<5<55;294~"48>0>m6F<3`9j54d=831b=5<7s-9;;7;m;I10e>o69k0;66g>1b83>>o69m0;66g>1d83>>i6lk0;66sm33094?3=83:p(>>8:4c8L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;on7>5;|`061<72<0;6=u+31591d=O;:k0e3:197>50z&042<212B8?l5f10`94?=n98i1<75f10f94?=n98o1<75`1e`94?=zj:836=4::183!57?3?j7E=c;29?l76l3:17d?>e;29?j7cj3:17pl<2b83>0<729q/?=955`9K76g5<5<N4;h1b=6=4?{%133?3>3A98m6g>1c83>>o69j0;66g>1e83>>o69l0;66a>dc83>>{e;:81<7;50;2x 6602<20D>=n;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;n3gf?6=3th9j94?:483>5}#;9=1945G32c8m47e2900e5<2290;w)=?7;7;?M54i2c:=o4?::k25f<722c:=i4?::k25`<722e:ho4?::a6cg=83?1<7>t$224>0d<@:9j7d?>b;29?l76k3:17d?>d;29?l76m3:17b?kb;29?xd5nj0;684?:1y'751==k1C?>o4i03a>5<5<5<55;294~"48>0>m6F<3`9j54d=831b=5<7s-9;;7;l;I10e>o69k0;66g>1b83>>o69m0;66g>1d83>>i6lk0;66sm15694?3=83:p(>>8:4`8L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;on7>5;|`203<72<0;6=u+31591d=O;:k0e50z&042<2i2B8?l5f10`94?=n98i1<75f10f94?=n98o1<75`1e`94?=zj8>j6=4::183!57?3?27E=c;29?l76l3:17d?>e;29?j7cj3:17pl>4d83>0<729q/?=95589K76g5<5<N4;h1b=6=4?{%133?3?3A98m6g>1c83>>o69j0;66g>1e83>>o69l0;66a>dc83>>{e:;h1<7=50;2x 6602<;0D>=n;h32f?6=3`;:o7>5;n3gf?6=3th9>i4?:283>5}#;9=19?5G32c8m47e2900ee09K76g5<5<53;294~"48>0>=6F<3`9j54d=831b=><:187>5<7s-9;;7?j1:J07d=n98h1<75f10a94?=n98n1<75`1e`94?=zj;l96=4<:183!57?3?:7E=o69k0;66g>1b83>>i6lk0;66sm2`594?5=83:p(>>8:408L65f3`;:n7>5;h32g?6=3f;on7>5;|q:7?6=;rT2?63;4j802o6s|14d94?4|V8?m70?90;3gf>{t:l=1<7=t^3g4?872;38n;63=e982`g=z{;on6=4={_0fa>;5no0:ho5rs6d94?5|V>l01>l>:8f896d728;i7p}7}Y;kk01>oj:6f8yv74n3:1>vP>3g9>505=9:l0q~=ka;297~X4lh16?6}Y:;?01?70{t9;91<7>70?;6;3gf>{t9==1<742034;?47?kb:p51?=838p1<;<:06:?873i3;on6s|15`94?4|58?86<:m;<37g?7cj2wx=9j50;0x943428>o70?;e;3gf>{t9=l1<742a34;><7?kb:p507=838p1<;<:072?872:3;on6s|2`494?4|5;kj6;4j90:=n5rs3d0>5<5s48m87?kb:?044<69k1v?h::18184a>3;on63<00825f=z{;l<6=4={<0e1e9~w7`>2909w0;48:0:=o5rs3da>5<5s48mo7?kb:?046<69j1v?hk:18184am3;on63<02825a=z{;om6=4={<0e4?7cj278<84>1c9~w7`62909w0;48<0:=n5rs2cf>5<5s49ji7;5:m0:=o522`5954d52z?1e<<6lk16>l9510a8yv56>3:1>v3<1`825a=:;8=1=il4}r121d9>74?=9mh0q~<=a;296~;5:l0:=o5223`95ad52z?16`<69j16>?j51e`8yv54<3:1>v3<398071=:;:91=?::180856i3;on63<32825g=::ol1=dc9>6c6=98i0q~=?2;296~;48:0:ho522g0954e52z?1bc<69j16?=;51e`8yv56j3:1>v3<39805g=:;8i1=il4}r0144>dc9>67b=98i0q~=>d;296~;4;108=i5230g95ad52z?07=<49o16??>51e`8yv5593:1>v3<398064=:;;81=il4}r117?6=:r78?54<229>772=9mh0q~==5;296~;4;108>85233495ad52z?07=<4:o16?>>51e`8yv56<3:1>v3>528051=:;:91=il4}r105?6=;r78?54<309>764=9mh01?h?:03a?xu4:>0;6>u232:9771<5:836{t;;h1<764e3499o7?kb:p77b=838p1>=7:20g?855m3;on6s|23494?5|5;8<6o4>1c9~w6d=83lpR>l4=004>6d<58no644>1c9>6`>=98i01?o6:03f?873<3;:i63>47825`=:9=21=47b34;?o7?>e:?20`<69l16=8>510g8943528;n7)34;9;7=6;<3g`?76m279>:4>1c9>67?=98i01?k7:03f?84f13;:h63>45825a=:9=<1=47d34;?m7?>b:?20f<69m16=9k510f8943728;o70?:2;32`>"5mk08m6`=e`82?xu403:1jvP<8:?262<4027:hi4>1b9>67?=98n01?k7:03a?856?3;:o63=a8825f=:9=>1=47e34;?47?>d:?20d<69m16=9m510a8942b28;i70?:0;32g>;6=;0:=n5+2d`97d=i:lk1>6s|3783>`}Y;?16=?95379>5ab=98h01?k7:03g?856?3;:n63=a8825g=:9=>1=47c34;?47?>b:?20d<69j16=9m510`8942b28;h70?:0;32f>;6=;0:=o5+2d`97d=i:lk1?6s|3583>6}Y;=16=?95359>74?=98o0(?km:2c8j7cf2=1v>=50;1xZ65<588<6>=4=23:>47c3-8nn7=n;o0fe?39;32g>"5mk08m6`=e`85?xu493:1?vP<1:?262<49278=44>1c9'6`d=;h1e>ho57:p75<72lqU?=52135975=:;8i1=47d3499<7?>d:?067<69j16??:510a8964128;i70==8;32a>;4:h0:=h5233a954c<5:8n61d9'6`d=;h1e>ho58:p6c<72lqU>k5213596c=:;8i1=47e3499<7?>e:?067<69l16??:510g8964128;n70==8;32g>;4:h0:=o5233a954b<5:8n61e9'6`d=;h1e>ho59:p6`<72lqU>h5213596`=:;8i1=47b3499<7?>c:?067<69k16??:510f8964128;o70==8;32`>;4:h0:=i5233a954e<5:8n61b9'6`d=;h1e>ho5a:p6a<72lqU>i5213596a=:;8i1=47c3499<7?>b:?067<69m16??:510`8964128;h70==8;32f>;4:h0:=n5233a954d<5:8n61c9'6`d=;h1e>ho5b:p6f<721qU>n5213596f=::o>1=47b348m47?>e:?1bd<69m16>km510f897`b28;h7)1e9>6c>=98n01?hn:03`?84ak3;:o63=fd825g=#:lh1?l5a2dc9`>{t<:0;65uQ429>571=<:16>k:510`897`128;h70;5nh0:=o522ga954c<5;ln65970?=7;61?84a<3;:o63=f7825g=::o21=47b348mo7?>b:?1b`<69m1/>hl53`9m6`g=n2wx=i950;0x965b28lm70=ne;c2?x{e;lh1<7=;:22913}K;:i1=vF>a19~H65c2;qe?hh5349'7`c==2w/?=952368^=b=:r31j7sU2d;96~g=m3wb5>4?::k1`<<722e9o;4?::m0g=<722c8;:4?::m0fa<722e8n94?::m0<0<722c9h:4?::k4b?6=3f9jm7>5;h1:=?6=3`9om7>5;h1`5?6=3`8997>5;n1af?6=3`8j97>5;n0a0?6=3f9j:7>5;n02e?6=,;:86??6;o036?6<3f8:47>5$320>77>3g8;>7?4;n017?6=,;:86??6;o036?4<3f89>7>5$320>77>3g8;>7=4;n015?6=,;:86??6;o036?2<3f89<7>5$320>77>3g8;>7;4;n02b?6=,;:86??6;o036?0<3f8:i7>5$320>77>3g8;>794;n02`?6=,;:86??6;o036?><3f8:o7>5$320>77>3g8;>774;n02f?6=,;:86??6;o036?g<3f8:;7>5$320>77>3g8;>7l4;h1;>5<#:991?:5a21094>=n;?0;6)1e>=<52:9j76<72-8;?7=8;o036?5<3`996=4+211972=i:981865f3083>!47;39<7c==5369m654=>21b>k4?:%037?503g8;>794;h0f>5<#:991?:5a2109<>=n:m0;6)1e>=<5a:9j6g<72-8;?7=8;o036?d<3`>86=4+211972=i:981o65f4383>!47;39<7c==5369m654=m21b8=4?:%037?503g8;>7h4;h1e>5<#:991?:5a210955=h58;0:=65f3e83>!47;39<7co4k3:1(?><:258j76528907d=::18'655=;>1e>=<51598f7ge290:<7?511yO76e=9rB:m=5rL21g>4}#;lo1:6s+31595431<75`1g494?=h9o21<75`1gc94?=h9oi1<75`1gf94?=n90k1<75f18`94?=n90i1<75f18f94?=n90o1<75f18d94?=e98>1<7??:d821~J4;j0:wE?n0:'751=:hh0e<7n:188m4?e2900e<7l:188m4?c2900e<7j:188m4?a2900e4h50;9l5`c=831d=k>50;9l5c4=831d=k:50;9l5c0=831d=k650;9l5cg=831d=km50;9l5cb=831ihi4?:282>6}K;:i1=vF>a19~ 6602mi0el?50;9l65g=831b5n4?::`4>5<4290;w)=?7;fb?M54i2F8?n4>{%315;|q:g?6=:rT2o638:9g8yv7c>3:1>v38:32b?[47i2wx=i950;0xZd7<5>0j=6srbd:94?5=939p@>=l:0yK5d65<50z&042>{t1j0;6?uQ9b9>3?>b3ty:h;4?:3y>3?47i2T95<5sWk:7095a09~yg`>29086<4<{M10g?7|@8k;7p*<068gg>of93:17b5}#;9=1hl5G32c8H65d28q/=?653dc8yl>b2900el?50;9l65g=831v4m50;0xZ03i6s|1e494?4|5>09vPn1:?4>d757;79f~"48>0n;6gi9;29?lc?2900eij50;9j5N4;h1b=50;194?6|,::<68<4H21b?l76j3:17d?>c;29?j7cj3:17plj2;297?6=8r.8<:4:2:J07d=n98h1<75f10a94?=h9mh1<75rbd694?0=83:p(>>8:4g8L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3`;:j7>5;n3gf?6=3thn97>55;294~"48>0:i95G32c8m47e2900e34o=647e34o?6;b:3;:n63j4;32`>{tlm0;69uQde9>a3<69k16i=4>1b9>a7<69j1v<7n:181[7>i27n87?>f:p547b3ty:5k4?:3y]5<`<5l>1=5<5sWnn70k::0fa?xucn3:1>v3j6;3gf>;b=3;:n6s|e183>7}:m90:ho52e4825f=z{l;1<75<5s4o?647b3twij54?:88;>46|,::<6k64ief94?=n90k1<75f18g94?=nm10;66g>9b83>>o61k0;66g>9e83>>oa13:17bk6:188fc1=83>1<7>t$224>03<@:9j7d?>b;29?l76k3:17d?>d;29?j7cj3:17pljb;290?6=8r.8<:4:6:J07d=n98h1<75f10a94?=n98n1<75`1e`94?=zjln1<7850;2x 6602=n;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;h32b?6=3f;on7>5;|`fb?6=;3:1N4;h1b=t$224>04<@:9j7d?>b;29?l76k3:17b?kb;29?xda;3:1?7>50z&042<2:2B8?l5f10`94?=n98i1<75`1e`94?=zjo?1<7:50;2x 6602<<0D>=n;h32f?6=3`;:o7>5;h32`?6=3f;on7>5;|`e2?6=03:1:188k4be2900q~jk:180[bc34l<647d3ty:5l4?:5y]5k3:1?vP>9b9>ag<69k16ii4>1c9~w4?e2909wS?6b:?f`?76n2wx=4j50;0xZ4?c34oo6;a93;:n6s|e883>7}Ym016j;4>dc9~w`g=838p1k951e`89c0=98h0q~km:1818ce28ni70h9:03`?xubk3:1>v3jd;3gf>;a>3;:h6s|ed83>7}:mo0:ho52f7825`=z{o:1<75<5s4l864473tym87>52z?e1?7cj27m:7?=1:~f46229036;4k{%133?77=2c:5l4?::k2=f<722cm57>5;h3:a?6=3`o36=44ief94?=n90l1<75`f`83>>d68=0;684?:1y'751==01C?>o4i03a>5<5<5<5}#;9=19?5G32c8m47e2900e5<2290;w)=?7;7b?M54i2c:=o4?::k25f<722c:=i4?::k25`<722e:ho4?::a555=83<1<7>t$224>4c23A98m6g>1c83>>o69j0;66g>1e83>>o69l0;66g>1g83>>i6lk0;66s|18c94?2|V83j70??4;32g>;ak3;:n63>03825a=z{83h6=4<{_3:g>;68=0:=i52110954c;6890:=o52110954e53z\2=`=:99>1=1b9~w4?a2909wS?6f:?247<69k1vko50;0xZcg<58:86dc9>555=98h0q~hl:1818`d28ni70??3;32g>{tnm0;6?u2fd82`g=:9991=5<5s4;;<7?kb:?246<69l1v<>>:181877:3;on63>02825c=zuk;nj7>54;294~"48>0>;6F<3`9j54d=831b=1c83>>o69j0;66g>1e83>>i6lk0;66sm1g194?2=83:p(>>8:448L65f3`;:n7>5;h32g?6=3`;:h7>5;n3gf?6=3th:j84?:583>5}#;9=19;5G32c8m47e2900e50z&042<2=2B8?l5f10`94?=n98i1<75f10f94?=h9mh1<75rb0d:>5<3290;w)=?7;75?M54i2c:=o4?::k25f<722c:=i4?::m2`g<722wi=kl50;694?6|,::<68;4H21b?l76j3:17d?>c;29?l76l3:17b?kb;29?xd6nl0;6>4?:1y'751==;1C?>o4i03a>5<5<76f34o<6ij4=g:9`a=:99?1hi521dd954b<58l:61c9>5c1=98h01fd825g=z{l21<7mt=d:965g<5l=1i552f98f<>;68<0n463>eg825f=:9o;1=47d34;m97?>d:?2b2<69j16=k7510a894`e28;i70?ie;32g>{tn00;6ou2f8814d=:m>0m563i8;d:?877=3l270?jf;32f>;6n80:=o521g1954b<58l>61c9>5cd=98n0q~?6a;290~X61h16i:4>9`9>b=<61h16==;518c8yv7>j3:1>vP>9c9>b=<61k1v<7l:187[7>k27n;7?6c:?ek27:<84>9b9~w4?c2909wS?6d:?el2wx=4k50;1xZ4?b34l36<7j;<331?7>m2wx=4h50;1xZ4?a34o<6<7i;<331?7>n2wx5k4?:5y]=c=:lm02o63j8;;`?8`>20i0q~?je;296~X6ml16=hh51e`8yv7a83:1>vP>f19>5c7=9mh0q~?i2;296~X6n;16=k=51e`8yv7a<3:1>vP>f59>5c3=9mh0q~?i6;296~X6n?16=k951e`8yv7a03:1>vP>f99>5c?=9mh0q~?ia;296~X6nh16=kl51e`8yv7al3:1>vP>fe9>5cc=9mh0q~jj:1818bc2h;01h95dd9~w`?=838p1h65a09>b=b<<`52z\2a`=:98>1=hk4}r3e4?6=:rT:j=5210695c67>52z\2b7=:98>1=k<4}r3e0?6=:rT:j95210695c252z\2b3=:98>1=k84}r3e52z\2bd=:98>1=ko4}r3eg?6=:rT:jn5210695ce52z\2ba=:98>1=kj4}r3:e?6=:rT:5l521069552z\2=g=:98>1=4l4}r3:g?6=:rT:5n521069552z\2=a=:98>1=4j4}r3:a?6=:rT:5h521069552z\2=c=:98>1=4h4}|`2a<<72<0968uC32a95~N6i91v@>=k:`y'7`c=>2.8in4<6`9'7`2=i81/?h8521c8 6c520i0b>jj:09'7`5=1m1e?ih51:&0a=<5mj1e?h>50:'751=9kn0e4m50;9j=a<722cj=7>5;n03e?6=3`8no7>5;c594?3=:3?p@>=l:0yK5d65;h;`>5<>d0290>6=4?{%133?be3A98m6B<3b82!75039nm6sf9383>>o>?3:17d6j:188md7=831d>=o50;9~f65e290:6=4?{%133?7612B8?l5`10:94?=z{0i1<7d783>7}:;:h1=<64=68:3>{t9m=1<7b;29?l76k3:17b?kb;29?xu>k3:1>vP6c:?4>3?g6349==7?>b:p736=838p1:46d:?024<6lk1vqo<{I3b4>{K;:n1mv*"4m?09h4lo0:7)=j8;0fg>h4m90;7p*<0682g6=n1j0;66g6d;29?lg62900c?>n:188m7cd2900n:4?:481>0}K;:i1=vF>a19~ 66028k=7do>:188k76f2900e4m50;9j=a<722c2;7>5;c594?3=83:p(>>8:e`8L65f3E98o7?t$00;>6cf3tc2>7>5;h;4>5<>i58h0;66sm32`94?7=83:p(>>8:03:?M54i2e:=54?::p=f<72;qU5n527;:f?xu>l3:1>vP6d:?4><452z?07g<69116;778;|q2`2<72;q6;7dg9K76g5<5<5sW3h70959b9~w47d3ty9095<4sW8no638:`38960628;i7p}<6183>7}:?33o70=91;3gf>{zj1;1<7=51;1xH65d28qC=l>4}M10`?7|,:on6;5r$224>4e33`k:6=44o32b>5<k27<65k4}r3g2?6=:r7<6?>n;_03e>{t9m=1<74<4sE98o7?tH0c3?xJ4;m0:w)=je;48y!57?3;h96gn1;29?j47i3:17d7l:188f2<72:0;6=u+3159`d=O;:k0@>=l:0y'57>=;lk0qd6j:188md7=831d>=o50;9~w{t9m<1<7uC32a95~N6i91v@>=k:0y'7`c=>2w/?=951b48md7=831d>=o50;9j=f<722h<6=4<:183!57?3nj7E=5;n03e?6=3ty2o7>52z\:g>;021o0q~?k6;296~;02;:j7S{zj;9;6=4<:080I54k3;pD5;n03e?6=3`3h6=44b683>6<729q/?=95d`9K76g52z\b5>;02h;0qpl=d783>6<62:qG?>m51zJ2e5=zD:9o6{#;9=1=n64i`394?=h:9k1<75f9b83>>d029086=4?{%133?bf3A98m6B<3b82!75039nm6sf8d83>>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~f6>229086?4;{M10g?7|@8k;7pB<3e82!5bm3<0q)=?7;3b<>of93:17b5}#;9=1hl5G32c8m=c=831bm<4?::m14d<722wim=4?:383>5}#;9=1=?74H21b?l76i3:17b?kb;29?xu>k3:1>vP6c:?b4?76i2wx=i850;0x9252z\b5>;02h;0qpl=5783>=<42hq/?=952448k7362900e?ol:188m7302900e?:m:188m72c2900e?ok:188m7202900e?:i:188f732290>6=4?{%133?3e3A98m6g>1c83>>o69j0;66g>1e83>>o69l0;66a>dc83>>{e:<91<7:50;2x 6602<<0D>=n;h32f?6=3`;:o7>5;h32`?6=3f;on7>5;|`111<72:0;6=u+31595ac<@:9j7d?>b;29?l76k3:17b?kb;29?xu5=80;6?uQ2438973328ni7p}=ab83>7}Y:hi01?;::03f?xu5=>0;6?uQ2458973228;i7p}=4c83>7}Y:=h01?;::03`?xu57}Y:hn01?;<:03g?xu5<>0;6?uQ2558973428;h7p}=4g83>7}Y:=l01?;<:03a?xu5=;0;6?u224795ad<5;??68=51e`8973328;h7psm27094??==3np(>>8:341?j42i3:17d5<4290;w)=?7;3ga>N4;h1b=1c83>>o69j0;66a>dc83>>{e:=n;h32f?6=3`;:o7>5;n3gf?6=3th9:=4?:783>5}#;9=1=h;4H21b?l76j3:17d?>c;29?l76l3:17d?>e;29?l76n3:17b?kb;29?xu5=h0;6?uQ24c8970728ni7p}=ab83>7}Y:hi01?;k:03a?xu5=>0;6>uQ2458970628;i70<:c;32f>{t:=h1<7{t:hl1<7{t:hn1<7<70<:f;32g>{t:4be348>o7?>c:p5a0=838p1?;l:0fa?842l3;:o6s|1e594?4|5;?o68k50;0x973a28ni70<90;32b>{zj;9i6=4l:6826~"48>09?o5`22394?=n:hi1<75f24594?=n:=h1<75f25f94?=n:ho1<75f2`d94?=n:k:1<75f2c394?=n:hn1<75f25594?=n:=l1<75m22c94?2=83:p(>>8:448L65f3`;:n7>5;h32g?6=3`;:h7>5;n3gf?6=3th9?>4?:283>5}#;9=1=ik4H21b?l76j3:17d?>c;29?j7cj3:17pl=3583>6<729q/?=95509K76g5<N4;h1b=>750;594?6|,::<6o69k0;66g>1b83>>o69m0;66g>1d83>>o69o0;66g>2183>>i6lk0;66s|22394?4|V;9:70<<9;3gf>{t:hi1<7;5;:0:=o5rs36a>5<5sW8?n63=3`825f=z{;>o6=4={_07`>;5;h0:=i5rs3cf>5<5sW8ji63=38825f=z{;km6=4={_0bb>;5;00:=i5rs3`3>5<5sW8i<63=38825`=z{;h:6=4={_0a5>;5;00:=k5rs3cg>5<5sW8jh63=39825g=z{;><6=4<{_073>;5;?0:=o52225954d52z\10c=:::<1=dc9>665=98i0q~?k6;296~;5;:0:ho52226954e52z?171<6lk16>>7510`8yv44=3:1>v3=3782`g=:::=1=dc9>66>=98i0q~?k9;296~;5;10:ho5222;95763}#;9=1>;64o334>5<6<729q/?=95509K76g5<N4;h1b=;5>?0:ho5rs37:>5<4sW8>563=66825g=::??1=5<5sW9>70<97;32g>{t:k0;6?uQ2c9>633=98i0q~<93;296~;5>>0:ho52274954d52z?120<6lk16>;8510a8yxd5>l0;694<:7y'751=:?o0c??m:188m73>2900e>m50;9j6f<722h9:i4?:283>5}#;9=19<5G32c8m47e2900e5<4290;w)=?7;3ga>N4;h1b=b:?12f<6lk1v?;6:180[421279:i4>1c9>63d=98h0q~=l:181[5d348=h7?>c:p6f<72;qU>n5227`954e52z?12a<6lk16>;m510`8yv41i3:1>v3=6c82`g=::?i1=5<>d5?:0;6>4?:1y'751==81C?>o4i03a>5<5<53;294~"48>0>>6F<3`9j54d=831b=5<7s-9;;7?ke:J07d=n98h1<75f10a94?=h9mh1<75rs33`>5<5sW8:o63=7382`g=z{;?26=4<{_06=>;5?:0:=o52263954d7}Y:m16>:?510a8yv41n3:1>v3=7282`g=::>81=dc9>624=98i0qpl=7`83>1<42?q/?=9526c8k77c2900e?;6:188m6c=831b>h4?::`13<<72:0;6=u+315914=O;:k0e50z&042<2:2B8?l5f10`94?=n98i1<75`1e`94?=zj;=36=4<:183!57?3;oi6F<3`9j54d=831b=dc9~w73>2908wS<:9:?13<<69k16>:9510`8yv5b2909wS=j;<04=?76k2wx>h4?:3y]6`=::>=1=dc9>62>=98h0q~<86;296~;5?>0:ho5226:954e3}#;9=1>5>4o33f>5<6<729q/?=95509K76g5<N4;h1b=;5?l0:ho5rs37:>5<4sW8>563=7g825g=::>n1=5<5sW9m70<8f;32g>{t:o0;6?uQ2g9>62b=98i0q~<8b;296~;5?o0:ho5226g954d52z?13a<6lk16>:k510a8yxd50?0;694<:7y'751=:1<0c??i:188m73>2900e9>50;9j75<722h9484?:283>5}#;9=19<5G32c8m47e2900e5<4290;w)=?7;3ga>N4;h1b=f:?1<1<6lk1v?;6:180[421279484>1c9>6=5=98h0q~:?:181[27348397?>c:p75<72;qU?=52291954e52z?1<0<6lk16>5:510`8yv4?:3:1>v3=8282`g=::1>1=5<>d50k0;6>4?:1y'751==81C?>o4i03a>5<5<53;294~"48>0>>6F<3`9j54d=831b=5<7s-9;;7?ke:J07d=n98h1<75f10a94?=h9mh1<75rs303>5<5sW89<63=8`82`g=z{;?26=4<{_06=>;50k0:=o5229;954d:6=4={_62?84?j3;:o6s|3083>7}Y;816>57510a8yv4??3:1>v3=8c82`g=::1k1=dc9>6=g=98i0qpl=9383>1<42?q/?=952808k7462900e?;6:188m14=831b??4?::`1=4<72:0;6=u+315914=O;:k0e50z&042<2:2B8?l5f10`94?=n98i1<75`1e`94?=zj;3;6=4<:183!57?3;oi6F<3`9j54d=831b=:181[4592795=4>dc9~w73>2908wS<:9:?1=4<69k16>5h510`8yv252909wS:=;<0:5?76k2wx??4?:3y]77=::1l1=dc9>6<6=98h0q~<7e;296~;50o0:ho52282954e3}#;9=1>464o301>5<6<729q/?=95509K76g5<N4;h1b=;51?0:ho5rs37:>5<4sW8>563=96825g=::0?1=5<5sW>870<67;32g>{t;:0;6?uQ329>6<3=98i0q~<63;296~;51>0:ho52284954d52z?1=0<6lk16>48510a8yxd51l0;694<:7y'751=:0o0c?<<:188m73>2900e>850;9j71<722h95i4?:283>5}#;9=19<5G32c8m47e2900e5<4290;w)=?7;3ga>N4;h1b=1c9>6c:p71<72;qU?95228`954e52z?1=a<6lk16>4m510`8yv4>i3:1>v3=9c82`g=::0i1=b;29?l76k3:17b?kb;29?xd4k90;6?4?:1y'751=9;30D>=n;h32e?6=3f;on7>5;|`0gg<72;0;6=u+315957?<@:9j7d?>a;29?j7cj3:17pl7<729q/?=9513;8L65f3`;:m7>5;n3gf?6=3th8mi4?:383>5}#;9=1=?74H21b?l76i3:17b?kb;29?xd4j>0;6?4?:1y'751=9;30D>=n;h32e?6=3f;on7>5;|`0ff<72;0;6=u+315957?<@:9j7d?>a;29?j7cj3:17pl=4283>6<729q/?=951eg8L65f3`;:n7>5;h32g?6=3f;on7>5;|`2ag<72:0;6=u+315914=O;:k0e50z&042<6ll1C?>o4i03a>5<5<53;294~"48>0>?6F<3`9j54d=831b=mn:186>5<7s-9;;7;l;I10e>o69k0;66g>1b83>>o69m0;66g>1d83>>i6lk0;66sm3`:94?3=83:p(>>8:4a8L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;on7>5;|`0ef<72:0;6=u+315917=O;:k0e3:187>50z&042<2>2B8?l5f10`94?=n98i1<75f10f94?=h9mh1<75rb2`:>5<4290;w)=?7;3ga>N4;h1b=6=4?{%133?3?3A98m6g>1c83>>o69j0;66g>1e83>>o69l0;66a>dc83>>{e:=:1<7:50;2x 6602<>0D>=n;h32f?6=3`;:o7>5;h32`?6=3f;on7>5;|`103<72<0;6=u+31591d=O;:k0e50z&042<2:2B8?l5f10`94?=n98i1<75`1e`94?=zj;>j6=4;:183!57?3?>7E==83>1<7>t$224>4c63A98m6g>1c83>>o69j0;66g>1e83>>i6lk0;66sm25a94?2=83:p(>>8:478L65f3`;:n7>5;h32g?6=3`;:h7>5;n3gf?6=3th98h4?:583>5}#;9=1995G32c8m47e2900e50z&042<292B8?l5f10`94?=n98i1<75`1e`94?=zj:2<6=4=:183!57?3;956F<3`9j54g=831d=il50;9~w<5=83kpR4=4=3ca>;5ik0:5h5222g954e<5;>;61b9>61c=98n01?;?:03`?xu4k10;6?uQ3b:896ee28ni7p}<7683>3}Y;>=01>li:03a?85di3;:n6347d3ty8ni4?:3y]7gb<5:i;64be3ty8484?:3y]7=3<5:2>6?>n;|q1`2<72:qU>i94=3ca>4?e348?:7?>e:p3c<72kqU;k522``95;<072?76l279854>1c9>61g=98n01?:l:03g?843m3;:n63=51825g=z{:kj6=4={_1be>;4im0:ho5rs2;:>5<5sW92563;4jo0:=n523bc954e<5:k36d:p673=83kpR?<:;<0bf?7>k279nk4>1c9>5`d=98i01>l9:03g?844m3;:i63=47825g=::=k1=47d348?i7?>c:p7gd=838pR>lm;<1ag?7cj2wx>l;50;0xZ7g2349io7?>a:p6g2=838pR?l;;<0ab?7cj2wx?l850;0xZ6g1349j57?kb:p<4<72:q6>ll518f89=7=:9k01?:9:03`?xu5:o0;69u225195ad<5:ij61c9~w75c2909w0<;2;32f>;5;l0:ho5rs31e>5<5s48?>7?>c:?105<6lk1v?j9:180[4d>279h;4=0`9>7g?=98i0q~=nb;296~;4im0:=l523`a95ad52z?0gg<69h16?no51e`8yv4483:1>v3=31814d=::=91=615=98i0q~1c9>66c=98h0q~1c9~w7gb2908w0;5>;09mh5222`96dc52z?103<6lk16>86510`8yv4fn3:1?v3=ac82b1=::?81>lh4=31a>7ga3ty98:4?:4y>600=:==01?8=:364?844j38?;63=4982`g=::<21=f79>66d=:k:0q~<;9;296~;552z?1eg<6n116>>l52c38yv43j3:18v3=57810g=::?81>9l4=31a>72e348?o7?kb:p6g4=838p1?om:0db?841:38i>6s|25f94?5|5;?=6?:k;<00f?43l2798h4>dc9~w4c>290>w0;6m009;69h50;1x97312;>m70<;5=90:ho5rs27e>5<5s48jn7?id:?1`328;j70=n8;3gf>{t;k?1<74be349i57?>b:p617=838p1?=l:8f8972528ni7p}<8783>7}:;1?1m<5239595ad57>5cz?11<<58h16>;6524;8970b2;?270<84;06=>;5?h099452292960?<5;2=6?;6;<0;g?4212795?4=589>6<>=:<301?7j:37:?85??3;:m6s|24c94?4|5;?26l?4=341>73f3ty:il4?:3y>5`?=1m16=hl51e`8yv42?3:18v3=578112=::?81>894=31a>730348>47?kb:p7g>=838p1>l8:03b?85e13;on6s|22394?4|5;9;6l?4=31a>7563ty9894?:3y>612=:9k01?li:03`?xu5=80;6?u22569e4=::<<1>8?4}r1aa?6=:r78o=4>1`9>7g`=9mh0q~<=3;296~X5::16>4k52318 7ce2;;27cvP=239>6<>=:;80(?km:33:?k4bi390q~<=1;296~X5:816>4<52338 7ce2;;27cvP=219>6=e=:;:0(?km:33:?k4bi3?0q~<>f;296~X59o16>58520d8 7ce2;;27cvP=1d9>6=6=:8o0(?km:33:?k4bi3=0q~<>d;296~X59m16>:o520f8 7ce2;;27cvP=1b9>622=:8i0(?km:33:?k4bi330q~<>b;296~X59k16>;k520`8 7ce2;;27cvP=169>63>=:8=0(?km:33:?k4bi3h0q~=7:181[5?3W8:46*=ec803>h5mh0;7p}<6;296~X4>2795h4<6:&1ag<4?2d9il4>;|q00?6=;rT886P=1`9>6hl5369m6`g=:2wx?>4?:3y]76=::021?>5+2d`972=i:lk1?6s|3383>7}Y;;16>4<5339'6`d=;>1e>ho54:p74<72;qU?<5229a974=#:lh1?:5a2dc91>{t;90;6?uQ319>6=0=;91/>hl5369m6`g=>2wx>k4?:3y]6c=::1:1>k5+2d`972=i:lk1;6s|2d83>7}Y:l16>:o52d9'6`d=;>1e>ho58:p6a<72;qU>i5226696a=#:lh1?:5a2dc9=>{t:j0;6?uQ2b9>63c=:j1/>hl5369m6`g=i2wx>o4?:3y]6g=::?21>o5+2d`972=i:lk1n6s|4283>7}Y<:16>465429'6`d=;>1e>ho5c:p07<72;qU8?52280907=#:lh1?:5a2dc9`>{t<80;6?uQ409>6=e=<81/>hl5369m6`g=m2wx8=4?:3y]05=::1<18=5+2d`972=i:lk1j6s|3g83>7}Y;o16>5>53g9'6`d=;>1e>ho5119~w6c=838pR>k4=35b>6c<,;oi6>94n3gb>473:p70<72;qU?85227:970=#:lh1?:5a2dc951=zuk9<<7>512811?54sE98o7?tH0c3?xJ4;m09wc=jf;6;?!5bm3?0q)=?7;30a>o>;3:17d?<6;29?l72n3:17d?=a;29?l50?3:17b6=:188m2`=831d=;?50;9j7h50;9l53c=831b?io50;9j7f7=831d=8:50;9l6g2=831d?4>50;9l5=c=831d894?::m7g?6=3k8;87>5b;39f~J4;j0:wE?n0:O76b=9r.8ih4;;|&042<69?1b5n4?::m2a`<722e:j=4?::m2b7<722e:j94?::m2b3<722c:5l4?::k2=g<722c:5n4?::k2=a<722c:5h4?::`251<72k026kuC32a95~N6i91v(>>8:327?l7>i3:17d?6b;29?l7>k3:17d?6d;29?l7>m3:17d7i:188k4cb2900c:188k76f2900e4m50;9a3?6=;3:1N4;h1G?>m51z&26=<4mh1ve5k50;9je4<722e9X58h1v5<42808wA=5;h;`>5<0;6>4?:1y'751=lh1C?>o4L21`>4}#9;21?ho4}h:f>5<=o4}r3g3?6=:rTj=638:`38yxdb?3:187<55z&0425;h3:a?6=3`o36=44oeg94?=em80;6>4?:1y'751==81C?>o4i03a>5<5<5<>o61l0;66g>9c83>>o61j0;66g>9e83>>ib13:17oh;:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66smec83>6<729q/?=95539K76g5<5<4290;w)=?7;71?M54i2c:=o4?::k25f<722e:ho4?::aac<72=0;6=u+315910=O;:k0e:186>5<7s-9;;7;n;I10e>o69k0;66g>1b83>>o69m0;66g>1d83>>i6lk0;66smf283>3<729q/?=951d78L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3`;:j7>5;n3gf?6=3ty:5l4?:3y]51=5<2sWno70h;:03a?8ce28;i70ki:03`?8`628;h7p}j8;290~Xb027nn7?>c:?f`?76k27nj7?>b:p547e34om652z\2=f=:n80:=h5rs0;g>5<5sW;2h63i1;32f>{tm00;6?uQe89>b6<6lk1vho50;0x9c2=9mh01k=510`8yvce2909w0km:0fa?8`428;h7p}jc;296~;bl3;on63i3;32`>{tml0;6?u2eg82`g=:n:0:=h5rsg294?4|5o;1=il4=g1954`5}#;9=19?5G32c8m47e2900e5<4290;w)=?7;71?M54i2c:=o4?::k25f<722e:ho4?::a5c3=8391<7>t$224>05<@:9j7d?>b;29?l76k3:17b?kb;29?xd6n>0;6>4?:1y'751=9mo0D>=n;h32f?6=3`;:o7>5;n3gf?6=3tyoh7>58z?g`?47i27n;7jk;ab<58om64>1b9>5c3=98i01;b?3o370h7:d:894ca28;h70?i1;32f>;6n:0:=o521g7954d<58l<652z\2=g=:n10:5o5rs0;`>5<5sW;2o63i8;3:g>{t90n1<79d83>6}Y90o01h9518g89c>=90o0q~7i:180[?a34no64m4=d:9=f=z{8on6=4={_3fa>;6mo0:ho5rs0d3>5<5sW;m<63>f082`g=z{8l96=4={_3e6>;6n:0:ho5rs0d7>5<5sW;m863>f482`g=z{8l=6=4={_3e2>;6n>0:ho5rseg94?4|5mn1m<52e68ga>{tm00;6?u2e98b5>;a03o27ps|9b83>7}Y1j16=<:59g9~w4cb2909wS?je:?251<6ml1vf19~w4`52909wS?i2:?251<6n;1vf59~w4`12909wS?i6:?251<6n?1v<7n:181[7>i27:=94>9`9~w4?e2909wS?6b:?251<61k1v<7l:181[7>k27:=94>9b9~w4?c2909wS?6d:?251<61m1v<7j:181[7>m27:=94>9d9~yg74=3:1?7?53zN07f<6sA;j<6sC32f95~"4ml0?7p*<0682e<=ni80;66a=0`83>>o>k3:17o950;194?6|,::<6io4H21b?I54k3;p(<<7:2gb?xo?m3:17do>:188k76f2900q~7l:181[?d34=14h5rs0f5>5<5s4=1>=o4^32b?xu6l>0;6?uQa09>3?g63twi8n4?:481>0}K;:i1=vF>a19~H65c2hq/?hk54:&0af<4>h1/?h:5a09'7`0=:9k0(>k=:8a8j6bb281/?h=59e9m7a`=92.8i44<079m7`7=92w/?=951`c8m5;h132?6=3k=1<7;52;7xH65d28qC=l>4}%133?7f>2cj=7>5;n03e?6=3`3h6=44i8f94?=n1>0;66l8:186>5<7s-9;;7jm;I10e>o>:3:17d78:188m=c=831bm<4?::m14d<722wi?>l50;394?6|,::<6i6910;66s|9b83>7}Y1j16;76j;|q:`?6=:rT2h638:808yv7c>3:1>v3<3c825==:?33<7p}>d683>7}:?38;m6P=0`9~w4b?2909wSo>;<59e4=zuk9=?7>53;294~"48>0:hh5G32c8m47e2900e8<:03`?xu58h0;6?uQ21c892<58h1v>>9:180[57>27<6l?4=240>47e3ty8:?4?:3y>3??c349=?7?kb:~f41c290>6?4:{M10g?7|@8k;7pB<3e82!5bm3>0q)=?7;3bf>of93:17b>{e;:h1<7?50;2x 66028;27E=l27<64<4}r3g2?6=:r78?o4>199>3??03ty:h:4?:3y>3?47i2T95<5sWk:7095a09~yg7di3:187<54zN07f<6sA;j<6sC32f9<~"4ml0?7)=jc;15e>"4m=0j=6*"4m109in5a3d295>{#;9=1=lm4i8a94?=ni80;66a=0`83>>o5mj0;66l8:180>4<4sE98o7?tH0c3?x"48>0:m;5fa083>>i58h0;66g6c;29?g1=8391<7>t$224>ag<@:9j7d6j:188md7=831d>=o50;9~w{t9m<1<7a;29?j7cj3:17p}6c;296~X>k27<64m4}r03e?6=:rT9{t:li1<70j=63<5582`g=zuk;>n7>55;091~J4;j0:wE?n0:O76b=9r.8ih4;;|&042<6ik1bm<4?::m14d<722c2o7>5;h;g>5<b2900el?50;9l65g=831vn>=m:182>5<7s-9;;7?>9:J07d=h9821<75rs8a94?4|V0i01:47e:p=a<72;qU5i527;;1?xu6l?0;6?u232`954><5>02;6s|1e594?4|5>09vPn1:?4>d70}K;:i1=vF>a19~H65c28q/?hk54:'751=9hh0el?50;9l65g=831b5n4?::k:`?6=3`3<6=44b683>0<729q/?=95dc9K76g>o?m3:17do>:188k76f2900qo=189K76g5<5sW3h70958d9~w{t9m<1<747?34=15:5rs0f4>5<5s4=1>=o4^32b?xu6l10;6?uQa09>3?g63twi=;?50;195?5|D:9h6kj:59~ 66028i?7do>:188k76f2900e4m50;9a3?6=;3:1N4;h1b4h4?::kb5?6=3f8;m7>5;|q:g?6=:rT2o638:9g8yv7c>3:1>v38:32b?[47i2wx=i950;0xZd7<5>0j=6srb04f>5<22;0>wA={%1fa?25;h;`>5<>d0290>6=4?{%133?be3A98m6g62;29?l?02900e5k50;9je4<722e9t$224>47>3A98m6a>1983>>{t1j0;6?uQ9b9>3?>b3ty2h7>52z\:`>;02080q~?k6;296~;4;k0:=5527;;4?xu6l>0;6?u27;03e>X58h1v5<42808wA={%1fa?25;h;`>5<0;6>4?:1y'751=lh1C?>o4i9g94?=ni80;66a=0`83>>{t1j0;6?uQ9b9>3?>b3ty:h;4?:3y>3?47i2T95<5sWk:7095a09~yg72<3:197<55zN07f<6sA;j<6sC32f95~"4ml0?7p*<0682eg=ni80;66a=0`83>>o>k3:17d7k:188m<1=831i;7>55;294~"48>0on6F<3`9j=7<722c2;7>5;h:f>5<:183!57?3;:56F<3`9l54>=831v4m50;0xZ03i6s|9e83>7}Y1m16;77=;|q2`3<72;q6?>l510:892<>?2wx=i950;0x92<58h1U>=o4}r3g4}#;lo186s+31595dd5}#;9=1ho5G32c8m<4=831b5:4?::k;a?6=3`k:6=44o32b>5<51;294~"48>0:=45G32c8k47?2900q~7l:181[?d34=14h5rs8f94?4|V0n01:462:p5a0=838p1>=m:03;?81=1>1vn;|q2`=<72;qUm<527;c2?x{e9l31<7;52;7xH65d28qC=l>4}M10`?g|,:on695+3da973g<,:o?6l?4$2g5>76f3-9n>77l;o1ga?7<,:o864j4n2fe>4=#;l21>hm4n2g3>5=z,::<65<>i58h0;66g=eb83>>d0290>6?4:{M10g?7|@8k;7p*<0682e3=ni80;66a=0`83>>o>k3:17d7k:188m<1=831i;7>55;294~"48>0on6F<3`9O76e=9r.:>545<51;294~"48>0:=45G32c8k47?2900q~7l:181[?d34=14h5rs8f94?4|V0n01:462:p5a0=838p1>=m:03;?81=1>1vn;|q2`=<72;qUm<527;c2?x{e;?;1<7=50;2x 66028nm7E=l278:<4>1b9~w76f2909wS76f3ty9in4?:2y]6`e<5>0j=63<60825g=z{:<;6=4={<59=a=:;?;1=il4}|`23`<72<086:uC32a95~N6i91v@>=k:`y'7`c=<2.8in4<6`9'7`2=i81/?h8521c8 6c520i0b>jj:09'7`5=1m1e?ih51:&0a=<5mj1e?h>50:'751=9ho0e4m50;9j=a<722cj=7>5;n03e?6=3`8no7>5;c594?3=:3?p@>=l:0yK5d65;h;`>5<>d0290>6=4?{%133?be3A98m6g62;29?l?02900e5k50;9je4<722e9t$224>47>3A98m6a>1983>>{t1j0;6?uQ9b9>3?>b3ty2h7>52z\:`>;02080q~?k6;296~;4;k0:=5527;;4?xu6l>0;6?u27;03e>X58h1vN4;h1b=k2wx5i4?:3y]=a=:;?;1=5<5sWk:70=:4;32g>{t:9k1<77}:?3k:70=:4;3gf>{t;?:1<7;4>80:ho5r}c:1>5<22:08n;%1f0?g63-9n:7k2d8hh4>;%1f7??c3g9oj7?4$2g;>7cd3g9n<7>4}%133?7fm2c2o7>5;h;g>5<{I3b4>{#;9=1=l84i`394?=h:9k1<75f9b83>>o>l3:17d78:188f2<72<0;6=u+3159`g=O;:k0e4<50;9j=2<722c3i7>5;hc2>5<:2wx=i850;0x965e28;37095969~w4b02909w09521c8Z76f3ty:h54?:3y]e4=:?3k:7psm34694?5=83:p(>>8:438L65f3`;:n7>5;h32g?6=3f;on7>5;|`024<72:0;6=u+31595a`<@:9j7d?>b;29?l76k3:17b?kb;29?xu>k3:1>vP6c:?4>7}Yi816?8:510a8yv47i3:1>vP=0`9>3?47i2wx>hm50;1xZ7cd349>87?>b:?024<69k1v>;<:18181=i816?8:51e`8yv5183:1>v38:8f8960628ni7psm17a94?1==3hp(>>8:04`?j71<3:17d9:188m4522900n<8m:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66sm17494?5=83:p(>>8:0ff?M54i2c:=o4?::k25f<722e:ho4?::a531=8391<7>t$224>07<@:9j7d?>b;29?l76k3:17b?kb;29?xd6>00;6>4?:1y'751==;1C?>o4i03a>5<5<54;294~"48>0:i<5G32c8m47e2900evP>659>53g=9mh0q~1c9~w4052909wS?92:?22g<69j1v?>::181[47=27::l4>1b9~w7612909wS1c9~w4022909w0?9b;3gf>;6>?0:=n5rs0f5>5<5s4;=:7?kb:?222<69j1v6`825g=z{8<36=4={<35=?7cj27::l4>1e9~yg70>3:187=56z&042<6??1d=:?50;9j0f<722c:;i4?::k0`d<722h:;84?:283>5}#;9=19<5G32c8m47e2900e5<4290;w)=?7;3ga>N4;h1b=6c:p7ag=838pR>jn;<347?76k2wx=:<50;0x941228ni70?84;32f>{t9>91<74be34;<87?>c:~f43f290?6>49{%133?72i2e:984?::k7g?6=3`;>n7>5;h1:=?6=3k;>57>53;294~"48>0>=6F<3`9j54d=831b=5<7s-9;;7;<;I10e>o69k0;66g>1b83>>i6lk0;66sm14:94?5=83:p(>>8:0ff?M54i2c:=o4?::k25f<722e:ho4?::p503=838pR<;:;<3647e3ty:9o4?:3y]50d<58?2647d3ty:9;4?:3y>50?=9mh01<;7:03a?xu6=>0;6?u214595ad<58?361?78t$224>4?33f;3j7>5;h6`>5<6=44i2a2>5<c;29?j7cj3:17pl>9383>6<729q/?=951eg8L65f3`;:n7>5;h32g?6=3f;on7>5;|q24be3ty?o7>53z\7g>;61:0:=o52183954d52z\2=0=:9091=52z?2=6<6lk16=4<510`8yv7>93:1>v3>9082`g=:9081=b;29?l76k3:17d?>d;29?j7cj3:17pl<9083>1<729q/?=95549K76g5<5<n6=49:183!57?3?o7E=t$224>07<@:9j7d?>b;29?l76k3:17b?kb;29?xd6090;684?:1y'751==h1C?>o4i03a>5<5<5<53;294~"48>0>>6F<3`9j54d=831b=50;694?6|,::<6;I10e>o69k0;66g>1b83>>o69m0;66a>dc83>>{e9?91<7:50;2x 6602=n;h32f?6=3`;:o7>5;h32`?6=3f;on7>5;|`23d<72=0;6=u+31595`5<@:9j7d?>b;29?l76k3:17d?>d;29?j7cj3:17pl>7183>6<729q/?=95539K76g5<50z&042<6ll1C?>o4i03a>5<5<53;294~"48>0:hh5G32c8m47e2900e289K76g5<h7>52;294~"48>0:>45G32c8m47f2900c?3:1>7>50z&042<6:01C?>o4i03b>5<289K76g5<0z\:7>;58=02o63>348:g>;3k33h70?8d;;`?87di33h70?:b;;`?87>=33h70?91;;`?871m33h70:;:8a8943320i01<6j:8a894c>20i01<9j:8a89=4=1j1v<=9:180[74>273>7o>;<3;6?76i2wx=8h50;0xZ43a348ii7?>c:p57g=838pR<6372;03e>{t?o0;65uQ7g9>652=90h01;3m3;:h63>62825a=:9>k1=53z\0=<=:947f3ty:?k4?:9y]56`<5;:?6<7l;<0ab?76j2785<4>1b9>0`<69o16=hl510`8940428;h70?8a;32g>{t9?o1<739om63<90825a=z{:i:6=4<{_1`5>;61=08o<52185954g87>52z\211=:9<>1>=o4}r0a0?6=:rT9n9522cd95ad52z\0=5=:;0;1=il4}r3;a?6=:rT:4h5219g965g?6=4={_67?8232;:j7p};c;29f~X3k279<94>9`9>0f<58h16=;m54b9>520=5<2=1c9>5=6=98i01?lj:03a?87083;:n6s|19394?4|58=n6l?4=0:1>4be3ty:4k4?:3y>5=c=i816=4:519d8yv71n3:1>v3>7182`g=:9>i1=9d9>563=:9k01<8l:016?87?83;:n6s|17094?4|58;3m3;:o63>81825a=:9?91=47c3ty:oo4?:3y>5fg=:li01=:51g48941d28;h7p}>7g83>6}:9>o15i52838:`>;6090:ho5rs07`>5<5s4;>n7o>;<36`?7cj2wx8k4?:3y>0`<69l169=4>dc9~w4>42909w0?70;32a>;60=0:ho5rs054>5<5s4;=i77k;<34=;50;5x976328on70:l:8f8912=i816=8:59e9>5=c=1m16=;m52178906=98h0q~f39>15<69m16=5:510a8yv4703:18v3=0582b1=:9?i1>=64=0gg>47e34;387?>b:p52b=838p1<9k:32b?870>3;4be3ty:ol4?:2y>5fg=:9k01<;m:8f894?220n0q~?:b;296~;6=k0997>52z?211549~w4162909w0?9e;c2?870>3;<=6s|17694?4|58<:6l?4=04`>4033ty:;44?:3y>52g=9mh01<9?:03`?xu5jm0;6>u22cd954b<5;hn6{tn15i524d82`g=:9ji1==38;m63>9582=0=z{8=n6=4={<34a?47i279nk4>1b9~yg51n3:1=8413:17b?=a;29?j50?3:17dli:188m6df2900e<8>:188k61b2900e4>50;9j5;c10f?6=93:1:02xH65d28qC=l>4}M10`?7|,:on6>5r$224>4703`3h6=44o0gf>5<5<5<5<5<5<5<5<0;f951}K;:i1=vF>a19~ 660282>7d?6a;29?l7>j3:17d?6c;29?l7>l3:17d?6e;29?l7>n3:17d7i:188k4cb2900c:188k76f2900e4m50;9a3?6=;3:1N4;h1G?>m51z&26=<4mh1ve5k50;9je4<722e9X58h1v5<42808wA=5;h;`>5<0;6>4?:1y'751=lh1C?>o4L21`>4}#9;21?ho4}h:f>5<=o4}r3g3?6=:rTj=638:`38yxda13:1?7?53zN07f<6sA;j<6s+3159`f=ni80;66a=0`83>>o>k3:17o950;194?6|,::<6io4H21b?I54k3;p(<<7:2gb?xo?m3:17do>:188k76f2900q~7l:181[?d34=14h5rs0f5>5<5s4=1>=o4^32b?xu6l>0;6?uQa09>3?g63twi==850;195?5|D:9h6:188k76f2900e4m50;9a3?6=;3:1N4;h1G?>m51z&26=<4mh1ve5k50;9je4<722e9X58h1v=n;M10g?7|,8836>kn;|k;a?6=3`k:6=44o32b>5<n;|q2`2<72;qUm<527;c2?x{e9921<7=51;1xH65d28qC=l>4}%133?bd3`k:6=44o32b>5<2980ad=za1o1<75fa083>>i58h0;66s|9b83>7}Y1j16;76j;|q2`3<72;q6;7{I3b4>{#;9=1hn5fa083>>i58h0;66g6c;29?g1=8391<7>t$224>ag<@:9j7A=n:188yv?d2909wS7l;<59<`=z{8n=6=4={<5965gd683>7}Yi816;7o>;|a55e=8391=7=tL21`>4}O9h:0q)=?7;f`?lg62900c?>n:188m53;294~"48>0om6F<3`9O76e=9r.:>54d783>7}:?38;m6P=0`9~w4b02909wSo>;<59e4=zukl36=48:48a!57?3l37d?i8;29?l7>j3:17d?6e;29?l7>n3:17d?6c;29?l7>l3:17bk6:188fc4=83>1<7>t$224>02<@:9j7d?>b;29?l76k3:17d?>d;29?j7cj3:17pljb;290?6=8r.8<:4:4:J07d=n98h1<75f10a94?=n98n1<75`1e`94?=zjln1<7:50;2x 6602<>0D>=n;h32f?6=3`;:o7>5;h32`?6=3f;on7>5;|`fb?6=<3:1N4;h1b=o69k0;66g>1b83>>o69m0;66g>1d83>>i6lk0;66s|1g:94?3|V8l370h=:03a?8ce28;i70kk:03a?8ca28;i7p}>9c83>0}Y90h01k<510a89`d=98i01hj510a89``=98i0q~?6e;296~X61l16j?4>1e9~w4?a2909wS?6f:?ff?76l2wx=4m50;0xZ4?d34oo6{tmk0;6?u2ec82`g=:n80:=n5rsda94?4|5ln1=il4=g3954b4be34l:64623`;m47>5;h3:g?6=3`;2h7>5;h3:a?6=3`;2j7>5;h3:f?6=3`;m:7>5;ndb>5<=n;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;h32b?6=3f;on7>5;|`eg?6=;3:1N4;h1b=1<7>t$224>4c63A98m6g>1c83>>o69j0;66g>1e83>>i6lk0;66s|1g:94?5|V8l370hi:03e?8`d28;h7p}>9b83>7}Y90i01kh510a8yv7>l3:1>vP>9e9>bc<69k1v<7j:181[7>m27mj7?>d:p5<`=838pR<7i;47b3ty:5o4?:3y]5v3ic;3gf>;am3;:o6srb031>5<22:0o6ml0;66g>9c83>>o6nj0;66g>9`83>>i68m0;66l>1083>6<729q/?=95509K76g5<N4;h1b=5<4sW;ni63>10825g=:99l1=52z\2bf=:98:1=52z\24a=:98:1=il4}r33a?6=:r7:=<4>dc9>546=98h0q~??f;296~;68o0:ho52102954b5}#;9=1985G32c8m47e2900e7>50z&042<6:01C?>o4i03b>5<6<7m;<326?7>j27:1c9~w4?d2908wS?6c:?ek27:<84>9b9~w4?c2908wS?6d:?el27:<84>9e9~w4?b2908wS?6e:?em27:<84>9d9~w4?a2908wS?6f:?en27:<84>9g9~w<`=833pR4h4=ef9=f=:m102o63i9;;`?877>33h70??7;;`?877033h70??9;;`?877k33h7p}>ed83>1}Y9lo01<>l:32b?876:3;ni63>0c825a=z{8l;6=4<{_3e4>;6810j=63>08814d=z{8l96=4<{_3e6>;68>0j=63>09814d=z{8l?6=4<{_3e0>;68?0j=63>06814d=z{8l=6=4<{_3e2>;68?0955z\2b==:n00947f3ty:jl4?:2y]5cg<5mn1m<52e9814d=z{8lh6=4<{_3eg>;cl38;m63>1382bf=z{8lo6=4={_3e`>;6nl0:ho5rsd;94?4|5l21m<52f98f=>{tnh0;6?u2f88b5>;68<0mm6s|11c94?4|58:26l?4=02a>4be3ty:55e=i816=<<511f8yxu>k3:1>vP6c:?251<>n2wx=hk50;0xZ4cb34;:87?je:p5c6=838pR=838pRi2wx=4l50;0xZ4?e34;:87?6b:p5k2wx=4j50;0xZ4?c34;:87?6d:p5m2wx=4h50;0xZ4?a34;:87?6f:~f40c29086?4;{M10g?7|@8k;7pB<3e82!5bm390q)=?7;3bb>of93:17b5}#;9=1hl5G32c8H65d28q/=?653dc8yl>b2900el?50;9l65g=831vnl>50;094?6|,::<6<<6;I10e>o69h0;66a>dc83>>{t1j0;6?uQ9b9>e5<69h1vdc9~w4b02909w09521c8Z76f3ty:h54?:3y]e4=:?3k:7psmag83>6<62:qG?>m51zJ2e5=zD:9o6{#;9=1=n:4i`394?=h:9k1<75f9b83>>d029086=4?{%133?bf3A98m6g7e;29?lg62900c?>n:188yv?d2909wS7l;<59<`=z{8n=6=4={<5965gd683>7}Yi816;7o>;|a747=8391=7=tL21`>4}O9h:0qA=>8:0a7?lg62900c?>n:188m53;294~"48>0om6F<3`9j<`<722cj=7>5;n03e?6=3ty2o7>52z\:g>;021o0q~?k6;296~;02;:j7S{zj1k1<7=51;1xH65d28qC=l>4}M10`?7|,:on6>5r$224>4e33`k:6=44o32b>5<k27<65k4}r3g2?6=:r7<6?>n;_03e>{t9m=1<74<4sE98o7?tH0c3?xJ4;m0:w)=je;18y!57?3;h86gn1;29?j47i3:17d7l:188f2<72:0;6=u+3159`d=O;:k0e5k50;9je4<722e9X58h1v5<42;0?wA={%1fa?55;h;`>5<0;6>4?:1y'751=lh1C?>o4i9g94?=ni80;66a=0`83>>{ei90;6?4?:1y'751=9;30D>=n;h32e?6=3f;on7>5;|q:g?6=:rT2o63n0;32e>{t9m<1<7;f83;on6s|1e594?4|5>09vPn1:?4>d71}K;:i1=vF>a19~H65c28q/?hk53:'751=9h20el?50;9l65g=831b5n4?::`4>5<4290;w)=?7;fb?M54i2c3i7>5;hc2>5<5<5290;w)=?7;31=>N4;h1b=52z?4>76f3W8;m6s|1e:94?4|Vh;01:4n1:~f=?=8391>7:tL21`>4}O9h:0qA=>8:0c;?lg62900c?>n:188m53;294~"48>0om6F<3`9j<`<722cj=7>5;n03e?6=3thj<7>52;294~"48>0:>45G32c8m47f2900c47f3ty:h;4?:3y>3?>b34k;6{I3b4>{K;:n1=v*0:m55fa083>>i58h0;66g6c;29?g1=8391<7>t$224>ag<@:9j7d6j:188md7=831d>=o50;9~fd6=8381<7>t$224>44>3A98m6g>1`83>>i6lk0;66s|9b83>7}Y1j16m=4>1`9~w4b12909w0958d9>e5<6lk1vn;|q2`=<72;qUm<527;c2?x{el>0;6>4=:5yO76e=9rB:m=5rL21g>4}#;lo1?6s+31595d>5<7s-9;;7jn;I10e>o?m3:17do>:188k76f2900qoo?:181>5<7s-9;;7?=9:J07d=n98k1<75`1e`94?=z{0i1<751e`8yv7c?3:1>v38:32b?[47i2wx=i650;0xZd7<5>0j=6srbe:94?5=939p@>=l:0yK5d66=z,::<65<50z&042>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~ff6=8321>7jtL21`>4}O9h:0qA="4m;02o6`0:m95U8e824g=uS8n57>o5mj0;66a=1183>!47;38;j6`=0383?>i58l0;6)h58;0:76a=0e83>!47;38;j6`=0381?>i58j0;6)h58;0876a=0c83>!47;38;j6`=0387?>d0290o6;4j{M10g?7|@8k;7pB<3e84!5bk39=m6*"4m?09v?n:0:9y_4b138p=n4>5;j6`b=831b5n4?::k1af<722e9==4?:%037?47n2d95=h58;0:76gn4;29 7642h<0b?>=:398md5=83.9<>4n6:l147<432cj>7>5$320>d00<42>qG?>m51zJ2e5=zD:9o64u+3da973g<,:o?6l?4$2g5>76f3-9n>77l;o1ga?7<,:o36?kl;o1f4?7<,:o26>>9;o1f5?75<5<0;6>4>:2yO76e=9rB:m=5r$224>4g13`k:6=44o32b>5<k27<65k4}r3g2?6=:r7<6?>n;_03e>{t9m=1<7;;:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66sm37494?5=83:p(>>8:0ff?M54i2c:=o4?::k25f<722e:ho4?::p=f<72;qU5n527;;`?xuf93:1>vPn1:?023<69j1v?>n:181[47i27<6?>n;|q043<72;qU?=84=245>47e3ty9in4?:3y]6`e<5:??67}:?3k:70=:4;3gf>{zj10;694=:4yO76e=9rB:m=5rL21g>2}#;li1?;o4$2g7>d7<,:o=6?>n;%1f6??d3g9oi7?4$2g;>7cd3g9n<7?4}%133?7fk2c2o7>5;hc2>5<5<0;6>4>:2yO76e=9rB:m=5r$224>4g13`k:6=44o32b>5<k27<65k4}r3g2?6=:r7<6?>n;_03e>{t9m=1<7;;:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66s|9b83>7}Y1j16;77l;|qb5?6=:rTj=63<55825f=z{;:j6=4={_03e>;02;:j7p}=eb83>7}Y:li01>;;:03a?xu4=:0;6?u27;c2?852<3;on6srbe83>1<52m51zJ2e5=zD:9o6:u+3da973g<,:o?6l?4$2g5>76f3-9n>77l;o1ga?7<,:o36?kl;o1f4?75<6<62:qG?>m51zJ2e5=z,::<65<50z&042>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~f63329086=4?{%133?353A98m6g>1c83>>o69j0;66a>dc83>>{t1j0;6?uQ9b9>3??d3tyj=7>52z\b5>;4==0:=n5rs32b>5<5sW8;m638:32b?xu5mj0;6?uQ2da8963328;i7p}<5283>7}:?3k:70=:4;3gf>{zj881<7:52;7xH65d28qC=l>4}M10`?1|,:oh6>8n;%1f0?g63-9n:7k2d8hh4>;%1f;|&042<6ij1b5n4?::kb5?6=3f8;m7>5;h0fg?6=3k=1<7=51;1xH65d28qC=l>4}%133?7f>2cj=7>5;n03e?6=3`3h6=44b683>6<729q/?=95d`9K76g>i58h0;66s|9b83>7}Y1j16;76j;|q2`3<72;q6;752z\14d=:?38;m6s|2da94?4|V;oh70=:4;32f>{t;<91<7;4==0:ho5r}c30>5<32;0>wA=5<42808wA=a79je4<722e9=n;h:f>5<=o4}r3g3?6=:rTj=638:`38yxd4==0;6>4?:1y'751==;1C?>o4i03a>5<5<=o4=6814d=z{;oh6=4={_0fg>;4==0:=o5rs270>5<5s4=1m<5234695ad5}#;9=1=ik4H21b?l76j3:17d?>c;29?j7cj3:17p}=ee83>6}Y:ln01:4<079>640=98i0q~7l:185[?d34=15n528;;`?8b=1j16=?46c:?27??d3ty9in4?:2y]6`e<5>09in52204954d55z?;>7cd34n1>hm4=0096`e<5891>hm4=335>4be3ty9==4?:3y]646<5891>=o4$3ga>76a3g8nm7>4}r03a?6=:rT9=h4n3gb>4=z{;:o6=4={_03`>;c2;:j7)h5mh097p}=0b83>7}Y:9i0154=0`9'6`d=:9l0b?kn:29~w76e2909wS76f3-8nn752z\b3>;6;3k:7)d001i4n1:&1ag2d9il4=;|qb7?6=:rTj?637:`38 7ce2h<0b?kn:29~wd4=838pRl<4=68b5>"5mk0j:6`=e`87?x{e9jo1<7o58;fxH65d28qC=l>4}M10`?3|,:oh6>8;;%1f1?d33-9m>764$2g4>7be3-9m=794}%133?7f:2P3h7v?k:049yj4b93:1(?><:3g3?k47:3:07b<:3g3?k47:3807b<:3g3?k47:3>07dln:18'655=j01e>=<50:9jf=<72-8;?7l6;o036?7<3`h<6=4+2119f<=i:981>65fb783>!47;3h27c==5b89m654=<21i=nh50;195?5|D:9h64:{%133?53>2ci>7>5;h`0>5<5<4290;w)=?7;71?M54i2c:=o4?::k25f<722e:ho4?::a712=8391<7>t$224>04<@:9j7d?>b;29?l76k3:17b?kb;29?xd4<<0;6>4?:1y'751=9mo0D>=n;h32f?6=3`;:o7>5;n3gf?6=3tyi>7>53z\a6>;4<:0:=n52356954d;4<<0:ho5rs261>5<5s49??7?kb:?000<69k1v>:<:181853<3;on63<44825f=zuzh96=4={_`1?853>3h97p}m3;296~Xe;2788;4m3:p6ad=838pR?jm;<172?4cj2wvn4<4sE98o7?tH0c3?x"48>0:o45fb383>>oe;3:17b3:1?7=55z&042<45;c177?6=;3:1N4;h1b=1c83>>o69j0;66a>dc83>>{e;=?1<7=50;2x 66028nn7E=47e3tyi?7>53z\a7>;4<:0:=o52356954e52z\1`g=:;=?1=il4}r176?6=:r788>4>dc9>713=98h0q~=;3;296~;4<=0:ho52357954e7>52z\a6>;46s|b283>7}Yj:16?985b29~w7be2909wS{I3b4>{#;9=1=n74ic094?=nj:0;66a=dc83>>d44<:4y'751=;=<0eo<50;9jf6<722e9ho4?::`006<72:0;6=u+315917=O;:k0e50z&042<2:2B8?l5f10`94?=n98i1<75`1e`94?=zj:>>6=4<:183!57?3;oi6F<3`9j54d=831b=864?:2y]f6=:;=91=47d3ty9ho4?:3y]6ad<5:>>67}:;=>1=il4=266>47d3twxn?4?:3y]f7=:;=<1n?5rsc194?4|Vk901>:9:c18yv4cj3:1>vP=dc9>710=:mh0qpl>d383>6<62:qG?>m51zJ2e5=z,::<65<=7dl=:188mg5=831d>il50;9a715=8391<7>t$224>04<@:9j7d?>b;29?l76k3:17b?kb;29?xd4<=0;6>4?:1y'751==;1C?>o4i03a>5<5<53;294~"48>0:hh5G32c8m47e2900ec:?001<69k1vo=50;1xZg5<5:>86il50;0xZ7be349?97?kb:p714=838p1>:<:0fa?853=3;:n6s|35194?4|5:>?6=6o<4}r`0>5<5sWh870=;6;`0?xu5lk0;6?uQ2e`896212;ni7psm34694?5=83:p(>>8:438L65f3`;:n7>5;h32g?6=3f;on7>5;|`013<72:0;6=u+315914=O;:k0e50z&042<292B8?l5f10`94?=n98i1<75`1e`94?=zj;o=6=4=:183!57?3;956F<3`9j54g=831d=il50;9~w6342908w0?k0;`1?852<3;on63<57825f=z{:?>6=4<{<3g5?d5349>:7?kb:?01=<69j1v>;8:18187c:3h970=:8;3gf>{t:l;1<7"5mk09i=5a2dc95>{t:mo1<76s|2ef94?4|V;no70?lf;0gf>"5mk09i=5a2dc97>{t:mi1<73;on6*=ec81a5=i:lk186s|b`83>7}Yjh16=i<5b29'6`d=j01e>ho50:pf=<72:qUn5521e39f6=:;<21=g?54z\a1>;6ko0i>63<55825f=::l<1=g?{t1j0;6?uQ9b9>3??d3ty9in4?:3y]6`e<5>09in5rs333>5<4sW8:<638:333?87dm3hj7)h5mh0;7p}=0d83>6}Y:9o01:4=0d9>5fc=j11/>hl521d8j7cf281v?>k:180[47l27<6?>k;<3`a?d03-8nn709=h4n3gb>6=z{;:i6=4<{_03f>;02;:i70?le;`6?!4bj38;j6`=e`87?xu40j963>cd81`c=#:lh1?9j4n3gb>4=z{:>i6=4={<59e1=:9jo1>ik4$3ga>62c3g8nm7<4}r17e?6=:r7<6l=4=0af>7bc3-8nn7=;d:l1ad<43ty8844?:3y>3?g534;hi7ho54:~fa7=8391=7=tL21`>4}O9h:0qA=>8:0`0?lg62900c?>n:188m53;294~"48>0om6F<3`9O76e=9r.:>54d783>7}:?38;m6P=0`9~w4b02909wSo>;<59e4=zuk9ho7>55;192~J4;j0:wE?n0:O76b=ir.8ih4<;%1fg?51i2.8i94n1:&0a3<58h1/?h<59b9m7ac=92.8i>46d:l0`c<73-9n47b59j=f<722c2h7>5;hc2>5<5<0;684=:4yO76e=9rB:m=5r$224>4g13`k:6=44o32b>5<>o>?3:17o950;794?6|,::<6il4H21b?l?52900e4950;9j<`<722cj=7>5;n03e?6=3th8?o4?:083>5}#;9=1=<74H21b?j7603:17p}6c;296~X>k27<65k4}r;g>5<5sW3o7095939~w4b12909w0=;020=0q~?k7;296~;02;:j7S=838pRl?4=68b5>{zj:??6=4=:183!57?3;956F<3`9j54g=831d=il50;9~f60629086=4?{%133?7cn2B8?l5f10`94?=n98i1<75`1e`94?=z{0i1<7k2wx5i4?:3y]=a=:;?;1={t:li1<7=t^3g`?852<3;:m63<60825f=z{:?86=4={<59e4=:;<>1=il4}r154?6=:r7<64j4=242>4be3twi=?o50;195?5|D:9h6kj:29~ 66028i?7do>:188k76f2900e4m50;9a3?6=;3:1N4;h1b4h4?::kb5?6=3f8;m7>5;|q:g?6=:rT2o638:9g8yv7c>3:1>v38:32b?[47i2wx=i950;0xZd7<5>0j=6srb5694?5=939p@>=l:0yK5d66=z,::<65<50z&042>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~f451290>6?4:{M10g?7|@8k;7pB<3e82!5bm390q)=?7;3bf>of93:17b>{e;:h1<7?50;2x 66028;27E=l27<64<4}r3g2?6=:r78?o4>199>3??03ty:h:4?:3y>3?47i2T95<5sWk:7095a09~yg5?l3:1?7<54zN07f<6sA;j<6sC32f95~"4ml087p*<0682e==ni80;66a=0`83>>o>k3:17o950;194?6|,::<6io4H21b?l>b2900el?50;9l65g=831vnl>50;094?6|,::<6<<6;I10e>o69h0;66a>dc83>>{t1j0;6?uQ9b9>e5<69h1vdc9~w4b02909w09521c8Z76f3ty:h54?:3y]e4=:?3k:7psm38094?5=939p@>=l:0yK5d66=z,::<65<50z&042>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~f61029086?4;{M10g?7|@8k;7pB<3e82!5bm390q)=?7;3b<>of93:17b5}#;9=1hl5G32c8m=c=831bm<4?::m14d<722wim=4?:383>5}#;9=1=?74H21b?l76i3:17b?kb;29?xu>k3:1>vP6c:?b4?76i2wx=i850;0x9252z\b5>;02h;0qpl<9883>6<52=qG?>m51zJ2e5=zD:9o6{#;9=1=l64i`394?=h:9k1<75f9b83>>d029086=4?{%133?bf3A98m6g7e;29?lg62900c?>n:188ygg729096=4?{%133?7512B8?l5f10c94?=h9mh1<75rs8a94?4|V0i01l>510c8yv7c>3:1>v38:9g89d6=9mh0q~?k7;296~;02;:j7S=838pRl?4=68b5>{zj:i:6=4<:387I54k3;pD5;n03e?6=3`3h6=44b683>6<729q/?=95d`9K76g>i58h0;66sma183>7<729q/?=9513;8L65f3`;:m7>5;n3gf?6=3ty2o7>52z\:g>;f83;:m6s|1e494?4|5>03i63n0;3gf>{t9m=1<75<5<5<5<5<4290;w)=?7;72?M54i2c:=o4?::k25f<722e:ho4?::a57`=8391<7>t$224>04<@:9j7d?>b;29?l76k3:17b?kb;29?xd6;90;6:4?:1y'751=9l<0D>=n;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;h32b?6=3`;9<7>5;n3gf?6=3ty:>i4?:3y]57b<589;647e3ty::i4?:3y]53b<589:647d3ty:454?:3y]5=><589;647b3ty:4l4?:3y]5=g<589;647d3ty:>o4?:3y]57d<588m6?51e`8945728;i7p}>2g83>7}:9;l1=il4=013>4473twi8o4?:981><}#;9=18o5`4683>>o60?0;66g>6e83>>o60>0;66g>8983>>o6000;66g>8`83>>o60k0;66l;a;297?6=8r.8<:4:1:J07d=n98h1<75f10a94?=h9mh1<75rb5;94?1=83:p(>>8:0g5?M54i2c:=o4?::k25f<722c:=i4?::k25`<722c:=k4?::k265<722e:ho4?::p02<72;qU8:524882`g=z{82=6=4={_3;2>;3i3;:n6s|17f94?4|V80;6?uQ195891?=98i0q~?78;296~X60116844>1e9~w4>>2909wS?79:?7=?76m2wx=5o50;0xZ4>f34>2636=4={<6b>4be34>26t$224>07<@:9j7d?>b;29?l76k3:17b?kb;29?xd48o0;6>4?:1y'751==81C?>o4i03a>5<5<5}#;9=19<5G32c8m47e2900e5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66smc`83>6<729q/?=95539K76g5<5<4290;w)=?7;71?M54i2c:=o4?::k25f<722e:ho4?::a7f`=83>1<7>t$224>02<@:9j7d?>b;29?l76k3:17d?>d;29?j7cj3:17pl<8g83>6<729q/?=951eg8L65f3`;:n7>5;h32g?6=3f;on7>5;|``g?6=>3:1N4;h1b=c;29?l76l3:17d?>e;29?l76n3:17b?kb;29?xdc=3:1:7>50z&042<2n2B8?l5f10`94?=n98i1<75f10f94?=n98o1<75f10d94?=h9mh1<75rb2f2>5<1290;w)=?7;7g?M54i2c:=o4?::k25f<722c:=i4?::k25`<722c:=k4?::m2`g<722wih=4?:283>5}#;9=1=ik4H21b?l76j3:17d?>c;29?j7cj3:17pl>3583>0<729q/?=951d68L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;on7>5;|`26f<72:0;6=u+315914=O;:k0e50z&042<2k2B8?l5f10`94?=n98i1<75f10f94?=n98o1<75`1e`94?=zj89h6=4;:183!57?3;n>6F<3`9j54d=831b=2B8?l5f10`94?=n98i1<75f10f94?=n98o1<75f10d94?=n9;:1<75`1e`94?=zj:3?6=4<:183!57?3?:7E=o69k0;66g>1b83>>o69m0;66g>1d83>>o69o0;66a>dc83>>{e;h91<7=50;2x 66028nn7E=c;29?j7cj3:17pl0<729q/?=951d68L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;on7>5;|`0=c<72:0;6=u+315914=O;:k0e50z&042<292B8?l5f10`94?=n98i1<75`1e`94?=zjk;1<7<50;2x 66028827E=t$224>44>3A98m6g>1`83>>i6lk0;66sm8b83>7<729q/?=9513;8L65f3`;:m7>5;n3gf?6=3th9m94?:383>5}#;9=1=?74H21b?l76i3:17b?kb;29?xd4l:0;6?4?:1y'751=9;30D>=n;h32e?6=3f;on7>5;|`27d<72;0;6=u+315957?<@:9j7d?>a;29?j7cj3:17pl<9783>7<729q/?=9513;8L65f3`;:m7>5;n3gf?6=3th8;o4?:383>5}#;9=1=?74H21b?l76i3:17b?kb;29?xd4i80;6?4?:1y'751=9;30D>=n;h32e?6=3f;on7>5;|`0g2<72;0;6=u+315957?<@:9j7d?>a;29?j7cj3:17p}>3783>7}Y9:<01<=9:32b?xu4l=0;6?uQ3e68967428;j7p}<8983>7}Y;1201o?510c8yv7>13:1?vP>989>7<2=98h01>7k:03a?xu6:h0;6>uQ13c8944f2;:j70=lf;32g>{t;>=1<77}Yjo16h:4n1:p7gg=838pR>ln;<0b0?76i2wx=;?50;0xZ40634;=h7o>;|q03`<72;qU?:k4=21a>47?3ty2<7>55z\:4>;60<0:5o52d68:g>;6:j0:=o5212a954d7z\;b>;60<02o63>6e8:g>;fn33h70=>1;;`?8>f20i01?o=:8a89dc=1j16?<>59b9><<<>k279m<46c:?g378:g>;40m02o63<938:g>;4?>02o63<988:g>;4k802o6s|38;94?4|V:3270=69;03e>{t9n3;:n6s|3b394?4|V:i:70=l1;03e>{t9021<7c2;:j7p}<9383>7}Y;0801>7=:32b?xu3<3:1>vP;4:?70?47i2wx?nm50;1xZ6ed349ho7`6<69j16h84>dc9~w6?02909w0=68;3gf>;41=0:=n5rs`a94?2|582>6<7l;4be34;887?>b:?27=<69k1v>m;:18185d=3;on631b9~w4542909w0?<4;3gf>;6:j0:=n5rs9094?2|582>6<7j;<:;>4be34;887?>d:?27=<69m1vi<50;0x9a7=i816h>4>dc9~w6762909w0=>1;03e>;48o0:=o5rsc294?5|5hl1m<52ad8b5>;e93;on6s|c683>7}:k909in52c982`g=z{j31<7=t=b296`b<5j21=47d34n;6{t;jo1<77cd349hj7?kb:p`=<72;q6h54=0`9>gd<69j1v<=6:181874>33o70?{t;0i1<74be349j97?>b:p77i:0fa?85f93;:m6s|ad83>7}:il092283o70j>:32b?874<3;:o63>39825f=z{8i27::i4=0`9>564=9?n019l517f8yvga2909w0oi:32b?8gc28;i7p}=a083>7}::h;1>=o4=3c3>47d3ty85>4?:3y>7<2=9mh01>79:03b?xu60m0;6?u219795cb<589h6746=i816?<=51e`8yv75l3:1>v3>2`8b5>;6;;0:>i5rs3c1>5<5s48j>7>j:181857n3;on636}:kj0:ho52d1825g=:;m91=5<5s4in647d3ty:4;4?:2y>5=3=9lo01<==:0:5?82e282=7p}>8683>3}:91?1=k>4=011>4>034>i6<68;<1:1c9>7d5=98h0q~?78;292~;60<0:j?5212095=><5=h1=564=2;;>47d349c:?0e6<69j1v<66:18587?=3;m863>3382<<=:228l=70?<2;3;e>;3j3;3m63<8g825g=:;021=47b349j97?>d:p5=d=83=p1<6::0d;?874:3;3n63;b;3;f>;40o0:=n5238:954`<5:=o6228lj70=68;314>{t;j<1<7d7<5:i<6l<5a09>6d7=i816>l:51e`8yv50i3:1>v3<768b5>;4?k0:ho5rs9`94?2|51k1m<52888b5>;6;?0j=637c;3gf>{t;m81<76}:;h?1=il4=2;e>47d349h97?>c:p7d6=838p1>76:`3896g628ni7p}=9g83>1}:91?1=4h4=3c3>4be34;887?>e:?27=<69l1v<=8:18187403;on63>3b825f=z{88i6=4={<306?75j27:>n4>dc9~w45e2909w0?;6;h0:=l5rs2;6>5<5s492>7o>;<1:2?7cj2wx?i>50;0x96ea28;i70=k1;3gf>{tk?0;68u2c18155=:kj0:=k52cd825c=:l<0:=k523e3954d<,;oi6n;4n3gb>5=z{j>1<7;t=b2965c<5ji1=47d3-8nn7m:;o0fe?776c34ih647d34n>67>55z?`4?47k27ho7?>c:?`a?76l27o97?>c:?0`4<69m1/>hl5c49m6`g=;2wxo<4?:4y>g5<58k16on4>1c9>g`<69l16h84>1c9>7a7=98o0(?km:b78j7cf2=1vqo=97;293??=9:qG?>m51zJ2e5=zD:9o6?ua3dd926=#;lo196s+3159===]:l31=v<7:|m1`<<722c9o;4?::m1`2<722co57>5;n135;h;2>5<r.8ih4?;%1fg?5102.8:o4k=:8a8j6bb281v(>>8:0c6?_>c28q997sU2d;96~402;k1qd7l:188k773290/>==52018j7652910c??=:18'655=:890b?>=:098k776290/>==52018j7652;10c???:18'655=:890b?>=:298k76b290/>==52018j7652=10c?>k:18'655=:890b?>=:498k76d290/>==52018j7652?10c?>m:18'655=:890b?>=:698f2<728;147?>{M10g?7|@8k;7pB<3e86!5bk39=m6*"4m?09v<::319y_4b138p>:4=1;j=f<722e9=94?:%037?46;2d93:l147<632e9=<4?:%037?46;2d93:l147<432e93:l147<232e93:l147<032cjn7>5$320>dgof03:1(?><:`c8j7652;10el950;&1461=1<7*=028be>h58;0>76gn3;29 7642hk0b?>=:798md4=83.9<>4na:l147<032h<6=4<:080I54k3;pD5;c594?5=83:p(>>8:ec8L65f3E98o7?t$00;>6cf3tc3i7>5;hc2>5<5<5sW3h70958d9~w4b12909w09521c8Z76f3ty:h:4?:3y]e4=:?3k:7psm8;297?7=;rF8?n4>{I3b4>{#;9=1=o94i`394?=h:9k1<75f9b83>>d029086=4?{%133?bf3A98m6B<3b82!75039nm6sf8d83>>of93:17bk3:1>vP6c:?4>=c52z?4>76f3W8;m6s|1e594?4|Vh;01:4n1:~fa<72:0:6>uC32a95~N6i91v(>>8:0`;?lg62900c?>n:188m53;294~"48>0om6F<3`9O76e=9r.:>54d783>7}:?38;m6P=0`9~w4b02909wSo>;<59e4=zuk;96=4<:080I54k3;pD5;c594?5=83:p(>>8:ec8L65f3E98o7?t$00;>6cf3tc3i7>5;hc2>5<5<5sW3h70958d9~w4b12909w09521c8Z76f3ty:h:4?:3y]e4=:?3k:7psm1283>6<62:qG?>m51zJ2e5=z,::<65<50z&042>{t1j0;6?uQ9b9>3?>b3ty:h;4?:3y>3?47i2T95<5sWk:7095a09~yg7329086<4<{M10g?7|@8k;7p*<0682fg=ni80;66a=0`83>>o>k3:17o950;194?6|,::<6io4H21b?I54k3;p(<<7:2gb?xo?m3:17do>:188k76f2900q~7l:181[?d34=14h5rs0f5>5<5s4=1>=o4^32b?xu6l>0;6?uQa09>3?g63twi=k4?:282>6}K;:i1=vF>a19~ 66028hh7do>:188k76f2900e4m50;9a3?6=;3:1N4;h1G?>m51z&26=<4mh1ve5k50;9je4<722e9X58h1v5<42808wA=bd9je4<722e9=n;M10g?7|,8836>kn;|k;a?6=3`k:6=44o32b>5<n;|q2`2<72;qUm<527;c2?x{t1j0;64uQ9b9>3??d34215n52d;;`?87520i01<=59b9>51<>k27:j77l;<03>52z\151=::9095<5sW8:>63>f;03e>"5mk09=>5a2dc95>{t:8;1<7h5mh097p}=1183>7}Y:8:01<=521c8 7ce2;;87cvP=0d9>57<58h1/>hl52018j7cf2=1v?>k:181[47l27o6?>n;%0ff?46;2d9il4:;|q14f<72;qU>=m4=9814d=#:lh1><=4n3gb>3=z{;:i6=4={_03f>;02;:j7)h5mh0<7p}nb;296~Xfj279<7o>;%0ff?gf3g8nm7>4}rc:>5<5sWk270?i:`38 7ce2hk0b?kn:09~wd>=838pRl64=069e4=#:lh1ml5a2dc96>{ti>0;6?uQa69>5652z\b1>;6:3k:7)0q~o;:181[g334n1m<5+2d`9ed=i:lk196s|a283>7}Yi:1647o>;%0ff?gf3g8nm784}rc1>5<5sWk97095a09'6`d=ih1e>ho57:~f4eb290:<7k517yO76e=9rB:m=5rL21g>0}#;li1?;:4$2g6>g2<,:l9655+3d596ad<,:l:6:5r$224>4g43S2o6?u=6;07>x\5m009w?75238~k7c2290/>==52d68j7652910c?k<:18'655=:l>0b?>=:098k7c5290/>==52d68j7652;10c?k>:18'655=:l>0b?>=:298k7ba290/>==52d68j7652=10c?jj:18'655=:l>0b?>=:498k7bc290/>==52d68j7652?10c?jl:18'655=:l>0b?>=:698mgc=83.9<>4md:l147<732cio7>5$320>gboei3:1(?><:cf8j7652:10eo650;&1460=h58;0=76gm5;29 7642kn0b?>=:698f4ea29086<4<{M10g?7|@8k;7p*<0682g<=nj;0;66gm3;29?j4cj3:17o=;6;297?5==r.8<:4<479jf7<722ci?7>5;n0gf?6=3k9??7>53;294~"48>0>>6F<3`9j54d=831b=:;:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66sm35794?5=83:p(>>8:0ff?M54i2c:=o4?::k25f<722e:ho4?::pf7<72:qUn?52351954e<5:>?61=7>52z?006<6lk16?9;510`8yv53;3:1>v3<4582`g=:;=?1=63<478a6>{tj:0;6?uQb29>710=j:1v?jm:181[4cj2788;4=dc9~yg7c83:1?7?53zN07f<6sA;j<6s+31595f?>i5lk0;66l<4783>6<424?::m1`g<722h88>4?:283>5}#;9=19?5G32c8m47e2900e5<4290;w)=?7;3ga>N4;h1b=47d349?87?>b:pf6<72:qUn>52351954d<5:>?6il4=266>4be3ty88?4?:3y>715=9mh01>:::03a?xu4<:0;6?u235695ad<5:>>64>:2yO76e=9rB:m=5r$224>4e>3`h96=44ic194?=h:mh1<75m35494?5=;3?p(>>8:265?ld52900eo=50;9l6ad=831i?9=50;194?6|,::<68<4H21b?l76j3:17d?>c;29?j7cj3:17pl<4583>6<729q/?=95539K76g5<1c9~wg5=839pRo=4=260>47e349?87?>c:p6ad=838pR?jm;<171?7cj2wx?9<50;0x962428ni70=;5;32f>{t;=91<74be349?97?>c:~wg4=838pRo<4=265>g43h87p}=dc83>7}Y:mh01>:9:3fa?x{e9m81<7=51;1xH65d28qC=l>4}%133?7d12ci>7>5;h`0>5<5<42:0>w)=?7;172>oe:3:17dl<:188k7be2900n>:<:180>5<7s-9;;7;=;I10e>o69k0;66g>1b83>>i6lk0;66sm35694?5=83:p(>>8:408L65f3`;:n7>5;h32g?6=3f;on7>5;|`000<72:0;6=u+31595ac<@:9j7d?>b;29?l76k3:17b?kb;29?xue:3:1?vPm2:?006<69j16?9:510`8yvd42908wSl<;<177?76j278894>1b9~w7be2909wS:=:181853;3;on63<44825g=z{:>86=4={<170?7cj278884>1b9~yvd52909wSl=;<172?d53tyi?7>52z\a7>;4{zj8n86=4<:080I54k3;pD5;c172?6=;3919v*<068003=nj;0;66gm3;29?j4cj3:17o=;3;297?6=8r.8<:4:2:J07d=n98h1<75f10a94?=h9mh1<75rb267>5<4290;w)=?7;71?M54i2c:=o4?::k25f<722e:ho4?::a713=8391<7>t$224>4bb3A98m6g>1c83>>o69j0;66a>dc83>>{tj;0;6>uQb39>715=98i01>:;:03a?xue;3:1?vPm3:?006<69k16?9:510a8yv4cj3:1>vP=dc9>713=9mh0q~=;2;296~;4<:0:ho52357954d52z?001<6lk16?9;510a8yxue:3:1>vPm2:?0034?:3y]f6=:;=<1n>5rs3fa>5<5sW8on63<4781`g=zuk;o87>53;397~J4;j0:wE?n0:'751=9j30eo<50;9jf6<722e9ho4?::`003<72:0868u+3159710>i5lk0;66l<4283>6<729q/?=95539K76g5<N4;h1b={tj:0;6>uQb29>715=98h01>:;:03`?xu5lk0;6?uQ2e`8962228ni7p}<4383>7}:;=91=il4=266>47e3ty88>4?:3y>712=9mh01>:::03`?x{tj;0;6?uQb39>710=j;1vo=50;0xZg5<5:>=6o=4}r0gf?6=:rT9ho5235496ad6}K;:i1=vF>a19~ 66028i27dl=:188mg5=831d>il50;9a710=8391?7;t$224>6213`h96=44ic194?=h:mh1<75m35194?5=83:p(>>8:408L65f3`;:n7>5;h32g?6=3f;on7>5;|`001<72:0;6=u+315917=O;:k0e50z&042<6ll1C?>o4i03a>5<5<{t:mh1<786{z{k81<7il4=265>7be3twi?8:50;194?6|,::<68?4H21b?l76j3:17d?>c;29?j7cj3:17pl<5783>6<729q/?=95509K76g5<N4;h1b=1c83>>o69j0;66a>dc83>>{e;=n;h32f?6=3`;:o7>5;n3gf?6=3th89h4?:283>5}#;9=19<5G32c8m47e2900e289K76g5<?7>53z?2`5dc9>700=98i0q~=:5;297~;6l80i>63<5782`g=:;<21=d28a6>;4=h0:ho5234a954en7>53z?2`1dc9>70c=98i0q~=:d;296~;6l<0i>63<5d82`g=z{;o>6=4={_0f1>;6l<09ho5+2d`96`25<5sW8n?63>d581`g=#:lh1>h:4n3gb>4=z{;o96=4={_0f6>;6l:09ho5+2d`96`25<5sW8n=63>d381`g=#:lh1>h:4n3gb>6=z{;nm6=4={_0gb>;6l809ho5+2d`96`25<5sW8oi63>d181`g=#:lh1>h:4n3gb>0=z{;no6=4={_0g`>;6ko09ho5+2d`96`25<5sW8oo63=e782`g=#:lh1>h:4n3gb>2=z{ko1<747e3-8nn7lk;o0fe?7"5mk0ih6`=e`81?xuei3:1?vPma:?2`71c9'6`d=jm1e>ho53:pf=<72:qUn5521e39f6=:;<21=gb54z\a1>;6ko0i>63<55825f=::l<1=gb5<5sW3h70959b9~w7732908wS<>4:?4>77334;hi7lj;%0ff?46;2d9il4?;|q157<72:qU><<4=68157=:9jo1nn5+2d`96455<4sW8:=638:332?87dm3hi7)h5mh097p}=1183>6}Y:8:01:4=119>5fc=jh1/>hl52018j7cf2:1v?>j:180[47m27<6?>j;<3`a?d?3-8nn7<>3:l1ad<33ty909<=4n3gb>0=z{;:h6=4<{_03g>;02;:h70?le;`5?!4bj38:?6`=e`85?xu58k0;6>uQ21`892<58k16=nk5b49'6`d=:890b?kn:69~w6352909w095ac9>5fc=:l?0(?km:272?k4bi3:0q~=:0;296~;02h301=6`=e`82?xu46*=ec8014=i:lk1>6s|35g94?4|5>0j;63>cd81a4=#:lh1?8?4n3gb>6=z{:>h6=4={<59e0=:9jo1>ih4$3ga>6363g8nm7:4}r17f?6=:r7<6l:4=0af>7bb3-8nn7=:1:l1ad<23ty88l4?:3y>3?g434;hi7ho56:p71?=838p1:4n2:?2g`<5lj1/>hl53438j7cf2>1vqo{I3b4>{K;:n1mv*"4m?09h4lo0:7)=j8;0fg>h4m90;7p*<0682fc=n1j0;66g6d;29?lg62900c?>n:188m7cd2900n:4?:481>0}K;:i1=vF>a19~ 66028k=7do>:188k76f2900e4m50;9j=a<722c2;7>5;c594?3=83:p(>>8:e`8L65f3E98o7?t$00;>6cf3tc2>7>5;h;4>5<>i58h0;66sm32`94?7=83:p(>>8:03:?M54i2e:=54?::p=f<72;qU5n527;:f?xu>l3:1>vP6d:?4><452z?07g<69116;778;|q2`2<72;q6;7dg9K76g5<5<5sW3h70959b9~w47d3ty9095<4sW8no638:`38960628;i7p}<6183>7}:?33o70=91;3gf>{zj::36=4::386I54k3;pD4$2g`>60f3-9n87o>;%1f2?47i2.8i?46c:l0``<63-9n?77k;o1gb?6<,:o36?kl;o1f4?65}#;9=1ho5G32c8m<4=831b5:4?::k;a?6=3`k:6=44o32b>5<51;294~"48>0:=45G32c8k47?2900q~7l:181[?d34=14h5rs8f94?4|V0n01:462:p5a0=838p1>=m:03;?81=1>1vn;|q2`=<72;qUm<527;c2?x{e;?;1<7=50;2x 66028o;7E=l278:<4>1b9~w76f2909wS76f3ty9in4?:2y]6`e<5>0j=63<60825g=z{:<;6=4={<59=a=:;?;1=il4}|`04<<72<0968uC32a95~N6i91v@>=k:`y'7`c=82.8in4<6`9'7`2=i81/?h8521c8 6c520i0b>jj:09'7`5=1m1e?ih51:&0a=<5mj1e?h>50:'751=9ho0e4m50;9j=a<722cj=7>5;n03e?6=3`8no7>5;c594?3=:3?p@>=l:0yK5d65;h;`>5<>d0290>6=4?{%133?be3A98m6g62;29?l?02900e5k50;9je4<722e9t$224>47>3A98m6a>1983>>{t1j0;6?uQ9b9>3?>b3ty2h7>52z\:`>;02080q~?k6;296~;4;k0:=5527;;4?xu6l>0;6?u27;03e>X58h1v7}Y:9k01:4=0`9~w7cd2908wSd7<5:<:65<7s-9;;7?=9:J07d=n98k1<75`1e`94?=zj;nj6=4::183!57?3?27E=c;29?j7cj3:17pl=d283><<729q/?=951ec8L65f3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3`;:j7>5;h314?6=3`;9=7>5;h316?6=3f;on7>5;|`1`0<72;0;6=u+315957?<@:9j7d?>a;29?j7cj3:17p}=d883>7}Y:m301?jn:0fa?xu5k?0;6?uQ2b4897b620n0q~16>i651e`8yvb>290>wSj6;<0`3??d348o=77l;<1376f349;n7?>c:p75?=838pR>>6;<13=?47i2wx5<4?:2y]=4=:;921>hm4=22:>7cd3ty9h<4?:2y>6a7=:9k01?j7:03b?84ci3;:n6s|2e694?5|5;n:6?kl;<13>m:03a?84c;3;on63=d4825d=z{::j6=4={<13=??c349;n7?kb:p6a6=839p1?m8:337?84ci3;:o63=d28267=#:lh1>nh4n3gb>5=z{;in6=4<{<0`3?46:279hl4>1e9>6a5=9;;0(?km:3ae?k4bi3;0q~09=<522ec954c<5;n86<n95202897b428;m7)h5mh087p}=cc83>7}::j=1>=k4=3f0>47b3-8nn76f1=:9n01?j<:03g?!4bj38hj6`=e`86?xu5k00;6?u22b5965e<5;n86n9521`897b428;i7)h5mh0<7psm37a94?e=9=0:ovB<3b82M7f82wG?>j52zl0ac<1j2.8ih4:;|&042<69:1d?i:50;9l7=>=831b?:950;9l3c<722co57>5;h:0>5<l1<75f13194?=e>;0;6>4=:5yO76e=9rB:m=5rL21g>4}#;lo1>6s+31595f75<7s-9;;7jn;I10e>J4;j0:w)?=8;1fe>{n0l0;66gn1;29?j47i3:17pln0;296?6=8r.8<:4>289K76g5<d783>7}:?32n70o?:0fa?xu6l>0;6?u27;03e>X58h1vj5az&0a`<53-9no7=9a:&0a1l2d8hk4>;%1f5<0<52m51zJ2e5=z,::<65<t$224>ad<@:9j7d7=:188m<1=831b4h4?::kb5?6=3f8;m7>5;|`07g<7280;6=u+315954?<@:9j7b?>8;29?xu>k3:1>vP6c:?4>=cv38:32b?[47i2wx=i650;0xZd7<5>0j=6srb242>5<4290;w)=?7;3gb>N4;h1b={t1m0;6?uQ9e9>737=98i0q~4be3twi>o650;796?3|D:9h6kj:39'7`e=;?k0(>k;:`38 6c12;:j7)=j2;;`?k5cm3;0(>k<:8f8j6ba281/?h652da8j6c7291v(>>8:0cf?l?d2900e4j50;9je4<722e95;h;g>5<b2900el?50;9l65g=831vn>=m:182>5<7s-9;;7?>9:J07d=h9821<75rs8a94?4|V0i01:47e:p=a<72;qU5i527;;1?xu6l?0;6?u232`954><5>02;6s|1e594?4|5>09vPn1:?4>d75}#;9=1=ih4H21b?l76j3:17d?>c;29?j7cj3:17p}6c;296~X>k27<64m4}r;g>5<5sW3o70=91;32g>{t:9k1<7;<155?76j2wx?;>50;0x92<>l278:<4>dc9~yg4ei3:197<55zN07f<6sA;j<6sC32f9e~"4ml097)=jc;15e>"4m=0j=6*"4m:02h6`0:mh5f9b83>>o>l3:17do>:188k76f2900e?kl:188f2<72<0968uC32a95~N6i91v(>>8:0c5?lg62900c?>n:188m=n;h;1>5<>of93:17b=n;n3252z\:g>;021o0q~7k:181[?c34=15?5rs0f5>5<5s498n7?>8:?4><152z?4>76f3W8;m6s|1e:94?4|Vh;01:4n1:~f60629086=4?{%133?7cn2B8?l5f10`94?=n98i1<75`1e`94?=z{0i1<7k2wx5i4?:3y]=a=:;?;1={t:li1<7=t^3g`?81=i816?;?510`8yv5183:1>v38:8f8960628ni7psm8583>0<52m51zJ2e5=zD:9o6lu+3dg96>"4mj08:l5+3d69e4=#;l<1>=o4$2g1>>of93:17b5<2290;w)=?7;fa?M54i2c2>7>5;h;4>5<>i58h0;66sm32`94?7=83:p(>>8:03:?M54i2e:=54?::p=f<72;qU5n527;:f?xu>l3:1>vP6d:?4><452z?07g<69116;778;|q2`2<72;q6;7dg9K76g5<5<5sW3h70959b9~w47d3ty9095<4sW8no638:`38960628;i7p}<6183>7}:?33o70=91;3gf>{zj1<1<7;52;7xH65d28qC=l>4}M10`?g|,:on6?5+3da973g<,:o?6l?4$2g5>76f3-9n>77l;o1ga?7<,:o864j4n2fe>4=#;l21>hm4n2g3>5=z,::<65<>i58h0;66g=eb83>>d0290>6?4:{M10g?7|@8k;7p*<0682e3=ni80;66a=0`83>>o>k3:17d7k:188m<1=831i;7>55;294~"48>0on6F<3`9j=7<722c2;7>5;h:f>5<:183!57?3;:56F<3`9l54>=831v4m50;0xZ03i6s|9e83>7}Y1m16;77=;|q2`3<72;q6?>l510:892<>?2wx=i950;0x92<58h1U>=o4}r3g80;6>4?:1y'751=9ml0D>=n;h32f?6=3`;:o7>5;n3gf?6=3ty2o7>52z\:g>;020i0q~7k:181[?c349==7?>c:p65g=838pR?>n;<5965g53z\1af=:?3k:70=91;32f>{t;?:1<7;4>80:ho5r}c1;j5az&0a`<53-9no7=9a:&0a1l2d8hk4>;%1f=?57>2d8i<4?;|&042<6k;1b5n4?::k:`?6=3`k:6=44o32b>5<0<52m51zJ2e5=z,::<65<t$224>ad<@:9j7d7=:188m<1=831b4h4?::kb5?6=3f8;m7>5;|`07g<7280;6=u+315954?<@:9j7b?>8;29?xu>k3:1>vP6c:?4>=cv38:32b?[47i2wx=i650;0xZd7<5>0j=6srb242>5<4290;w)=?7;3gb>N4;h1b=k2wx5i4?:3y]=a=:;?91=5<5sWk:70=91;32g>{t:9k1<77}:?33o70=93;3gf>{t;?:1<7;4>80:ho5r}c13a?6==391;vB<3b82M7f82wG?>j5az&0a`<53-9no7=9a:&0a1l2d8hk4>;%1f5<0<52m51zJ2e5=z,::<65<t$224>ad<@:9j7d7=:188m<1=831b4h4?::kb5?6=3f8;m7>5;|`07g<7280;6=u+315954?<@:9j7b?>8;29?xu>k3:1>vP6c:?4>=cv38:32b?[47i2wx=i650;0xZd7<5>0j=6srb277>5<4290;w)=?7;72?M54i2c:=o4?::k25f<722e:ho4?::a737=8391<7>t$224>4ba3A98m6g>1c83>>o69j0;66a>dc83>>{t1j0;6?uQ9b9>3??d3ty2h7>52z\:`>;4>80:=n5rs`394?4|Vh;01>;;:03`?xu58h0;6?uQ21c892<58h1v?kl:180[4bk278994>1c9>737=98h0q~=:3;296~;02h;01>;;:0fa?xu4>90;6?u27;;g?85193;on6srb9294?5=83:p(>>8:0fe?M54i2c:=o4?::k25f<722e:ho4?::a6g`=83>1<7>t$224>02<@:9j7d?>b;29?l76k3:17d?>d;29?j7cj3:17pl78;291?6=8r.8<:4>e59K76g5<5<289K76g5<53;294~"48>0>>6F<3`9j54d=831b=:180>5<7s-9;;7?ke:J07d=n98h1<75f10a94?=h9mh1<75rb3a0>5<4290;w)=?7;3ga>N4;h1b=c;29?j7cj3:17pl94;296?6=8r.8<:4>289K76g5<52z\0`1=:;m31=il4}r1;55z\032=:090:=o52391954d<5:n3647f3ty59z\4b>;5j?09in522c:96`e<5;hj6?kl;<:7>7cd342=6?kl;<1;278<5<6lk1vi750;;xZa?<5?815n522c49=f=::k215n522cc9=f=:0=02o6376;;`?85?033h70=?e;;`?xu?;3:1?vP73:?;0??c34236?28;o7p}=b483>6}Y:k?01?l9:8f897e628;i7p}=b683>6}Y:k=01?l7:8f897e428;i7p}=b883>6}Y:k301?ln:8f897e228;i7p}<7g83>7}Y;>l01>6;:0fa?xu6::0;6>uQ131896>?2h;01>>j:`38yv>52908w067:0fa?85?k3;:n63<82825f=z{:296=4={<1;0?76i2784>4>dc9~w34=838p1;<521c89=6=98i0q~7>52z?1fc<69j16>n=51e`8yv4d<3:1>v3=bg825a=::j?1=il4}r:7>5<5s42?6?>n;<:;>47d3ty3:7>52z?;2?47i27347?>e:p75c=838p1>>j:32b?85c03;:o6s|2c494?4|5;h=6?>n;<0`5?76k2wx>o650;0x97d?2;:j70{t:kk1<776f348h97?>c:p7a1=838p1>j6:03b?85c03;on6s|2c694?4|5;hm64?:3y>2767:8f8966b20n01>6l:0fa?x{e;>81<7<50;2x 66028827E=t$224>44>3A98m6g>1`83>>i6lk0;66sm34694?5=83:p(>>8:408L65f3`;:n7>5;h32g?6=3f;on7>5;|`031<72:0;6=u+31595ac<@:9j7d?>b;29?l76k3:17b?kb;29?xd4lm0;6>4?:1y'751==;1C?>o4i03a>5<5<52;294~"48>0:>45G32c8m47f2900c67;|q03=<72=qU?:64=2ga>610349<<7=87:?02f<4?>1v>j::181[5c=278:n453b38yv5el3:1>vP7`d=;kn0q~=m4;296~X4j=16?hl53c68yv5?93:1>vP<809>73`=;>l0q~=m2;296~X4j;16?hl53`48yv5?=3:1>vP<849>7`d=;1?0q~=ma;297~X4jh16?;753cc8960a2:hj7p}<8`83>7}Y;1k01>8i:2:;?xu4?00;6?uQ36;8960a2:=<7p}<7d83>7}Y;>o01>8i:25f?xu4j:0;6?uQ3c1896ce2:i37p}7}Y;m<01>8i:2f7?xu4k:0;6?uQ3b18960a2:i:7p}7}Y;hk01>km:2cb?xu4?80;6?uQ3638961528ni7p}<7483>7}Y;>?01>99:0fa?xu41h0;6>uQ38c896ce2:3270=80;1:=>{t1=0;6?uQ959>73`=0o1v4;50;4xZ<3<5:<264=4=2ga><5<5:=;64=4=244>a?<5:7}Y;1n01>8i:2:g?xu41k0;6?uQ38`8960a2:327p}7}Y;jn01>8i:2a`?xu4190;6?uQ382896172:3;7p}<9383>7}Y;0801>8i:2;1?xu4lk0;69uQ3e`8960>2:nj70=jb;1ge>;4?908hl5rs2:3>5<5sW93<63<6b803c=z{;n26=4={<1ff?4c1278::4=d89~w7e12909w0=jb;0`2>;4>>09o;5rs015>5<5s49<<7?<6:?02c<6;?1v<;i:18185113;>j63<71821c=z{88j6=4={<144?75i278:k4>2`9~w7c02909w0=99;0f3>;4==0:=o5rs3gf>5<5s49=5701>9;:03`?xu3>3:1>v3<71870>;4?=0:=o5rs3f4>5<5s49nn71v:h50;7x960>2>l01>km:6d896172>l01>88:838960d2>l0q~=9d;296~;4>j09n45237g95ad52z?035<6>816?;h51738yv5703:1>v3<66804==:;mn1=702=98i0q~=kc;296~;4lm0:ho5237g954g52z?0ag<5j=16?;m52c78yv74n3:1>v3<68827c=:;>:1=>h4}r35a?6=:r78;=4>6d9>73`=9030q~52z?037<69h16?::51e`8yv72<3:1>v3<718211=:;?l1=8k4}r011?6=:r78:44=249>7`d=:;?0q~=:3;296~;4>j03?63<5582`g=z{;k>6=4={<15=?4f=278io4=a49~w4442909w0=99;317>;4>j0:>>5rs9594?4|5:=;65<4=24`>=352z?035<60l16?;h518:8yv2d2909w0=80;6`?850>3;:m6s|20c94?4|V;;j70=jb;02e>"5mk09=45a2dc94>{t:821<7"5mk09=45a2dc96>{t:;81<76*=ec815<=i:lk1?6s|23394?4|V;8:70=jb;015>"5mk09=45a2dc90>{t:;:1<7"5mk09=45a2dc92>{t:8o1<7"5mk09=45a2dc9<>{t:8i1<7"5mk09=45a2dc9e>{t:8=1<77}Y?=16?;753c9'6`d=?:1e>ho50:p37<72;qU;?5237;97<=#:lh1;>5a2dc95>{t?80;6>uQ709>73?=;116?hl5399'6`d=?:1e>ho52:p35<72:qU;=5237;973=:;lh1?;5+2d`936=i:lk1?6s|6d83>6}Y>l16?;75359>7`d=;=1/>hl5729m6`g=<2wx:i4?:2y]2a=:;?31?>523d`976=#:lh1;>5a2dc91>{t>j0;6>uQ6b9>73?=;;16?hl5339'6`d=?:1e>ho56:p2g<72:qU:o5237;974=:;lh1?<5+2d`936=i:lk1;6s|6`83>6}Y>h16?;75319>7`d=;91/>hl5729m6`g=02wx:44?:2y]2<=:;?31>k523d`96c=#:lh1;>5a2dc9=>{t>10;6>uQ699>73?=:l16?hl52d9'6`d=?:1e>ho5a:p22<72:qU::5237;96a=:;lh1>i5+2d`936=i:lk1n6s|6783>6}Y>?16?;752b9>7`d=:j1/>hl5729m6`g=k2wx:84?:2y]20=:;?31>o523d`96g=#:lh1;>5a2dc9`>{t?j0;6>uQ7b9>73?=<:16?hl5429'6`d=?:1e>ho5e:p3g<72:qU;o5237;907=:;lh18?5+2d`936=i:lk1j6s|7`83>7}Y?h16?hl5409'6`d=?:1e>ho5119~w2?=838pR:74=2ga>16<,;oi6:=4n3gb>473:p33<72;qU;;523d`97a=#:lh1;>5a2dc951=z{>?1<7 + + + + + +]> + diff --git a/cpld/XC95144XL/MXSE.prj b/cpld/XC95144XL/MXSE.prj new file mode 100644 index 0000000..644748a --- /dev/null +++ b/cpld/XC95144XL/MXSE.prj @@ -0,0 +1,7 @@ +verilog work "../RAM.v" +verilog work "../IOBS.v" +verilog work "../IOBM.v" +verilog work "../FSB.v" +verilog work "../CS.v" +verilog work "../CNT.v" +verilog work "../MXSE.v" diff --git a/cpld/XC95144XL/MXSE.rpt b/cpld/XC95144XL/MXSE.rpt new file mode 100644 index 0000000..778e983 --- /dev/null +++ b/cpld/XC95144XL/MXSE.rpt @@ -0,0 +1,677 @@ + +cpldfit: version P.20131013 Xilinx Inc. + Fitter Report +Design Name: MXSE Date: 10-24-2021, 7:13AM +Device Used: XC95144XL-10-TQ100 +Fitting Status: Successful + +************************* Mapped Resource Summary ************************** + +Macrocells Product Terms Function Block Registers Pins +Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot +108/144 ( 75%) 448 /720 ( 62%) 237/432 ( 55%) 82 /144 ( 57%) 67 /81 ( 83%) + +** Function Block Resources ** + +Function Mcells FB Inps Pterms IO +Block Used/Tot Used/Tot Used/Tot Used/Tot +FB1 9/18 39/54 82/90 11/11* +FB2 18/18* 38/54 33/90 6/10 +FB3 18/18* 29/54 51/90 7/10 +FB4 12/18 36/54 81/90 10/10* +FB5 8/18 21/54 81/90 3/10 +FB6 14/18 41/54 75/90 10/10* +FB7 18/18* 20/54 35/90 10/10* +FB8 11/18 13/54 10/90 10/10* + ----- ----- ----- ----- + 108/144 237/432 448/720 67/81 + +* - Resource is exhausted + +** Global Control Resources ** + +Signal 'CLK2X_IOB' mapped onto global clock net GCK1. +Signal 'CLK_FSB' mapped onto global clock net GCK2. +Signal 'CLK_IOB' mapped onto global clock net GCK3. +Global output enable net(s) unused. +Signal 'nRES' mapped onto global set/reset net GSR. + +** Pin Resources ** + +Signal Type Required Mapped | Pin Type Used Total +------------------------------------|------------------------------------ +Input : 31 31 | I/O : 63 73 +Output : 32 32 | GCK/IO : 3 3 +Bidirectional : 0 0 | GTS/IO : 0 4 +GCK : 3 3 | GSR/IO : 1 1 +GTS : 0 0 | +GSR : 1 1 | + ---- ---- + Total 67 67 + +** Power Data ** + +There are 108 macrocells in high performance mode (MCHP). +There are 0 macrocells in low power mode (MCLP). +End of Mapped Resource Summary + ************************** Errors and Warnings *************************** + +WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will + use the default filename of 'MXSE.ise'. + ************************* Summary of Mapped Logic ************************ + +** 32 Outputs ** + +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 23 32 FB1_2 11 I/O O STD FAST RESET +nBERR_FSB 3 9 FB1_6 14 I/O O STD FAST +RA<0> 2 3 FB1_11 17 I/O O STD FAST +RA<3> 2 3 FB2_11 6 I/O O STD FAST +RA<4> 2 3 FB2_14 8 I/O O STD FAST +RA<6> 2 3 FB2_17 10 I/O O STD FAST +nLDS_IOB 3 7 FB3_5 24 I/O O STD FAST RESET +nDoutOE 2 7 FB3_11 29 I/O O STD FAST RESET +nAS_IOB 1 5 FB3_14 32 I/O O STD FAST RESET +nUDS_IOB 3 7 FB3_17 34 I/O O STD FAST RESET +nRAS 3 8 FB4_2 87 I/O O STD FAST +RA<1> 2 3 FB4_6 90 I/O O STD FAST +RA<2> 2 3 FB4_9 92 I/O O STD FAST +RA<5> 2 3 FB4_12 94 I/O O STD FAST +nVMA_IOB 2 9 FB4_15 96 I/O O STD FAST RESET +nDinOE 2 6 FB5_8 39 I/O O STD FAST +nROMCS 2 5 FB5_11 41 I/O O STD FAST +nADoutLE1 14 18 FB5_14 43 I/O O STD FAST SET +nCAS 1 1 FB6_2 74 I/O O STD FAST RESET +nOE 1 2 FB6_6 77 I/O O STD FAST +nRAMLWE 1 5 FB6_9 79 I/O O STD FAST +nRAMUWE 1 5 FB6_12 81 I/O O STD FAST +nROMWE 1 2 FB6_15 85 I/O O STD FAST +nVPA_FSB 1 2 FB6_17 86 I/O O STD FAST +RA<7> 2 3 FB7_2 50 I/O O STD FAST +RA<8> 2 3 FB7_8 54 I/O O STD FAST +RA<9> 2 3 FB7_12 58 I/O O STD FAST +RA<11> 1 1 FB7_17 61 I/O O STD FAST +RA<10> 1 1 FB8_2 63 I/O O STD FAST +nADoutLE0 1 2 FB8_8 66 I/O O STD FAST +nAoutOE 0 0 FB8_12 70 I/O O STD FAST +nDinLE 1 2 FB8_17 73 I/O O STD FAST RESET + +** 76 Buried Nodes ** + +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +cs/nOverlay1 2 3 FB1_5 STD RESET +fsb/Ready2r 9 22 FB1_7 STD RESET +fsb/VPA__or00001/fsb/VPA__or00001_D2 8 20 FB1_8 STD +fsb/Ready1r 7 17 FB1_9 STD RESET +fsb/VPA 22 30 FB1_13 STD RESET +$OpTx$FX_DC$607 6 12 FB1_16 STD +cnt/RefCnt<7> 1 7 FB2_1 STD RESET +cnt/RefCnt<6> 1 6 FB2_2 STD RESET +cnt/RefCnt<5> 1 5 FB2_3 STD RESET +cnt/RefCnt<4> 1 4 FB2_4 STD RESET +cnt/RefCnt<3> 1 3 FB2_5 STD RESET +cnt/RefCnt<2> 1 2 FB2_6 STD RESET +cnt/RefCnt<1> 1 1 FB2_7 STD RESET +iobs/PS_FSM_FFd1 2 3 FB2_8 STD RESET +fsb/BERR1r 2 4 FB2_9 STD RESET +cs/nOverlay0 2 7 FB2_10 STD RESET +cnt/RefDone 2 10 FB2_12 STD RESET +$OpTx$FX_DC$603 2 5 FB2_13 STD +IOU0 3 5 FB2_15 STD RESET +IOL0 3 5 FB2_16 STD RESET +iobs/IOReady 4 8 FB2_18 STD RESET +iobm/IOS_FSM_FFd7 1 3 FB3_1 STD RESET +iobm/IOS_FSM_FFd6 1 1 FB3_2 STD RESET +iobm/IOS_FSM_FFd5 1 1 FB3_3 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB3_4 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB3_6 STD RESET +iobm/BERRrr 1 1 FB3_7 STD RESET +iobm/BERRrf 1 1 FB3_8 STD RESET +iobm/IOS_FSM_FFd8 2 4 FB3_9 STD SET +ALE0M 2 7 FB3_10 STD RESET +iobm/IOS_FSM_FFd2 4 9 FB3_12 STD RESET +BERR_IOBS 4 8 FB3_13 STD RESET +iobm/IOS_FSM_FFd3 5 10 FB3_15 STD RESET +IOBERR 8 11 FB3_16 STD RESET +IOACT 10 15 FB3_18 STD RESET +ram/RASEL 20 15 FB4_1 STD RESET +fsb/Ready0r 3 8 FB4_3 STD RESET +iobm/ETACK 1 6 FB4_5 STD RESET +ram/RAMReady 16 15 FB4_7 STD RESET +ram/RAMDIS2 7 15 FB4_11 STD RESET + +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +ram/RAMDIS1 18 15 FB4_13 STD RESET +ram/Once 5 10 FB4_16 STD RESET +IORW0 18 20 FB5_3 STD RESET +iobs/PS_FSM_FFd2 14 19 FB5_7 STD RESET +IOREQ 14 19 FB5_9 STD RESET +ALE0S 1 2 FB5_15 STD RESET +iobs/IORW1 16 19 FB5_17 STD RESET +iobs/Once 17 18 FB6_1 STD RESET +fsb/BERR0r 3 8 FB6_3 STD RESET +ram/RS_FSM_FFd3 11 14 FB6_4 STD RESET +TimeoutB 3 12 FB6_5 STD RESET +TimeoutA 3 11 FB6_7 STD RESET +ram/RS_FSM_FFd1 5 10 FB6_8 STD RESET +ram/RS_FSM_FFd2 13 14 FB6_10 STD RESET +iobs/Load1 14 18 FB6_13 STD RESET +iobm/VPArr 1 1 FB7_1 STD RESET +iobm/VPArf 1 1 FB7_3 STD RESET +iobm/RESrr 1 1 FB7_4 STD RESET +iobm/RESrf 1 1 FB7_5 STD RESET +iobm/IOREQr 1 1 FB7_6 STD RESET +iobm/Er2 1 1 FB7_7 STD RESET +iobm/DTACKrr 1 1 FB7_9 STD RESET +iobm/DTACKrf 1 1 FB7_10 STD RESET +iobs/IOL1 2 2 FB7_11 STD RESET +iobm/ES<3> 3 6 FB7_13 STD RESET +iobm/ES<1> 3 4 FB7_14 STD RESET +iobm/ES<0> 3 7 FB7_15 STD RESET +iobm/ES<4> 4 7 FB7_16 STD RESET +iobm/ES<2> 5 7 FB7_18 STD RESET +ram/BACTr 1 2 FB8_10 STD RESET +iobs/IOACTr 1 1 FB8_11 STD RESET +iobm/Er 1 1 FB8_13 STD RESET +fsb/ASrf 1 1 FB8_14 STD RESET +cnt/RefCnt<0> 0 0 FB8_15 STD RESET +RefAck 1 2 FB8_16 STD RESET +iobs/IOU1 2 2 FB8_18 STD RESET + +** 35 Inputs ** + +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<15> FB1_3 12 I/O I +A_FSB<1> FB1_5 13 I/O I +A_FSB<9> FB1_8 15 I/O I +A_FSB<5> FB1_9 16 I/O I +A_FSB<2> FB1_12 18 I/O I +A_FSB<6> FB1_14 19 I/O I +nBERR_IOB FB1_15 20 I/O I +CLK2X_IOB FB1_17 22~ GCK/I/O GCK +nRES FB2_2 99~ GSR/I/O GSR/I +nAS_FSB FB2_12 7 I/O I +nUDS_FSB FB2_15 9 I/O I +CLK_FSB FB3_2 23~ GCK/I/O GCK +nVPA_IOB FB3_6 25 I/O I +CLK_IOB FB3_8 27~ GCK/I/O GCK/I +A_FSB<13> FB4_5 89 I/O I +A_FSB<14> FB4_8 91 I/O I +A_FSB<17> FB4_11 93 I/O I +A_FSB<19> FB4_14 95 I/O I +A_FSB<21> FB4_17 97 I/O I +A_FSB<22> FB6_5 76 I/O I +A_FSB<20> FB6_8 78 I/O I +A_FSB<18> FB6_11 80 I/O I +A_FSB<16> FB6_14 82 I/O I +E_IOB FB7_5 52 I/O I +nDTACK_IOB FB7_6 53 I/O I +A_FSB<3> FB7_9 55 I/O I +A_FSB<7> FB7_11 56 I/O I +A_FSB<4> FB7_14 59 I/O I +A_FSB<8> FB7_15 60 I/O I +nWE_FSB FB8_5 64 I/O I +A_FSB<12> FB8_6 65 I/O I +A_FSB<11> FB8_9 67 I/O I +nLDS_FSB FB8_11 68 I/O I +A_FSB<23> FB8_14 71 I/O I +A_FSB<10> FB8_15 72 I/O I + +Legend: +Pin No. - ~ - User Assigned + ************************** Function Block Details ************************ +Legend: +Total Pt - Total product terms used by the macrocell signal +Imp Pt - Product terms imported from other macrocells +Exp Pt - Product terms exported to other macrocells + in direction shown +Unused Pt - Unused local product terms remaining in macrocell +Loc - Location where logic was mapped in device +Pin Type/Use - I - Input GCK - Global Clock + O - Output GTS - Global Output Enable + (b) - Buried macrocell GSR - Global Set/Reset +X - Signal used as input to the macrocell logic. +Pin No. - ~ - User Assigned + *********************************** FB1 *********************************** +Number of function block inputs used/remaining: 39/15 +Number of signals used by logic mapping into function block: 39 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 \/5 0 FB1_1 (b) (b) +nDTACK_FSB 23 18<- 0 0 FB1_2 11 I/O O +(unused) 0 0 /\5 0 FB1_3 12 I/O I +(unused) 0 0 /\5 0 FB1_4 (b) (b) +cs/nOverlay1 2 0 \/2 1 FB1_5 13 I/O I +nBERR_FSB 3 2<- \/4 0 FB1_6 14 I/O O +fsb/Ready2r 9 4<- 0 0 FB1_7 (b) (b) +fsb/VPA__or00001/fsb/VPA__or00001_D2 + 8 3<- 0 0 FB1_8 15 I/O I +fsb/Ready1r 7 5<- /\3 0 FB1_9 16 I/O I +(unused) 0 0 /\5 0 FB1_10 (b) (b) +RA<0> 2 0 \/2 1 FB1_11 17 I/O O +(unused) 0 0 \/5 0 FB1_12 18 I/O I +fsb/VPA 22 17<- 0 0 FB1_13 (b) (b) +(unused) 0 0 /\5 0 FB1_14 19 I/O I +(unused) 0 0 /\5 0 FB1_15 20 I/O I +$OpTx$FX_DC$607 6 1<- 0 0 FB1_16 (b) (b) +(unused) 0 0 /\1 4 FB1_17 22 GCK/I/O GCK +(unused) 0 0 \/3 2 FB1_18 (b) (b) + +Signals Used by Logic in Function Block + 1: $OpTx$FX_DC$603 14: A_FSB<20> 27: fsb/BERR1r + 2: $OpTx$FX_DC$607 15: A_FSB<21> 28: fsb/Ready0r + 3: A_FSB<10> 16: A_FSB<22> 29: fsb/Ready1r + 4: A_FSB<11> 17: A_FSB<23> 30: fsb/Ready2r + 5: A_FSB<12> 18: A_FSB<8> 31: fsb/VPA + 6: A_FSB<13> 19: A_FSB<9> 32: fsb/VPA__or00001/fsb/VPA__or00001_D2 + 7: A_FSB<14> 20: BERR_IOBS 33: iobs/IOReady + 8: A_FSB<15> 21: TimeoutA 34: nADoutLE1 + 9: A_FSB<16> 22: TimeoutB 35: nAS_FSB + 10: A_FSB<17> 23: cs/nOverlay0 36: nDTACK_FSB + 11: A_FSB<18> 24: cs/nOverlay1 37: nWE_FSB + 12: A_FSB<19> 25: fsb/ASrf 38: ram/RAMReady + 13: A_FSB<1> 26: fsb/BERR0r 39: ram/RASEL + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +nDTACK_FSB X.XXXXXXXXXX.XXXXXXXX..XXXXXXX..XXXXXX.. 32 +cs/nOverlay1 ......................X.X.........X..... 3 +nBERR_FSB .............XXXX..X.X...XX.......X..... 9 +fsb/Ready2r ..XXXXXXXXXX.XXXXXX.X..XX....X....X.X... 22 +fsb/VPA__or00001/fsb/VPA__or00001_D2 + ..XXXXXXXXXX.XXXXXX.X..X.....X......X... 20 +fsb/Ready1r .....XX.XXXX.XXXX......XX...X...XXX.X... 17 +RA<0> ..X.........X.........................X. 3 +fsb/VPA XXXXXXXXXXXX.XXXXXXX...XXXXXX.XXX.X..X.. 30 +$OpTx$FX_DC$607 .....XX.XXXX.XXX.......X.........X..X... 12 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB2 *********************************** +Number of function block inputs used/remaining: 38/16 +Number of signals used by logic mapping into function block: 38 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +cnt/RefCnt<7> 1 0 0 4 FB2_1 (b) (b) +cnt/RefCnt<6> 1 0 0 4 FB2_2 99 GSR/I/O GSR/I +cnt/RefCnt<5> 1 0 0 4 FB2_3 (b) (b) +cnt/RefCnt<4> 1 0 0 4 FB2_4 (b) (b) +cnt/RefCnt<3> 1 0 0 4 FB2_5 1 GTS/I/O (b) +cnt/RefCnt<2> 1 0 0 4 FB2_6 2 GTS/I/O (b) +cnt/RefCnt<1> 1 0 0 4 FB2_7 (b) (b) +iobs/PS_FSM_FFd1 2 0 0 3 FB2_8 3 GTS/I/O (b) +fsb/BERR1r 2 0 0 3 FB2_9 4 GTS/I/O (b) +cs/nOverlay0 2 0 0 3 FB2_10 (b) (b) +RA<3> 2 0 0 3 FB2_11 6 I/O O +cnt/RefDone 2 0 0 3 FB2_12 7 I/O I +$OpTx$FX_DC$603 2 0 0 3 FB2_13 (b) (b) +RA<4> 2 0 0 3 FB2_14 8 I/O O +IOU0 3 0 0 2 FB2_15 9 I/O I +IOL0 3 0 0 2 FB2_16 (b) (b) +RA<6> 2 0 0 3 FB2_17 10 I/O O +iobs/IOReady 4 0 0 1 FB2_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<13> 14: TimeoutB 27: iobs/IOACTr + 2: A_FSB<14> 15: cnt/RefCnt<0> 28: iobs/IOL1 + 3: A_FSB<16> 16: cnt/RefCnt<1> 29: iobs/IOReady + 4: A_FSB<20> 17: cnt/RefCnt<2> 30: iobs/IOU1 + 5: A_FSB<21> 18: cnt/RefCnt<3> 31: iobs/Once + 6: A_FSB<22> 19: cnt/RefCnt<4> 32: iobs/PS_FSM_FFd1 + 7: A_FSB<23> 20: cnt/RefCnt<5> 33: iobs/PS_FSM_FFd2 + 8: A_FSB<4> 21: cnt/RefCnt<6> 34: nADoutLE1 + 9: A_FSB<5> 22: cnt/RefCnt<7> 35: nAS_FSB + 10: A_FSB<7> 23: cnt/RefDone 36: nLDS_FSB + 11: BERR_IOBS 24: cs/nOverlay0 37: nUDS_FSB + 12: IOBERR 25: fsb/ASrf 38: ram/RASEL + 13: RefAck 26: fsb/BERR1r + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +cnt/RefCnt<7> ..............XXXXXXX................... 7 +cnt/RefCnt<6> ..............XXXXXX.................... 6 +cnt/RefCnt<5> ..............XXXXX..................... 5 +cnt/RefCnt<4> ..............XXXX...................... 4 +cnt/RefCnt<3> ..............XXX....................... 3 +cnt/RefCnt<2> ..............XX........................ 2 +cnt/RefCnt<1> ..............X......................... 1 +iobs/PS_FSM_FFd1 ..........................X....XX....... 3 +fsb/BERR1r ..........X.............XX........X..... 4 +cs/nOverlay0 ...XXXX................XX.........X..... 7 +RA<3> X......X.............................X.. 3 +cnt/RefDone ............X.XXXXXXXXX................. 10 +$OpTx$FX_DC$603 ...XXXX......X.......................... 5 +RA<4> .X......X............................X.. 3 +IOU0 .............................X.XXX..X... 5 +IOL0 ...........................X...XXX.X.... 5 +RA<6> ..X......X...........................X.. 3 +iobs/IOReady ...........X............X.X.X.X.XXX..... 8 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB3 *********************************** +Number of function block inputs used/remaining: 29/25 +Number of signals used by logic mapping into function block: 29 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobm/IOS_FSM_FFd7 1 0 /\3 1 FB3_1 (b) (b) +iobm/IOS_FSM_FFd6 1 0 0 4 FB3_2 23 GCK/I/O GCK +iobm/IOS_FSM_FFd5 1 0 0 4 FB3_3 (b) (b) +iobm/IOS_FSM_FFd4 1 0 0 4 FB3_4 (b) (b) +nLDS_IOB 3 0 0 2 FB3_5 24 I/O O +iobm/IOS_FSM_FFd1 1 0 0 4 FB3_6 25 I/O I +iobm/BERRrr 1 0 0 4 FB3_7 (b) (b) +iobm/BERRrf 1 0 0 4 FB3_8 27 GCK/I/O GCK/I +iobm/IOS_FSM_FFd8 2 0 0 3 FB3_9 28 I/O (b) +ALE0M 2 0 0 3 FB3_10 (b) (b) +nDoutOE 2 0 0 3 FB3_11 29 I/O O +iobm/IOS_FSM_FFd2 4 0 0 1 FB3_12 30 I/O (b) +BERR_IOBS 4 0 0 1 FB3_13 (b) (b) +nAS_IOB 1 0 \/3 1 FB3_14 32 I/O O +iobm/IOS_FSM_FFd3 5 3<- \/3 0 FB3_15 33 I/O (b) +IOBERR 8 3<- 0 0 FB3_16 (b) (b) +nUDS_IOB 3 0 \/2 0 FB3_17 34 I/O O +IOACT 10 5<- 0 0 FB3_18 (b) (b) + +Signals Used by Logic in Function Block + 1: BERR_IOBS 11: iobm/DTACKrr 21: iobm/IOS_FSM_FFd8 + 2: CLK_IOB 12: iobm/ETACK 22: iobm/RESrf + 3: IOBERR 13: iobm/IOREQr 23: iobm/RESrr + 4: IOL0 14: iobm/IOS_FSM_FFd1 24: iobs/IOACTr + 5: IORW0 15: iobm/IOS_FSM_FFd2 25: iobs/Once + 6: IOU0 16: iobm/IOS_FSM_FFd3 26: iobs/PS_FSM_FFd2 + 7: fsb/ASrf 17: iobm/IOS_FSM_FFd4 27: nADoutLE1 + 8: iobm/BERRrf 18: iobm/IOS_FSM_FFd5 28: nAS_FSB + 9: iobm/BERRrr 19: iobm/IOS_FSM_FFd6 29: nBERR_IOB + 10: iobm/DTACKrf 20: iobm/IOS_FSM_FFd7 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobm/IOS_FSM_FFd7 .X..........X.......X................... 3 +iobm/IOS_FSM_FFd6 ...................X.................... 1 +iobm/IOS_FSM_FFd5 ..................X..................... 1 +iobm/IOS_FSM_FFd4 .................X...................... 1 +nLDS_IOB ...XX..........XXXXX.................... 7 +iobm/IOS_FSM_FFd1 ..............X......................... 1 +iobm/BERRrr ............................X........... 1 +iobm/BERRrf ............................X........... 1 +iobm/IOS_FSM_FFd8 .X..........XX......X................... 4 +ALE0M ............X..XXXXXX................... 7 +nDoutOE ....X.........XXXXXX.................... 7 +iobm/IOS_FSM_FFd2 .X.....XXXXX...X.....XX................. 9 +BERR_IOBS X.X...X................XXXXX............ 8 +nAS_IOB ...............XXXXX.................... 5 +iobm/IOS_FSM_FFd3 .X.....XXXXX...XX....XX................. 10 +IOBERR .XX....XXXXX...X.....XX.....X........... 11 +nUDS_IOB ....XX.........XXXXX.................... 7 +IOACT .X.....XXXXXX..XXXXXXXX................. 15 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 36/18 +Number of signals used by logic mapping into function block: 36 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/RASEL 20 15<- 0 0 FB4_1 (b) (b) +nRAS 3 3<- /\5 0 FB4_2 87 I/O O +fsb/Ready0r 3 1<- /\3 0 FB4_3 (b) (b) +(unused) 0 0 /\1 4 FB4_4 (b) (b) +iobm/ETACK 1 0 \/2 2 FB4_5 89 I/O I +RA<1> 2 2<- \/5 0 FB4_6 90 I/O O +ram/RAMReady 16 11<- 0 0 FB4_7 (b) (b) +(unused) 0 0 /\5 0 FB4_8 91 I/O I +RA<2> 2 0 /\1 2 FB4_9 92 I/O O +(unused) 0 0 \/4 1 FB4_10 (b) (b) +ram/RAMDIS2 7 4<- \/2 0 FB4_11 93 I/O I +RA<5> 2 2<- \/5 0 FB4_12 94 I/O O +ram/RAMDIS1 18 13<- 0 0 FB4_13 (b) (b) +(unused) 0 0 /\5 0 FB4_14 95 I/O I +nVMA_IOB 2 0 /\3 0 FB4_15 96 I/O O +ram/Once 5 0 0 0 FB4_16 (b) (b) +(unused) 0 0 \/5 0 FB4_17 97 I/O I +(unused) 0 0 \/5 0 FB4_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<11> 13: cnt/RefCnt<6> 25: iobm/VPArr + 2: A_FSB<12> 14: cnt/RefCnt<7> 26: nAS_FSB + 3: A_FSB<15> 15: cnt/RefDone 27: nVMA_IOB + 4: A_FSB<21> 16: cs/nOverlay1 28: ram/BACTr + 5: A_FSB<22> 17: fsb/ASrf 29: ram/Once + 6: A_FSB<23> 18: fsb/Ready0r 30: ram/RAMDIS1 + 7: A_FSB<2> 19: iobm/ES<0> 31: ram/RAMDIS2 + 8: A_FSB<3> 20: iobm/ES<1> 32: ram/RAMReady + 9: A_FSB<6> 21: iobm/ES<2> 33: ram/RASEL + 10: IOACT 22: iobm/ES<3> 34: ram/RS_FSM_FFd1 + 11: RefAck 23: iobm/ES<4> 35: ram/RS_FSM_FFd2 + 12: cnt/RefCnt<5> 24: iobm/VPArf 36: ram/RS_FSM_FFd3 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RASEL ...XXX.....XXXXXX........X.XX....XXX.... 15 +nRAS ...XXX....X....X.........X...XX......... 8 +fsb/Ready0r ...XXX.........XXX.......X.....X........ 8 +iobm/ETACK ..................XXXXX...X............. 6 +RA<1> X.....X.........................X....... 3 +ram/RAMReady ...XXX.....XXXXXX........X.XX....XXX.... 15 +RA<2> .X.....X........................X....... 3 +ram/RAMDIS2 ...XXX.....XXXXXX........X..X.X..XXX.... 15 +RA<5> ..X.....X.......................X....... 3 +ram/RAMDIS1 ...XXX.....XXXXXX........X.XX....XXX.... 15 +nVMA_IOB .........X........XXXXXXX.X............. 9 +ram/Once ...XXX.........XX........X..X....XXX.... 10 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB5 *********************************** +Number of function block inputs used/remaining: 21/33 +Number of signals used by logic mapping into function block: 21 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 /\1 4 FB5_1 (b) (b) +(unused) 0 0 \/5 0 FB5_2 35 I/O (b) +IORW0 18 13<- 0 0 FB5_3 (b) (b) +(unused) 0 0 /\5 0 FB5_4 (b) (b) +(unused) 0 0 /\3 2 FB5_5 36 I/O (b) +(unused) 0 0 \/5 0 FB5_6 37 I/O (b) +iobs/PS_FSM_FFd2 14 9<- 0 0 FB5_7 (b) (b) +nDinOE 2 1<- /\4 0 FB5_8 39 I/O O +IOREQ 14 10<- /\1 0 FB5_9 40 I/O (b) +(unused) 0 0 /\5 0 FB5_10 (b) (b) +nROMCS 2 2<- /\5 0 FB5_11 41 I/O O +(unused) 0 0 /\2 3 FB5_12 42 I/O (b) +(unused) 0 0 \/5 0 FB5_13 (b) (b) +nADoutLE1 14 9<- 0 0 FB5_14 43 I/O O +ALE0S 1 0 /\4 0 FB5_15 46 I/O (b) +(unused) 0 0 \/5 0 FB5_16 (b) (b) +iobs/IORW1 16 11<- 0 0 FB5_17 49 I/O (b) +(unused) 0 0 /\5 0 FB5_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<13> 8: A_FSB<21> 15: iobs/IORW1 + 2: A_FSB<14> 9: A_FSB<22> 16: iobs/Once + 3: A_FSB<16> 10: A_FSB<23> 17: iobs/PS_FSM_FFd1 + 4: A_FSB<17> 11: IORW0 18: iobs/PS_FSM_FFd2 + 5: A_FSB<18> 12: cs/nOverlay1 19: nADoutLE1 + 6: A_FSB<19> 13: fsb/ASrf 20: nAS_FSB + 7: A_FSB<20> 14: iobs/IOACTr 21: nWE_FSB + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +IORW0 XXXXXXXXXXXXX.XXXXXXX................... 20 +iobs/PS_FSM_FFd2 XXXXXXXXXX.XXX.XXXXXX................... 19 +nDinOE ......XXXX.........XX................... 6 +IOREQ XXXXXXXXXX.XXX.XXXXXX................... 19 +nROMCS ......XXXX.X............................ 5 +nADoutLE1 XXXXXXXXXX.XX..XXXXXX................... 18 +ALE0S ................XX...................... 2 +iobs/IORW1 XXXXXXXXXX.XX.XXXXXXX................... 19 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB6 *********************************** +Number of function block inputs used/remaining: 41/13 +Number of signals used by logic mapping into function block: 41 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobs/Once 17 12<- 0 0 FB6_1 (b) (b) +nCAS 1 0 /\4 0 FB6_2 74 I/O O +fsb/BERR0r 3 0 \/2 0 FB6_3 (b) (b) +ram/RS_FSM_FFd3 11 6<- 0 0 FB6_4 (b) (b) +TimeoutB 3 2<- /\4 0 FB6_5 76 I/O I +nOE 1 0 /\2 2 FB6_6 77 I/O O +TimeoutA 3 0 0 2 FB6_7 (b) (b) +ram/RS_FSM_FFd1 5 0 0 0 FB6_8 78 I/O I +nRAMLWE 1 0 \/3 1 FB6_9 79 I/O O +ram/RS_FSM_FFd2 13 8<- 0 0 FB6_10 (b) (b) +(unused) 0 0 /\5 0 FB6_11 80 I/O I +nRAMUWE 1 0 \/4 0 FB6_12 81 I/O O +iobs/Load1 14 9<- 0 0 FB6_13 (b) (b) +(unused) 0 0 /\5 0 FB6_14 82 I/O I +nROMWE 1 0 0 4 FB6_15 85 I/O O +(unused) 0 0 0 5 FB6_16 (b) +nVPA_FSB 1 0 \/3 1 FB6_17 86 I/O O +(unused) 0 0 \/5 0 FB6_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<13> 15: cnt/RefCnt<2> 29: nADoutLE1 + 2: A_FSB<14> 16: cnt/RefCnt<3> 30: nAS_FSB + 3: A_FSB<16> 17: cnt/RefCnt<4> 31: nLDS_FSB + 4: A_FSB<17> 18: cnt/RefCnt<5> 32: nUDS_FSB + 5: A_FSB<18> 19: cnt/RefCnt<6> 33: nWE_FSB + 6: A_FSB<19> 20: cnt/RefCnt<7> 34: ram/BACTr + 7: A_FSB<20> 21: cnt/RefDone 35: ram/Once + 8: A_FSB<21> 22: cs/nOverlay1 36: ram/RAMDIS1 + 9: A_FSB<22> 23: fsb/ASrf 37: ram/RAMDIS2 + 10: A_FSB<23> 24: fsb/BERR0r 38: ram/RASEL + 11: TimeoutA 25: fsb/VPA 39: ram/RS_FSM_FFd1 + 12: TimeoutB 26: iobs/Once 40: ram/RS_FSM_FFd2 + 13: cnt/RefCnt<0> 27: iobs/PS_FSM_FFd1 41: ram/RS_FSM_FFd3 + 14: cnt/RefCnt<1> 28: iobs/PS_FSM_FFd2 + +Signal 1 2 3 4 5 FB +Name 0----+----0----+----0----+----0----+----0----+----0 Inputs +iobs/Once XXXXXXXXXX...........XX..XXXXX..X................. 18 +nCAS .....................................X............ 1 +fsb/BERR0r ......XXXX.X..........XX.....X.................... 8 +ram/RS_FSM_FFd3 .......XXX.......XXXXXX......X....X...XXX......... 14 +TimeoutB ..........XXXXXXXXXX..X......X.................... 12 +nOE .............................X..X................. 2 +TimeoutA ..........X.XXXXXXXX..X......X.................... 11 +ram/RS_FSM_FFd1 .......XXX...........XX......X....X...XXX......... 10 +nRAMLWE .............................XX.X..XX............. 5 +ram/RS_FSM_FFd2 .......XXX.......XXXXXX......X...X....XXX......... 14 +nRAMUWE .............................X.XX..XX............. 5 +iobs/Load1 XXXXXXXXXX...........XX..XXXXX..X................. 18 +nROMWE .............................X..X................. 2 +nVPA_FSB ........................X....X.................... 2 + 0----+----1----+----2----+----3----+----4----+----5 + 0 0 0 0 0 + *********************************** FB7 *********************************** +Number of function block inputs used/remaining: 20/34 +Number of signals used by logic mapping into function block: 20 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobm/VPArr 1 0 0 4 FB7_1 (b) (b) +RA<7> 2 0 0 3 FB7_2 50 I/O O +iobm/VPArf 1 0 0 4 FB7_3 (b) (b) +iobm/RESrr 1 0 0 4 FB7_4 (b) (b) +iobm/RESrf 1 0 0 4 FB7_5 52 I/O I +iobm/IOREQr 1 0 0 4 FB7_6 53 I/O I +iobm/Er2 1 0 0 4 FB7_7 (b) (b) +RA<8> 2 0 0 3 FB7_8 54 I/O O +iobm/DTACKrr 1 0 0 4 FB7_9 55 I/O I +iobm/DTACKrf 1 0 0 4 FB7_10 (b) (b) +iobs/IOL1 2 0 0 3 FB7_11 56 I/O I +RA<9> 2 0 0 3 FB7_12 58 I/O O +iobm/ES<3> 3 0 0 2 FB7_13 (b) (b) +iobm/ES<1> 3 0 0 2 FB7_14 59 I/O I +iobm/ES<0> 3 0 0 2 FB7_15 60 I/O I +iobm/ES<4> 4 0 0 1 FB7_16 (b) (b) +RA<11> 1 0 0 4 FB7_17 61 I/O O +iobm/ES<2> 5 0 0 0 FB7_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<17> 8: iobm/ES<0> 15: iobs/Load1 + 2: A_FSB<18> 9: iobm/ES<1> 16: nDTACK_IOB + 3: A_FSB<19> 10: iobm/ES<2> 17: nLDS_FSB + 4: A_FSB<20> 11: iobm/ES<3> 18: nRES + 5: A_FSB<8> 12: iobm/ES<4> 19: nVPA_IOB + 6: A_FSB<9> 13: iobm/Er 20: ram/RASEL + 7: IOREQ 14: iobm/Er2 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobm/VPArr ..................X..................... 1 +RA<7> X...X..............X.................... 3 +iobm/VPArf ..................X..................... 1 +iobm/RESrr .................X...................... 1 +iobm/RESrf .................X...................... 1 +iobm/IOREQr ......X................................. 1 +iobm/Er2 ............X........................... 1 +RA<8> .X...X.............X.................... 3 +iobm/DTACKrr ...............X........................ 1 +iobm/DTACKrf ...............X........................ 1 +iobs/IOL1 ..............X.X....................... 2 +RA<9> ..XX...............X.................... 3 +iobm/ES<3> .......XXXX.XX.......................... 6 +iobm/ES<1> .......XX...XX.......................... 4 +iobm/ES<0> .......XXXXXXX.......................... 7 +iobm/ES<4> .......XXXXXXX.......................... 7 +RA<11> ..X..................................... 1 +iobm/ES<2> .......XXXXXXX.......................... 7 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB8 *********************************** +Number of function block inputs used/remaining: 13/41 +Number of signals used by logic mapping into function block: 13 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 0 5 FB8_1 (b) +RA<10> 1 0 0 4 FB8_2 63 I/O O +(unused) 0 0 0 5 FB8_3 (b) +(unused) 0 0 0 5 FB8_4 (b) +(unused) 0 0 0 5 FB8_5 64 I/O I +(unused) 0 0 0 5 FB8_6 65 I/O I +(unused) 0 0 0 5 FB8_7 (b) +nADoutLE0 1 0 0 4 FB8_8 66 I/O O +(unused) 0 0 0 5 FB8_9 67 I/O I +ram/BACTr 1 0 0 4 FB8_10 (b) (b) +iobs/IOACTr 1 0 0 4 FB8_11 68 I/O I +nAoutOE 0 0 0 5 FB8_12 70 I/O O +iobm/Er 1 0 0 4 FB8_13 (b) (b) +fsb/ASrf 1 0 0 4 FB8_14 71 I/O I +cnt/RefCnt<0> 0 0 0 5 FB8_15 72 I/O I +RefAck 1 0 0 4 FB8_16 (b) (b) +nDinLE 1 0 0 4 FB8_17 73 I/O O +iobs/IOU1 2 0 0 3 FB8_18 (b) (b) + +Signals Used by Logic in Function Block + 1: ALE0M 6: fsb/ASrf 10: nAS_FSB + 2: ALE0S 7: iobm/IOS_FSM_FFd3 11: nUDS_FSB + 3: A_FSB<21> 8: iobm/IOS_FSM_FFd4 12: ram/RS_FSM_FFd1 + 4: E_IOB 9: iobs/Load1 13: ram/RS_FSM_FFd2 + 5: IOACT + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +RA<10> ..X..................................... 1 +nADoutLE0 XX...................................... 2 +ram/BACTr .....X...X.............................. 2 +iobs/IOACTr ....X................................... 1 +nAoutOE ........................................ 0 +iobm/Er ...X.................................... 1 +fsb/ASrf .........X.............................. 1 +cnt/RefCnt<0> ........................................ 0 +RefAck ...........XX........................... 2 +nDinLE ......XX................................ 2 +iobs/IOU1 ........X.X............................. 2 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + ******************************* Equations ******************************** + +********** Mapped Logic ********** + + +$OpTx$FX_DC$603 <= ((NOT TimeoutB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); + diff --git a/cpld/XC95144XL/MXSE.stx b/cpld/XC95144XL/MXSE.stx new file mode 100644 index 0000000..e69de29 diff --git a/cpld/XC95144XL/MXSE.syr b/cpld/XC95144XL/MXSE.syr new file mode 100644 index 0000000..196db5b --- /dev/null +++ b/cpld/XC95144XL/MXSE.syr @@ -0,0 +1,471 @@ +Release 14.7 - xst P.20131013 (nt) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. +--> Parameter TMPDIR set to xst/projnav.tmp + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.08 secs + +--> Parameter xsthdpdir set to xst + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.08 secs + +--> Reading design: MXSE.prj + +TABLE OF CONTENTS + 1) Synthesis Options Summary + 2) HDL Compilation + 3) Design Hierarchy Analysis + 4) HDL Analysis + 5) HDL Synthesis + 5.1) HDL Synthesis Report + 6) Advanced HDL Synthesis + 6.1) Advanced HDL Synthesis Report + 7) Low Level Synthesis + 8) Partition Report + 9) Final Report + +========================================================================= +* Synthesis Options Summary * +========================================================================= +---- Source Parameters +Input File Name : "MXSE.prj" +Input Format : mixed +Ignore Synthesis Constraint File : NO + +---- Target Parameters +Output File Name : "MXSE" +Output Format : NGC +Target Device : XC9500XL CPLDs + +---- Source Options +Top Module Name : MXSE +Automatic FSM Extraction : YES +FSM Encoding Algorithm : Auto +Safe Implementation : No +Mux Extraction : Yes +Resource Sharing : YES + +---- Target Options +Add IO Buffers : YES +MACRO Preserve : YES +XOR Preserve : YES +Equivalent register Removal : YES + +---- General Options +Optimization Goal : Speed +Optimization Effort : 1 +Keep Hierarchy : Yes +Netlist Hierarchy : As_Optimized +RTL Output : Yes +Hierarchy Separator : / +Bus Delimiter : <> +Case Specifier : Maintain +Verilog 2001 : YES + +---- Other Options +Clock Enable : YES +wysiwyg : NO + +========================================================================= + + +========================================================================= +* HDL Compilation * +========================================================================= +Compiling verilog file "../RAM.v" in library work +Compiling verilog file "../IOBS.v" in library work +Module compiled +Compiling verilog file "../IOBM.v" in library work +Module compiled +Compiling verilog file "../FSB.v" in library work +Module compiled +Compiling verilog file "../CS.v" in library work +Module compiled +Compiling verilog file "../CNT.v" in library work +Module compiled +Compiling verilog file "../MXSE.v" in library work +Module compiled +Module compiled +No errors in compilation +Analysis of file <"MXSE.prj"> succeeded. + + +========================================================================= +* Design Hierarchy Analysis * +========================================================================= +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + + +========================================================================= +* HDL Analysis * +========================================================================= +Analyzing top module . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + + +========================================================================= +* HDL Synthesis * +========================================================================= + +Performing bidirectional port resolution... + +Synthesizing Unit . + Related source file is "../CS.v". + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 2 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../RAM.v". + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 8 | + | Transitions | 18 | + | Inputs | 6 | + | Outputs | 9 | + | Clock | CLK (rising_edge) | + | Power Up State | 000 | + | Encoding | automatic | + | Implementation | automatic | + ----------------------------------------------------------------------- + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 1 Finite State Machine(s). + inferred 6 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../IOBS.v". + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 4 | + | Transitions | 10 | + | Inputs | 5 | + | Outputs | 5 | + | Clock | CLK (rising_edge) | + | Power Up State | 00 | + | Encoding | automatic | + | Implementation | automatic | + ----------------------------------------------------------------------- + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 1 Finite State Machine(s). + inferred 9 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../IOBM.v". + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 8 | + | Transitions | 15 | + | Inputs | 6 | + | Outputs | 9 | + | Clock | C16M (rising_edge) | + | Power Up State | 000 | + | Encoding | automatic | + | Implementation | automatic | + ----------------------------------------------------------------------- + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 5-bit up counter for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 1 Finite State Machine(s). + inferred 1 Counter(s). + inferred 20 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../CNT.v". + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 8-bit up counter for signal . + Found 1-bit register for signal . + Summary: + inferred 1 Counter(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../FSB.v". + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 1 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../MXSE.v". +Unit synthesized. + + +========================================================================= +HDL Synthesis Report + +Macro Statistics +# Counters : 2 + 5-bit up counter : 1 + 8-bit up counter : 1 +# Registers : 56 + 1-bit register : 56 + +========================================================================= + +========================================================================= +* Advanced HDL Synthesis * +========================================================================= + +Analyzing FSM for best encoding. +Optimizing FSM on signal with one-hot encoding. +------------------- + State | Encoding +------------------- + 000 | 00000001 + 001 | 00000010 + 010 | 00000100 + 011 | 00001000 + 100 | 00010000 + 101 | 00100000 + 110 | 01000000 + 111 | 10000000 +------------------- +Analyzing FSM for best encoding. +Optimizing FSM on signal with johnson encoding. +------------------- + State | Encoding +------------------- + 00 | 00 + 11 | 01 + 10 | 11 + 01 | 10 +------------------- +Analyzing FSM for best encoding. +Optimizing FSM on signal with user encoding. +------------------- + State | Encoding +------------------- + 000 | 000 + 010 | 010 + 101 | 101 + 001 | 001 + 011 | 011 + 100 | 100 + 111 | 111 + 110 | 110 +------------------- + +========================================================================= +Advanced HDL Synthesis Report + +Macro Statistics +# FSMs : 3 +# Counters : 2 + 5-bit up counter : 1 + 8-bit up counter : 1 +# Registers : 38 + Flip-Flops : 38 + +========================================================================= + +========================================================================= +* Low Level Synthesis * +========================================================================= + +Optimizing unit ... + +Optimizing unit ... + implementation constraint: INIT=r : nOverlay0 + implementation constraint: INIT=r : nOverlay1 + +Optimizing unit ... + implementation constraint: INIT=r : RAMReady + implementation constraint: INIT=r : RASEL + implementation constraint: INIT=r : RAMDIS1 + implementation constraint: INIT=r : RefRAS + implementation constraint: INIT=r : RAMDIS2 + implementation constraint: INIT=r : Once + implementation constraint: INIT=r : RS_FSM_FFd1 + implementation constraint: INIT=r : RS_FSM_FFd2 + implementation constraint: INIT=r : RS_FSM_FFd3 + +Optimizing unit ... + implementation constraint: INIT=r : IOACTr + implementation constraint: INIT=r : Once + implementation constraint: INIT=r : PS_FSM_FFd1 + implementation constraint: INIT=r : PS_FSM_FFd2 + +Optimizing unit ... + implementation constraint: INIT=r : ASrf + +Optimizing unit ... + implementation constraint: INIT=r : ETACK + implementation constraint: INIT=r : IOREQr + implementation constraint: INIT=r : IOS_FSM_FFd1 + implementation constraint: INIT=r : IOS_FSM_FFd2 + implementation constraint: INIT=r : IOS_FSM_FFd3 + implementation constraint: INIT=r : IOS_FSM_FFd4 + implementation constraint: INIT=r : IOS_FSM_FFd5 + implementation constraint: INIT=r : IOS_FSM_FFd6 + implementation constraint: INIT=r : IOS_FSM_FFd7 + implementation constraint: INIT=s : IOS_FSM_FFd8 + +Optimizing unit ... + implementation constraint: INIT=r : RefDone + implementation constraint: INIT=r : RefCnt_7 + implementation constraint: INIT=r : RefCnt_6 + implementation constraint: INIT=r : RefCnt_5 + implementation constraint: INIT=r : RefCnt_4 + implementation constraint: INIT=r : RefCnt_3 + implementation constraint: INIT=r : RefCnt_2 + implementation constraint: INIT=r : RefCnt_1 + implementation constraint: INIT=r : RefCnt_0 + +========================================================================= +* Partition Report * +========================================================================= + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +========================================================================= +* Final Report * +========================================================================= +Final Results +RTL Top Level Output File Name : MXSE.ngr +Top Level Output File Name : MXSE +Output Format : NGC +Optimization Goal : Speed +Keep Hierarchy : Yes +Target Technology : XC9500XL CPLDs +Macro Preserve : YES +XOR Preserve : YES +Clock Enable : YES +wysiwyg : NO + +Design Statistics +# IOs : 67 + +Cell Usage : +# BELS : 566 +# AND2 : 162 +# AND3 : 21 +# AND4 : 15 +# AND5 : 1 +# AND6 : 3 +# AND8 : 3 +# GND : 6 +# INV : 238 +# OR2 : 91 +# OR3 : 8 +# OR4 : 5 +# VCC : 1 +# XOR2 : 12 +# FlipFlops/Latches : 82 +# FD : 56 +# FDCE : 26 +# IO Buffers : 67 +# IBUF : 35 +# OBUF : 32 +========================================================================= + + +Total REAL time to Xst completion: 3.00 secs +Total CPU time to Xst completion: 2.37 secs + +--> + +Total memory usage is 231768 kilobytes + +Number of errors : 0 ( 0 filtered) +Number of warnings : 0 ( 0 filtered) +Number of infos : 0 ( 0 filtered) + diff --git a/cpld/XC95144XL/MXSE.tim b/cpld/XC95144XL/MXSE.tim new file mode 100644 index 0000000..e69de29 diff --git a/cpld/XC95144XL/MXSE.tspec b/cpld/XC95144XL/MXSE.tspec new file mode 100644 index 0000000..9fdadcd --- /dev/null +++ b/cpld/XC95144XL/MXSE.tspec @@ -0,0 +1,396 @@ +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:IOBERR.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOBERR.D:333 +TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:333 +TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOBERR.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:333 +TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:333 +TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd7.D:666 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd7.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd8.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd8.D:666 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd8.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOACT.D:333 +TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOACT.D:333 +TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOACT.D:333 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:IOACT.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:333 +TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:333 +TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:333 +TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:200 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:200 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:200 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:RefAck.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:200 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IORW0.D:200 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:IORW0.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutA.D:200 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:200 +TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:400 +TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:IOL0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOL0.CE:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:400 +TS_CLK_FSB:FROM:iobs/IOU1.Q:TO:IOU0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutB.D:200 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:200 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:400 +TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:200 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:400 +TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:200 +TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:400 +TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:200 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:200 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:200 +TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:200 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:200 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:200 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:200 +TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:200 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:200 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:200 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:200 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:200 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<0>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:200 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<1>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<2>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<3>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:200 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IOREQ.D:200 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:200 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:200 +TS_CLK_FSB:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:200 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nADoutLE1_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nADoutLE1_OBUF.D:200 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:nADoutLE1_OBUF.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:nADoutLE1_OBUF.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:nADoutLE1_OBUF.D:400 +TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:iobm/IOS_FSM_FFd4.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:iobm/IOS_FSM_FFd5.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:iobm/IOS_FSM_FFd6.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:ALE0M.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nAS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nAS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nAS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nLDS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nLDS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nLDS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nUDS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nUDS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:333 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nUDS_IOB_OBUF.D:333 diff --git a/cpld/XC95144XL/MXSE.vm6 b/cpld/XC95144XL/MXSE.vm6 new file mode 100644 index 0000000..0d93ce6 --- /dev/null +++ b/cpld/XC95144XL/MXSE.vm6 @@ -0,0 +1,7731 @@ +NDS Database: version P.20131013 + +NDS_INFO | xc9500xl | 95144XL100 | XC95144XL-10-TQ100 + +DEVICE | 95144XL | 95144XL100 | + +NETWORK | MXSE | 0 | 0 | 16391 + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<9> | 9280 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<20> | 9281 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<19> | 9282 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<18> | 9283 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<17> | 9284 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<16> | 9285 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<15> | 9286 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<13> | 9287 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<23> | 9288 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<22> | 9289 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<21> | 9290 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<14> | 9291 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<12> | 9292 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<11> | 9293 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<10> | 9294 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | CLK2X_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK2X_IOB | 9295 | PI | 565 | 0 | MXSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nVMA_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2155877376 | 17 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once.EXP | 9809 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nVMA_IOB_OBUF$Q | 9117 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nVMA_IOB_OBUF | 9118 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nVMA_IOB_OBUF.EXP | 9810 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nVMA_IOB_OBUF.SI | nVMA_IOB_OBUF | 0 | 16 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once.EXP | 9809 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOB_OBUF.D1 | 9316 | ? | 634 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVMA_IOB_OBUF.D2 | 9317 | ? | 634 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/Once.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nVMA_IOB_OBUF.EXP | 9798 | ? | 612 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | nVMA_IOB_OBUF.REG | nVMA_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nVMA_IOB_OBUF.D | 9315 | ? | 634 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nVMA_IOB_OBUF.Q | 9318 | ? | 647 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +INPUT_INSTANCE | 0 | 0 | NULL | CLK_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK_FSB | 9296 | PI | 566 | 0 | MXSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_1 | 9120 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV + +INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nAS_FSB | 9302 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9133 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 3 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9133 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay1.D1 | 9320 | ? | 578 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay1.D2 | 9321 | ? | 578 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay0 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9322 | ? | 580 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay1.D | 9319 | ? | 578 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9322 | ? | 580 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay1.Q | 9323 | ? | 633 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nWE_FSB | 9298 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 9811 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMLWE_OBUF.EXP | 9812 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 9811 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMLWE_OBUF.EXP | 9812 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Once.D1 | 9325 | ? | 581 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Once.D2 | 9326 | ? | 581 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP26_.EXP +SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP +SPPTERM | 3 | IV_TRUE | iobs/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Once.D | 9324 | ? | 581 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Once.Q | 9327 | ? | 633 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 20 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9118 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9179 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArf | 9191 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArr | 9192 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1.EXP | 9808 | ? | 582 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/Once.EXP | 9809 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 19 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9118 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9179 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArf | 9191 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArr | 9192 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1.EXP | 9808 | ? | 582 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/Once.D1 | 9329 | ? | 582 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/Once.D2 | 9330 | ? | 582 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1.EXP +SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/Once.EXP | 9797 | ? | 634 | 0 | ram/Once | NULL | NULL | ram/Once.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> +SPPTERM | 9 | IV_TRUE | nVMA_IOB_OBUF | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr + +SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/Once.D | 9328 | ? | 582 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/Once.Q | 9331 | ? | 631 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/RefDone | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9187 | ? | 583 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefDone.SI | cnt/RefDone | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9187 | ? | 583 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefDone.D1 | 9333 | ? | 583 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefDone.D2 | 9334 | ? | 583 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | cnt/RefDone | IV_FALSE | RefAck +SPPTERM | 8 | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> + +SRFF_INSTANCE | cnt/RefDone.REG | cnt/RefDone | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefDone.D | 9332 | ? | 583 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefDone.Q | 9335 | ? | 631 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | BERR_IOBS | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady.EXP | 9806 | ? | 584 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | BERR_IOBS.EXP | 9807 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | BERR_IOBS.SI | BERR_IOBS | 0 | 17 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady.EXP | 9806 | ? | 584 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | BERR_IOBS.D1 | 9337 | ? | 584 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | BERR_IOBS.D2 | 9338 | ? | 584 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/IOReady.EXP +SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | BERR_IOBS | IV_FALSE | IOBERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_TRUE | IOBERR | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | BERR_IOBS.EXP | 9795 | ? | 610 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | BERR_IOBS.REG | BERR_IOBS | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | BERR_IOBS.D | 9336 | ? | 584 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | BERR_IOBS.Q | 9339 | ? | 607 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nBERR_IOB | 9297 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | CLK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK_IOB | 9303 | PI | 567 | 0 | MXSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_4 | 9169 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | MXSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDoutOE_OBUF.EXP | 9788 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_IOB_OBUF.EXP | 9789 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.EXP | nAS_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDoutOE_OBUF.EXP | 9788 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_IOB_OBUF.EXP | 9789 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.EXP | nAS_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 9341 | ? | 636 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOBERR.D2 | 9342 | ? | 636 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nDoutOE_OBUF.EXP +SPPTERM | 1 | IV_TRUE | nAS_IOB_OBUF.EXP +SPPTERM | 5 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 5 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOBERR.D | 9340 | ? | 636 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 9343 | ? | 636 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IORW0 | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 9779 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOREQ.EXP | 9780 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.EXP | IOREQ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 9779 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOREQ.EXP | 9780 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.EXP | IOREQ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORW0.D1 | 9345 | ? | 585 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IORW0.D2 | 9346 | ? | 585 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SPPTERM | 1 | IV_TRUE | IOREQ.EXP +SPPTERM | 5 | IV_TRUE | IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 5 | IV_FALSE | IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +SRFF_INSTANCE | IORW0.REG | IORW0 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IORW0.D | 9344 | ? | 585 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IORW0.Q | 9347 | ? | 668 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutA | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | TimeoutA.EXP | 9850 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.EXP | TimeoutA | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | TimeoutA.SI | TimeoutA | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | TimeoutA.D1 | 9349 | ? | 586 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | TimeoutA.D2 | 9350 | ? | 586 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | TimeoutA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 10 | IV_FALSE | TimeoutA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 10 | IV_FALSE | TimeoutA | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | TimeoutA.EXP | 9845 | ? | 611 | 0 | TimeoutA | NULL | NULL | TimeoutA.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | TimeoutA.REG | TimeoutA | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | TimeoutA.D | 9348 | ? | 586 | 0 | TimeoutA | NULL | NULL | TimeoutA.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | TimeoutA.Q | 9351 | ? | 607 | 0 | TimeoutA | NULL | NULL | TimeoutA.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nRES_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nRES | 9299 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9131 | ? | 663 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 9 | 5 | II_FSRINV +NODE | FSR-IO_5 | 9132 | ? | 588 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9133 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FSR-IO_5 | 9132 | ? | 588 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9133 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9133 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay0.D1 | 9353 | ? | 587 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay0.D2 | 9354 | ? | 587 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 6 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | cs/nOverlay0.REG | cs/nOverlay0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay0.D | 9352 | ? | 587 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +NODE | FSR-IO_5 | 9132 | ? | 588 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay0.Q | 9355 | ? | 587 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nLDS_FSB | 9300 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 9144 | ? | 589 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOL0 | 9134 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 9144 | ? | 589 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 9357 | ? | 589 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 9358 | ? | 589 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOL0.CE | 9359 | ? | 590 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOL0.D | 9356 | ? | 589 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | IOL0.CE | 9359 | ? | 590 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 9360 | ? | 667 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nUDS_FSB | 9301 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOU0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 9148 | ? | 591 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOU0 | 9135 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 9148 | ? | 591 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 9362 | ? | 591 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 9363 | ? | 591 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOU0.CE | 9364 | ? | 592 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOU0.D | 9361 | ? | 591 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | IOU0.CE | 9364 | ? | 592 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 9365 | ? | 668 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutB | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | TimeoutB.SI | TimeoutB | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | TimeoutB.D1 | 9367 | ? | 593 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | TimeoutB.D2 | 9368 | ? | 593 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | TimeoutB | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 11 | IV_TRUE | TimeoutA | IV_FALSE | TimeoutB | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 11 | IV_TRUE | TimeoutA | IV_FALSE | TimeoutB | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | TimeoutB.REG | TimeoutB | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | TimeoutB.D | 9366 | ? | 593 | 0 | TimeoutB | NULL | NULL | TimeoutB.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | TimeoutB.Q | 9369 | ? | 607 | 0 | TimeoutB | NULL | NULL | TimeoutB.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR0r | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 9777 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/BERR0r.EXP | 9776 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | fsb/BERR0r.SI | fsb/BERR0r | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 9777 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR0r.D1 | 9371 | ? | 594 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR0r.D2 | 9372 | ? | 594 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 2 | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r +SPPTERM | 5 | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/BERR0r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | fsb/BERR0r.EXP | 9764 | ? | 633 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ALE1 + +SRFF_INSTANCE | fsb/BERR0r.REG | fsb/BERR0r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/BERR0r.D | 9370 | ? | 594 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/BERR0r.Q | 9373 | ? | 607 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR1r | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/BERR1r.SI | fsb/BERR1r | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR1r.D1 | 9375 | ? | 595 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR1r.D2 | 9376 | ? | 595 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | fsb/BERR1r.REG | fsb/BERR1r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/BERR1r.D | 9374 | ? | 595 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/BERR1r.Q | 9377 | ? | 607 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready0r.D1 | 9379 | ? | 596 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready0r.D2 | 9380 | ? | 596 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady + +SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready0r.D | 9378 | ? | 596 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready0r.Q | 9381 | ? | 607 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | MXSE_COPY_0_COPY_0 | 2155873536 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready1r.D1 | 9383 | ? | 597 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready1r.D2 | 9384 | ? | 597 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 5 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 + +SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready1r.D | 9382 | ? | 597 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready1r.Q | 9385 | ? | 607 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready2r | MXSE_COPY_0_COPY_0 | 2155873536 | 22 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/Ready2r.SI | fsb/Ready2r | 0 | 21 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready2r.D1 | 9387 | ? | 598 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready2r.D2 | 9388 | ? | 598 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r + +SRFF_INSTANCE | fsb/Ready2r.REG | fsb/Ready2r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready2r.D | 9386 | ? | 598 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready2r.Q | 9389 | ? | 607 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | fsb/VPA | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 9847 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7>.EXP | 9851 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 9847 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7>.EXP | 9851 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA.D1 | 9391 | ? | 599 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/VPA.D2 | 9392 | ? | 599 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<7>.EXP +SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM +SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM +SPPTERM | 5 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM + +SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/VPA.D | 9390 | ? | 599 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/VPA.Q | 9393 | ? | 599 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9193 | ? | 605 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9144 | ? | 589 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9193 | ? | 605 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 9395 | ? | 600 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 9396 | ? | 600 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9397 | ? | 601 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 + +SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOL1.D | 9394 | ? | 600 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | iobs/IOL1.CE | 9397 | ? | 601 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 9398 | ? | 589 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | MXSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP25_.EXP | 9803 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK.EXP | 9804 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP25_.EXP | 9803 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK.EXP | 9804 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 9400 | ? | 602 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 9401 | ? | 602 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Once +SPPTERM | 1 | IV_FALSE | ALE1 +SPPTERM | 1 | IV_TRUE | EXP25_.EXP +SPPTERM | 1 | IV_TRUE | iobm/ETACK.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW1 + +SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IORW1.D | 9399 | ? | 602 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 9402 | ? | 602 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | MXSE_COPY_0_COPY_0 | 2155877376 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/IOReady.EXP | 9806 | ? | 584 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOReady.D1 | 9404 | ? | 603 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOReady.D2 | 9405 | ? | 603 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | IOBERR | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | IOBERR | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | IOBERR | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/IOReady.EXP | 9794 | ? | 584 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_TRUE | IOBERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOReady.D | 9403 | ? | 603 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOReady.Q | 9406 | ? | 607 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9193 | ? | 605 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9148 | ? | 591 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9193 | ? | 605 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 9408 | ? | 604 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 9409 | ? | 604 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9410 | ? | 605 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 + +SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOU1.D | 9407 | ? | 604 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | iobs/IOU1.CE | 9410 | ? | 605 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 9411 | ? | 591 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RAMDIS2 | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMUWE_OBUF.EXP | 9841 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMDIS2.SI | ram/RAMDIS2 | 0 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMUWE_OBUF.EXP | 9841 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS2.D1 | 9413 | ? | 606 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMDIS2.D2 | 9414 | ? | 606 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP +SPPTERM | 3 | IV_TRUE | ram/RAMDIS2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RAMDIS2.REG | ram/RAMDIS2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMDIS2.D | 9412 | ? | 606 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS2.Q | 9415 | ? | 606 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 26 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 9771 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 9772 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 9151 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 25 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 9771 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 9772 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9417 | ? | 607 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9418 | ? | 607 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP15_.EXP +SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM + +SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDTACK_FSB_OBUF.D | 9416 | ? | 607 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 9419 | ? | 607 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP30_.EXP | 9832 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP31_.EXP | 9833 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP30_.EXP | 9832 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP31_.EXP | 9833 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 9421 | ? | 608 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASEL.D2 | 9422 | ? | 608 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP30_.EXP +SPPTERM | 1 | IV_TRUE | EXP31_.EXP +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RASEL.D | 9420 | ? | 608 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 9423 | ? | 632 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877632 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 9830 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd2.EXP | 9831 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 9830 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9425 | ? | 609 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9426 | ? | 609 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP29_.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_TRUE | ram/BACTr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd2.EXP | 9817 | ? | 608 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd2.D | 9424 | ? | 609 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 9427 | ? | 631 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS.EXP | 9807 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd1.EXP | 9808 | ? | 582 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS.EXP | 9807 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9429 | ? | 610 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9430 | ? | 610 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | BERR_IOBS.EXP +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd1.EXP | 9796 | ? | 582 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd1.D | 9428 | ? | 610 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 9431 | ? | 631 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155877632 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAoutOE_OBUF.EXP | 9849 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA.EXP | 9850 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.EXP | TimeoutA | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAoutOE_OBUF.EXP | 9849 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA.EXP | 9850 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.EXP | TimeoutA | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9433 | ? | 611 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9434 | ? | 611 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP +SPPTERM | 1 | IV_TRUE | TimeoutA.EXP +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | ram/Once | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> +SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> +SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd3.D | 9432 | ? | 611 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 9435 | ? | 631 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/PS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF.EXP | 9810 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 17 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF.EXP | 9810 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9437 | ? | 612 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9438 | ? | 612 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nVMA_IOB_OBUF.EXP +SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd2.D | 9436 | ? | 612 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd2.Q | 9439 | ? | 633 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9441 | ? | 613 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9442 | ? | 613 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr + +SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd1.D | 9440 | ? | 613 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd1.Q | 9443 | ? | 633 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | MXSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 9445 | ? | 637 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 9446 | ? | 637 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er +SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<0>.D | 9444 | ? | 637 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 9447 | ? | 647 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 9449 | ? | 638 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 9450 | ? | 638 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> +SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> +SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<1>.D | 9448 | ? | 638 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 9451 | ? | 647 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9453 | ? | 639 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9454 | ? | 639 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd3.D | 9452 | ? | 639 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 9455 | ? | 668 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 9457 | ? | 640 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 9458 | ? | 640 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> +SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> +SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> +SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<2>.D | 9456 | ? | 640 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 9459 | ? | 647 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 9461 | ? | 641 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 9462 | ? | 641 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er +SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<3>.D | 9460 | ? | 641 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 9463 | ? | 647 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<4>.D1 | 9465 | ? | 642 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<4>.D2 | 9466 | ? | 642 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<4>.D | 9464 | ? | 642 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<4>.Q | 9467 | ? | 647 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 9469 | ? | 643 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 9470 | ? | 643 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd4.D | 9468 | ? | 643 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd4.Q | 9471 | ? | 668 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd5 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd5.SI | iobm/IOS_FSM_FFd5 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 9473 | ? | 644 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 9474 | ? | 644 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd5.REG | iobm/IOS_FSM_FFd5 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd5.D | 9472 | ? | 644 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd5.Q | 9475 | ? | 668 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | MXSE_COPY_0_COPY_0 | 2155873280 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/IOS_FSM_FFd6.EXP | 9787 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.EXP | iobm/IOS_FSM_FFd6 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 4 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 9477 | ? | 645 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 9478 | ? | 645 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd7 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/IOS_FSM_FFd6.EXP | 9783 | ? | 646 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | CLK_IOB_IBUF | IV_TRUE | iobm/IOREQr | IV_TRUE | iobm/IOS_FSM_FFd8 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd6.REG | iobm/IOS_FSM_FFd6 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd6.D | 9476 | ? | 645 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd6.Q | 9479 | ? | 668 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd7 | MXSE_COPY_0_COPY_0 | 2155873280 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6.EXP | 9787 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.EXP | iobm/IOS_FSM_FFd6 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/IOS_FSM_FFd7.EXP | 9786 | ? | 651 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.EXP | iobm/IOS_FSM_FFd7 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6.EXP | 9787 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.EXP | iobm/IOS_FSM_FFd6 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 9481 | ? | 646 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 9482 | ? | 646 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/IOS_FSM_FFd7.EXP | 9782 | ? | 651 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | iobm/IOS_FSM_FFd7.REG | iobm/IOS_FSM_FFd7 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd7.D | 9480 | ? | 646 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd7.Q | 9483 | ? | 668 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9179 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9179 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 9485 | ? | 614 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 9486 | ? | 614 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOACT + +SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOACTr.D | 9484 | ? | 614 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 9487 | ? | 627 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<0> | MXSE_COPY_0_COPY_0 | 2155877376 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<0>.SI | cnt/RefCnt<0> | 0 | 0 | 2 +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<0>.D1 | 9489 | ? | 615 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<0>.D2 | 9490 | ? | 615 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_DC + +SRFF_INSTANCE | cnt/RefCnt<0>.REG | cnt/RefCnt<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<0>.D | 9488 | ? | 615 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<0>.Q | 9491 | ? | 623 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<5> | MXSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<5>.SI | cnt/RefCnt<5> | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<5>.D1 | 9493 | ? | 616 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<5>.D2 | 9494 | ? | 616 | 4096 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | cnt/RefCnt<5>.REG | cnt/RefCnt<5> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<5>.D | 9492 | ? | 616 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<5>.Q | 9495 | ? | 631 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<6> | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<6>.EXP | 9848 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/RefCnt<6>.SI | cnt/RefCnt<6> | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<6>.D1 | 9497 | ? | 617 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<6>.D2 | 9498 | ? | 617 | 4096 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/RefCnt<6>.EXP | 9843 | ? | 0 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF +SPPTERM | 2 | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r +SPPTERM | 2 | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r +SPPTERM | 5 | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/BERR0r + +SRFF_INSTANCE | cnt/RefCnt<6>.REG | cnt/RefCnt<6> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<6>.D | 9496 | ? | 617 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<6>.Q | 9499 | ? | 631 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 9805 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/ETACK.EXP | 9804 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 9805 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ETACK.D1 | 9501 | ? | 647 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ETACK.D2 | 9502 | ? | 647 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/ETACK.EXP | 9792 | ? | 602 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ETACK.D | 9500 | ? | 647 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ETACK.Q | 9503 | ? | 653 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOREQ | 9196 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOREQ | 9196 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOREQr.D1 | 9505 | ? | 648 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOREQr.D2 | 9506 | ? | 648 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOREQ + +SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOREQr.D | 9504 | ? | 648 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOREQr.Q | 9507 | ? | 656 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | iobm/IOS_FSM_FFd8 | MXSE_COPY_0_COPY_0 | 2155873024 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9203 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd8.SI | iobm/IOS_FSM_FFd8 | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9203 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd8.D1 | 9509 | ? | 650 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd8.D2 | 9510 | ? | 650 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_FALSE | iobm/IOS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | CLK_IOB_IBUF | IV_TRUE | iobm/IOREQr | IV_FALSE | iobm/IOS_FSM_FFd1 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd8.REG | iobm/IOS_FSM_FFd8 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd8.D | 9508 | ? | 650 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd8.Q | 9511 | ? | 656 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | MXSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 9513 | ? | 618 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/BACTr.D2 | 9514 | ? | 618 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/BACTr.D | 9512 | ? | 618 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 9515 | ? | 631 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7.EXP | 9786 | ? | 651 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.EXP | iobm/IOS_FSM_FFd7 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOACT | 9179 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7.EXP | 9786 | ? | 651 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.EXP | iobm/IOS_FSM_FFd7 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 9517 | ? | 651 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOACT.D2 | 9518 | ? | 651 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd7.EXP +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 +SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/ETACK | IV_FALSE | iobm/IOREQr +SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/ETACK | IV_FALSE | iobm/IOS_FSM_FFd8 +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr + +SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOACT.D | 9516 | ? | 651 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 9519 | ? | 634 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<1> | MXSE_COPY_0_COPY_0 | 2155877376 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<1>.SI | cnt/RefCnt<1> | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<1>.D1 | 9521 | ? | 619 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<1>.D2 | 9522 | ? | 619 | 4096 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<0> + +SRFF_INSTANCE | cnt/RefCnt<1>.REG | cnt/RefCnt<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<1>.D | 9520 | ? | 619 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<1>.Q | 9523 | ? | 623 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<2> | MXSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<2>.SI | cnt/RefCnt<2> | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<2>.D1 | 9525 | ? | 620 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<2>.D2 | 9526 | ? | 620 | 4096 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> + +SRFF_INSTANCE | cnt/RefCnt<2>.REG | cnt/RefCnt<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<2>.D | 9524 | ? | 620 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<2>.Q | 9527 | ? | 623 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<3>.SI | cnt/RefCnt<3> | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<3>.D1 | 9529 | ? | 621 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<3>.D2 | 9530 | ? | 621 | 4096 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> + +SRFF_INSTANCE | cnt/RefCnt<3>.REG | cnt/RefCnt<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<3>.D | 9528 | ? | 621 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<3>.Q | 9531 | ? | 623 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<4>.SI | cnt/RefCnt<4> | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<4>.D1 | 9533 | ? | 622 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<4>.D2 | 9534 | ? | 622 | 4096 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> + +SRFF_INSTANCE | cnt/RefCnt<4>.REG | cnt/RefCnt<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<4>.D | 9532 | ? | 622 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<4>.Q | 9535 | ? | 623 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<7> | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<7>.EXP | 9851 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/RefCnt<7>.SI | cnt/RefCnt<7> | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9172 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9180 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9181 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9182 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9183 | ? | 623 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<7>.D1 | 9537 | ? | 623 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<7>.D2 | 9538 | ? | 623 | 4096 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/RefCnt<7>.EXP | 9846 | ? | 599 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM +SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM +SPPTERM | 5 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM + +SRFF_INSTANCE | cnt/RefCnt<7>.REG | cnt/RefCnt<7> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<7>.D | 9536 | ? | 623 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<7>.Q | 9539 | ? | 631 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | E_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | E_IOB | 9304 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | E_IOB_IBUF | 9185 | ? | 575 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IOB_IBUF | 9185 | ? | 575 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_4 | 9169 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IOB_IBUF | 9185 | ? | 575 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 9541 | ? | 575 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 9542 | ? | 575 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | E_IOB_IBUF + +SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/Er.D | 9540 | ? | 575 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_4 | 9169 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 9543 | ? | 652 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | RefAck | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | RefAck | 9187 | ? | 583 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | RefAck.SI | RefAck | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefAck.D1 | 9545 | ? | 624 | 4096 | RefAck | NULL | NULL | RefAck.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefAck.D2 | 9546 | ? | 624 | 4096 | RefAck | NULL | NULL | RefAck.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 + +SRFF_INSTANCE | RefAck.REG | RefAck | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RefAck.D | 9544 | ? | 624 | 0 | RefAck | NULL | NULL | RefAck.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RefAck.Q | 9547 | ? | 583 | 0 | RefAck | NULL | NULL | RefAck.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9188 | ? | 642 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9186 | ? | 652 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er2.D1 | 9549 | ? | 652 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er2.D2 | 9550 | ? | 652 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/Er + +SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/Er2.D | 9548 | ? | 652 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/Er2.Q | 9551 | ? | 642 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9189 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9175 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9553 | ? | 653 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9554 | ? | 653 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd2.D | 9552 | ? | 653 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 9555 | ? | 666 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nVPA_IOB | 9305 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9190 | ? | 655 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9190 | ? | 655 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9191 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9190 | ? | 655 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArf.D1 | 9557 | ? | 654 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArf.D2 | 9558 | ? | 654 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF + +SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/VPArf.D | 9556 | ? | 654 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/VPArf.Q | 9559 | ? | 634 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9190 | ? | 655 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9192 | ? | 634 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9190 | ? | 655 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArr.D1 | 9561 | ? | 655 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArr.D2 | 9562 | ? | 655 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF + +SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/VPArr.D | 9560 | ? | 655 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/VPArr.Q | 9563 | ? | 634 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP24_.EXP | 9802 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 9813 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9193 | ? | 605 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP24_.EXP | 9802 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 9813 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 9565 | ? | 625 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Load1.D2 | 9566 | ? | 625 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Once +SPPTERM | 1 | IV_FALSE | ALE1 +SPPTERM | 1 | IV_TRUE | EXP24_.EXP +SPPTERM | 1 | IV_TRUE | EXP27_.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Load1.D | 9564 | ? | 625 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 9567 | ? | 605 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE0M | 9194 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9176 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9177 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 9569 | ? | 656 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 9570 | ? | 656 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 + +SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ALE0M.D | 9568 | ? | 656 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 9571 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE0S | 9195 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 9573 | ? | 626 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 9574 | ? | 626 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ALE0S.D | 9572 | ? | 626 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 9575 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOREQ | MXSE_COPY_0_COPY_0 | 2155873280 | 18 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP23_.EXP | 9781 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOREQ | 9196 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | IOREQ.EXP | 9780 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.EXP | IOREQ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 17 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP23_.EXP | 9781 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOREQ.D1 | 9577 | ? | 627 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOREQ.D2 | 9578 | ? | 627 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP23_.EXP +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IOREQ.EXP | 9768 | ? | 585 | 0 | IOREQ | NULL | NULL | IOREQ.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 14 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | IOREQ.REG | IOREQ | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOREQ.D | 9576 | ? | 627 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOREQ.Q | 9579 | ? | 648 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_1 | 9120 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 9581 | ? | 628 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 9582 | ? | 628 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/ASrf.D | 9580 | ? | 628 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_1 | 9120 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 9583 | ? | 633 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrf.D1 | 9585 | ? | 657 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrf.D2 | 9586 | ? | 657 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BERRrf.D | 9584 | ? | 657 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BERRrf.Q | 9587 | ? | 653 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrr.D1 | 9589 | ? | 658 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrr.D2 | 9590 | ? | 658 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BERRrr.D | 9588 | ? | 658 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BERRrr.Q | 9591 | ? | 653 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nDTACK_IOB | 9306 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9200 | ? | 660 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9200 | ? | 660 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9200 | ? | 660 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrf.D1 | 9593 | ? | 659 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrf.D2 | 9594 | ? | 659 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF + +SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/DTACKrf.D | 9592 | ? | 659 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrf.Q | 9595 | ? | 653 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9200 | ? | 660 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9200 | ? | 660 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrr.D1 | 9597 | ? | 660 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrr.D2 | 9598 | ? | 660 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF + +SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/DTACKrr.D | 9596 | ? | 660 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrr.Q | 9599 | ? | 653 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9189 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9203 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9189 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9601 | ? | 661 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9602 | ? | 661 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd1.D | 9600 | ? | 661 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 9603 | ? | 650 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9131 | ? | 663 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9131 | ? | 663 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrf.D1 | 9605 | ? | 662 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrf.D2 | 9606 | ? | 662 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nRES_IBUF + +SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/RESrf.D | 9604 | ? | 662 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/RESrf.Q | 9607 | ? | 653 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9131 | ? | 663 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9131 | ? | 663 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrr.D1 | 9609 | ? | 663 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrr.D2 | 9610 | ? | 663 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nRES_IBUF + +SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/RESrr.D | 9608 | ? | 663 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/RESrr.Q | 9611 | ? | 653 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMDIS1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP32_.EXP | 9835 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 9836 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMDIS1.SI | ram/RAMDIS1 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP32_.EXP | 9835 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 9836 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS1.D1 | 9613 | ? | 630 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMDIS1.D2 | 9614 | ? | 630 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP32_.EXP +SPPTERM | 1 | IV_TRUE | EXP33_.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RAMDIS1.REG | ram/RAMDIS1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMDIS1.D | 9612 | ? | 630 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS1.Q | 9615 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMReady | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP34_.EXP | 9838 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP35_.EXP | 9839 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP34_.EXP | 9838 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP35_.EXP | 9839 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMReady.D1 | 9617 | ? | 631 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMReady.D2 | 9618 | ? | 631 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP34_.EXP +SPPTERM | 1 | IV_TRUE | EXP35_.EXP +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 + +SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMReady.D | 9616 | ? | 631 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMReady.Q | 9619 | ? | 607 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<1> | 9307 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9208 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<2> | 9308 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9209 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<3> | 9309 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9210 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<4> | 9310 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9211 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<5> | 9311 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 9212 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<6> | 9312 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9213 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<7> | 9313 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9214 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<8> | 9314 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9215 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nAS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAS_IOB_OBUF | 9216 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nAS_IOB_OBUF.EXP | 9789 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.EXP | nAS_IOB_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nAS_IOB_OBUF.SI | nAS_IOB_OBUF | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9204 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9205 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOB_OBUF.D1 | 9621 | ? | 664 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOB_OBUF.D2 | 9622 | ? | 664 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nAS_IOB_OBUF.EXP | 9785 | ? | 636 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | nAS_IOB_OBUF.REG | nAS_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAS_IOB_OBUF.D | 9620 | ? | 664 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAS_IOB_OBUF.Q | 9623 | ? | 0 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_1 | 9120 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 9217 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 9625 | ? | 632 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nCAS_OBUF.D2 | 9626 | ? | 632 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nCAS_OBUF.D | 9624 | ? | 632 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_1 | 9120 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 9627 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | MXSE_COPY_0_COPY_0 | 2424308992 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 9218 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 9629 | ? | 665 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 9630 | ? | 665 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 + +SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinLE_OBUF.D | 9628 | ? | 665 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 9631 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9189 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 9219 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDoutOE_OBUF.EXP | 9788 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9189 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9126 | ? | 658 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9127 | ? | 636 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9168 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9198 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9199 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9201 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9202 | ? | 653 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 9633 | ? | 666 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 9634 | ? | 666 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | IORW0 +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd2 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDoutOE_OBUF.EXP | 9784 | ? | 636 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr + +SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDoutOE_OBUF.D | 9632 | ? | 666 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9115 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 9635 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nLDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 9134 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nLDS_IOB_OBUF | 9220 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nLDS_IOB_OBUF.SI | nLDS_IOB_OBUF | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 9134 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOB_OBUF.D1 | 9637 | ? | 667 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nLDS_IOB_OBUF.D2 | 9638 | ? | 667 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | IOL0 +SPPTERM | 4 | IV_TRUE | IORW0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 +SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 + +SRFF_INSTANCE | nLDS_IOB_OBUF.REG | nLDS_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nLDS_IOB_OBUF.D | 9636 | ? | 667 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nLDS_IOB_OBUF.Q | 9639 | ? | 0 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nUDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 9135 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nUDS_IOB_OBUF | 9221 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nUDS_IOB_OBUF.SI | nUDS_IOB_OBUF | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 9135 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9161 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9165 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9166 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9167 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9170 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOB_OBUF.D1 | 9641 | ? | 668 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nUDS_IOB_OBUF.D2 | 9642 | ? | 668 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | IOU0 +SPPTERM | 4 | IV_TRUE | IORW0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 +SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 + +SRFF_INSTANCE | nUDS_IOB_OBUF.REG | nUDS_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nUDS_IOB_OBUF.D | 9640 | ? | 668 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9116 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nUDS_IOB_OBUF.Q | 9643 | ? | 0 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9208 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9222 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9208 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 9645 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 9646 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF + +SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_0_OBUF.D | 9644 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 9647 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 9828 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 9223 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 9829 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 9828 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 9649 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 9650 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP28_.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_1_OBUF.EXP | 9815 | ? | 609 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_1_OBUF.D | 9648 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 9651 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9210 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 9224 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9210 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 9653 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 9654 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF + +SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_2_OBUF.D | 9652 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 9655 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9211 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 9225 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9211 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_3_OBUF.D1 | 9657 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_3_OBUF.D2 | 9658 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF + +SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_3_OBUF.D | 9656 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_3_OBUF.Q | 9659 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9212 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 9226 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9212 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 9661 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_4_OBUF.D2 | 9662 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF + +SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_4_OBUF.D | 9660 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 9663 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 16 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9213 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 9227 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 9834 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 16 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9213 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 9665 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 9666 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_5_OBUF.EXP | 9820 | ? | 608 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_5_OBUF.D | 9664 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 9667 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9214 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 9228 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9214 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 9669 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 9670 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF + +SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_6_OBUF.D | 9668 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 9671 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9215 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 9229 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9215 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 9673 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 9674 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_8_IBUF + +SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_7_OBUF.D | 9672 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 9675 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9230 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 9677 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 9678 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_8_OBUF.D | 9676 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 9679 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 9231 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 9681 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 9682 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_9_OBUF.D | 9680 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 9683 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nOE_OBUF | 9232 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 9685 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 9686 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nOE_OBUF.D | 9684 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 9687 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9233 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 9689 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 9690 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMWE_OBUF.D | 9688 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 9691 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9234 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9693 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9694 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nVPA_FSB_OBUF.D | 9692 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 9695 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 9194 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 9195 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 9235 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 9194 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 9195 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9697 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9698 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S + +SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE0_OBUF.D | 9696 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 9699 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 9236 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDinOE_OBUF.EXP | 9837 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 9701 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 9702 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 5 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinOE_OBUF.EXP | 9823 | ? | 630 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinOE_OBUF.D | 9700 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 9703 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9187 | ? | 583 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9118 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 9237 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 9805 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9187 | ? | 583 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9118 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9159 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9160 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9162 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9163 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9164 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 9705 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 9706 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RefAck +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAS_OBUF.EXP | 9793 | ? | 647 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAS_OBUF.D | 9704 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 9707 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 9238 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 9709 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 9710 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF + +SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_19_IBUF$BUF0.D | 9708 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_19_IBUF$BUF0.Q | 9711 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_21_IBUF$BUF0 | 9239 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 9713 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 9714 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF + +SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_21_IBUF$BUF0.D | 9712 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_21_IBUF$BUF0.Q | 9715 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldHigh+OptxMapped | nADoutLE1_OBUF | MXSE_COPY_0_COPY_0 | 2155872768 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 9775 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 9776 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 9240 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 9775 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 9776 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9717 | ? | 633 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9718 | ? | 633 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SPPTERM | 1 | IV_TRUE | fsb/BERR0r.EXP +SPPTERM | 2 | IV_TRUE | iobs/Once | IV_TRUE | ALE1 +SPPTERM | 3 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | ALE1 +SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE1_OBUF.D | 9716 | ? | 633 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9119 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 9719 | ? | 633 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | OptxMapped | nBERR_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6>.EXP | 9848 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 9242 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 9847 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9142 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6>.EXP | 9848 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9721 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9722 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<6>.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 9842 | ? | 599 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$INV$223.UIM +SPPTERM | 9 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_FALSE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | $OpTx$INV$223.UIM +SPPTERM | 9 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_FALSE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | $OpTx$INV$223.UIM +SPPTERM | 9 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | $OpTx$INV$223.UIM +SPPTERM | 9 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | $OpTx$INV$223.UIM + +SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nBERR_FSB_OBUF.D | 9720 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 9723 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 17 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9243 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 9812 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 17 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9143 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9725 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9726 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMLWE_OBUF.EXP | 9800 | ? | 581 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMLWE_OBUF.D | 9724 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 9727 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 18 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 9244 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 9841 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 18 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9147 | ? | 604 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9149 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9206 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9729 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9730 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMUWE_OBUF.EXP | 9827 | ? | 606 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMUWE_OBUF.D | 9728 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 9731 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9245 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 9733 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 9734 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 + +SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMCS_OBUF.D | 9732 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 9735 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | nAoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155907072 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF$Q | 9246 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 9849 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 9737 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAoutOE_OBUF.D2 | 9738 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nAoutOE_OBUF.EXP | 9844 | ? | 611 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAoutOE_OBUF.D | 9736 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 9739 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q + +OUTPUT_INSTANCE | 0 | nVMA_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVMA_IOB_OBUF$Q | 9117 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 9247 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDTACK_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDTACK_FSB_OBUF | 9151 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 9248 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAS_IOB_OBUF | 9216 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAS_IOB | 9249 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nCAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nCAS_OBUF | 9217 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nCAS | 9250 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinLE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinLE_OBUF | 9218 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinLE | 9251 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDoutOE_OBUF | 9219 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDoutOE | 9252 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nLDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nLDS_IOB_OBUF | 9220 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 9253 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nUDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nUDS_IOB_OBUF | 9221 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 9254 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<0> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_0_OBUF | 9222 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<0> | 9255 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<1> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_1_OBUF | 9223 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<1> | 9256 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<2> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_2_OBUF | 9224 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<2> | 9257 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<3> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_3_OBUF | 9225 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<3> | 9258 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<4> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_4_OBUF | 9226 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<4> | 9259 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<5> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_5_OBUF | 9227 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<5> | 9260 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<6> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_6_OBUF | 9228 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<6> | 9261 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<7> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_7_OBUF | 9229 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<7> | 9262 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<8> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_8_OBUF | 9230 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<8> | 9263 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<9> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_9_OBUF | 9231 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<9> | 9264 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nOE_OBUF | 9232 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nOE | 9265 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMWE_OBUF | 9233 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMWE | 9266 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nVPA_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVPA_FSB_OBUF | 9234 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 9267 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE0 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE0_OBUF | 9235 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 9268 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinOE_OBUF | 9236 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinOE | 9269 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAS_OBUF | 9237 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAS | 9270 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<11> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_19_IBUF$BUF0 | 9238 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<11> | 9271 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<10> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_21_IBUF$BUF0 | 9239 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<10> | 9272 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE1 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE1_OBUF | 9240 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 9273 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nBERR_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nBERR_FSB_OBUF | 9242 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 9274 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMLWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMLWE_OBUF | 9243 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 9275 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMUWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMUWE_OBUF | 9244 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 9276 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMCS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMCS_OBUF | 9245 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMCS | 9277 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAoutOE_OBUF$Q | 9246 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAoutOE | 9278 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT + +MACROCELL_INSTANCE | SoftPfbk | $OpTx$INV$223 | MXSE_COPY_0_COPY_0 | 2181038080 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 9778 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$INV$223.UIM | 9279 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$INV$223.Q | $OpTx$INV$223 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | $OpTx$INV$223.SI | $OpTx$INV$223 | 0 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 9778 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$INV$223.D1 | 9741 | ? | 599 | 4096 | $OpTx$INV$223 | NULL | NULL | $OpTx$INV$223.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$INV$223.D2 | 9742 | ? | 599 | 4096 | $OpTx$INV$223 | NULL | NULL | $OpTx$INV$223.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP21_.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 5 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady +SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady + +SRFF_INSTANCE | $OpTx$INV$223.REG | $OpTx$INV$223 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$INV$223.D | 9740 | ? | 599 | 0 | $OpTx$INV$223 | NULL | NULL | $OpTx$INV$223.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$INV$223.Q | 9743 | ? | 599 | 0 | $OpTx$INV$223 | NULL | NULL | $OpTx$INV$223.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | NULL | EXP14_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 9770 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP14_.EXP | 9758 | ? | 627 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 11 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 11 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP15_ | MXSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 9771 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP15_.EXP | 9759 | ? | 607 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | BERR_IOBS | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 11 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP16_ | MXSE_COPY_0_COPY_0 | 2147483648 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 9773 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 9772 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9139 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9207 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 9773 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 9760 | ? | 607 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | BERR_IOBS | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 6 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady + +MACROCELL_INSTANCE | NULL | EXP17_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 9774 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 9773 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9152 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 9774 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 9761 | ? | 607 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/BERR0r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | BERR_IOBS | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | BERR_IOBS | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP18_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 9774 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9125 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9138 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9136 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9137 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 9762 | ? | 607 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r +SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady +SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | fsb/Ready1r +SPPTERM | 7 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_TRUE | iobs/IOReady + +MACROCELL_INSTANCE | NULL | EXP19_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 9775 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP19_.EXP | 9763 | ? | 633 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP20_ | MXSE_COPY_0_COPY_0 | 2147483648 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 9777 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP20_.EXP | 9765 | ? | 594 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP21_ | MXSE_COPY_0_COPY_0 | 2147483648 | 22 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 9778 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 22 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9140 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9146 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9100 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9106 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9107 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9111 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9112 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9114 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9129 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9141 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP21_.EXP | 9766 | ? | 599 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | TimeoutA | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready2r + +MACROCELL_INSTANCE | NULL | EXP22_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 9779 | ? | 585 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9128 | ? | 668 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP22_.EXP | 9767 | ? | 585 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP23_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 9770 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 9781 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9171 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 9770 | ? | 627 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP23_.EXP | 9769 | ? | 627 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP14_.EXP +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 + +MACROCELL_INSTANCE | NULL | EXP24_ | MXSE_COPY_0_COPY_0 | 2147483648 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 9802 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP24_.EXP | 9790 | ? | 625 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP25_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 9803 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9145 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP25_.EXP | 9791 | ? | 602 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP26_ | MXSE_COPY_0_COPY_0 | 2147483648 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP26_.EXP | 9811 | ? | 581 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9101 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9103 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9104 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9122 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9157 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9158 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9241 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP26_.EXP | 9799 | ? | 581 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 12 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +MACROCELL_INSTANCE | NULL | EXP27_ | MXSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP27_.EXP | 9813 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9102 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9105 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9130 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP27_.EXP | 9801 | ? | 625 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF + +MACROCELL_INSTANCE | NULL | EXP28_ | MXSE_COPY_0_COPY_0 | 2147483648 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9209 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP28_.EXP | 9828 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9113 | ? | 607 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9153 | ? | 632 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9209 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP28_.EXP | 9814 | ? | 0 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF + +MACROCELL_INSTANCE | NULL | EXP29_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_1_OBUF.EXP | 9829 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP29_.EXP | 9830 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_1_OBUF.EXP | 9829 | ? | 609 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP29_.EXP | 9816 | ? | 609 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP30_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2.EXP | 9831 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP30_.EXP | 9832 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP30_.SI | EXP30_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2.EXP | 9831 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP30_.EXP | 9818 | ? | 608 | 0 | EXP30_ | NULL | NULL | EXP30_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2.EXP +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr + +MACROCELL_INSTANCE | NULL | EXP31_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_5_OBUF.EXP | 9834 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP31_.EXP | 9833 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP31_.SI | EXP31_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_5_OBUF.EXP | 9834 | ? | 608 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP31_.EXP | 9819 | ? | 608 | 0 | EXP31_ | NULL | NULL | EXP31_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP32_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP32_.EXP | 9835 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP32_.SI | EXP32_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP32_.EXP | 9821 | ? | 630 | 0 | EXP32_ | NULL | NULL | EXP32_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr + +MACROCELL_INSTANCE | NULL | EXP33_ | MXSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 9837 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP33_.EXP | 9836 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP33_.SI | EXP33_ | 0 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 9837 | ? | 630 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP33_.EXP | 9822 | ? | 630 | 0 | EXP33_ | NULL | NULL | EXP33_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nDinOE_OBUF.EXP +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 6 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP34_ | MXSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP34_.EXP | 9838 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP34_.SI | EXP34_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9109 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9121 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9123 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9110 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP34_.EXP | 9824 | ? | 631 | 0 | EXP34_ | NULL | NULL | EXP34_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP35_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP36_.EXP | 9840 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP35_.EXP | 9839 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP35_.SI | EXP35_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9154 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9156 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9155 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9108 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9150 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9178 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9197 | ? | 633 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP36_.EXP | 9840 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP35_.EXP | 9825 | ? | 631 | 0 | EXP35_ | NULL | NULL | EXP35_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP36_.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP36_ | MXSE_COPY_0_COPY_0 | 2147483648 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP36_.EXP | 9840 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP36_.SI | EXP36_ | 0 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9124 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9173 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9174 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9184 | ? | 631 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP36_.EXP | 9826 | ? | 631 | 0 | EXP36_ | NULL | NULL | EXP36_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | cnt/RefDone | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +FB_INSTANCE | FOOBAR1_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP14_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | EXP15_ | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 11 | 49152 +FBPIN | 3 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 12 | 49152 +FBPIN | 4 | EXP16_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | EXP17_ | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | EXP18_ | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 8 | EXP19_ | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 16 | 49152 +FBPIN | 10 | fsb/BERR0r | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP20_ | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 12 | fsb/Ready2r | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | EXP21_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | $OpTx$INV$223 | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | EXP22_ | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | IORW0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | IOREQ | 1 | CLK2X_IOB_IBUF | 1 | NULL | 0 | 22 | 57344 +FBPIN | 18 | EXP23_ | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR2_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobm/IOS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | iobm/IOS_FSM_FFd6 | 1 | nRES_IBUF | 1 | NULL | 0 | 99 | 51200 +FBPIN | 3 | iobm/IOS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/IOS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 1 | 53248 +FBPIN | 6 | iobm/BERRrr | 1 | NULL | 0 | NULL | 0 | 2 | 53248 +FBPIN | 7 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/IOS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 | 3 | 53248 +FBPIN | 9 | ALE0M | 1 | NULL | 0 | NULL | 0 | 4 | 53248 +FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nLDS_IOB_OBUF | 1 | NULL | 0 | nLDS_IOB | 1 | 6 | 49152 +FBPIN | 12 | nUDS_IOB_OBUF | 1 | NULL | 0 | nUDS_IOB | 1 | 7 | 49152 +FBPIN | 13 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 8 | 49152 +FBPIN | 15 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 9 | 49152 +FBPIN | 16 | IOBERR | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nAS_IOB_OBUF | 1 | NULL | 0 | nAS_IOB | 1 | 10 | 49152 +FBPIN | 18 | IOACT | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR3_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP24_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | EXP25_ | 1 | CLK_FSB_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 3 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 24 | 49152 +FBPIN | 6 | iobs/PS_FSM_FFd1 | 1 | A_FSB_5_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | BERR_IOBS | 1 | CLK_IOB_IBUF | 1 | NULL | 0 | 27 | 57344 +FBPIN | 9 | ram/RS_FSM_FFd1 | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 28 | 49152 +FBPIN | 10 | ram/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nVMA_IOB_OBUF | 1 | NULL | 0 | nVMA_IOB | 1 | 29 | 49152 +FBPIN | 12 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 30 | 49152 +FBPIN | 13 | EXP26_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobs/Once | 1 | NULL | 0 | NULL | 0 | 32 | 49152 +FBPIN | 15 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 33 | 49152 +FBPIN | 16 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP27_ | 1 | NULL | 0 | NULL | 0 | 34 | 49152 +FBPIN | 18 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR4_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP28_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 87 | 49152 +FBPIN | 3 | EXP29_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | EXP30_ | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 89 | 49152 +FBPIN | 6 | ram/RASEL | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 90 | 49152 +FBPIN | 7 | EXP31_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 91 | 49152 +FBPIN | 9 | EXP32_ | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | ram/RAMDIS1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP33_ | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 93 | 49152 +FBPIN | 12 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 94 | 49152 +FBPIN | 13 | EXP34_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | ram/RAMReady | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | EXP35_ | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 16 | EXP36_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 97 | 49152 +FBPIN | 18 | ram/RAMDIS2 | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR5_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 +FBPIN | 15 | NULL | 0 | E_IOB_IBUF | 1 | NULL | 0 | 46 | 49152 +FBPIN | 16 | iobm/VPArr | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/Er | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 49 | 49152 +FBPIN | 18 | cnt/RefCnt<0> | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR6_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | ram/BACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 74 | 49152 +FBPIN | 3 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | cnt/RefCnt<3> | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 76 | 49152 +FBPIN | 6 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 77 | 49152 +FBPIN | 7 | cnt/RefCnt<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/RefCnt<1> | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 78 | 49152 +FBPIN | 9 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 79 | 49152 +FBPIN | 10 | RefAck | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | ALE0S | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 80 | 49152 +FBPIN | 12 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 81 | 49152 +FBPIN | 13 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobs/IOL1 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 82 | 49152 +FBPIN | 15 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 85 | 49152 +FBPIN | 16 | IOU0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 86 | 49152 +FBPIN | 18 | IOL0 | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR7_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobm/VPArf | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 50 | 49152 +FBPIN | 3 | iobm/RESrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/RESrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/IOREQr | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 52 | 49152 +FBPIN | 6 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 53 | 49152 +FBPIN | 7 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/DTACKrr | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 54 | 49152 +FBPIN | 9 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 55 | 49152 +FBPIN | 10 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/ES<3> | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 56 | 49152 +FBPIN | 12 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 58 | 49152 +FBPIN | 13 | iobm/ES<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/ES<0> | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 59 | 49152 +FBPIN | 15 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 60 | 49152 +FBPIN | 16 | iobm/ES<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 61 | 49152 +FBPIN | 18 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR8_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | fsb/VPA | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 63 | 49152 +FBPIN | 3 | cnt/RefCnt<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/RefCnt<5> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | cnt/RefCnt<4> | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 64 | 49152 +FBPIN | 6 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 65 | 49152 +FBPIN | 7 | fsb/BERR1r | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cs/nOverlay1 | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 66 | 49152 +FBPIN | 9 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 67 | 49152 +FBPIN | 10 | cs/nOverlay0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | cnt/RefDone | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 68 | 49152 +FBPIN | 12 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 70 | 49152 +FBPIN | 13 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | TimeoutB | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 71 | 49152 +FBPIN | 15 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 72 | 49152 +FBPIN | 16 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | TimeoutA | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 73 | 49152 +FBPIN | 18 | cnt/RefCnt<7> | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | INPUTPINS_FOOBAR9_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 + +BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> | 11 | A_FSB<13> | 10 | A_FSB<14> | 9 | A_FSB<15> | 8 | A_FSB<16> | 7 | A_FSB<17> | 6 | A_FSB<18> | 5 | A_FSB<19> | 4 | A_FSB<1> | 22 | A_FSB<20> | 3 | A_FSB<21> | 2 | A_FSB<22> | 1 | A_FSB<23> | 0 | A_FSB<2> | 21 | A_FSB<3> | 20 | A_FSB<4> | 19 | A_FSB<5> | 18 | A_FSB<6> | 17 | A_FSB<7> | 16 | A_FSB<8> | 15 | A_FSB<9> | 14 +BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 + +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | A_FSB<16> | 89 | 2 | nDTACK_FSB_OBUF.UIM | NULL | 3 | fsb/ASrf | NULL | 4 | A_FSB<22> | 95 | 5 | A_FSB<10> | 68 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 6 | iobs/IOReady | NULL | 7 | cs/nOverlay1 | NULL | 8 | A_FSB<20> | 93 | 9 | fsb/BERR0r | NULL | 11 | fsb/Ready2r | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | fsb/Ready0r | NULL | 13 | ram/RAMReady | NULL | 15 | IORW0 | NULL | 16 | TimeoutA | NULL | 18 | fsb/BERR1r | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 20 | A_FSB<18> | 92 | 21 | A_FSB<19> | 82 | 23 | A_FSB<23> | 76 | 26 | iobs/Once | NULL | 27 | A_FSB<17> | 90 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 32 | A_FSB<12> | 64 | 33 | ALE1 | NULL | 34 | A_FSB<15> | 15 | 35 | nWE_FSB | 66 | 37 | iobs/PS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 39 | iobs/IORW1 | NULL | 40 | A_FSB<9> | 59 | 41 | nAS_FSB | 73 | 42 | A_FSB<21> | 80 | 43 | TimeoutB | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 44 | A_FSB<11> | 11 | 46 | A_FSB<13> | 13 | 48 | iobs/PS_FSM_FFd2 | NULL | 49 | BERR_IOBS | NULL | 51 | iobs/IOACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 52 | fsb/Ready1r | NULL | 53 | A_FSB<14> | 14 + +FB_IMUX_INDEX | FOOBAR1_ | 144 | -1 | 2 | 93 | 164 | 197 | 42 | 133 | 152 | 9 | -1 | 11 | 138 | 67 | -1 | 15 | 142 | -1 | 132 | -1 | 150 | 151 | -1 | 175 | -1 | -1 | 49 | 146 | -1 | -1 | -1 | -1 | 209 | 8 | 216 | 205 | -1 | 41 | -1 | 38 | 217 | 183 | 155 | 139 | 208 | -1 | 212 | -1 | 47 | 43 | -1 | 92 | 51 | 214 + + +FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | iobm/IOS_FSM_FFd7 | NULL | 1 | iobm/IOS_FSM_FFd6 | NULL | 2 | iobm/IOS_FSM_FFd5 | NULL | 3 | iobm/IOS_FSM_FFd4 | NULL | 4 | iobm/IOS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 5 | iobm/BERRrr | NULL | 6 | iobm/BERRrf | NULL | 7 | iobm/IOS_FSM_FFd8 | NULL | 9 | iobm/IOS_FSM_FFd2 | NULL | 12 | iobm/IOS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 13 | A_FSB<1> | 17 | 14 | nBERR_IOB | 56 | 15 | IOU0 | NULL | 17 | IOL0 | NULL | 30 | CLK_IOB | 27 +FB_ORDER_OF_INPUTS | FOOBAR2_ | 37 | iobm/DTACKrr | NULL | 38 | iobm/ETACK | NULL | 43 | iobm/IOREQr | NULL | 44 | iobm/RESrr | NULL | 47 | A_FSB<10> | 68 +FB_ORDER_OF_INPUTS | FOOBAR2_ | 48 | ram/RASEL | NULL | 49 | iobm/DTACKrf | NULL | 50 | IORW0 | NULL | 51 | iobm/RESrf | NULL | 52 | IOBERR | NULL + +FB_IMUX_INDEX | FOOBAR2_ | 18 | 19 | 20 | 21 | 22 | 23 | 24 | 25 | -1 | 27 | -1 | -1 | 30 | 222 | 223 | 105 | -1 | 107 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 248 | -1 | -1 | -1 | -1 | -1 | -1 | 115 | 39 | -1 | -1 | -1 | -1 | 112 | 110 | -1 | -1 | 197 | 59 | 117 | 15 | 111 | 33 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | iobm/VPArf | NULL | 2 | iobs/IORW1 | NULL | 3 | ram/RS_FSM_FFd2 | NULL | 4 | A_FSB<22> | 95 | 5 | iobs/PS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 6 | iobs/IOReady | NULL | 7 | BERR_IOBS | NULL | 8 | ALE1 | NULL | 9 | ram/Once | NULL | 10 | nVMA_IOB_OBUF | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 11 | iobs/PS_FSM_FFd2 | NULL | 12 | iobm/ES<1> | NULL | 13 | iobs/Once | NULL | 15 | IOBERR | NULL | 17 | IOACT | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 19 | iobm/ES<4> | NULL | 21 | A_FSB<19> | 82 | 23 | A_FSB<23> | 76 | 24 | cs/nOverlay1 | NULL | 26 | A_FSB<20> | 93 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 27 | A_FSB<17> | 90 | 28 | iobm/ES<2> | NULL | 30 | ram/RS_FSM_FFd3 | NULL | 31 | ram/RS_FSM_FFd1 | NULL | 33 | fsb/ASrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 34 | iobm/ES<3> | NULL | 35 | nWE_FSB | 66 | 36 | ram/RAMDIS1 | NULL | 40 | RefAck | NULL | 41 | nAS_FSB | 73 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 42 | A_FSB<21> | 80 | 43 | iobm/VPArr | NULL | 46 | iobm/ES<0> | NULL | 47 | ram/RAMDIS2 | NULL | 48 | A_FSB<16> | 89 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 49 | A_FSB<18> | 92 | 50 | nLDS_FSB | 71 | 51 | iobs/IOACTr | NULL | 52 | fsb/Ready1r | NULL + +FB_IMUX_INDEX | FOOBAR3_ | 108 | -1 | 38 | 57 | 164 | 41 | 42 | 43 | 8 | 45 | 46 | 47 | 120 | 49 | -1 | 33 | -1 | 35 | -1 | 123 | -1 | 151 | -1 | 175 | 133 | -1 | 152 | 146 | 125 | -1 | 141 | 44 | -1 | 93 | 118 | 205 | 63 | -1 | -1 | -1 | 99 | 183 | 155 | 87 | -1 | -1 | 121 | 71 | 144 | 150 | 189 | 92 | 51 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | ram/BACTr | NULL | 2 | A_FSB<6> | 19 | 3 | ram/RS_FSM_FFd2 | NULL | 4 | nUDS_FSB | 20 | 5 | ram/RASEL | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 7 | cs/nOverlay1 | NULL | 8 | A_FSB<20> | 93 | 9 | ram/Once | NULL | 13 | nWE_FSB | 66 | 15 | A_FSB<23> | 76 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 16 | A_FSB<11> | 11 | 17 | ram/RAMDIS2 | NULL | 19 | A_FSB<2> | 18 | 30 | ram/RS_FSM_FFd3 | NULL | 31 | ram/RS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | fsb/ASrf | NULL | 34 | A_FSB<15> | 15 | 36 | ram/RAMDIS1 | NULL | 37 | cnt/RefCnt<6> | NULL | 41 | nAS_FSB | 73 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 42 | A_FSB<21> | 80 | 45 | cnt/RefCnt<5> | NULL | 48 | A_FSB<22> | 95 | 49 | cnt/RefCnt<7> | NULL | 50 | cnt/RefDone | NULL + +FB_IMUX_INDEX | FOOBAR4_ | 90 | -1 | 228 | 57 | 230 | 59 | -1 | 133 | 152 | 45 | -1 | -1 | -1 | 205 | -1 | 175 | 208 | 71 | -1 | 226 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 141 | 44 | -1 | 93 | 216 | -1 | 63 | 128 | -1 | -1 | -1 | 183 | 155 | -1 | -1 | 129 | -1 | -1 | 164 | 143 | 136 | -1 | -1 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR5_ | 8 | A_FSB<20> | 93 | 11 | A_FSB<21> | 80 | 12 | E_IOB | 46 | 19 | A_FSB<22> | 95 | 23 | A_FSB<23> | 76 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 24 | cs/nOverlay1 | NULL | 52 | nVPA_IOB | 28 + +FB_IMUX_INDEX | FOOBAR5_ | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 152 | -1 | -1 | 155 | 255 | -1 | -1 | -1 | -1 | -1 | -1 | 164 | -1 | -1 | -1 | 175 | 133 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 252 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | fsb/VPA | NULL | 3 | fsb/ASrf | NULL | 5 | iobs/PS_FSM_FFd1 | NULL | 7 | cnt/RefCnt<1> | NULL | 8 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 11 | iobs/PS_FSM_FFd2 | NULL | 12 | iobs/IOU1 | NULL | 13 | iobs/IOL1 | NULL | 16 | A_FSB<5> | 25 | 17 | iobs/Load1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 22 | A_FSB<4> | 54 | 24 | A_FSB<13> | 13 | 31 | ram/RS_FSM_FFd1 | NULL | 32 | cnt/RefCnt<2> | NULL | 35 | nWE_FSB | 66 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 39 | nUDS_FSB | 20 | 40 | IOACT | NULL | 41 | nAS_FSB | 73 | 42 | ram/RS_FSM_FFd2 | NULL | 46 | cnt/RefCnt<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 48 | ram/RASEL | NULL | 50 | nLDS_FSB | 71 | 53 | A_FSB<14> | 14 + +FB_IMUX_INDEX | FOOBAR6_ | 126 | -1 | -1 | 93 | -1 | 41 | -1 | 97 | 8 | -1 | -1 | 47 | 102 | 103 | -1 | -1 | 242 | 53 | -1 | -1 | -1 | -1 | 231 | -1 | 212 | -1 | -1 | -1 | -1 | -1 | -1 | 44 | 96 | -1 | -1 | 205 | -1 | -1 | -1 | 230 | 35 | 183 | 57 | -1 | -1 | -1 | 89 | -1 | 59 | -1 | 189 | -1 | -1 | 214 + + +FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | nRES | 99 | 2 | A_FSB<17> | 90 | 5 | ram/RASEL | NULL | 6 | iobm/Er2 | NULL | 7 | A_FSB<19> | 82 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 8 | ALE0M | NULL | 9 | nVPA_IOB | 28 | 10 | ALE0S | NULL | 13 | iobm/ES<0> | NULL | 15 | iobm/ES<4> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 16 | IOREQ | NULL | 17 | iobm/ES<2> | NULL | 20 | A_FSB<18> | 92 | 26 | A_FSB<20> | 93 | 34 | iobm/ES<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 39 | iobm/IOS_FSM_FFd4 | NULL | 40 | A_FSB<9> | 59 | 42 | A_FSB<8> | 52 | 45 | nDTACK_IOB | 49 | 47 | iobm/IOS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | iobm/ES<1> | NULL | 49 | iobm/Er | NULL + +FB_IMUX_INDEX | FOOBAR7_ | 176 | -1 | 146 | -1 | -1 | 59 | 114 | 151 | 26 | 252 | 100 | -1 | -1 | 121 | -1 | 123 | 16 | 125 | -1 | -1 | 150 | -1 | -1 | -1 | -1 | -1 | 152 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 118 | -1 | -1 | -1 | -1 | 21 | 217 | -1 | 243 | -1 | -1 | 249 | -1 | 30 | 120 | 88 | -1 | -1 | -1 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<12> | 64 | 2 | cnt/RefCnt<6> | NULL | 3 | cnt/RefCnt<5> | NULL | 4 | cnt/RefCnt<3> | NULL | 5 | ram/RASEL | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | cnt/RefCnt<2> | NULL | 7 | A_FSB<3> | 78 | 8 | ram/RS_FSM_FFd1 | NULL | 9 | RefAck | NULL | 10 | cnt/RefDone | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 11 | A_FSB<21> | 80 | 13 | $OpTx$INV$223.UIM | NULL | 15 | ram/RS_FSM_FFd3 | NULL | 17 | cnt/RefCnt<0> | NULL | 18 | fsb/BERR1r | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 19 | A_FSB<22> | 95 | 21 | A_FSB<7> | 96 | 23 | A_FSB<23> | 76 | 24 | cs/nOverlay1 | NULL | 26 | A_FSB<20> | 93 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 27 | fsb/VPA | NULL | 32 | fsb/Ready0r | NULL | 33 | fsb/ASrf | NULL | 34 | cnt/RefCnt<1> | NULL | 38 | cnt/RefCnt<4> | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 40 | cs/nOverlay0 | NULL | 41 | nAS_FSB | 73 | 42 | ram/RS_FSM_FFd2 | NULL | 43 | TimeoutB | NULL | 45 | ram/RAMReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 46 | BERR_IOBS | NULL | 47 | ram/Once | NULL | 48 | A_FSB<16> | 89 | 49 | cnt/RefCnt<7> | NULL | 51 | fsb/BERR0r | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 52 | TimeoutA | NULL + +FB_IMUX_INDEX | FOOBAR8_ | 209 | -1 | 128 | 129 | 94 | 59 | 96 | 167 | 44 | 99 | 136 | 155 | -1 | 13 | -1 | 141 | -1 | 89 | 132 | 164 | -1 | 168 | -1 | 175 | 133 | -1 | 152 | 126 | -1 | -1 | -1 | -1 | 138 | 93 | 97 | -1 | -1 | -1 | 130 | -1 | 135 | 183 | 57 | 139 | -1 | 67 | 43 | 45 | 144 | 143 | -1 | 9 | 142 | -1 + + +GLOBAL_FCLK | CLK2X_IOB | 0 | 0 | CLK_FSB | 1 | 1 | CLK_IOB | 2 | 2 + +GLOBAL_FSR | nRES | 0 | 0 + +TIME_TSPEC | TS_CLK_IOB | PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS | CLK_IOB | 10003 | 1428 | 714 | NULL + +TIME_TSPEC | TS_CLK_FSB | PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS | CLK_FSB | 10003 | 400 | 200 | NULL + +TIME_TSPEC | TS_CLK2X_IOB | PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS | CLK2X_IOB | 10003 | 666 | 333 | NULL diff --git a/cpld/XC95144XL/MXSE.xise b/cpld/XC95144XL/MXSE.xise new file mode 100644 index 0000000..6183bcf --- /dev/null +++ b/cpld/XC95144XL/MXSE.xise @@ -0,0 +1,280 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/cpld/XC95144XL/MXSE.xst b/cpld/XC95144XL/MXSE.xst new file mode 100644 index 0000000..a180951 --- /dev/null +++ b/cpld/XC95144XL/MXSE.xst @@ -0,0 +1,29 @@ +set -tmpdir "xst/projnav.tmp" +set -xsthdpdir "xst" +run +-ifn MXSE.prj +-ifmt mixed +-ofn MXSE +-ofmt NGC +-p xc9500xl +-top MXSE +-opt_mode Speed +-opt_level 1 +-iuc NO +-keep_hierarchy Yes +-netlist_hierarchy As_Optimized +-rtlview Yes +-hierarchy_separator / +-bus_delimiter <> +-case Maintain +-verilog2001 YES +-fsm_extract YES -fsm_encoding Auto +-safe_implementation No +-mux_extract Yes +-resource_sharing YES +-iobuf YES +-pld_mp YES +-pld_xp YES +-pld_ce YES +-wysiwyg NO +-equivalent_register_removal YES diff --git a/cpld/XC95144XL/MXSE_envsettings.html b/cpld/XC95144XL/MXSE_envsettings.html new file mode 100644 index 0000000..a646aee --- /dev/null +++ b/cpld/XC95144XL/MXSE_envsettings.html @@ -0,0 +1,244 @@ +Xilinx System Settings Report + +
System Settings

+

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Environment Settings
Environment Variablexstngdbuild
PATHEXT.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC
.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC
PathC:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin
C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin
XILINXC:\Xilinx\14.7\ISE_DS\ISE\C:\Xilinx\14.7\ISE_DS\ISE\
XILINX_DSPC:\Xilinx\14.7\ISE_DS\ISEC:\Xilinx\14.7\ISE_DS\ISE
XILINX_EDKC:\Xilinx\14.7\ISE_DS\EDKC:\Xilinx\14.7\ISE_DS\EDK
XILINX_PLANAHEADC:\Xilinx\14.7\ISE_DS\PlanAheadC:\Xilinx\14.7\ISE_DS\PlanAhead
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Synthesis Property Settings
Switch NameProperty NameValueDefault Value
-ifn MXSE.prj 
-ifmt mixedMIXED
-ofn MXSE 
-ofmt NGCNGC
-p xc9500xl 
-top MXSE 
-opt_modeOptimization GoalSpeedSPEED
-opt_levelOptimization Effort11
-iucUse synthesis Constraints FileNONO
-keep_hierarchyKeep HierarchyYesYES
-netlist_hierarchyNetlist HierarchyAs_Optimizedas_optimized
-rtlviewGenerate RTL SchematicYesNO
-bus_delimiterBus Delimiter<><>
-verilog2001Verilog 2001YESYES
-fsm_extract YESYES
-fsm_encoding AutoAUTO
-safe_implementation NoNO
-resource_sharing YESYES
-iobuf YESYES
-equivalent_register_removal YESYES
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Translation Property Settings
Switch NameProperty NameValueDefault Value
-intstyle iseNone
-dd _ngoNone
-p xc95144xl-TQ100-10None
-uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucfNone
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Operating System Information
Operating System Informationxstngdbuild
CPU Architecture/SpeedIntel(R) Core(TM) i7-4770K CPU @ 3.50GHz/3500 MHzIntel(R) Core(TM) i7-4770K CPU @ 3.50GHz/3500 MHz
HostZanePCZanePC
OS NameMicrosoft , 64-bitMicrosoft , 64-bit
OS Releasemajor release (build 9200)major release (build 9200)
+ \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE_html/fit/applet.js b/cpld/XC95144XL/MXSE_html/fit/applet.js new file mode 100644 index 0000000..d255dd2 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/applet.js @@ -0,0 +1,128 @@ + var tmpStr = ""; + var waitWin; + + function openWait() { + waitWin = window.open("wait.htm", "wait", + "toolbar=no,location=no,"+ + "directories=no,status=no,menubar=no,scrollbars=no,"+ + "resizable=no,width=300,height=50" ); + } + + function closeWait() { if (waitWin) waitWin.close(); } + + function setMsg(msg){ + + parent.leftnav.setAppletMsg( msg ); + // now send it reload forces + // call to applet paint + location.reload(); + } + + function getMsg(){ + + return( parent.leftnav.getAppletMsg() ); + } + + function resetMsg(){ parent.leftnav.setAppletMsg(""); } + + function printAppletPkg() { + if( isNS() ){ + setMsg("cmd printPkg "); + } + else{ + document.ChipViewerApplet.PrintPkg(); + } + } + + function showAppletGraphicMC(mc) { + if( isNS() ){ + setMsg("cmd showMac " + mc); + } + else{ + document.ChipViewerApplet.ShowMac(mc); + } + } + + function ShowMC() { showAppletGraphicMC(tmpStr); } + + function showAppletGraphicFB(fb) { + if( isNS() ){ + setMsg("cmd showFB " + fb); + } + else{ + document.ChipViewerApplet.ShowFB(fb); + } + } + + function showAppletGraphicPin(pin) { + if( isNS() ){ + setMsg("cmd showPin " + pin); + } + else{ + document.ChipViewerApplet.ShowPin(pin); + } + } + + function ShowFB() { showAppletGraphicFB(tmpStr); } + + function isNS() { + return ((navigator.appName.indexOf("Netscape") >= 0) && (parseFloat(navigator.appVersion) < 5) ) ? true : false; + } + + function isIE(){ + var agt=navigator.userAgent.toLowerCase(); + return( ( (agt.indexOf("msie") != -1) && (agt.indexOf("opera") == -1) ) ? true: false ); + } + + function waitUntilOK() { + if (!waitWin) openWait(); + if (isNS()) { + if (document.ChipViewerApplet.isActive()) closeWait(); + else settimeout("waitUntilOK()",100); + } + else { + if (document.ChipViewerApplet.readyState == 4) closeWait(); + else settimeout("waitUntilOK()",100); + } + } + + + // check that the applet if file has been generated + // this can only be done if the applets been loaded. + function fileExists(fileName){ + + if( document.ChipViewerApplet.readyState != 4 ) { + window.alert("Navigation disabled until the applet is loaded." ); + } + if( isIE() ){ + if( parent.leftnav.getAppletPermission() == 1 ){ + if( document.ChipViewerApplet.TestFileExists(fileName) == 1 ){ + window.alert("file exist tests true" ); + return( true ); + } + } + else{ + window.alert("file exist returns true no permission" ); + return( true ); + } + } + else{ + return( true ); + } + window.alert("file exist returns false" ); + return( false ); + } + + + + function setPermission(){ + + if( isIE() ){ + if( document.ChipViewerApplet.granted() ){ + parent.leftnav.setAppletPermission(); + } + } + else{ + return( true ); + } + } diff --git a/cpld/XC95144XL/MXSE_html/fit/appletref.htm b/cpld/XC95144XL/MXSE_html/fit/appletref.htm new file mode 100644 index 0000000..2182301 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/appletref.htm @@ -0,0 +1,14 @@ + + + + + + + + + + + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/ascii.htm b/cpld/XC95144XL/MXSE_html/fit/ascii.htm new file mode 100644 index 0000000..f3fdec8 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/ascii.htm @@ -0,0 +1,1733 @@ + +
+ 
+cpldfit:  version P.20131013                        Xilinx Inc.
+                                  Fitter Report
+Design Name: MXSE                                Date: 10-24-2021,  6:31AM
+Device Used: XC95144XL-10-TQ100
+Fitting Status: Successful
+
+*************************  Mapped Resource Summary  **************************
+
+Macrocells     Product Terms    Function Block   Registers      Pins           
+Used/Tot       Used/Tot         Inps Used/Tot    Used/Tot       Used/Tot       
+106/144 ( 74%) 411 /720  ( 57%) 214/432 ( 50%)   82 /144 ( 57%) 67 /81  ( 83%)
+
+** Function Block Resources **
+
+Function    Mcells      FB Inps     Pterms      IO          
+Block       Used/Tot    Used/Tot    Used/Tot    Used/Tot    
+FB1           7/18       37/54       81/90      11/11*
+FB2          18/18*      25/54       49/90       6/10
+FB3          14/18       39/54       81/90       7/10
+FB4           9/18       25/54       81/90      10/10*
+FB5           4/18        7/54        4/90       3/10
+FB6          18/18*      23/54       26/90      10/10*
+FB7          18/18*      22/54       34/90      10/10*
+FB8          18/18*      36/54       55/90      10/10*
+             -----       -----       -----      -----    
+            106/144     214/432     411/720     67/81 
+
+* - Resource is exhausted
+
+** Global Control Resources **
+
+Signal 'CLK2X_IOB' mapped onto global clock net GCK1.
+Signal 'CLK_FSB' mapped onto global clock net GCK2.
+Signal 'CLK_IOB' mapped onto global clock net GCK3.
+Global output enable net(s) unused.
+Signal 'nRES' mapped onto global set/reset net GSR.
+
+** Pin Resources **
+
+Signal Type    Required     Mapped  |  Pin Type            Used    Total 
+------------------------------------|------------------------------------
+Input         :   31          31    |  I/O              :    63      73
+Output        :   32          32    |  GCK/IO           :     3       3
+Bidirectional :    0           0    |  GTS/IO           :     0       4
+GCK           :    3           3    |  GSR/IO           :     1       1
+GTS           :    0           0    |
+GSR           :    1           1    |
+                 ----        ----
+        Total     67          67
+
+** Power Data **
+
+There are 106 macrocells in high performance mode (MCHP).
+There are 0 macrocells in low power mode (MCLP).
+End of Mapped Resource Summary
+**************************  Errors and Warnings  ***************************
+
+WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will
+   use the default filename of 'MXSE.ise'.
+*************************  Summary of Mapped Logic  ************************
+
+** 32 Outputs **
+
+Signal              Total Total Loc     Pin  Pin     Pin     Pwr  Slew Reg Init
+Name                Pts   Inps          No.  Type    Use     Mode Rate State
+nDTACK_FSB          25    31    FB1_3   12   I/O     O       STD  FAST RESET
+nADoutLE1           13    16    FB1_9   16   I/O     O       STD  FAST SET
+nLDS_IOB            3     7     FB2_11  6    I/O     O       STD  FAST RESET
+nUDS_IOB            3     7     FB2_12  7    I/O     O       STD  FAST RESET
+RA<0>               2     3     FB2_14  8    I/O     O       STD  FAST 
+nDoutOE             2     7     FB2_15  9    I/O     O       STD  FAST RESET
+nAS_IOB             1     5     FB2_17  10   I/O     O       STD  FAST RESET
+nRAS                3     8     FB3_5   24   I/O     O       STD  FAST 
+nVMA_IOB            2     9     FB3_11  29   I/O     O       STD  FAST RESET
+nRAMLWE             1     5     FB3_15  33   I/O     O       STD  FAST 
+RA<1>               2     3     FB4_2   87   I/O     O       STD  FAST 
+RA<5>               2     3     FB4_8   91   I/O     O       STD  FAST 
+nDinOE              2     6     FB4_12  94   I/O     O       STD  FAST 
+nRAMUWE             1     5     FB4_17  97   I/O     O       STD  FAST 
+nROMCS              2     5     FB5_2   35   I/O     O       STD  FAST 
+RA<3>               2     3     FB6_2   74   I/O     O       STD  FAST 
+RA<4>               2     3     FB6_6   77   I/O     O       STD  FAST 
+nCAS                1     1     FB6_9   79   I/O     O       STD  FAST RESET
+nOE                 1     2     FB6_12  81   I/O     O       STD  FAST 
+nROMWE              1     2     FB6_15  85   I/O     O       STD  FAST 
+nVPA_FSB            1     2     FB6_17  86   I/O     O       STD  FAST 
+RA<7>               2     3     FB7_2   50   I/O     O       STD  FAST 
+RA<8>               2     3     FB7_6   53   I/O     O       STD  FAST 
+RA<9>               2     3     FB7_9   55   I/O     O       STD  FAST 
+RA<11>              1     1     FB7_12  58   I/O     O       STD  FAST 
+nADoutLE0           1     2     FB7_15  60   I/O     O       STD  FAST 
+nDinLE              1     2     FB7_17  61   I/O     O       STD  FAST RESET
+nBERR_FSB           4     9     FB8_2   63   I/O     O       STD  FAST 
+RA<2>               2     3     FB8_6   65   I/O     O       STD  FAST 
+RA<6>               2     3     FB8_9   67   I/O     O       STD  FAST 
+RA<10>              1     1     FB8_12  70   I/O     O       STD  FAST 
+nAoutOE             0     0     FB8_15  72   I/O     O       STD  FAST 
+
+** 74 Buried Nodes **
+
+Signal              Total Total Loc     Pwr  Reg Init
+Name                Pts   Inps          Mode State
+fsb/BERR0r          3     8     FB1_10  STD  RESET
+fsb/Ready2r         5     21    FB1_12  STD  RESET
+$OpTx$INV$223       10    24    FB1_14  STD  
+IORW0               14    18    FB1_16  STD  RESET
+IOREQ               11    17    FB1_17  STD  RESET
+iobm/IOS_FSM_FFd7   1     3     FB2_1   STD  RESET
+iobm/IOS_FSM_FFd6   1     1     FB2_2   STD  RESET
+iobm/IOS_FSM_FFd5   1     1     FB2_3   STD  RESET
+iobm/IOS_FSM_FFd4   1     1     FB2_4   STD  RESET
+iobm/IOS_FSM_FFd1   1     1     FB2_5   STD  RESET
+iobm/BERRrr         1     1     FB2_6   STD  RESET
+iobm/BERRrf         1     1     FB2_7   STD  RESET
+iobm/IOS_FSM_FFd8   2     4     FB2_8   STD  SET
+ALE0M               2     7     FB2_9   STD  RESET
+iobm/IOS_FSM_FFd2   4     9     FB2_10  STD  RESET
+iobm/IOS_FSM_FFd3   5     10    FB2_13  STD  RESET
+IOBERR              8     11    FB2_16  STD  RESET
+IOACT               10    15    FB2_18  STD  RESET
+iobs/IORW1          15    17    FB3_3   STD  RESET
+iobm/ETACK          1     6     FB3_4   STD  RESET
+iobs/PS_FSM_FFd1    2     3     FB3_6   STD  RESET
+iobs/IOReady        4     8     FB3_7   STD  RESET
+BERR_IOBS           4     8     FB3_8   STD  RESET
+ram/RS_FSM_FFd1     5     10    FB3_9   STD  RESET
+ram/Once            5     10    FB3_10  STD  RESET
+iobs/PS_FSM_FFd2    10    17    FB3_12  STD  RESET
+iobs/Once           11    16    FB3_14  STD  RESET
+fsb/Ready1r         5     15    FB3_16  STD  RESET
+iobs/Load1          13    16    FB3_18  STD  RESET
+ram/RS_FSM_FFd2     13    14    FB4_4   STD  RESET
+ram/RASEL           20    15    FB4_6   STD  RESET
+ram/RAMDIS1         18    15    FB4_10  STD  RESET
+ram/RAMReady        16    15    FB4_14  STD  RESET
+ram/RAMDIS2         7     15    FB4_18  STD  RESET
+iobm/VPArr          1     1     FB5_16  STD  RESET
+iobm/Er             1     1     FB5_17  STD  RESET
+cnt/RefCnt<0>       0     0     FB5_18  STD  RESET
+ram/BACTr           1     2     FB6_1   STD  RESET
+iobs/IOACTr         1     1     FB6_3   STD  RESET
+fsb/ASrf            1     1     FB6_4   STD  RESET
+
+Signal              Total Total Loc     Pwr  Reg Init
+Name                Pts   Inps          Mode State
+cnt/RefCnt<3>       1     3     FB6_5   STD  RESET
+cnt/RefCnt<2>       1     2     FB6_7   STD  RESET
+cnt/RefCnt<1>       1     1     FB6_8   STD  RESET
+RefAck              1     2     FB6_10  STD  RESET
+ALE0S               1     2     FB6_11  STD  RESET
+iobs/IOU1           2     2     FB6_13  STD  RESET
+iobs/IOL1           2     2     FB6_14  STD  RESET
+IOU0                3     5     FB6_16  STD  RESET
+IOL0                3     5     FB6_18  STD  RESET
+iobm/VPArf          1     1     FB7_1   STD  RESET
+iobm/RESrr          1     1     FB7_3   STD  RESET
+iobm/RESrf          1     1     FB7_4   STD  RESET
+iobm/IOREQr         1     1     FB7_5   STD  RESET
+iobm/Er2            1     1     FB7_7   STD  RESET
+iobm/DTACKrr        1     1     FB7_8   STD  RESET
+iobm/DTACKrf        1     1     FB7_10  STD  RESET
+iobm/ES<3>          3     6     FB7_11  STD  RESET
+iobm/ES<1>          3     4     FB7_13  STD  RESET
+iobm/ES<0>          3     7     FB7_14  STD  RESET
+iobm/ES<4>          4     7     FB7_16  STD  RESET
+iobm/ES<2>          5     7     FB7_18  STD  RESET
+fsb/VPA             14    12    FB8_1   STD  RESET
+cnt/RefCnt<6>       1     6     FB8_3   STD  RESET
+cnt/RefCnt<5>       1     5     FB8_4   STD  RESET
+cnt/RefCnt<4>       1     4     FB8_5   STD  RESET
+fsb/BERR1r          2     4     FB8_7   STD  RESET
+cs/nOverlay1        2     3     FB8_8   STD  RESET
+cs/nOverlay0        2     7     FB8_10  STD  RESET
+cnt/RefDone         2     10    FB8_11  STD  RESET
+fsb/Ready0r         3     8     FB8_13  STD  RESET
+TimeoutB            3     12    FB8_14  STD  RESET
+ram/RS_FSM_FFd3     11    14    FB8_16  STD  RESET
+TimeoutA            3     11    FB8_17  STD  RESET
+cnt/RefCnt<7>       1     7     FB8_18  STD  RESET
+
+** 35 Inputs **
+
+Signal              Loc     Pin  Pin     Pin     
+Name                        No.  Type    Use     
+A_FSB<11>           FB1_2   11   I/O     I
+A_FSB<13>           FB1_5   13   I/O     I
+A_FSB<14>           FB1_6   14   I/O     I
+A_FSB<15>           FB1_8   15   I/O     I
+A_FSB<1>            FB1_11  17   I/O     I
+A_FSB<2>            FB1_12  18   I/O     I
+A_FSB<6>            FB1_14  19   I/O     I
+nUDS_FSB            FB1_15  20   I/O     I
+CLK2X_IOB           FB1_17  22~  GCK/I/O GCK
+nRES                FB2_2   99~  GSR/I/O GSR/I
+CLK_FSB             FB3_2   23~  GCK/I/O GCK
+A_FSB<5>            FB3_6   25   I/O     I
+CLK_IOB             FB3_8   27~  GCK/I/O GCK/I
+nVPA_IOB            FB3_9   28   I/O     I
+A_FSB<16>           FB4_5   89   I/O     I
+A_FSB<17>           FB4_6   90   I/O     I
+A_FSB<18>           FB4_9   92   I/O     I
+A_FSB<20>           FB4_11  93   I/O     I
+A_FSB<22>           FB4_14  95   I/O     I
+A_FSB<7>            FB4_15  96   I/O     I
+E_IOB               FB5_15  46   I/O     I
+nDTACK_IOB          FB5_17  49   I/O     I
+A_FSB<23>           FB6_5   76   I/O     I
+A_FSB<3>            FB6_8   78   I/O     I
+A_FSB<21>           FB6_11  80   I/O     I
+A_FSB<19>           FB6_14  82   I/O     I
+A_FSB<8>            FB7_5   52   I/O     I
+A_FSB<4>            FB7_8   54   I/O     I
+nBERR_IOB           FB7_11  56   I/O     I
+A_FSB<9>            FB7_14  59   I/O     I
+A_FSB<12>           FB8_5   64   I/O     I
+nWE_FSB             FB8_8   66   I/O     I
+A_FSB<10>           FB8_11  68   I/O     I
+nLDS_FSB            FB8_14  71   I/O     I
+nAS_FSB             FB8_17  73   I/O     I
+
+Legend:
+Pin No. - ~ - User Assigned
+**************************  Function Block Details  ************************
+Legend:
+Total Pt     - Total product terms used by the macrocell signal
+Imp Pt       - Product terms imported from other macrocells
+Exp Pt       - Product terms exported to other macrocells
+               in direction shown
+Unused Pt    - Unused local product terms remaining in macrocell
+Loc          - Location where logic was mapped in device
+Pin Type/Use - I  - Input             GCK - Global Clock
+               O  - Output            GTS - Global Output Enable
+              (b) - Buried macrocell  GSR - Global Set/Reset
+X            - Signal used as input to the macrocell logic.
+Pin No.      - ~  - User Assigned
+*********************************** FB1  ***********************************
+Number of function block inputs used/remaining:               37/17
+Number of signals used by logic mapping into function block:  37
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0   /\5   0     FB1_1         (b)     (b)
+(unused)              0       0   \/5   0     FB1_2   11    I/O     I
+nDTACK_FSB           25      20<-   0   0     FB1_3   12    I/O     O
+(unused)              0       0   /\5   0     FB1_4         (b)     (b)
+(unused)              0       0   /\5   0     FB1_5   13    I/O     I
+(unused)              0       0   /\5   0     FB1_6   14    I/O     I
+(unused)              0       0     0   5     FB1_7         (b)     
+(unused)              0       0   \/5   0     FB1_8   15    I/O     I
+nADoutLE1            13       8<-   0   0     FB1_9   16    I/O     O
+fsb/BERR0r            3       1<- /\3   0     FB1_10        (b)     (b)
+(unused)              0       0   /\1   4     FB1_11  17    I/O     I
+fsb/Ready2r           5       0     0   0     FB1_12  18    I/O     I
+(unused)              0       0   \/5   0     FB1_13        (b)     (b)
+$OpTx$INV$223        10       5<-   0   0     FB1_14  19    I/O     I
+(unused)              0       0   \/5   0     FB1_15  20    I/O     I
+IORW0                14       9<-   0   0     FB1_16        (b)     (b)
+IOREQ                11      10<- /\4   0     FB1_17  22    GCK/I/O GCK
+(unused)              0       0   /\5   0     FB1_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<10>         14: A_FSB<23>         26: fsb/Ready2r 
+  2: A_FSB<11>         15: A_FSB<9>          27: iobs/IOACTr 
+  3: A_FSB<12>         16: BERR_IOBS         28: iobs/IORW1 
+  4: A_FSB<13>         17: IORW0             29: iobs/IOReady 
+  5: A_FSB<14>         18: TimeoutA          30: iobs/Once 
+  6: A_FSB<15>         19: TimeoutB          31: iobs/PS_FSM_FFd1 
+  7: A_FSB<16>         20: cs/nOverlay1      32: iobs/PS_FSM_FFd2 
+  8: A_FSB<17>         21: fsb/ASrf          33: nADoutLE1 
+  9: A_FSB<18>         22: fsb/BERR0r        34: nAS_FSB 
+ 10: A_FSB<19>         23: fsb/BERR1r        35: nDTACK_FSB 
+ 11: A_FSB<20>         24: fsb/Ready0r       36: nWE_FSB 
+ 12: A_FSB<21>         25: fsb/Ready1r       37: ram/RAMReady 
+ 13: A_FSB<22>        
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+nDTACK_FSB           XXXXXXXXXXXXXXXX.XXXXXXXXX..X...XXXXX... 31
+nADoutLE1            ......XXXXXXXX.....XX........XXXXX.X.... 16
+fsb/BERR0r           ..........XXXX....X.XX...........X...... 8
+fsb/Ready2r          XXXXXXXXXXXXXXX..X.XX....X.......X.X.... 21
+$OpTx$INV$223        XXXXXXXXXXXXXXX..X.X...XXX..X...X..XX... 24
+IORW0                ......XXXXXXXX..X..XX......X.XXXXX.X.... 18
+IOREQ                ......XXXXXXXX.....XX.....X..XXXXX.X.... 17
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB2  ***********************************
+Number of function block inputs used/remaining:               25/29
+Number of signals used by logic mapping into function block:  25
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+iobm/IOS_FSM_FFd7     1       1<- /\5   0     FB2_1         (b)     (b)
+iobm/IOS_FSM_FFd6     1       0   /\1   3     FB2_2   99    GSR/I/O GSR/I
+iobm/IOS_FSM_FFd5     1       0     0   4     FB2_3         (b)     (b)
+iobm/IOS_FSM_FFd4     1       0     0   4     FB2_4         (b)     (b)
+iobm/IOS_FSM_FFd1     1       0     0   4     FB2_5   1     GTS/I/O (b)
+iobm/BERRrr           1       0     0   4     FB2_6   2     GTS/I/O (b)
+iobm/BERRrf           1       0     0   4     FB2_7         (b)     (b)
+iobm/IOS_FSM_FFd8     2       0     0   3     FB2_8   3     GTS/I/O (b)
+ALE0M                 2       0     0   3     FB2_9   4     GTS/I/O (b)
+iobm/IOS_FSM_FFd2     4       0     0   1     FB2_10        (b)     (b)
+nLDS_IOB              3       0     0   2     FB2_11  6     I/O     O
+nUDS_IOB              3       0     0   2     FB2_12  7     I/O     O
+iobm/IOS_FSM_FFd3     5       0     0   0     FB2_13        (b)     (b)
+RA<0>                 2       0     0   3     FB2_14  8     I/O     O
+nDoutOE               2       0   \/2   1     FB2_15  9     I/O     O
+IOBERR                8       3<-   0   0     FB2_16        (b)     (b)
+nAS_IOB               1       0   /\1   3     FB2_17  10    I/O     O
+IOACT                10       5<-   0   0     FB2_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<10>         10: iobm/DTACKrf       18: iobm/IOS_FSM_FFd5 
+  2: A_FSB<1>          11: iobm/DTACKrr       19: iobm/IOS_FSM_FFd6 
+  3: CLK_IOB           12: iobm/ETACK         20: iobm/IOS_FSM_FFd7 
+  4: IOBERR            13: iobm/IOREQr        21: iobm/IOS_FSM_FFd8 
+  5: IOL0              14: iobm/IOS_FSM_FFd1  22: iobm/RESrf 
+  6: IORW0             15: iobm/IOS_FSM_FFd2  23: iobm/RESrr 
+  7: IOU0              16: iobm/IOS_FSM_FFd3  24: nBERR_IOB 
+  8: iobm/BERRrf       17: iobm/IOS_FSM_FFd4  25: ram/RASEL 
+  9: iobm/BERRrr      
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+iobm/IOS_FSM_FFd7    ..X.........X.......X................... 3
+iobm/IOS_FSM_FFd6    ...................X.................... 1
+iobm/IOS_FSM_FFd5    ..................X..................... 1
+iobm/IOS_FSM_FFd4    .................X...................... 1
+iobm/IOS_FSM_FFd1    ..............X......................... 1
+iobm/BERRrr          .......................X................ 1
+iobm/BERRrf          .......................X................ 1
+iobm/IOS_FSM_FFd8    ..X.........XX......X................... 4
+ALE0M                ............X..XXXXXX................... 7
+iobm/IOS_FSM_FFd2    ..X....XXXXX...X.....XX................. 9
+nLDS_IOB             ....XX.........XXXXX.................... 7
+nUDS_IOB             .....XX........XXXXX.................... 7
+iobm/IOS_FSM_FFd3    ..X....XXXXX...XX....XX................. 10
+RA<0>                XX......................X............... 3
+nDoutOE              .....X........XXXXXX.................... 7
+IOBERR               ..XX...XXXXX...X.....XXX................ 11
+nAS_IOB              ...............XXXXX.................... 5
+IOACT                ..X....XXXXXX..XXXXXXXX................. 15
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB3  ***********************************
+Number of function block inputs used/remaining:               39/15
+Number of signals used by logic mapping into function block:  39
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0   /\3   2     FB3_1         (b)     (b)
+(unused)              0       0   \/5   0     FB3_2   23    GCK/I/O GCK
+iobs/IORW1           15      10<-   0   0     FB3_3         (b)     (b)
+iobm/ETACK            1       1<- /\5   0     FB3_4         (b)     (b)
+nRAS                  3       0   /\1   1     FB3_5   24    I/O     O
+iobs/PS_FSM_FFd1      2       0     0   3     FB3_6   25    I/O     I
+iobs/IOReady          4       0   \/1   0     FB3_7         (b)     (b)
+BERR_IOBS             4       1<- \/2   0     FB3_8   27    GCK/I/O GCK/I
+ram/RS_FSM_FFd1       5       2<- \/2   0     FB3_9   28    I/O     I
+ram/Once              5       2<- \/2   0     FB3_10        (b)     (b)
+nVMA_IOB              2       2<- \/5   0     FB3_11  29    I/O     O
+iobs/PS_FSM_FFd2     10       5<-   0   0     FB3_12  30    I/O     (b)
+(unused)              0       0   \/5   0     FB3_13        (b)     (b)
+iobs/Once            11       6<-   0   0     FB3_14  32    I/O     (b)
+nRAMLWE               1       0   /\1   3     FB3_15  33    I/O     O
+fsb/Ready1r           5       0     0   0     FB3_16        (b)     (b)
+(unused)              0       0   \/5   0     FB3_17  34    I/O     (b)
+iobs/Load1           13       8<-   0   0     FB3_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<16>         14: fsb/ASrf          27: iobs/PS_FSM_FFd1 
+  2: A_FSB<17>         15: fsb/Ready1r       28: iobs/PS_FSM_FFd2 
+  3: A_FSB<18>         16: iobm/ES<0>        29: nADoutLE1 
+  4: A_FSB<19>         17: iobm/ES<1>        30: nAS_FSB 
+  5: A_FSB<20>         18: iobm/ES<2>        31: nLDS_FSB 
+  6: A_FSB<21>         19: iobm/ES<3>        32: nVMA_IOB 
+  7: A_FSB<22>         20: iobm/ES<4>        33: nWE_FSB 
+  8: A_FSB<23>         21: iobm/VPArf        34: ram/Once 
+  9: BERR_IOBS         22: iobm/VPArr        35: ram/RAMDIS1 
+ 10: IOACT             23: iobs/IOACTr       36: ram/RAMDIS2 
+ 11: IOBERR            24: iobs/IORW1        37: ram/RS_FSM_FFd1 
+ 12: RefAck            25: iobs/IOReady      38: ram/RS_FSM_FFd2 
+ 13: cs/nOverlay1      26: iobs/Once         39: ram/RS_FSM_FFd3 
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+iobs/IORW1           XXXXXXXX....XX.........X.XXXXX..X....... 17
+iobm/ETACK           ...............XXXXX...........X........ 6
+nRAS                 .....XXX...XX................X....XX.... 8
+iobs/PS_FSM_FFd1     ......................X...XX............ 3
+iobs/IOReady         ..........X..X........X.XX.XXX.......... 8
+BERR_IOBS            ........X.X..X........X..X.XXX.......... 8
+ram/RS_FSM_FFd1      .....XXX....XX...............X...X..XXX. 10
+ram/Once             .....XXX....XX...............X...X..XXX. 10
+nVMA_IOB             .........X.....XXXXXXX.........X........ 9
+iobs/PS_FSM_FFd2     XXXXXXXX....XX........X..XXXXX..X....... 17
+iobs/Once            XXXXXXXX....XX...........XXXXX..X....... 16
+nRAMLWE              .............................XX.X.XX.... 5
+fsb/Ready1r          XXXXXXXX....XXX.........X...XX..X....... 15
+iobs/Load1           XXXXXXXX....XX...........XXXXX..X....... 16
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB4  ***********************************
+Number of function block inputs used/remaining:               25/29
+Number of signals used by logic mapping into function block:  25
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0   \/2   3     FB4_1         (b)     (b)
+RA<1>                 2       2<- \/5   0     FB4_2   87    I/O     O
+(unused)              0       0   \/5   0     FB4_3         (b)     (b)
+ram/RS_FSM_FFd2      13      10<- \/2   0     FB4_4         (b)     (b)
+(unused)              0       0   \/5   0     FB4_5   89    I/O     I
+ram/RASEL            20      15<-   0   0     FB4_6   90    I/O     I
+(unused)              0       0   /\5   0     FB4_7         (b)     (b)
+RA<5>                 2       0   /\3   0     FB4_8   91    I/O     O
+(unused)              0       0   \/5   0     FB4_9   92    I/O     I
+ram/RAMDIS1          18      13<-   0   0     FB4_10        (b)     (b)
+(unused)              0       0   /\5   0     FB4_11  93    I/O     I
+nDinOE                2       0   /\3   0     FB4_12  94    I/O     O
+(unused)              0       0   \/5   0     FB4_13        (b)     (b)
+ram/RAMReady         16      11<-   0   0     FB4_14  95    I/O     I
+(unused)              0       0   /\5   0     FB4_15  96    I/O     I
+(unused)              0       0   /\1   4     FB4_16        (b)     (b)
+nRAMUWE               1       0   \/2   2     FB4_17  97    I/O     O
+ram/RAMDIS2           7       2<-   0   0     FB4_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<11>         10: cnt/RefCnt<6>     18: ram/BACTr 
+  2: A_FSB<15>         11: cnt/RefCnt<7>     19: ram/Once 
+  3: A_FSB<20>         12: cnt/RefDone       20: ram/RAMDIS1 
+  4: A_FSB<21>         13: cs/nOverlay1      21: ram/RAMDIS2 
+  5: A_FSB<22>         14: fsb/ASrf          22: ram/RASEL 
+  6: A_FSB<23>         15: nAS_FSB           23: ram/RS_FSM_FFd1 
+  7: A_FSB<2>          16: nUDS_FSB          24: ram/RS_FSM_FFd2 
+  8: A_FSB<6>          17: nWE_FSB           25: ram/RS_FSM_FFd3 
+  9: cnt/RefCnt<5>    
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+RA<1>                X.....X..............X.................. 3
+ram/RS_FSM_FFd2      ...XXX..XXXXXXX..X....XXX............... 14
+ram/RASEL            ...XXX..XXXXXXX..XX...XXX............... 15
+RA<5>                .X.....X.............X.................. 3
+ram/RAMDIS1          ...XXX..XXXXXXX..XX...XXX............... 15
+nDinOE               ..XXXX........X.X....................... 6
+ram/RAMReady         ...XXX..XXXXXXX..XX...XXX............... 15
+nRAMUWE              ..............XXX..XX................... 5
+ram/RAMDIS2          ...XXX..XXXXXXX...X.X.XXX............... 15
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB5  ***********************************
+Number of function block inputs used/remaining:               7/47
+Number of signals used by logic mapping into function block:  7
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0     0   5     FB5_1         (b)     
+nROMCS                2       0     0   3     FB5_2   35    I/O     O
+(unused)              0       0     0   5     FB5_3         (b)     
+(unused)              0       0     0   5     FB5_4         (b)     
+(unused)              0       0     0   5     FB5_5   36    I/O     
+(unused)              0       0     0   5     FB5_6   37    I/O     
+(unused)              0       0     0   5     FB5_7         (b)     
+(unused)              0       0     0   5     FB5_8   39    I/O     
+(unused)              0       0     0   5     FB5_9   40    I/O     
+(unused)              0       0     0   5     FB5_10        (b)     
+(unused)              0       0     0   5     FB5_11  41    I/O     
+(unused)              0       0     0   5     FB5_12  42    I/O     
+(unused)              0       0     0   5     FB5_13        (b)     
+(unused)              0       0     0   5     FB5_14  43    I/O     
+(unused)              0       0     0   5     FB5_15  46    I/O     I
+iobm/VPArr            1       0     0   4     FB5_16        (b)     (b)
+iobm/Er               1       0     0   4     FB5_17  49    I/O     I
+cnt/RefCnt<0>         0       0     0   5     FB5_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<20>          4: A_FSB<23>          6: cs/nOverlay1 
+  2: A_FSB<21>          5: E_IOB              7: nVPA_IOB 
+  3: A_FSB<22>        
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+nROMCS               XXXX.X.................................. 5
+iobm/VPArr           ......X................................. 1
+iobm/Er              ....X................................... 1
+cnt/RefCnt<0>        ........................................ 0
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB6  ***********************************
+Number of function block inputs used/remaining:               23/31
+Number of signals used by logic mapping into function block:  23
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+ram/BACTr             1       0     0   4     FB6_1         (b)     (b)
+RA<3>                 2       0     0   3     FB6_2   74    I/O     O
+iobs/IOACTr           1       0     0   4     FB6_3         (b)     (b)
+fsb/ASrf              1       0     0   4     FB6_4         (b)     (b)
+cnt/RefCnt<3>         1       0     0   4     FB6_5   76    I/O     I
+RA<4>                 2       0     0   3     FB6_6   77    I/O     O
+cnt/RefCnt<2>         1       0     0   4     FB6_7         (b)     (b)
+cnt/RefCnt<1>         1       0     0   4     FB6_8   78    I/O     I
+nCAS                  1       0     0   4     FB6_9   79    I/O     O
+RefAck                1       0     0   4     FB6_10        (b)     (b)
+ALE0S                 1       0     0   4     FB6_11  80    I/O     I
+nOE                   1       0     0   4     FB6_12  81    I/O     O
+iobs/IOU1             2       0     0   3     FB6_13        (b)     (b)
+iobs/IOL1             2       0     0   3     FB6_14  82    I/O     I
+nROMWE                1       0     0   4     FB6_15  85    I/O     O
+IOU0                  3       0     0   2     FB6_16        (b)     (b)
+nVPA_FSB              1       0     0   4     FB6_17  86    I/O     O
+IOL0                  3       0     0   2     FB6_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<13>          9: fsb/ASrf          17: nAS_FSB 
+  2: A_FSB<14>         10: fsb/VPA           18: nLDS_FSB 
+  3: A_FSB<4>          11: iobs/IOL1         19: nUDS_FSB 
+  4: A_FSB<5>          12: iobs/IOU1         20: nWE_FSB 
+  5: IOACT             13: iobs/Load1        21: ram/RASEL 
+  6: cnt/RefCnt<0>     14: iobs/PS_FSM_FFd1  22: ram/RS_FSM_FFd1 
+  7: cnt/RefCnt<1>     15: iobs/PS_FSM_FFd2  23: ram/RS_FSM_FFd2 
+  8: cnt/RefCnt<2>     16: nADoutLE1        
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+ram/BACTr            ........X.......X....................... 2
+RA<3>                X.X.................X................... 3
+iobs/IOACTr          ....X................................... 1
+fsb/ASrf             ................X....................... 1
+cnt/RefCnt<3>        .....XXX................................ 3
+RA<4>                .X.X................X................... 3
+cnt/RefCnt<2>        .....XX................................. 2
+cnt/RefCnt<1>        .....X.................................. 1
+nCAS                 ....................X................... 1
+RefAck               .....................XX................. 2
+ALE0S                .............XX......................... 2
+nOE                  ................X..X.................... 2
+iobs/IOU1            ............X.....X..................... 2
+iobs/IOL1            ............X....X...................... 2
+nROMWE               ................X..X.................... 2
+IOU0                 ...........X.XXX..X..................... 5
+nVPA_FSB             .........X......X....................... 2
+IOL0                 ..........X..XXX.X...................... 5
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB7  ***********************************
+Number of function block inputs used/remaining:               22/32
+Number of signals used by logic mapping into function block:  22
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+iobm/VPArf            1       0     0   4     FB7_1         (b)     (b)
+RA<7>                 2       0     0   3     FB7_2   50    I/O     O
+iobm/RESrr            1       0     0   4     FB7_3         (b)     (b)
+iobm/RESrf            1       0     0   4     FB7_4         (b)     (b)
+iobm/IOREQr           1       0     0   4     FB7_5   52    I/O     I
+RA<8>                 2       0     0   3     FB7_6   53    I/O     O
+iobm/Er2              1       0     0   4     FB7_7         (b)     (b)
+iobm/DTACKrr          1       0     0   4     FB7_8   54    I/O     I
+RA<9>                 2       0     0   3     FB7_9   55    I/O     O
+iobm/DTACKrf          1       0     0   4     FB7_10        (b)     (b)
+iobm/ES<3>            3       0     0   2     FB7_11  56    I/O     I
+RA<11>                1       0     0   4     FB7_12  58    I/O     O
+iobm/ES<1>            3       0     0   2     FB7_13        (b)     (b)
+iobm/ES<0>            3       0     0   2     FB7_14  59    I/O     I
+nADoutLE0             1       0     0   4     FB7_15  60    I/O     O
+iobm/ES<4>            4       0     0   1     FB7_16        (b)     (b)
+nDinLE                1       0     0   4     FB7_17  61    I/O     O
+iobm/ES<2>            5       0     0   0     FB7_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: ALE0M              9: IOREQ             16: iobm/Er2 
+  2: ALE0S             10: iobm/ES<0>        17: iobm/IOS_FSM_FFd3 
+  3: A_FSB<17>         11: iobm/ES<1>        18: iobm/IOS_FSM_FFd4 
+  4: A_FSB<18>         12: iobm/ES<2>        19: nDTACK_IOB 
+  5: A_FSB<19>         13: iobm/ES<3>        20: nRES 
+  6: A_FSB<20>         14: iobm/ES<4>        21: nVPA_IOB 
+  7: A_FSB<8>          15: iobm/Er           22: ram/RASEL 
+  8: A_FSB<9>         
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+iobm/VPArf           ....................X................... 1
+RA<7>                ..X...X..............X.................. 3
+iobm/RESrr           ...................X.................... 1
+iobm/RESrf           ...................X.................... 1
+iobm/IOREQr          ........X............................... 1
+RA<8>                ...X...X.............X.................. 3
+iobm/Er2             ..............X......................... 1
+iobm/DTACKrr         ..................X..................... 1
+RA<9>                ....XX...............X.................. 3
+iobm/DTACKrf         ..................X..................... 1
+iobm/ES<3>           .........XXXX.XX........................ 6
+RA<11>               ....X................................... 1
+iobm/ES<1>           .........XX...XX........................ 4
+iobm/ES<0>           .........XXXXXXX........................ 7
+nADoutLE0            XX...................................... 2
+iobm/ES<4>           .........XXXXXXX........................ 7
+nDinLE               ................XX...................... 2
+iobm/ES<2>           .........XXXXXXX........................ 7
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB8  ***********************************
+Number of function block inputs used/remaining:               36/18
+Number of signals used by logic mapping into function block:  36
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+fsb/VPA              14       9<-   0   0     FB8_1         (b)     (b)
+nBERR_FSB             4       4<- /\5   0     FB8_2   63    I/O     O
+cnt/RefCnt<6>         1       0   /\4   0     FB8_3         (b)     (b)
+cnt/RefCnt<5>         1       0     0   4     FB8_4         (b)     (b)
+cnt/RefCnt<4>         1       0     0   4     FB8_5   64    I/O     I
+RA<2>                 2       0     0   3     FB8_6   65    I/O     O
+fsb/BERR1r            2       0     0   3     FB8_7         (b)     (b)
+cs/nOverlay1          2       0     0   3     FB8_8   66    I/O     I
+RA<6>                 2       0     0   3     FB8_9   67    I/O     O
+cs/nOverlay0          2       0     0   3     FB8_10        (b)     (b)
+cnt/RefDone           2       0     0   3     FB8_11  68    I/O     I
+RA<10>                1       0     0   4     FB8_12  70    I/O     O
+fsb/Ready0r           3       0     0   2     FB8_13        (b)     (b)
+TimeoutB              3       0     0   2     FB8_14  71    I/O     I
+nAoutOE               0       0   \/4   1     FB8_15  72    I/O     O
+ram/RS_FSM_FFd3      11       6<-   0   0     FB8_16        (b)     (b)
+TimeoutA              3       0   /\2   0     FB8_17  73    I/O     I
+cnt/RefCnt<7>         1       0   \/4   0     FB8_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: $OpTx$INV$223     13: TimeoutB          25: fsb/ASrf 
+  2: A_FSB<12>         14: cnt/RefCnt<0>     26: fsb/BERR0r 
+  3: A_FSB<16>         15: cnt/RefCnt<1>     27: fsb/BERR1r 
+  4: A_FSB<20>         16: cnt/RefCnt<2>     28: fsb/Ready0r 
+  5: A_FSB<21>         17: cnt/RefCnt<3>     29: fsb/VPA 
+  6: A_FSB<22>         18: cnt/RefCnt<4>     30: nAS_FSB 
+  7: A_FSB<23>         19: cnt/RefCnt<5>     31: ram/Once 
+  8: A_FSB<3>          20: cnt/RefCnt<6>     32: ram/RAMReady 
+  9: A_FSB<7>          21: cnt/RefCnt<7>     33: ram/RASEL 
+ 10: BERR_IOBS         22: cnt/RefDone       34: ram/RS_FSM_FFd1 
+ 11: RefAck            23: cs/nOverlay0      35: ram/RS_FSM_FFd2 
+ 12: TimeoutA          24: cs/nOverlay1      36: ram/RS_FSM_FFd3 
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+fsb/VPA              X..XXXX..X..X...........XXX.XX.......... 12
+nBERR_FSB            ...XXXX..X..X............XX..X.......... 9
+cnt/RefCnt<6>        .............XXXXXX..................... 6
+cnt/RefCnt<5>        .............XXXXX...................... 5
+cnt/RefCnt<4>        .............XXXX....................... 4
+RA<2>                .X.....X........................X....... 3
+fsb/BERR1r           .........X..............X.X..X.......... 4
+cs/nOverlay1         ......................X.X....X.......... 3
+RA<6>                ..X.....X.......................X....... 3
+cs/nOverlay0         ...XXXX...............X.X....X.......... 7
+cnt/RefDone          ..........X..XXXXXXXXX.................. 10
+RA<10>               ....X................................... 1
+fsb/Ready0r          ....XXX................XX..X.X.X........ 8
+TimeoutB             ...........XXXXXXXXXX...X....X.......... 12
+nAoutOE              ........................................ 0
+ram/RS_FSM_FFd3      ....XXX...........XXXX.XX....XX..XXX.... 14
+TimeoutA             ...........X.XXXXXXXX...X....X.......... 11
+cnt/RefCnt<7>        .............XXXXXXX.................... 7
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*******************************  Equations  ********************************
+
+********** Mapped Logic **********
+
+
+$OpTx$INV$223 <= ((A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND NOT iobs/IOReady AND NOT nADoutLE1)
+	OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND 
+	A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND 
+	A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND 
+	A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND 
+	A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND 
+	A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND 
+	NOT A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND 
+	A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND 
+	NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND 
+	A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND 
+	A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND 
+	NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND 
+	NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r)
+	OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady)
+	OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND 
+	NOT iobs/IOReady)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
+	NOT fsb/Ready0r AND NOT ram/RAMReady)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND NOT iobs/IOReady));
+
+FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0');
+ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND 
+	NOT iobm/IOREQr)
+	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND 
+	NOT iobm/IOS_FSM_FFd8));
+
+FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0');
+ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
+
+FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0');
+BERR_IOBS_T <= ((iobs/Once AND NOT BERR_IOBS AND IOBERR AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)
+	OR (BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf)
+	OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)
+	OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1));
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0');
+IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND 
+	iobm/DTACKrf AND iobm/DTACKrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND 
+	iobm/RESrf AND iobm/RESrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND 
+	iobm/BERRrf AND iobm/BERRrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND 
+	iobm/DTACKrf AND iobm/DTACKrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND 
+	iobm/RESrf AND iobm/RESrr)
+	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND 
+	NOT iobm/IOREQr)
+	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND 
+	NOT iobm/IOS_FSM_FFd8)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND 
+	NOT iobm/IOREQr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND 
+	NOT iobm/IOS_FSM_FFd8)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND 
+	iobm/BERRrf AND iobm/BERRrr));
+
+FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0');
+IOBERR_T <= ((NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)
+	OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr)
+	OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/RESrf AND iobm/RESrr)
+	OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/ETACK)
+	OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/ETACK)
+	OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)
+	OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr)
+	OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/RESrf AND iobm/RESrr));
+
+FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE);
+IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1)
+	OR (iobs/IOL1 AND NOT nADoutLE1));
+IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
+
+FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0');
+IOREQ_D <= ((EXP14_.EXP)
+	OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
+	OR (iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr)
+	OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND 
+	NOT iobs/PS_FSM_FFd1)
+	OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd1 AND 
+	fsb/ASrf)
+	OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND 
+	NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1)
+	OR (NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1));
+
+FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0');
+IORW0_T <= ((A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
+	OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND 
+	IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND 
+	IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
+	fsb/ASrf AND nADoutLE1)
+	OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND 
+	NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND 
+	NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
+	fsb/ASrf AND nADoutLE1)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND 
+	NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
+	nADoutLE1)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND 
+	NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND 
+	nADoutLE1)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND 
+	NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND 
+	NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
+	OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
+	OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
+	OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND 
+	NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
+	OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND 
+	NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1));
+
+FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE);
+IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1)
+	OR (iobs/IOU1 AND NOT nADoutLE1));
+IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
+
+
+RA(0) <= ((A_FSB(10) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(1)));
+
+
+RA(1) <= ((A_FSB(11) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(2)));
+
+
+RA(2) <= ((A_FSB(12) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(3)));
+
+
+RA(3) <= ((A_FSB(13) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(4)));
+
+
+RA(4) <= ((A_FSB(14) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(5)));
+
+
+RA(5) <= ((A_FSB(15) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(6)));
+
+
+RA(6) <= ((A_FSB(16) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(7)));
+
+
+RA(7) <= ((A_FSB(17) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(8)));
+
+
+RA(8) <= ((A_FSB(9) AND ram/RASEL)
+	OR (A_FSB(18) AND NOT ram/RASEL));
+
+
+RA(9) <= ((A_FSB(20) AND ram/RASEL)
+	OR (A_FSB(19) AND NOT ram/RASEL));
+
+
+RA(10) <= A_FSB(21);
+
+
+RA(11) <= A_FSB(19);
+
+FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0');
+RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1);
+
+FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0');
+TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND 
+	NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND 
+	NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7))
+	OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND 
+	NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND 
+	NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf));
+
+FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0');
+TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf)
+	OR (TimeoutA AND NOT TimeoutB AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND 
+	NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND 
+	NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7))
+	OR (TimeoutA AND NOT TimeoutB AND NOT cnt/RefCnt(0) AND 
+	NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND 
+	NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf));
+
+FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0');
+
+FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0');
+
+FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0');
+cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1));
+
+FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0');
+cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2));
+
+FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0');
+cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND 
+	cnt/RefCnt(3));
+
+FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0');
+cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND 
+	cnt/RefCnt(3) AND cnt/RefCnt(4));
+
+FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0');
+cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND 
+	cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4));
+
+FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0');
+cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND 
+	cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4));
+
+FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0');
+cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck)
+	OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND 
+	NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND 
+	NOT cnt/RefCnt(7)));
+
+FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0');
+cs/nOverlay0_T <= ((NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND 
+	NOT cs/nOverlay0 AND NOT nAS_FSB)
+	OR (NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND 
+	NOT cs/nOverlay0 AND fsb/ASrf));
+
+FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE);
+cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf);
+
+FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0');
+
+FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0');
+fsb/BERR0r_D <= ((nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT TimeoutB AND NOT fsb/BERR0r)
+	OR (A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND 
+	NOT fsb/BERR0r));
+
+FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0');
+fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r)
+	OR (nAS_FSB AND NOT fsb/ASrf));
+
+FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0');
+fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
+	NOT fsb/Ready0r AND NOT ram/RAMReady)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady));
+
+FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0');
+fsb/Ready1r_D <= ((nAS_FSB AND NOT fsb/ASrf)
+	OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady)
+	OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND 
+	NOT iobs/IOReady)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND NOT iobs/IOReady)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND NOT iobs/IOReady AND NOT nADoutLE1));
+
+FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0');
+fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf)
+	OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND 
+	A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND 
+	A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND 
+	A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND 
+	A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND 
+	A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND 
+	NOT A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND 
+	A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND 
+	NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND 
+	A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND 
+	A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND 
+	NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND 
+	NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r));
+
+FTCPE_fsb/VPA: FTCPE port map (fsb/VPA,fsb/VPA_T,CLK_FSB,'0','0');
+fsb/VPA_T <= ((A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND 
+	NOT fsb/BERR0r AND fsb/VPA AND NOT $OpTx$INV$223)
+	OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT BERR_IOBS AND NOT fsb/BERR1r AND NOT fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$INV$223)
+	OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT BERR_IOBS AND NOT fsb/BERR1r AND NOT fsb/VPA AND fsb/ASrf AND NOT $OpTx$INV$223)
+	OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$INV$223)
+	OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/VPA AND fsb/ASrf AND NOT $OpTx$INV$223)
+	OR (NOT A_FSB(20) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND 
+	NOT $OpTx$INV$223)
+	OR (NOT A_FSB(23) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND 
+	NOT $OpTx$INV$223)
+	OR (NOT A_FSB(22) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND 
+	NOT $OpTx$INV$223)
+	OR (NOT A_FSB(21) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND 
+	NOT $OpTx$INV$223)
+	OR (fsb/VPA AND nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT A_FSB(20) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND 
+	NOT $OpTx$INV$223)
+	OR (NOT A_FSB(23) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND 
+	NOT $OpTx$INV$223)
+	OR (NOT A_FSB(22) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND 
+	NOT $OpTx$INV$223)
+	OR (NOT A_FSB(21) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND 
+	NOT $OpTx$INV$223));
+
+FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0');
+
+FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0');
+
+FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0');
+
+FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0');
+iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2)
+	OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
+	NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er)
+	OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
+	NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2));
+
+FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0');
+iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1))
+	OR (NOT iobm/ES(0) AND NOT iobm/ES(1))
+	OR (NOT iobm/Er AND iobm/Er2));
+
+FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0');
+iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2))
+	OR (NOT iobm/ES(1) AND NOT iobm/ES(2))
+	OR (NOT iobm/Er AND iobm/Er2)
+	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2))
+	OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4)));
+
+FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0');
+iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2)
+	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er)
+	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2));
+
+FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0');
+iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2)
+	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND 
+	iobm/ES(3) AND iobm/Er)
+	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND 
+	iobm/ES(3) AND NOT iobm/Er2)
+	OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND 
+	NOT iobm/ES(3) AND iobm/ES(4)));
+
+FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0');
+iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
+	NOT iobm/ES(3) AND iobm/ES(4));
+
+FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0');
+
+FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0');
+
+FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0');
+iobm/IOS_FSM_FFd2_D <= ((iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/ETACK)
+	OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/BERRrf AND 
+	iobm/BERRrr)
+	OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/DTACKrf AND 
+	iobm/DTACKrr)
+	OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/RESrf AND 
+	iobm/RESrr));
+
+FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0');
+iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4)
+	OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK)
+	OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND 
+	iobm/BERRrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND 
+	iobm/DTACKrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND 
+	iobm/RESrr));
+
+FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd7,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,CLK2X_IOB,'0','0');
+iobm/IOS_FSM_FFd7_D <= (NOT CLK_IOB AND iobm/IOREQr AND iobm/IOS_FSM_FFd8);
+
+FDCPE_iobm/IOS_FSM_FFd8: FDCPE port map (iobm/IOS_FSM_FFd8,iobm/IOS_FSM_FFd8_D,CLK2X_IOB,'0','0');
+iobm/IOS_FSM_FFd8_D <= ((NOT iobm/IOS_FSM_FFd8 AND NOT iobm/IOS_FSM_FFd1)
+	OR (NOT CLK_IOB AND iobm/IOREQr AND NOT iobm/IOS_FSM_FFd1));
+
+FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0');
+
+FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0');
+
+FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0');
+
+FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0');
+
+FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1);
+
+FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0');
+iobs/IORW1_T <= ((iobs/Once)
+	OR (NOT nADoutLE1)
+	OR (nWE_FSB AND iobs/IORW1)
+	OR (NOT nWE_FSB AND NOT iobs/IORW1)
+	OR (nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
+	OR (NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21))
+	OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21))
+	OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21))
+	OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21))
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	cs/nOverlay1)
+	OR (NOT A_FSB(20) AND NOT A_FSB(23))
+	OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1));
+
+FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0');
+iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf)
+	OR (iobs/Once AND IOBERR AND iobs/IOReady AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)
+	OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND NOT nAS_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)
+	OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1));
+
+FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1);
+
+FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0');
+iobs/Load1_D <= ((iobs/Once)
+	OR (NOT nADoutLE1)
+	OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21))
+	OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21))
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	cs/nOverlay1)
+	OR (NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21))
+	OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21))
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB)
+	OR (NOT A_FSB(20) AND NOT A_FSB(23))
+	OR (nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1));
+
+FTCPE_iobs/Once: FTCPE port map (iobs/Once,iobs/Once_T,CLK_FSB,'0','0');
+iobs/Once_T <= ((A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND 
+	NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND 
+	cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND 
+	cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND 
+	NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND 
+	NOT iobs/Once AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
+	fsb/ASrf)
+	OR (iobs/Once AND nAS_FSB AND NOT fsb/ASrf)
+	OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
+	OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)
+	OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND 
+	NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
+	OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf));
+
+FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0');
+iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2)
+	OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr));
+
+FTCPE_iobs/PS_FSM_FFd2: FTCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,CLK_FSB,'0','0');
+iobs/PS_FSM_FFd2_T <= ((iobs/PS_FSM_FFd1 AND iobs/IOACTr)
+	OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND 
+	NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
+	OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND 
+	NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)
+	OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
+	OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
+	OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND 
+	NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND 
+	NOT iobs/Once AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
+	fsb/ASrf));
+
+
+nADoutLE0 <= (NOT ALE0M AND NOT ALE0S);
+
+FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0');
+nADoutLE1_D <= ((NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1)
+	OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND 
+	nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND nADoutLE1)
+	OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1)
+	OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	cs/nOverlay1 AND nADoutLE1)
+	OR (iobs/Once AND nADoutLE1)
+	OR (NOT A_FSB(20) AND NOT A_FSB(23) AND nADoutLE1)
+	OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1)
+	OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
+	OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1));
+
+FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0');
+nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7);
+
+
+nAoutOE <= '0';
+
+
+nBERR_FSB <= ((nAS_FSB)
+	OR (NOT BERR_IOBS AND NOT fsb/BERR1r)
+	OR (NOT TimeoutB AND NOT fsb/BERR0r)
+	OR (A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND 
+	NOT fsb/BERR0r));
+
+FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0');
+
+FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0');
+nDTACK_FSB_D <= ((A_FSB(23) AND BERR_IOBS AND TimeoutB AND nDTACK_FSB)
+	OR (A_FSB(23) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB)
+	OR (NOT A_FSB(22) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB)
+	OR (EXP17_.EXP)
+	OR (NOT A_FSB(20) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB)
+	OR (NOT A_FSB(22) AND BERR_IOBS AND TimeoutB AND nDTACK_FSB)
+	OR (A_FSB(21) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB)
+	OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND 
+	NOT iobs/IOReady AND nDTACK_FSB)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
+	NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
+	OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1)
+	OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND 
+	A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND 
+	NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND nDTACK_FSB)
+	OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND 
+	A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(22) AND 
+	A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND 
+	NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND nDTACK_FSB)
+	OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND 
+	A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND 
+	NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND 
+	A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND 
+	nDTACK_FSB)
+	OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND 
+	A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND 
+	NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND 
+	NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND 
+	nDTACK_FSB));
+
+FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0');
+nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4);
+
+
+nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
+	OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND nWE_FSB AND 
+	NOT nAS_FSB));
+
+FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0');
+nDoutOE_D <= ((NOT IORW0)
+	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND 
+	NOT iobm/IOS_FSM_FFd2));
+
+FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0');
+nLDS_IOB_D <= ((NOT IOL0)
+	OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5)
+	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7));
+
+
+nOE <= NOT ((nWE_FSB AND NOT nAS_FSB));
+
+
+nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
+	NOT ram/RAMDIS1));
+
+
+nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
+	NOT ram/RAMDIS1));
+
+
+nRAS <= NOT (((RefAck)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
+	NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1)));
+
+
+nROMCS <= NOT (((NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21))
+	OR (NOT A_FSB(20) AND NOT A_FSB(23) AND NOT A_FSB(21) AND 
+	NOT cs/nOverlay1)));
+
+
+nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB));
+
+FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0');
+nUDS_IOB_D <= ((NOT IOU0)
+	OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5)
+	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7));
+
+FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0');
+nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
+	NOT iobm/ES(3) AND NOT iobm/ES(4))
+	OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND 
+	NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr));
+
+
+nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB));
+
+FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0');
+ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf);
+
+FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0');
+ram/Once_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
+	OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
+
+FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
+ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (nDinOE_OBUF.EXP)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
+	OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
+	OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf)
+	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1)
+	OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
+	OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/BACTr AND fsb/ASrf));
+
+FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0');
+ram/RAMDIS2_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf)
+	OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf)
+	OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
+	ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
+	OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND 
+	ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND 
+	NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND 
+	cnt/RefCnt(7))
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND 
+	NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
+	fsb/ASrf));
+
+FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0');
+ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
+	OR (EXP36_.EXP)
+	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
+	OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1)
+	OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/BACTr AND fsb/ASrf)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1));
+
+FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0');
+ram/RASEL_D <= ((ram/RS_FSM_FFd2.EXP)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (RA_5_OBUF.EXP)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
+	NOT fsb/ASrf)
+	OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND 
+	ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
+	NOT fsb/ASrf)
+	OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
+	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)));
+
+FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0');
+ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3));
+
+FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0');
+ram/RS_FSM_FFd2_T <= ((RA_1_OBUF.EXP)
+	OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	NOT cnt/RefCnt(5) AND ram/BACTr)
+	OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND 
+	NOT cnt/RefCnt(7))
+	OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	NOT cnt/RefCnt(5) AND NOT fsb/ASrf)
+	OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	NOT cnt/RefCnt(6) AND NOT fsb/ASrf)
+	OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	NOT cnt/RefCnt(7) AND NOT fsb/ASrf)
+	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
+	OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	NOT cnt/RefCnt(6) AND ram/BACTr));
+
+FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0');
+ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
+	OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND 
+	ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND 
+	cnt/RefCnt(7))
+	OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND 
+	ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
+	fsb/ASrf)
+	OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3)
+	OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5))
+	OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6))
+	OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7)));
+
+Register Legend:
+ FDCPE (Q,D,C,CLR,PRE,CE); 
+ FTCPE (Q,D,C,CLR,PRE,CE); 
+ LDCP  (Q,D,G,CLR,PRE); 
+
+******************************  Device Pin Out *****************************
+
+Device : XC95144XL-10-TQ100
+
+
+   --------------------------------------------------  
+  /100 98  96  94  92  90  88  86  84  82  80  78  76  \
+ |   99  97  95  93  91  89  87  85  83  81  79  77    |
+ | 1                                               75  | 
+ | 2                                               74  | 
+ | 3                                               73  | 
+ | 4                                               72  | 
+ | 5                                               71  | 
+ | 6                                               70  | 
+ | 7                                               69  | 
+ | 8                                               68  | 
+ | 9                                               67  | 
+ | 10                                              66  | 
+ | 11                                              65  | 
+ | 12                                              64  | 
+ | 13              XC95144XL-10-TQ100              63  | 
+ | 14                                              62  | 
+ | 15                                              61  | 
+ | 16                                              60  | 
+ | 17                                              59  | 
+ | 18                                              58  | 
+ | 19                                              57  | 
+ | 20                                              56  | 
+ | 21                                              55  | 
+ | 22                                              54  | 
+ | 23                                              53  | 
+ | 24                                              52  | 
+ | 25                                              51  | 
+ |   27  29  31  33  35  37  39  41  43  45  47  49    |
+  \26  28  30  32  34  36  38  40  42  44  46  48  50  /
+   --------------------------------------------------  
+
+
+Pin Signal                         Pin Signal                        
+No. Name                           No. Name                          
+  1 KPR                              51 VCC                           
+  2 KPR                              52 A_FSB<8>                      
+  3 KPR                              53 RA<8>                         
+  4 KPR                              54 A_FSB<4>                      
+  5 VCC                              55 RA<9>                         
+  6 nLDS_IOB                         56 nBERR_IOB                     
+  7 nUDS_IOB                         57 VCC                           
+  8 RA<0>                            58 RA<11>                        
+  9 nDoutOE                          59 A_FSB<9>                      
+ 10 nAS_IOB                          60 nADoutLE0                     
+ 11 A_FSB<11>                        61 nDinLE                        
+ 12 nDTACK_FSB                       62 GND                           
+ 13 A_FSB<13>                        63 nBERR_FSB                     
+ 14 A_FSB<14>                        64 A_FSB<12>                     
+ 15 A_FSB<15>                        65 RA<2>                         
+ 16 nADoutLE1                        66 nWE_FSB                       
+ 17 A_FSB<1>                         67 RA<6>                         
+ 18 A_FSB<2>                         68 A_FSB<10>                     
+ 19 A_FSB<6>                         69 GND                           
+ 20 nUDS_FSB                         70 RA<10>                        
+ 21 GND                              71 nLDS_FSB                      
+ 22 CLK2X_IOB                        72 nAoutOE                       
+ 23 CLK_FSB                          73 nAS_FSB                       
+ 24 nRAS                             74 RA<3>                         
+ 25 A_FSB<5>                         75 GND                           
+ 26 VCC                              76 A_FSB<23>                     
+ 27 CLK_IOB                          77 RA<4>                         
+ 28 nVPA_IOB                         78 A_FSB<3>                      
+ 29 nVMA_IOB                         79 nCAS                          
+ 30 KPR                              80 A_FSB<21>                     
+ 31 GND                              81 nOE                           
+ 32 KPR                              82 A_FSB<19>                     
+ 33 nRAMLWE                          83 TDO                           
+ 34 KPR                              84 GND                           
+ 35 nROMCS                           85 nROMWE                        
+ 36 KPR                              86 nVPA_FSB                      
+ 37 KPR                              87 RA<1>                         
+ 38 VCC                              88 VCC                           
+ 39 KPR                              89 A_FSB<16>                     
+ 40 KPR                              90 A_FSB<17>                     
+ 41 KPR                              91 RA<5>                         
+ 42 KPR                              92 A_FSB<18>                     
+ 43 KPR                              93 A_FSB<20>                     
+ 44 GND                              94 nDinOE                        
+ 45 TDI                              95 A_FSB<22>                     
+ 46 E_IOB                            96 A_FSB<7>                      
+ 47 TMS                              97 nRAMUWE                       
+ 48 TCK                              98 VCC                           
+ 49 nDTACK_IOB                       99 nRES                          
+ 50 RA<7>                           100 GND                           
+
+
+Legend :  NC  = Not Connected, unbonded pin
+         PGND = Unused I/O configured as additional Ground pin
+         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
+         KPR  = Unused I/O with weak keeper (leave unconnected)
+         VCC  = Dedicated Power Pin
+         GND  = Dedicated Ground Pin
+         TDI  = Test Data In, JTAG pin
+         TDO  = Test Data Out, JTAG pin
+         TCK  = Test Clock, JTAG pin
+         TMS  = Test Mode Select, JTAG pin
+  PROHIBITED  = User reserved pin
+****************************  Compiler Options  ****************************
+
+Following is a list of all global compiler options used by the fitter run.
+
+Device(s) Specified                         : xc95144xl-10-TQ100
+Optimization Method                         : SPEED
+Multi-Level Logic Optimization              : ON
+Ignore Timing Specifications                : OFF
+Default Register Power Up Value             : LOW
+Keep User Location Constraints              : ON
+What-You-See-Is-What-You-Get                : OFF
+Exhaustive Fitting                          : OFF
+Keep Unused Inputs                          : OFF
+Slew Rate                                   : FAST
+Power Mode                                  : STD
+Ground on Unused IOs                        : OFF
+Set I/O Pin Termination                     : KEEPER
+Global Clock Optimization                   : ON
+Global Set/Reset Optimization               : ON
+Global Ouput Enable Optimization            : ON
+Input Limit                                 : 54
+Pterm Limit                                 : 25
+
+
+ + +
+ diff --git a/cpld/XC95144XL/MXSE_html/fit/asciidoc.htm b/cpld/XC95144XL/MXSE_html/fit/asciidoc.htm new file mode 100644 index 0000000..3455598 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/asciidoc.htm @@ -0,0 +1,71 @@ + + + + + + + + + + +Text Report + + + + + + + + + + + + + + + + + + + + + + + + +

Text Report

+ +

Selecting Text + Report from the left-hand frame will give you a printable text version + of the fitter report.  It + contains sections similar to those of the XML report (a summary section, + errors and warnings, mapped logic, function blocks, function block details, + a text-graphical display of the pinout, and a summary of compiler options), + but it is not easily navigable.  It + is best to use the text report only when you need to print out a hard + copy of the fitter results.

+ + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/backtop.jpg b/cpld/XC95144XL/MXSE_html/fit/backtop.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c53782595cd8d780d63aaf797d83054476260daa GIT binary patch literal 1651 zcmbu3c{tPw7{`AzLng;E7{|Ctn~ZB?BQ`A|3^`&biOLZwBe^oE9kCdU8F!A{C1Z`d zNODK6#>^OOu5qUtH7V9uZBI}2SAXpHd7tNf-|zcz^+6;oxek|Du`^_LOZXwvYzkA1ZE<%P!;LU3jxo{^Vc zPj$aZcXb&vgpP6cUM=mjDjN6r-ZEb7moA|l9$0D5IV*ElNKYdk|Ip0asprsSVpIL=I*m2lT%g}Y&dfro z%XCfFOK&Yi3QORc7;(w!rr+;Fogc-2D{5Bb=JPB$8E&2lFTnmxdggOqlCEe-x zIRE4+x?Sw0=F6CrR&VJ2aYe+#Z5Y+Ciu&{Cvz_+QjuxMLnp-@6@AOGS?q+vdoF8~ zSPv4r4WubjREPGU@`gcctb=^F?Zjga=RO0=`A0y4YkBXD0Dx#Mrd zVY5^9Z(diLm)_{@!02>}Ww!ZBlO+Ixy_XTR>p}hyeYmqe1nX?H!q6Ki9P6M-swVDF zrL%q2{Tg{DYRm{s)hnS>LHB&h&ML^L5bM^Y3y%e>u52jFLWKXIw;(TKmwL&xrR$59 zv3KI>P&fUL?YH_Rv9W!323|hN*s{YNJyVoL)a~5rvdFA>x!PY&^lus`;8%M@W*i`D zF|0?()wV<#EqJmGXShFZy@_R5TI58u2^f-j$xzA9oRHVEIH#mY?JN?ER#rb*Ubfda zIAb0Y$CphB#3$K~eb3!nkbxF2;053;pfe?o#aFcvCHY+!OF@05qhF^bfK9+xBRwF?< z|EB)2TpLTCy!$LtaLSra$SHx1;_4n(@V++YBm(cRj<=FS=~tgeY(1~A8gx%dL)9)H z2o_L`?Ra{(Sd!PM-zQnEib*B3s#v$Wxl=>Tk9=q?w>S_>$Pt}KWD-UFiw33N&et-E zx0cgrBe$d05kYQi2GnZ|6!k-GeP3fSr{a)88)iysT{I_h8<5|nJ^ts7lNrx??p2V% z3I7C|T6tfBtfBQ;m02P0XGh%`?|QXInR3P6fq8g8OhW`Wk;x8E&DJX0SU-KmkW%Nh z)WXA}`HhAfJ0vI(6ue&O$<)7O}4b?g_!O&cmV zz`lsv;V3KI@Xe;4U0y!o`79rgb&QVO1%%T@Ie?Y)CFi{@Tl@zpna v>{03aS7);gF?^Z^dy<;rQ4=qsa`Oxpl3Zr<)I10EBl6wDo5S81Z!`Y|n#^o0M!5h|NsB+@bJpY%KiQQ{{H^_{QUjxm;LUT`}_Ov@9+Bh z`pL=3;^N}!>gxUNnAFtNv$M0=+1dQ=mi+CP#J!vL_V&WUxX8ht$i%C`!MDf9zwPbn z(9qD=*Vo9$!rju8&c&1M?d{0LxY5zgxwon4=;-+P`1kkszrM1|%fh?5xA5@owzjs; z$Dr}?@xQ;c;o;rh-r4l@^x@T#@8Xufxs=+_nBd^x^XHY-)y~7blK=n!0000000000 z00000A^8LV00000EC2ui00{sc000JkK!af-!POv-hGQtvu_6h@(g1=)TnNI!Fe5z@ zS-_UEXebB(@ke#54ASBYCs9DBX(-0Yp0+JQ2ykgF9Ws1r7z`$dD$0W diff --git a/cpld/XC95144XL/MXSE_html/fit/briefview.jpg b/cpld/XC95144XL/MXSE_html/fit/briefview.jpg new file mode 100644 index 0000000000000000000000000000000000000000..30069539ceb57d01838d5a0bd53e105ca9e41fb3 GIT binary patch literal 1254 zcmex=s9iIEYA7@1%I!D0d#f@A`UGMI9L z^#214f*cH@47SXSN(@YbjLd?J|Bo<8LLJ9|09>3LZ0tZOQvn7BHYNrZ7G_pXMzAy^ z6Eh1d8#@OBr=XCCRQNP5;cKE|;(=unl8Wkv=9Z3|w*s|^0R4^zK%#<}qPG}$m>Gdi zVHRYtXSj0Caaa6WY3|ehacghJ3trK=wyb22wkVhm}Mp+l9tnsp$Vm{9T9OK#r_?UiV z*dHxp`l}rApW&Fkh~RJ;HpMZ-RUrT$U6rv#s@4qm5|B>zJ#rCeEsQSR>TDaQ7XWS_p= zYJGH4$+E-ie%=4=a^293?b&R%-JAb-ADQv~U7YL9+_=@rwt1WXGnnXaXrKPUGIg?N z--}1PKkP5wJ@-dJJYs+x5xrc+}!)OFyZJ#Z@b& zr_tN; z&K_E=cH_R!>Y_^*x?7XB=XGBH5u)_w!^AL)Eo-iDa>dTv6Z0fn{Nvg5mDi;nb7r;N zGJG{vb@7wOH@uGNEm~onbM=#!z-0E_dnT0Mwm49{ylR{9ob!^&NoJzgLNC9zIhJ%a zEJSlx$h%`%Oa579hIZ|8*t#>!=&f+vs%qX4o3-;k#;tbR_VNnu)dWVn4V&`(T^@+Q_bDo=j&i=GT zDWBSZhPi3`AMUL_716f1!|S* zA6>SX#NT25`)zx@d&K^i5f47P5^yDy#czQXobcsn2CbHRK4-kSG5RDW5| zZ_)RqD$?(J^Zlh21rOv3WnX;RH1|FGey`Ug?i&CA literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/check.htm b/cpld/XC95144XL/MXSE_html/fit/check.htm new file mode 100644 index 0000000..ca27f1f --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/check.htm @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/checkNS4.htm b/cpld/XC95144XL/MXSE_html/fit/checkNS4.htm new file mode 100644 index 0000000..aceeffd --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/checkNS4.htm @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/contact.gif b/cpld/XC95144XL/MXSE_html/fit/contact.gif new file mode 100644 index 0000000000000000000000000000000000000000..418b2820765f334b571a94f4033883c8c159d292 GIT binary patch literal 741 zcmVd8X6k=;byqFt+BDCO-)TgLP97g zC?FspG&D3*Q&U)2ScQLJ)WBp=P*8GiUzv|#te;}>*=1;EU){)LB_$;>F)@61V4VPRK)e{s3F ztr-~^A^8LV00000EC2ui06zd7000L6z=3c`EEh&itSv1x39+)Xw6(UkxVSVeF)j%%E-)$x#Kp$P$jQpf z%PKG|&MG$%4FcBJ*xB0K+}+&`5iAi1)H4nN=;`X~?CtLF?+!C8;ML~<`1$(#{Qds_ z{{lD=QBS}?d$T!Gri7NZ6-gB0dWeBT9I{vE#*z`apK{s81k?hXL?Ss8FB) z1PcIGw(Ot*zyp`~3Q%atvjD#T69q=(lj+hz&zAd4q68qJKnSK4LP&^eLBfR#Hd{Ub zpc5Yi2>LE`C@`_<)2UAZd}Zi>!_o-_U;@yf0j^vVWN(JR+Ep!Bd`;n=h#40jU9W$W zwq3e&U*m`cI7aROmjdE^GAaNVf%WmewfqEnSc=!;gbAfp#=ZGq!dT0G^L3~IVXTDI zF(+IO?O-%DgDEXkxbOhz1PdK5m{=*4HGtQel9rX1lU_$=|IN*V7;b0(w4B{hD4huGj Xpg=rCDB*wt>0sf7{Rw0OLl6KvKHyNz literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/coolrunnerII_logo.jpg b/cpld/XC95144XL/MXSE_html/fit/coolrunnerII_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1b57ddc26165593562ab7d5d7c873cd7133ddac7 GIT binary patch literal 9562 zcma)i1yoc~)b1U+rMpY%E&-*xyF);7s38R;L+H4exu^Et_uJp!mA~HrQY|%2H2{PG0M6(O_Lu^ydC&%1)eZT-38-#*p&X zfq8M20Es{;Nm^<2cYx6E!NSDBLHGJkKp140Y$D`XiiQ-}j(+T->6?`2(ars0IHj{n zM*bWzR2eE}-n9I*X{}lQQIA#5W~i+QTaw2H zr|@cfgaY8?iviUJv+5>-L;T7%N0IV%$*F*?QwAkcB4Vg+dcylVIw0FN+G3vw+` z28|Kn@_@WGo+TI!^9-?4?{ZKYIZ^M#@mB#^vBadJHF=auK$ZfP3LvgP9uH_J^sxzJ z#e!680BwUP@&zLD1%RuDma8_}$^hG4VS-qPkVqAfY!)GL4mZ{)$Zu-GE>mgcDz$hc z&P%!kGsS1@gW~5C5vn9Otx(tG$Va;+Fb~y{UPra7#L+|9h&{~`$Yn}B(&EGg$T$GA zSW*)Zwh}uKkHLx;^gT!xsIobHuHwITiP%zKqW_5U`v@ufQ+B3 zjEpMUjf@lvJuybg0vZ@b4n{emi8;brIY3q%z7dcWg^`9~l4PkF#ic>$ul#QYYH8s- zAeD+?o^iF;9o!i2()!TtN)D#vWF|T;hJYa+2CJ$efRCS)r9tp-Qu@eAu}Pz2lmG&5 zY|=DL6{0dyU{)j}9%H~5SRKT3vdDYjMD;oSgwiBdzLy|@aY#cs4~hq&VtepxmVBhy zBY|1&&u?`Uu@zK6|1pODs=_5R{RP$c zYR?*!cx^<%dwGv!^17|BWneUH>pAlSLEWf0Guq*}4^?@Dd&>9DzyJ?W*ry$=Yq4b@%@Cg8TH}eN9S%f{zj4{jt1+&toiAute41TZQbY5^?(qcVz1n-&|u%mUz?BItd;R+?m-;lML)@wu8)#DyDU zEv;WJ10bfLvms?qCdKwupfsk_Akzf6MA89e6+H61ex7JmR^Yuu0XOiTfD6EXM5lq# zZ6I7wi|45XK&)z%SE$(4V0CG6u)0{8S1}cAQ)aOu-4vwPd;7_uuwK>;BJGmi9hGeVC3U)-gG~Q%=gT zOd)3}`^3oBI^lIURLp9sw)8jC zQS$fl*X~Na*;`Gnofj5jN9(1C^RSP&Ow*i_+-gfB61l)}FzBAY&A|OnhBRsK3skLj z5oh@H-XwH9Lx!=@saZyTgj$cMr(NPy=wpjRY1kWjmCZ}sJ3BiTlH;(Ya=nMTz1z|Q z+C%5AwU(BvD^^2JE))*!(J|7F4s%Owz5vishpd+y8yj2P3sFa5x^y(PTArSeXk9MR zzFQIEh;gT5(1RL`Tg+GQeeW#4G_P;*%dIRg-i3(4S%Mni)FT7ds24@E9evG-8x-pO z5-P#^jgoPh2Y%;l8~DmTRX*nJ9FV%c9eC*!O5nI9=!CBD&}w*9@=_Th^gV$k=tX0Q z;1;7Mz)~Hvy7&w!@ihlUj7#59r5%wRlwEum|FH{?-Gxc z-tv{3lPhbmP2190fWPSY?qnFgXMu_yYm9UZ4O8WjTE^(FRx-p5;jKtX&r73=Wxrik z=U_oZ*7#C!veg$t7-%GoZ!U{tQR^L%@e6&GyJFeQCqdH@B}EN!A%4LA0wG zBSM?nYEEGS90H&$8WX*#MbofZRaptx{#nd;1q>wzeEet?P9QBhO3MMi4j_-tisHd! z#eYwW@r?F!-Ww5dwv)29f37eNCPL!FT8rnTRTnR8ti(9NtK^hthITHmJ@PqL%$m9K zMY6js`NOnUIaA!N%G6+Pv9xCYiq$iG17`30Gtnz4NGvi>wtFyVXmd_!Ph46ppa(@F zF>go3_wm4;ibqqe%GSm$eF^n$!&nM?utQE_nC^U(j?rAuGbyS~);b`>uWYZ!TR249 z&<}hrX^WDYXhv1dOOyZ>|EkSBn~$( zHE*!@HU`1B@3I#wT1G6mQkPb2OV5CxrU4Pytd%^R`SR$_b78XeIJ;|lNaJVT{6h3x z3(gQ``kzmNo@#EYN?q%bE}MK-L)ku1u;H%w??wVk0h4diuVb@vx2%(4@-AKh`@{Va zj{bZGll|EEx)7!K*1~EzW=`v=*~Z7U(^WGl;-zZK7-6c$_vm;U`PE}B0>OMRcPVr( zmM@``f^ZG`v1DcpE;5CP*wM>wk(niA6?TYm}pmdrr0Kx^U}2^-map43JKGQYKEtDaLU3tsd}}_XdvS)nmKMNK{Ytuj`U!f(h_% zqg!9byHT0QJ2A&!llK)nk;fn5r1!1Jus45!K?uutsi#La2(R1R{0}Wp96m)Jpho@z zIq<)LLyzXmmP=u~`KK=(MCB3F-=yw0m>xCIVeLd8fR}aXERKw4W%=x%8WoC}vws`m zQaAqCzGf!d*k#)@vpw@YY=ZyQ1b%Ju{i+|Q_s{0O*W=$dJ>0KUt1UTnZ*?pk2QJK; zd-Icx)K*q`?Y(f|G8;-#mw&d}P^c{g!QlZ*>yLZtGq|MhGbhp+cm8JQZK#d6=7Njg zp7M&7dhWzG#La95;>fns;QJgxSCI$6<`zv%!&+no7Fn?tHHHc*`fyD(2m{0CXyG1L zMk0D7M#BQD-3v*EbvoFcwM3R>I@pgBh%l6Jh?TGm*#J`|piBwJ=ot^|qpZI23x%;E zz;JOd3hU@f2lTjm9?)wPkHTQtBNf*590Krl2p-XyDo~jaCG(ULyX)YHSFs{Z`oef? z(xG)|w`me911qawORhtocT+ZzNo*@6#^LNbmF5#djSTtsvtH>Oc?5Ip&y{)M3H)Jb z9}2Z%SHmtNRkR{i6qe}9<+@SZ7;_$(0)zRJ{(PISzJPuhFqymH){DPn9uOVJzoIKV z&MVD|hnADef8c!FG8&;0a%fi#0oM$15Heb3c3;U5Ppx&^Ee$zWy`}qIHSM+cnGsKP z`p!#E!=bugpaXJ%sJ+OobujuG+E*9jjkn1cq zu{D6vAyX>qM*ZD8MSh7zCZU$3{PpujWkqs2LZZu#bTQUnFLGt?tC@YI60T^Ju7Qf! zL~qhBlUwUQQ4V`XVimj|F_fCJb!kab&EkUDu)(N*6)QpfXf@}Z#M7bAVi}E?mTh1- z%X-J%{+r&2>|y!jfKw;Tr1jt*T;AoEhre=i*Cf=|vOOM|CBJW;PGx8n?W&Xg^740Y zm$!UnwRYK(>R_uUbJ-!lM)AYept_RxUZE*Dq=*?cu_qGIvnq{f+^5rt>p~_BHXKtU zURdp$wSDM&nYiEVE!rccLybKKqhzLZzIo_Z68+NXnjieSHsz$>_nHE=>E#ntcP^Oz zN6TFN({vp#e3Z(j#?7y*T*N1S-FPIUE21zqsGUjk-HpVYo{o>_SVJkr1FG(mp>bN? zi=X5b2b0h~|B&Q2@K#)*beVTu6(Kl#y2Mc)kbsu%pRK7wx=_)sN3-s!QCf98%M|Qh zOS>~?gyD*XY>~vTTiFqR?-0uYh1FCG(hHov#*_5l3ELTW5)Whq^<&lC6Er6-9bTClu3A;H#n2TCtm! z9U^jF_f$lvWO0uz*`r@;#LB5uV;vu{9}@Gv`&pnQm|gp^wdYjtv2tW54yPk(E5~}o z>aks`G6&>UA+$~0m&!s*(j`{HZv>OI4|pJ^>H%MF?G*J-_Yg%lHTSy;9rms0{5AoI4P z+7CPbwS zi}}whlrtyvr*7Z2Z;T<96J}lETD}YC;ScmjzJA#`DIIm%0}oXWU~cBeK_OcEe(tly z0b5%KS90~8zWX;-K6J=#6DiUad->MAmS<9UPVGrYhY1?99}b~%-&3g$z-^xdeV*V@ z)c5U%Eh9bOSq_})=DPB^4hMic&5|HHo{pvM*`@C@oCHeceuWBL!;eh`Ij7~4?T%pU z3==^o$y3z0tT=C#)92lvybUeyl6SZ&pPNV}%0?=we5Wh2CC4D%o(_soUIcq_lZU;Z}x3C6RBR)JY9zIc* zzm^*!Da9Op-gHx>Cr8gS*buV%d47@cSI({-Zu+)l!#?a}`rF95V`FJg;X|x+t1++` zcNnf(qqDc0pAY6B_A+03uyNh!)WeFK$&K0e^)Lb=sy{Aq*0gj+Uu}%qB@aSykDT6| z3q6qv(5ugHHC3M}YCwindj-ofi>};`qC|W~@gE+H9|x7VixIAz&&{zYYC*pB5D$%x zBg>~t5|Su%zE35?8gF{T#MYSBojA!xSyK9)yjLs^P&L+^smY&u+YxY-(0SQTkDqm7 zto>W@#QJ)f;#H>`8n3R(PhR7*`CzLX@}8VG4MRy83;lnl0MhsuG44fy#sPG^2v7T(_c^peEUM>BaH6fd zVD3@lB^k0vie||GU!a_$xH`8f{eqY+0=p5JyGyxSC9qRCxSzAj9Y7=72x3Ocwr@ZJ zs@ z3)7t4Zdvxt3QeUDGPt??t!GRQx2J#dbtGi-`efyxaWW&~P*woS1UzsL&zjq3Ql3BB zqsfJ#TD$kFe^c4&RtBmSbC17JwYnsndgpfFmOpHFqwHm|)6gtxt4 zdCd3h)^@73Vz#nt+>(yQ+~CWn+l1r!&{ zh6w3s|CYky{PyrG%JUd!)BaNC36$YDdHLyLvsxk9 zGoDWVJ6zI@IuIAxgvY|q$Dcu4a#pMht47j$NF;N1r1QlwY?VMh1R+^gD{CG2x;^i}`6cppGRiEYLROsUjt7BSmm)Q368Ku)K_hGF!b9-%5h|+~yQ1_&(kx za-6qPv8je!l`~SfjFFz+CCsu|0@RNVCoA|BIg)`bIdTI>;|DyEDg8)>$*DeAI|;EU zLOQW4tz0?r0O@sVR~*kfYJ@1Oo6LVUTk*;afh)1$a6!nBv&KYcn5~-D`slrMDKK0| zx)eJolckFnCS9*Y|G5^=0Y4rR%Bw`bsG)3Ci=X;zjPkK{?Ayb6+;pzCicgQ!I*kmnA;MQu$@-)zHhR2j#+j zel?}DkcjHuUj#-3o}G#vs;8$1B6X9)IG!U0RqU2TxpR8WUU1M`JQ`{91?dC_o9TG3 zh0@S~gTy6Uzo@^7RrI%bjy*?1L09i~dAX_elTvA2>x-wyYa#S^?8ujBzWn@^1E zMmn1Yo|hdvlpEJ)H@JFoNw1JS1&61ndJ;XJdrxHH3D0rVv=qbi8>52K$MhF%%1lQe zyLnj)VJ7!GH|1HYttzG`s*U&UBWQZXXoHU#cZ#?C3$lvbxrvE?`r!a z_oXB3GC0vIC*yruZL12c48<<&TFvMFq9&5IvASJO zx}q%88GWv{YFL&scb4V1-|stvSc99>6uX-Cex(b0^$H(;!?m#d2E3{p%m>p^ot*8M zerlF>eb3s#v2kO!!g+sXd5shq)@?+rsW&xGw@BZh!k(|@zt|HBmZB3sSkS90(fSfB za2q&Rzvn2>zIGGbZ z3ygb1M`T7b?Rknq@-L)MRuyCf`o6!gjWk908-YzDI_TV9KT0kp^l`l2tv@+mg0{t| z=d&;{-e6n;P&=DYtoJ` zKqZ8($I4ykY5LUH`@FDii)jV$ zw=9qC#GK^CIFL(YuaS#!(0afbmBp-yhCJHfV;ZEjPB>Bi_`>Y}FnTRz9u2?%pyCJd zTJ${v7~f-eu)Ul9m9bg);x4Bm!MQ#E14ofI-sZ)n?K({Ll&xMs`+|KR_HNnJ_h(`8 z>WWNddPhTt46X@*))zaL#l}p`&^z&SyONhjcg`i*akAdD>u!}9V-G8tMm5M6Fg&yOR7!mJ&@i-HY;=leoIt#9^#+H{M{uUuT?kyP zG>#*`Hk#C&{S-_?<5XC(p!pXt;rt7bpVhhBJ*;&Ws1zPmIJXz_N9t8ux#oL?Ifi!M zBnkbt1nYl)dnjAhFyg(8Ei}3Z`<+42Jfhqwnz$4 zty2ViA?i#@S+3WAP|#-Yv=Mo{vHPR5NQ-waYjmr1Vg9g^p8iH;v%% z;5l2Gn9t!^%@-2sh&c)+x_bTknZy&6b+UZtvEkeKDOdB6B5AT05}nO_fzQ?>*WPik zga-Ra47T7*`G`1ed2;wwaL0<7m6(@M-kNRedv|^xI^1im-=2Pl@!d1<8S~GH=l%>0 zjC`Eq>WL{dPb`|+XpAQ8Tn6EvH<%+`v#yJJ5MZX8`6C%a+2Vzdd1sm(hr`7<&ynNa zdDqh~N2Jb>D9ma0`(Ks0dWmXJqGxKkE^=O3oi{$wX5J+3Zu}Co##{w^v`xPli6i?W z%f;$7($i*YLv#kRD<+jYY_Z>-xa3Y)=1C`(4_!?V3>8^6JQp{-jrk+6mK|@MWaPe_ zVtSjyfmCg)_%iQJ@jn)(n<@8bWa{NZ-N{r@B>Z-G-tF-`gS*2mvAH}$g7)OlbQtV~ z@~aT~xq6grc2U;N$CIqZ89hQl%E50izC>CsWyhqksb~~&H!|>yCX)`cB80v?Z`@kM zGbB~7Ks1Sa*sWX}I7#=E&Vnh`AAXprLR3@FgHD1D><)(^OG|t+PhMhc%GmnVYrP6;*qdD03f2?6 z-qOih!N+StyvrR$>1L#l{$5;VVqxLEi$9gsHg|<*nWgmb<`T91{6<~1RXL(r9&TMW zY>cb6H>!$%{W0_hFC<@-;1+LlzF0ng00v_vMV`rnARgOW)NVm-oBq*@dej z;tB)mlcqAu-&a5#QaMBvFv}GsU^MBA}helOKhX| zn#7G3j7D!UqUe{o*-rf1H1;}-NS8Vc-U!zzF)q3()91Zuw$XITgDEjDma#&pn<+$T z;Rzh8FqIUKMRJjP6}D(J(ql!c6>IgsB}{Myo`NL>rUv20o8YhFGia3HmAU-Baq3fY zbEWllt%CT&k#q*6ZsONmLa(PPe}UHzI}ks!%F>puZ(Le;2au_=2B%{eJeq}GFKKAr zIwpQvZyJuw1P9!2TEY$dy~c$VLWc)_v+=Ul^Q|H=7}*?rca&94zHg7d*q!uf(fH3S zCECF?qjDDTJgL~k*xqHAS*B6XawT~jCJ=d=U9iP1mT|?xlmraOF#csVIk7oKDWl3N zlPdBkO^C=d(QW|!Gem&K&;iOgkY*IN2Cx(7=*<5m46`&cm2;c-@hkOrw%5heTU!z2 zYe?ie{kkGptUS^FDv9yIroG~WwO|ud6Bn*bPfAEn=VO_m*I8jf@*U1IK28jT42@*KT)ikXlaN3V_RQxR==@ml zTeh&?-SsIn;=^<-f<$puCfc4VDD2OshvXU?7b8sVV_qd(cj?1A3fi2Cl$=v`qJE?I z?Qq9$;U;~;xR=V#)%R2?7-Cd7!POtiVAb}%Nvy@J_bYAW{F^SuNfe?hI9 zA>>atVd>#*R>1(>upRmXU1z_r4$zjl+Fu|{U~$>sq`u0Imcn~ArcAg6>CISqSF|%7 zB56DVp^m|AcnCv56*tYGK}F+10@GyLcj!+1`4=(JLwO&_^bk6vA8)%6pwHleKthH1e>6|Wmd;BIm-xRY@t*I% z-Q?PKDJ(<_U(GPbL#rm3zgB#F3S8~nU>(ZXqUgJNSd5#u0}f#l@*yoA=^P(qnfN+DKja#~*O%6X4Lh}##zNToqjT#pDiv7{&ohB_ zZ1);c_Y0eA?}Ln7_KLb>+m!V;EOEDG7~8brsn|O-X6iJuY0O+fr(sEF_QzTUO%wb? zo9=3lbvz@W*j2Qf+k)ZrUs=7f#6@L|qvjYsRn>d;tnS<}g;SnS43J2WH)RE!3xAMw z>1kIYDnjmefM!HeK2>6yM0^tZ~pP>aSNCPE6*3=K=!*#9L5bT9CtA z2V=pm+Dhy_u&_;tGf9&B5qzo>A*97LEGZjKJ}cEoJD&Q${6QSI-hB2*LEoW>tl7ka zy@s8dd7nsrR$!Ql{Y3w=70FNV~A%qx2F}3!=laP47b0vuK4V?cQnXk`_C1W zi(cfMHH|1b{Hj8bVk}Mh-d9wZlo!GCZv{;^UXP!ctubMWXyxCRjN9v_`Zr~@cWhCw@?V*pzbv1_%i9d8kuPEt$D zU7JK<0#d6bqOj-eYj~moL}<`C897)i?nJRLg=eCPDKN7H=1<|-Y$8*FmnLlQyT%OS zguBL!NcTK0O=2hGk9W|iCAREKt1^pgQ?~@hRj45c(zK3r&(tHqsnE2J6XF3=qD3<_ V{&^w;EGoSJCs751^}*jS{|&2suLS@A literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/coolrunner_logo.jpg b/cpld/XC95144XL/MXSE_html/fit/coolrunner_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..01e20a5eb6a4a06820e17cfe8ad1044bf5a740a2 GIT binary patch literal 11278 zcma)iWmFwaw`Su`fP~;~L4yR>KoZ>D9fG?%L4vzm2=01t4esH)bP{RM!72LLnJ2D}^rxS!liZM*w)#ay(|ME01DDS1lyyaqadT8W1^v;pkZQTU|?ckVB=!`OSsrLxVX4D z*!cJa1o-$A{}9dpCOA}7RBSA456%+;82!%xY$fmr|BT?kCcwkNBO)LnBBJ0R z!1@8$04@?T6&{E3Ym|@FZ;YKd#qsl1XlR2>-l8Vd^*VDk($%Y)x+E1e^nLi`8p8eA zjhBaz5B47a-UbN;5%$!72o4?>fr$9MQx;Oc~>*6Xj$kQfV_|C!S zpXgqe089kfGjS1c0a4((vSz~C$Gowas*))_BYq0$YpNNy9iG-)b$a|Dw*wxboes5@ ztQs{04u_f&HIQ0N1zEfhok?p{I_R@lFC&0N&lwpH6ax4(h=stLbU-bzVI#iBSpsfU zOgEA6r&?X4lN3^`)WDncfmW(0#y~nMgb>V1dL*1cdK@HU02>GK7l4Pujw%O71t?ns zc(as)qnDSjn58i7)O6-j|G8!tt zp8iH$3>$~@yBI>qpd2LzmK=f&4poeak#Mx8xMZ!d3eL?VJ|2H$d^m>=&JL3}`M^)Q zI1>mSf5j)NBc1+K;i#|iLmya`!auO$gneMer2%xPkn!^o@u}XX|FZxbM6oxw^*jR7 zq{M^4dK-=a1)i$RPdhpdW9ufnWwFC}_Q+T#J1fQLN(V)ySKp)`MJ?5fmc7ZyedTNo zt~H9b*O!+pSz?C&Z*d}gAAOuFY0{N3A^uf{mg4+m=VrMCqA`u+N$WhJl?@ACo}U^oxd%#x_5JCTJ??WG0%Z5L)(^Kvs-=}O#>E`5j-7v=!Lcx*w; zLtGQoM!&Q5ho7*Z{*qmj3)e8wNlN@Z3tLS1IgbCDqZ8NCARf3#o3#0+?i-AI_%rbO zJpB5IG-8}z!c$(i5ZQO+O}FOnpa}LyNmHN43L|Xryefw1l|FR82Ho7|9RKYzZ+fEG zzaPGsTX9QbD5HE#7}{%Sr%1nb)i$WFTGwfa^8m$Ub8G0YMnKIhiIifgFHjihjHgZnWK5}na8w*R zj$l>zNHI9EUOqWEu{SaRo)Tv~lCKhbW*MrDIN+jFDzSi1{RLDW9|kH9;s5rtkZM9B zgroB{&JA+}iwpW3wds<^_k?lScVfojfTwj+rOe`@QJN5=LxqX{JNTa#A0_wiuY#aW zzd_YToDPNd>CaUQY|f#HSTyxLt9~r&>{({`vu&?N*bSsOZ6*gT) zUvY0RD-Jid-*wu39>V92$&T5ZSqKs*QB|>lE-)5q(XHQX({pNLhf4b8xS39;r=ppRD z_d$OnWuXwHC&xTG!>!$(NwIL6$-9Y7G>Cq$8QGhAIAAnY;TcXdeep!9{S7`C@{!(74Y@R4xCw*sg!7hYL$W`YBhp_`HYQ@ZFB?xKo4RE$u-;B zI=B>~dFTOeEoqls9Al4eYniwhwUWM;8eZ~g&rhx^X+HO@9)5xx9A%rYf8A}>8$Xde zfmDC)y@K3zDZblUQ;zIlcol5>{a93l6^miZ=?>>KSZR4b3$pzkdQi*H_SM5zHuEf3 z5P9dS%G8=?D{Q=bT^pN8${WtWz+7-)qaJJwLt?Oh$OY%FTNq&@lbs& zBo>h5(l(I0O5TP3q2^y#7h-7nS-Q;SkUSH3QB^vX2Hp1KZD$)1x1(y8`s=T;$zJ-=5Un zW~&eXv4=6-krmO9a-hg7y=LkvodN?!zwd>IZ2dm)moY)Kg+O9FyjnC~AW#O#Bm^n} z{9-U2^>!I_bA)FMh6#RENvtPhZ93Va& zUff6-4WOko!b^vD4n+K6PKhoy4F44wNDuo!Pc<%&>cd`zYJ*-#RV62pwT4fHX{D}= zjQ&+3eOpS-1s#GnR9mG=>w`W=+d$9R97PvrrTZyif>}*!t_tTH`fphKM{S)Qs3Isb zux@f_ahok^+WuIJJrDGa>V9>sk74CjzHdp|78=UR=2X@cE433--+$A^8(nuy+4$`o zk%ia>*{wLUeE7@Ylbt@%4FNe#jecyRBn5r+3lPdTFfxsmOHrN_?_$?9*(v!XM8dDj zHaap%T|FKquD=~Oc5X1fi@_oPtk*szyx`*S&>+}t+tT4(T5V5?#iee4t1h$`?hNVkW?oFNsiESxT~! z=4rrxs@_C-0o=SpTodE{$zxs@yV(VN_|I3!Kg-(i1u-@|U;i9-o zTjRQ%&4Sl^oT{oiRlCkqMs5F{HVUw|aZa%SRbMxl;+66Xz;Q`vK&>!0cU<-YB$zy? z7Ksp%7g+CXtPKAp$EZtxbcs8)JAbmIy!tVJejsqNq|7NnS0PeOW1I&{0JUJBh<;CO z<88NM=4FFgjr6kM<1rlN>&%!Rp#6yJkdnYP+&y~XqaitO!)Bq%#Ndm=77^Eg_=h5*&^ph6oO`m0aSF|lcD9^gV9_EcKf z^i|88sgZb4-PYFC?}EPyxF)Nj0G#s_QXHT|x_$liC)q%`(J7 zmS4_wul_U~4A$T=jI%E_erm|sb>k-RoEz*qo-jw5PdX^{P#Er$cDX!b9ddlolnVRwj@KoDTzHQvR~QDB9MjW+m(F+d|RGHk;ZY6G>jEtp8G?8yk_daRdk#5 z0yN#_{k;~QM<26$X7q6+eH`Wwc+SXwb`hP;j(hSr?ib}h?pl8V?qWAz00hhD(6O+o z43Eq)njI{L7eLZk^jW9-N&K4b*&J%rQ5+!j+t#n;&mR8^Ku(^lL4>#!bip%Uj9KZ< zaiK82JGG-~VZEhCxK(=Kaovhfu6Z)w-2UYCY_QGxZ47NB<^_=FOXT&dbfj`_;nT_V zowk~jnhEfV)Ny=LUs#P9a!qLBiB6kC=$!P3k9nrp#V=8G!0*vi6nj3MziN7FEkQ2k zz`UkQWzF^)i%Il}t`?yc4lVTZrwHC${UWnj=Dsg6BeTx^Z&WkNB=$Nv5Ft76bqdW} z=Olm(=FKl&N|~&z>=c@0lNLqmws*`%E04+{wKHOB|B^!zM8kv^N5&RMRHgzn#DSmU z$SNj`l<$&z3T}Rw9R$9+_4)xP{KqPgp?!iK@z$#lzA zl{~7Aj1fl>2Qsu6VKL=XjJ-M)wl&Ogn}jJsdsUpl;4-vWBPKA;&W9=$x;P`9PYK5; z7-kWqJsJtGIf+s_q)nGVpJJ?GF%K&a%!T`AT}U(a1YK3Eo}GuxdYQGOBv4uPUTJZz zKhXwu8l+jt*eeEYUS}m~%+mbrl1x3bCm9j$N!|HXbmt}@quN$M=S!i=no%%R7Ir&G zJh)4AGCJ=vyHm}Lu1uPx5NfroukMU znY68peT%*GYMsC=k9@V&&CY`f_nM8Be_CB&hBv5TnYKK)reViKT_8vQqpEsMNDr|@ zrIte(%T_~k=hMQGW56~!#x`$`>RfA$j4#M^W#x+xkGnkwcx&FB;&0FD-c1-h(Z?`` zj})$rI=cdl`9|>4g!7hQ&vOJ(&UuGUH|MwvlTAI^7SO#Rn+3s5d4w!Ou&?S`cdKt4 zCRF~=Sy**3cj#D8M^Ww@X~mU3WPn*?=}B3Xcj34MquIKfCH~k?SI}Rg2GVY{qR(jB zz+Dj+(eMG4kbEwFmy2vgazp3TgMe~#|9pI?#C2I!<=WFc&MT{6N8>K<9e4~syeVcZ zK;9iLagn*T1e{<3Y^hU$a;bSxlSpr3$@E2o$Z?aAg%W)*3SApBRi%Q;5n@ zfwWt@d0P(88EpSqw6#+MS+v&CDs^?Jq8cD7O9@ioQoNV*f^U2QRGroB-XEBKjh z2bo`PqkMqW@MtW#lJ#&;c)H~6$Gyj_tUlHcFDh)0xmjK~F|B6pBFs&BTulxDnYohM zqd(5x{Q4?~T+8&SA?#K$@ucNBOQ4kO(b+U{?O*}!%!%B7lGs<&^h0Z&h}g9KmK})iyCUUlout;@&Xd1|0rq-M*?E!omchN`m%T=D6`mf%znxfV zm956;Y{zYr3<=q4rG~v6r^e>D5q@Co+W*NJ@$4dvONahR8PPDrmSpI-ZC5YZVn0~> zcKB}aVj`w=O2d}}=giCM(Qr+_=|+CALm^67KsBu7+nTq3*OPG0J9py0StdncD1BVm zIy)(o8#4t$`vU{hJ6@V?j;DW%PqmN#l#}56?zow1Tl@~Cify!PpTSF5{Nv*iCo+9* z5VkBwy>q!{EYN|i`KQ~i@?bJA(Xq|B$)PtLrBVW@A!0o1Ki z=0xwbW&Ky(KzY2?0d;Hot2^MXCP==NbfcLmXgT*vIFI=YM!3gzucqA~ZnAaA!4l_R zeBtM$)pxBfp3n29m1X;_V5Jh;r@s~Z#<2i<{I1*I#Bb4+`{ihbz19yPY*05>QGn%+pt1Cr*3Qu|+O}b78rqyW`DFXF_q>175<07GB6*bD3AX z7K^>K>Sk43^gbvBY4yAx_?q(%;15%V+shzk7D-^Aozw4g+rH>n_;+KNjYbx-{+>m) zc1NBlmmGbMQByH1ugiCAA0-&^z6_DefTz3I)dnoa@eS|Y|G;6R;x=J7t<#ysHc0I z_Q>A)UQc5qpI~W%y8K|$a#=or`etl}Ios>XUU8@?4*$6l1zXW!h1`d?an7>HIxr{AHJxE9yezdvU{`;BVD$0xgoPWDjItM9N^G94v zuC9TLjS>wP8kN0)&MPap*kj?IE6R1RnqugEs6}#?YyS*H$BndKX#EZ!j-d0wpMVzv)p|5M>jbN^j#F1G64U`*%l6do>xfPb%&jrF(#n?ADM? zwomxjrDy2&vA69h|NdiSbuqZDKw-vQgnH5!{?d|IfSBGX&F}Z-8+Q#5r`p0ogYrs} zA9sLqtMEre(Y||v&gN%P_w7=sJ(?`jXc!)pcF(?%H0tx{zO2V*{&=yqF?K2Nh>6oA z!osN#DFsqr_r3?uWYU+^Q${m09S|0up^5Lk6KKkjOo5owvE#uVy7d#5AK8oL5%s*& zi#{>e&k7%ys7BqtmFmiQ0p51i+T+7~>XGZOt6JOMEux=Ytf3iMGdPtd(G-$%n5=Hu z9qw=kZiD{Jqs=p84A28U6M2^ z#-jCDTRJEDgp9q8mPYJ~G>Izo()Xc9e2x;|B;-mY-Vw3x<%Z#NR4zqkzpoSVp|SD_ zJn#FWfNPKKtgNTS`^nkK2h?vKK5xfbkWa*x0jlpoiC}v*^!cjhZesST8A}gDny1#T zP#tsZziVh{SDLO>WcK<^AdWZQJp8;-FlsN7XI5wSk^C>27&4re!gAr?GSg7qX_t1} zwqUB17a+u3=9{q+ZKTu-fb)x#nAqHpWs0oFReis9HuO8KQxBPBFC8*I`%T*QlEC23 zPEUmv--pq)4bD@zuyFk8rbTF{FpheBk<(}O49PFES!l0`GmskCv8n zz=^%4XIxdf7SlV`t^Dgf>>mr@2z6^ zht4hjKACLi*@~N*EL`yw?*;*zlz}Dzk%Vqm%J#FCTRdN(`)WY~Q#4+_gPy&1dlj{) znTVu(D-t;KyDR1{x8ExolZ4A~BYGYn-<@_Xv}(fmn%`i~3#HW|~d?Rpscaz*7kWk;7rnEuN@s zTFtXH(xUSVa5`|qmAWdU+`t@AR&vHmYAP#fWtidMAfn9x9#A1OMSw1BaMi-R=@09Z zB4nCE&7AisvB=Z=jv8cbCU@r_)Ga&?Zx6j=ugDAg$7Z9CEYgDY8?a-D|CL=_IL+7|cLl zCaX%F3$O6PI>c2w@jN7JN945R^9uJccUxKE)0VeL%n$MYq(8X5ZC}$&ocqu#TrqzZ zlf9g3SXbL=ks%v=#oRY~@;C$~nNR*a7znBl==$;kXg`(Q zb{SL%&ZkViv7|qBBU#ulY@I(7tOd@r3qm>&Ht^v*$)#|$$Um~vqa=#Sy`l~LPNZLT zp@Il2E%lJY(IYCc%ZQ;#nKJ_D0DMs(oh%^|fRGF{({g3(a%x$Uca0WCz&sHx$yiy` z{hPmRCz(HQaUiKhm(;r{+4G<_Klwbnulz*`t=0AJ*u#V=^&O&+sU!s705%bfnZrLd zbJDk}ZP~&NoOSZZZz0*n&nri9*ctUUw4AqZ(TG>|!fxZ~fWMxu>dp6YH*cQ;L`dt0 z;$;&XHI>Evj z^r(sT+%HxNO3KB^^H9Q2FHgU>i8nI~DnW4`5xA*b45z;~_FP= zNa>q$@*-K{DuS7SkR?UBiC9gk>?MbF7n->n$b4 zScBz_zqFmXyXmuiCOlXmejcp?e9!eOUbfPdwvcTWUwJcozL2#r5BJAEewvmtP^fwZmHiAVBhv|k5jZ;4ZB3FC!&gDVgzZV&# zcM8q9`FhO+8pPOFO6-!@P4=bq6`5s8T`T|E9ebMwKU=@s-;(+mv%h=mr6|3;95%h` zpI5s5>BLLrxuBDNw}jZ8DBHnm)O1tuUa-5y0gRIK`C)>kaj($yjPx#h>@X|7(vTr?n^Lsn7ol}b5;dpD_=ftxjPLl-E5R@XLr>$T+OB>;F`J4+(nqtfec+p$0@lfvvI?u2=eBxZW(iraop@{tH}nNiX6uf8!m607_G8YWOzkh4Ot=ti z=k&jI*q#cW|8Xj;f9Op^ro2{P!uCuJm5^^!&yA`sp~I3FaH!+1QJ{)A>YhzmS>+vn z#N6bgF!>vEJ@0&&B*V8=FUZQogr)Z-^wk?oo)jqwI58}nB%B+59a-RWw(M52b~9HFAVJ9O!*F$Bc{xx0*z<7F@1qaw zb)#Y()vZigYTP}~icu;WGn>kIQejc_;qroOchM0UBd9i2N8T*77@C3SQ5!rZU$T?b z;Y!wp$o9UtvfiJ+5B6seJNcXgk3tk~|@R6dfJNIt@yP7vkD zD<5RSzgr&Kq#QGAZAY&6UV8=inwCT&48q69zMvZ3TQ-#Vr5n{O?d=2|w{iwW{M`k+ z+}kI~;+xLat9C)3@PKgV+Y@^?+OmWRL5Q>}jd71(=}soOgREbNAAwETfc5(|sau&- z{hS!#SR)GTPPNtU166b-P5Z-Q3Tl@h(C6Rl)gxm}Wquj8K{4ahl2CLBd~V__I~C+0 zfx5$KE{gcu>o!~KyMAso3r>&KrZ|wXh}Gax*ukEQ?@Qzgeear`To&KyRwh993g@OG z^^k9sZSHud1pj!i=E}bMc7#L@((tbBZJ?|yD)NBR z^hr79Di_UN9gdyezmFfpaC{|)kn(foE~^CLv!8Vpc8%__B}>(DP8ZjQ+2!^mbNWHb z`A!IwGyoxQiB#bAUk#=1VtX~Nm?i1au(#oh@`rpk8?58WYpYr2uC{q;cSVE zV_|I!6aOE1qv(l%248R*=j>|k1hbBx-JM<6SRQ2qv({>M8yon~#zp|ArU&tlf{zL} zrQq-mHd8!GY$|_SA9D~q@qm=vuw`(gEGPUDZC#8$2UU#qAKHIv3$>}GpUdGX{{_7S z7KVw(zfr48PJ)jN3RW>flQK%7gp-0}5`&NLVPuDu21(<`SwngBgMN2!u4S7`Dt*0- z@}HThGw~m>ov-=2GmBsB>I5^zguO7wT;?7%fTrzdc>yC!mR3Q|@$~dqKcnQ3>1J}q z)s?BSscBq43i&Q+JHHbHZOXP}DfB*0|E@%5!}_>Nz>@^)OF5Q8b#XnrKAF_=8+(6@ zb{%$3F*s-YX1q>kRj-j*Fz(O%{B1oM5s9V6_I53wtR+W@E<}nTjOOBN{$pJy>G=!- zR>sUKg62*6#&GN9Wt0)X)0Rt%Q~XppHu|=%j{$Cx%vbZvZMDo(iQTUy#mE?Pw;ef_ zcWsb}?jEFyOM}>na`0q87u`yhPAn$*=RB8HK4hQ( zB2iYYXB-OV&)IsNVdh$WhHxoVZFh5_n^nD4rmf00px6Rl0pWiVG}Sz0Zo}Mc!Ew5& z_ThDvmQ7Gm|56=C7H(MlL=C@5=o^Q$MPMOGAlUONLccw?Kyd!aN>zi%JSdy>-H>#Q zVbn)eIoIl+IWTSJy=`5um|U5$rPk{u`d@M9PC+{Z#LMq#zcLQbv;-5 zUJdbCcQM0`cE7K>bny7RFHt%;q!_G2f4xbhyuPu1)<3f3+;XW!Mqd&Mo;kG0S1-9c z>2mNTX==)SWDIadOj3C3Y@;b0WaHsI10~5(vpyRWy-BF+3oWhvOP>zHrvx zhiP}y@~gZH!N&4vVHs6}Jc9laJ54)&l9)L1u%~j>VZG@uUw4Bld*G(|tBnR<$u+*` zwV5rkcpX`AjZfxd^CWL+C0#OX{{kqIU!Z8#at=ic`9%qbJ^R>XZf0n*GM00_*Vez{ z{;lLYqlE)MH(~r-SZJ1)@x&Fq<`+daxBjPP_cQUC7WFz7Q<#D#zqtn`JhmLX&9pL> zX%Yfes5Z@lIIPOWlt827ScVES^Bh=KY9Yd*Ut!2TjqeiaFj&$N^CwwOLXC5}SYw!d z|4^kA)>ws01NRT0jS3OHk$MK65>wW@({GnYmYo~id^XCthyuM?Uj-R^*w}AYrV8CX z%2%ysvn#%;MZed#v-))2x55>GSrtLzjVhrbDeAzlzi-ZK~5oEkOwBkTMSaNf**6&Z>nA z{}0-b7KzdvhMSN>R~b|ZW7kUp(B;hWVVVF5# a^goD;K+4kpf<(eF2LB +

Equations

+ + +
+
+********** Mapped Logic ********** +
+
+$OpTx$INV$223 <= ((A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady AND NOT nADoutLE1) +
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND +
      A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND +
      A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND +
      A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND +
      NOT A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND +
      NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND +
      A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND +
      NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND +
      NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) +
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND +
      NOT iobs/IOReady) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND NOT ram/RAMReady) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady)); +
+FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); +
     ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND +
      NOT iobm/IOREQr) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND +
      NOT iobm/IOS_FSM_FFd8)); +
+FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); +
     ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +
+FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); +
     BERR_IOBS_T <= ((iobs/Once AND NOT BERR_IOBS AND IOBERR AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1) +
      OR (BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) +
      OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) +
      OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)); +
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); +
     IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND +
      iobm/DTACKrf AND iobm/DTACKrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND +
      iobm/RESrf AND iobm/RESrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND +
      iobm/BERRrf AND iobm/BERRrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND +
      iobm/DTACKrf AND iobm/DTACKrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND +
      iobm/RESrf AND iobm/RESrr) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND +
      NOT iobm/IOREQr) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND +
      NOT iobm/IOS_FSM_FFd8) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND +
      NOT iobm/IOREQr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND +
      NOT iobm/IOS_FSM_FFd8) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND +
      iobm/BERRrf AND iobm/BERRrr)); +
+FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); +
     IOBERR_T <= ((NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) +
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) +
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/RESrf AND iobm/RESrr) +
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/ETACK) +
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/ETACK) +
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) +
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) +
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/RESrf AND iobm/RESrr)); +
+FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); +
     IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) +
      OR (iobs/IOL1 AND NOT nADoutLE1)); +
     IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +
+FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); +
     IOREQ_D <= ((EXP14_.EXP) +
      OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd1 AND +
      fsb/ASrf) +
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd1) +
      OR (NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)); +
+FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); +
     IORW0_T <= ((A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND +
      NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      nADoutLE1) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND +
      NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND +
      nADoutLE1) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND +
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND +
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) +
      OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); +
+FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); +
     IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) +
      OR (iobs/IOU1 AND NOT nADoutLE1)); +
     IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +
+
+RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(1))); +
+
+RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(2))); +
+
+RA(2) <= ((A_FSB(12) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(3))); +
+
+RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(4))); +
+
+RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(5))); +
+
+RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(6))); +
+
+RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(7))); +
+
+RA(7) <= ((A_FSB(17) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(8))); +
+
+RA(8) <= ((A_FSB(9) AND ram/RASEL) +
      OR (A_FSB(18) AND NOT ram/RASEL)); +
+
+RA(9) <= ((A_FSB(20) AND ram/RASEL) +
      OR (A_FSB(19) AND NOT ram/RASEL)); +
+
+RA(10) <= A_FSB(21); +
+
+RA(11) <= A_FSB(19); +
+FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); +
     RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); +
+FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); +
     TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND +
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND +
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) +
      OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND +
      NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND +
      NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); +
+FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); +
     TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) +
      OR (TimeoutA AND NOT TimeoutB AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND +
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND +
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) +
      OR (TimeoutA AND NOT TimeoutB AND NOT cnt/RefCnt(0) AND +
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND +
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); +
+FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0'); +
+FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0'); +
+FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1)); +
+FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2)); +
+FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND +
      cnt/RefCnt(3)); +
+FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND +
      cnt/RefCnt(3) AND cnt/RefCnt(4)); +
+FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND +
      cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); +
+FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND +
      cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); +
+FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0'); +
     cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) +
      OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND +
      NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND +
      NOT cnt/RefCnt(7))); +
+FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); +
     cs/nOverlay0_T <= ((NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND +
      NOT cs/nOverlay0 AND NOT nAS_FSB) +
      OR (NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND +
      NOT cs/nOverlay0 AND fsb/ASrf)); +
+FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); +
     cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); +
+FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); +
+FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); +
     fsb/BERR0r_D <= ((nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT TimeoutB AND NOT fsb/BERR0r) +
      OR (A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND +
      NOT fsb/BERR0r)); +
+FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); +
     fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r) +
      OR (nAS_FSB AND NOT fsb/ASrf)); +
+FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0'); +
     fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND NOT ram/RAMReady) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); +
+FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); +
     fsb/Ready1r_D <= ((nAS_FSB AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) +
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND +
      NOT iobs/IOReady) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady AND NOT nADoutLE1)); +
+FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); +
     fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) +
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND +
      A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND +
      A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND +
      A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND +
      NOT A_FSB(10) AND NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND +
      NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND +
      A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND +
      NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND +
      NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r)); +
+FTCPE_fsb/VPA: FTCPE port map (fsb/VPA,fsb/VPA_T,CLK_FSB,'0','0'); +
     fsb/VPA_T <= ((A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND +
      NOT fsb/BERR0r AND fsb/VPA AND NOT $OpTx$INV$223) +
      OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT BERR_IOBS AND NOT fsb/BERR1r AND NOT fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$INV$223) +
      OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT BERR_IOBS AND NOT fsb/BERR1r AND NOT fsb/VPA AND fsb/ASrf AND NOT $OpTx$INV$223) +
      OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$INV$223) +
      OR (A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/VPA AND fsb/ASrf AND NOT $OpTx$INV$223) +
      OR (NOT A_FSB(20) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND +
      NOT $OpTx$INV$223) +
      OR (NOT A_FSB(23) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND +
      NOT $OpTx$INV$223) +
      OR (NOT A_FSB(22) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND +
      NOT $OpTx$INV$223) +
      OR (NOT A_FSB(21) AND NOT TimeoutB AND NOT fsb/BERR0r AND fsb/VPA AND +
      NOT $OpTx$INV$223) +
      OR (fsb/VPA AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(20) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND +
      NOT $OpTx$INV$223) +
      OR (NOT A_FSB(23) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND +
      NOT $OpTx$INV$223) +
      OR (NOT A_FSB(22) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND +
      NOT $OpTx$INV$223) +
      OR (NOT A_FSB(21) AND NOT BERR_IOBS AND NOT fsb/BERR1r AND fsb/VPA AND +
      NOT $OpTx$INV$223)); +
+FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); +
+FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); +
+FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); +
+FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0'); +
     iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) +
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) +
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); +
+FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0'); +
     iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) +
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) +
      OR (NOT iobm/Er AND iobm/Er2)); +
+FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0'); +
     iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) +
      OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) +
      OR (NOT iobm/Er AND iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) +
      OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); +
+FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0'); +
     iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); +
+FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0'); +
     iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND +
      iobm/ES(3) AND iobm/Er) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND +
      iobm/ES(3) AND NOT iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND iobm/ES(4))); +
+FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0'); +
     iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND iobm/ES(4)); +
+FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0'); +
+FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); +
+FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd2_D <= ((iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/ETACK) +
      OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/BERRrf AND +
      iobm/BERRrr) +
      OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/DTACKrf AND +
      iobm/DTACKrr) +
      OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/RESrf AND +
      iobm/RESrr)); +
+FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4) +
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) +
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND +
      iobm/BERRrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND +
      iobm/DTACKrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND +
      iobm/RESrr)); +
+FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd7,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd7_D <= (NOT CLK_IOB AND iobm/IOREQr AND iobm/IOS_FSM_FFd8); +
+FDCPE_iobm/IOS_FSM_FFd8: FDCPE port map (iobm/IOS_FSM_FFd8,iobm/IOS_FSM_FFd8_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd8_D <= ((NOT iobm/IOS_FSM_FFd8 AND NOT iobm/IOS_FSM_FFd1) +
      OR (NOT CLK_IOB AND iobm/IOREQr AND NOT iobm/IOS_FSM_FFd1)); +
+FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); +
+FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0'); +
+FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); +
+FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); +
+FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); +
+FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); +
     iobs/IORW1_T <= ((iobs/Once) +
      OR (NOT nADoutLE1) +
      OR (nWE_FSB AND iobs/IORW1) +
      OR (NOT nWE_FSB AND NOT iobs/IORW1) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) +
      OR (NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21)) +
      OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21)) +
      OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21)) +
      OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21)) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1) +
      OR (NOT A_FSB(20) AND NOT A_FSB(23)) +
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); +
+FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); +
     iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) +
      OR (iobs/Once AND IOBERR AND iobs/IOReady AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) +
      OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) +
      OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); +
+FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); +
+FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); +
     iobs/Load1_D <= ((iobs/Once) +
      OR (NOT nADoutLE1) +
      OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21)) +
      OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21)) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1) +
      OR (NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21)) +
      OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) +
      OR (NOT A_FSB(20) AND NOT A_FSB(23)) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); +
+FTCPE_iobs/Once: FTCPE port map (iobs/Once,iobs/Once_T,CLK_FSB,'0','0'); +
     iobs/Once_T <= ((A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND +
      NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND +
      NOT iobs/Once AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      fsb/ASrf) +
      OR (iobs/Once AND nAS_FSB AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf)); +
+FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); +
     iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) +
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); +
+FTCPE_iobs/PS_FSM_FFd2: FTCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,CLK_FSB,'0','0'); +
     iobs/PS_FSM_FFd2_T <= ((iobs/PS_FSM_FFd1 AND iobs/IOACTr) +
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once AND NOT nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND +
      NOT iobs/Once AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND +
      NOT iobs/Once AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      fsb/ASrf)); +
+
+nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); +
+FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); +
     nADoutLE1_D <= ((NOT A_FSB(19) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) +
      OR (NOT A_FSB(16) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND nADoutLE1) +
      OR (NOT A_FSB(18) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) +
      OR (NOT A_FSB(17) AND NOT A_FSB(23) AND A_FSB(21) AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1 AND nADoutLE1) +
      OR (iobs/Once AND nADoutLE1) +
      OR (NOT A_FSB(20) AND NOT A_FSB(23) AND nADoutLE1) +
      OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1) +
      OR (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) +
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); +
+FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); +
     nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7); +
+
+nAoutOE <= '0'; +
+
+nBERR_FSB <= ((nAS_FSB) +
      OR (NOT BERR_IOBS AND NOT fsb/BERR1r) +
      OR (NOT TimeoutB AND NOT fsb/BERR0r) +
      OR (A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND +
      NOT fsb/BERR0r)); +
+FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); +
+FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); +
     nDTACK_FSB_D <= ((A_FSB(23) AND BERR_IOBS AND TimeoutB AND nDTACK_FSB) +
      OR (A_FSB(23) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) +
      OR (NOT A_FSB(22) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND A_FSB(22) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) +
      OR (EXP17_.EXP) +
      OR (NOT A_FSB(20) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) +
      OR (NOT A_FSB(22) AND BERR_IOBS AND TimeoutB AND nDTACK_FSB) +
      OR (A_FSB(21) AND TimeoutB AND fsb/BERR1r AND nDTACK_FSB) +
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND NOT fsb/Ready1r AND +
      NOT iobs/IOReady AND nDTACK_FSB) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) +
      OR (A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT A_FSB(22) AND A_FSB(21) AND cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1) +
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND +
      NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND nDTACK_FSB) +
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(22) AND +
      A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND nDTACK_FSB) +
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND +
      NOT A_FSB(22) AND A_FSB(21) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND +
      A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND +
      nDTACK_FSB) +
      OR (A_FSB(9) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND +
      NOT A_FSB(22) AND A_FSB(21) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND +
      NOT A_FSB(10) AND cs/nOverlay1 AND NOT TimeoutA AND NOT nWE_FSB AND NOT fsb/Ready2r AND +
      nDTACK_FSB)); +
+FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); +
     nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); +
+
+nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) +
      OR (A_FSB(20) AND A_FSB(22) AND NOT A_FSB(21) AND nWE_FSB AND +
      NOT nAS_FSB)); +
+FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); +
     nDoutOE_D <= ((NOT IORW0) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND +
      NOT iobm/IOS_FSM_FFd2)); +
+FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0'); +
     nLDS_IOB_D <= ((NOT IOL0) +
      OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); +
+
+nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); +
+
+nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND +
      NOT ram/RAMDIS1)); +
+
+nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND +
      NOT ram/RAMDIS1)); +
+
+nRAS <= NOT (((RefAck) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); +
+
+nROMCS <= NOT (((NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21)) +
      OR (NOT A_FSB(20) AND NOT A_FSB(23) AND NOT A_FSB(21) AND +
      NOT cs/nOverlay1))); +
+
+nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); +
+FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0'); +
     nUDS_IOB_D <= ((NOT IOU0) +
      OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); +
+FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0'); +
     nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4)) +
      OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); +
+
+nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); +
+FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); +
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); +
+FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); +
     ram/Once_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); +
+FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); +
     ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (nDinOE_OBUF.EXP) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) +
      OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) +
      OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) +
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) +
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) +
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/BACTr AND fsb/ASrf)); +
+FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); +
     ram/RAMDIS2_T <= ((NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) +
      OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf) +
      OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) +
      OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND +
      ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND +
      NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND +
      cnt/RefCnt(7)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND +
      NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      fsb/ASrf)); +
+FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); +
     ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) +
      OR (EXP36_.EXP) +
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) +
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); +
+FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); +
     ram/RASEL_D <= ((ram/RS_FSM_FFd2.EXP) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (RA_5_OBUF.EXP) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      NOT fsb/ASrf) +
      OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND +
      ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      NOT fsb/ASrf) +
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) +
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))); +
+FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); +
     ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)); +
+FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); +
     ram/RS_FSM_FFd2_T <= ((RA_1_OBUF.EXP) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(5) AND ram/BACTr) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND +
      NOT cnt/RefCnt(7)) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(5) AND NOT fsb/ASrf) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(6) AND NOT fsb/ASrf) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(7) AND NOT fsb/ASrf) +
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) +
      OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(6) AND ram/BACTr)); +
+FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); +
     ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) +
      OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND +
      ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND +
      cnt/RefCnt(7)) +
      OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +
      ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      fsb/ASrf) +
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5)) +
      OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6)) +
      OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7))); +
+Register Legend: +
      FDCPE (Q,D,C,CLR,PRE,CE); +
      FTCPE (Q,D,C,CLR,PRE,CE); +
      LDCP (Q,D,G,CLR,PRE); +
+
+
+ + +
+ diff --git a/cpld/XC95144XL/MXSE_html/fit/education.gif b/cpld/XC95144XL/MXSE_html/fit/education.gif new file mode 100644 index 0000000000000000000000000000000000000000..07e950709accd2547b259bf8e7aae1fb82736b3a GIT binary patch literal 816 zcmV-01JC?NNk%w1VM_oV0M!5hUS3{XTU-0#W`%!XRaI5HyRRA=8o0Qvv9YC1O-({V zLMSLGG&D3IARtpyQ&?D7)WBp=P*AL&VrXVxa&BLlk73=&WF;jf@!4fDF)`=SWuc*z ze0N}zlY<@}9=*M?z_4S9iFu@?m!p_soSclxxMPopVS0LOv$Ll41ejE#sW7m*hWl$Dm3 zn396NFl$Z>+e0Tc$JB*-x( z0FNF^4&>kxmj2f`kf31wts%HtAylQ8|o+TS35xfqc()j%q@0RuF^_4@|r`s|CI>A_L%CS*&9L z24i3VP=c`JzE~yTKHFA9=cR}rglMfbEm{o{YAY@6vI1lc7$D?z8Tu%7fuq}2$cucn za^@pqW=#)5z{uGWP(35`Q(%h`5@(#PBv6S5CA*kNmyY3 literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/endmkt.gif b/cpld/XC95144XL/MXSE_html/fit/endmkt.gif new file mode 100644 index 0000000000000000000000000000000000000000..15371dcf341a42f7839b404d0c7e9d94e5a6a2d5 GIT binary patch literal 1560 zcmeH`=~EI20L7gSw|jL&Op{rerq-xdYpk_wC)dh!(^jMmQEpH)?`>%ZsVhD|vaTxw%PrJRE@tLn2|7l{eY!G8XF&mwS)Hsi>`G zR#($_JXU>uRb}Pv8#k^}C|T#v2$4Ht+Ksc*4ir7>Dtt4MSp)+Pfy3l$l&nsfWgo=KK{;V9O~_TJ~d^rSj;?L zLuv|9@q|A!c2_FqELrX@e=4(mVk}$elcq9b{~f*d`cPl#>mEjziqtwl)uHvVSD*jRsi-|3D_U=M$=rQ zzU6W34*=)(=u-#xaBg%5pRJ>NVW*kjbO>s@@B33WfFPx-IW<8v2vXa^ zc~Kiy6Y$=f%k76g;KdJVh4qF;Ok4JQ2W~=S80iHUsS`B}ic?0lL><^tE6bcQ59!2> zZxvTo&%dXNX~wo|PP#jUdVjp_Ag%tYmM24w} z10(7gI9=5xj>s}7xiD7sT$YhAB)YVb-cUR{5yH5#8{H+B&Wwri=j!C^4_YT)369-L zBtO3BhiffbQM4StZrOk8r@M~H-~GH`73xY49etLbH7!)$_gamTZ}pp4G@x9gm&Mz5 zVl#{0?7;bARg{S6RYgu%eau>SIviTyd^!lqO5FSeTR~Y2-ltk6RPIwzPM=X$I|);b z$H`j);Dx}55U`4}MpU;wR|&4eq-{1GWC1M5YsJnVkbf5f#KqvXrS$w?O*^8`fY-Y0 z!Ua`18I_*f=_fwepUelwLk9sUd*(>$@vE*VSH`_am9r~OLr6U3yAPd+dj~fc9@nUvyQ_7yWDiA!xk(hrNsPUrHeIP~`VH}yj zJm?&r*-&f#wD}ZGj>~3(Jz;%h;6Vx>EzLwGJk$Uk3Zz`TYa;^Spon literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/eqns.htm b/cpld/XC95144XL/MXSE_html/fit/eqns.htm new file mode 100644 index 0000000..207009c --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/eqns.htm @@ -0,0 +1,1707 @@ + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/eqns.js b/cpld/XC95144XL/MXSE_html/fit/eqns.js new file mode 100644 index 0000000..0459153 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/eqns.js @@ -0,0 +1,929 @@ +var eqnType = 0; +var spcStr = " "; +var nlStr = "
"; +var tabStr = spcStr + spcStr + spcStr + spcStr + spcStr; +var nlTabStr = nlStr + tabStr; +var rClrS = ""; +var rClrE = ""; +var cClrS = ""; +var cClrE = ""; + +var abelOper = new Array(); +abelOper["GND"] = new Array("Gnd"); +abelOper["VCC"] = new Array("Vcc"); +abelOper["NOT"] = new Array(rClrS + "!" + rClrE); +abelOper["AND"] = new Array(rClrS + "&" + rClrE); +abelOper["OR"] = new Array(rClrS + "#" + rClrE); +abelOper["XOR"] = new Array(rClrS + "$" + rClrE); +abelOper["EQUAL_COLON"] = new Array(":= "); +abelOper["EQUAL"] = new Array("= "); +abelOper["ASSIGN"] = new Array(""); +abelOper["OPEN_NEGATE"] = new Array("("); +abelOper["CLOSE_NEGATE"] = new Array(")"); +abelOper["OPEN_PTERM"] = new Array(""); +abelOper["CLOSE_PTERM"] = new Array(""); +abelOper["OPEN_BRACE"] = new Array("<"); +abelOper["CLOSE_BRACE"] = new Array(">"); +abelOper["INVALID_OPEN_BRACE"] = new Array("<"); +abelOper["INVALID_CLOSE_BRACE"] = new Array(">"); + +abelOper["ENDLN"] = new Array(";"); +abelOper["COMMENT"] = new Array("//"); +abelOper["IMPORT"] = new Array(";Imported pterms "); +abelOper["GCK_COM"] = new Array("GCK"); +abelOper["GTS_COM"] = new Array("GTS"); +abelOper["GSR_COM"] = new Array("GSR"); +abelOper["OD_COM"] = new Array("Open Drain"); +abelOper["START_EQN"] = new Array(""); +abelOper["END_EQN"] = new Array(""); + +abelOper["_I"] = new Array(".I"); +abelOper["_T"] = new Array(".T"); +abelOper["_D"] = new Array(".D"); +abelOper["_C"] = new Array(".CLK"); +abelOper["_DEC"] = new Array(".DEC"); +abelOper["_LH"] = new Array(".LH"); +abelOper["_CLR"] = new Array(".AR"); +abelOper["_PRE"] = new Array(".AP"); +abelOper["_CE"] = new Array(".CE"); +abelOper["_OE"] = new Array(".OE"); + +abelOper["OE_START"] = new Array(" <= "); +abelOper["OE_WHEN"] = new Array(" when "); +abelOper["OE_EQUAL"] = new Array(" = "); +abelOper["OE_ELSE"] = new Array(" else "); +abelOper["B0"] = new Array("'0'"); +abelOper["B1"] = new Array("'1'"); +abelOper["BZ"] = new Array("'Z'"); + +abelOper["FD"] = new Array(".D"); +abelOper["FT"] = new Array(".T"); +abelOper["FDD"] = new Array(".DEC"); +abelOper["FTD"] = new Array(".T"); +abelOper["LD"] = new Array(".LH"); +abelOper["Q"] = new Array(".Q"); + +var vhdlOper = new Array(); +vhdlOper["GND"] = new Array("'0'"); +vhdlOper["VCC"] = new Array("'1'"); +vhdlOper["NOT"] = new Array(rClrS + "NOT " + rClrE); +vhdlOper["AND"] = new Array(rClrS + "AND" + rClrE); +vhdlOper["OR"] = new Array(rClrS + "OR" + rClrE); +vhdlOper["XOR"] = new Array(rClrS + "XOR" + rClrE); +vhdlOper["EQUAL_COLON"] = new Array("<= "); +vhdlOper["EQUAL"] = new Array("<= "); +vhdlOper["ASSIGN"] = new Array(""); +vhdlOper["OPEN_NEGATE"] = new Array("("); +vhdlOper["CLOSE_NEGATE"] = new Array(")"); +vhdlOper["OPEN_PTERM"] = new Array("("); +vhdlOper["CLOSE_PTERM"] = new Array(")"); +vhdlOper["OPEN_BRACE"] = new Array("("); +vhdlOper["CLOSE_BRACE"] = new Array(")"); +vhdlOper["INVALID_OPEN_BRACE"] = new Array("<"); +vhdlOper["INVALID_CLOSE_BRACE"] = new Array(">"); + +vhdlOper["ENDLN"] = new Array(";"); +vhdlOper["COMMENT"] = new Array("--"); +vhdlOper["IMPORT"] = new Array(""); +vhdlOper["GCK_COM"] = new Array("GCK"); +vhdlOper["GTS_COM"] = new Array("GTS"); +vhdlOper["GSR_COM"] = new Array("GSR"); +vhdlOper["OD_COM"] = new Array("Open Drain"); +vhdlOper["START_EQN"] = new Array(rClrS + "port map" + rClrE + " ("); +vhdlOper["END_EQN"] = new Array(")"); + +vhdlOper["_I"] = new Array("_I"); +vhdlOper["_T"] = new Array("_T"); +vhdlOper["_D"] = new Array("_D"); +vhdlOper["_C"] = new Array("_C"); +vhdlOper["_DEC"] = new Array("_C"); +vhdlOper["_LH"] = new Array("_C"); +vhdlOper["_CLR"] = new Array("_CLR"); +vhdlOper["_PRE"] = new Array("_PRE"); +vhdlOper["_CE"] = new Array("_CE"); +vhdlOper["_OE"] = new Array("_OE"); + +vhdlOper["OE_START"] = new Array(" <= "); +vhdlOper["OE_WHEN"] = new Array(" when "); +vhdlOper["OE_EQUAL"] = new Array(" = "); +vhdlOper["OE_ELSE"] = new Array(" else "); +vhdlOper["B0"] = new Array("'0'"); +vhdlOper["B1"] = new Array("'1'"); +vhdlOper["BZ"] = new Array("'Z'"); + +vhdlOper["FD"] = new Array("FDCPE"); +vhdlOper["FT"] = new Array("FTCPE"); +vhdlOper["FDD"] = new Array("FDDCPE"); +vhdlOper["FTD"] = new Array("FTDCPE"); +vhdlOper["LD"] = new Array("LDCP"); +vhdlOper["Q"] = new Array(""); + +var verOper = new Array(); +verOper["GND"] = new Array("1'b0"); +verOper["VCC"] = new Array("1'b1"); +verOper["NOT"] = new Array(rClrS + "!" + rClrE); +verOper["AND"] = new Array(rClrS + "&&" + rClrE); +verOper["OR"] = new Array(rClrS + "||" + rClrE); +verOper["XOR"] = new Array(rClrS + "XOR" + rClrE); +verOper["EQUAL_COLON"] = new Array("= "); +verOper["EQUAL"] = new Array("= "); +verOper["ASSIGN"] = new Array("assign "); +verOper["OPEN_NEGATE"] = new Array("("); +verOper["CLOSE_NEGATE"] = new Array(")"); +verOper["OPEN_PTERM"] = new Array("("); +verOper["CLOSE_PTERM"] = new Array(")"); +verOper["OPEN_BRACE"] = new Array("["); +verOper["CLOSE_BRACE"] = new Array("]"); +verOper["INVALID_OPEN_BRACE"] = new Array("<"); +verOper["INVALID_CLOSE_BRACE"] = new Array(">"); + +verOper["ENDLN"] = new Array(";"); +verOper["COMMENT"] = new Array("//"); +verOper["IMPORT"] = new Array(""); +verOper["GCK_COM"] = new Array("GCK"); +verOper["GTS_COM"] = new Array("GTS"); +verOper["GSR_COM"] = new Array("GSR"); +verOper["OD_COM"] = new Array("Open Drain"); +verOper["START_EQN"] = new Array(" ("); +verOper["END_EQN"] = new Array(")"); + +verOper["_I"] = new Array("_I"); +verOper["_T"] = new Array("_T"); +verOper["_D"] = new Array("_D"); +verOper["_C"] = new Array("_C"); +verOper["_DEC"] = new Array("_C"); +verOper["_LH"] = new Array("_C"); +verOper["_CLR"] = new Array("_CLR"); +verOper["_PRE"] = new Array("_PRE"); +verOper["_CE"] = new Array("_CE"); +verOper["_OE"] = new Array("_OE"); + +verOper["OE_START"] = new Array(" = "); +verOper["OE_WHEN"] = new Array(" ? "); +verOper["OE_EQUAL"] = new Array(""); +verOper["OE_ELSE"] = new Array(" : "); +verOper["B0"] = new Array("1'b0"); +verOper["B1"] = new Array("1'b1"); +verOper["BZ"] = new Array("1'bz"); + +verOper["FD"] = new Array("FDCPE"); +verOper["FT"] = new Array("FTCPE"); +verOper["FDD"] = new Array("FDDCPE"); +verOper["FTD"] = new Array("FTDCPE"); +verOper["LD"] = new Array("LDCP"); +verOper["Q"] = new Array(""); + +var operator = abelOper; + +var pterms = new Array(); +var d1 = new Array(); +var d2 = new Array(); +var clk = new Array(); +var set = new Array(); +var rst = new Array(); +var trst = new Array(); +var d1imp = new Array(); +var d2imp = new Array(); +var clkimp = new Array(); +var setimp = new Array(); +var rstimp = new Array(); +var trstimp = new Array(); +var gblclk = new Array(); +var gblset = new Array(); +var gblrst = new Array(); +var gbltrst = new Array(); +var ce = new Array(); +var ceimp = new Array(); +var prld = new Array(); +var specSig = new Array(); +var clkNegs = new Array(); +var setNegs = new Array(); +var rstNegs = new Array(); +var trstNegs = new Array(); +var ceNegs = new Array(); +var fbnand = new Array(); +var inreg = new Array(); +var iostyle = new Array(); + +var dOneLit = true; + +function setOper(type) { + if (type == "1") { operator = vhdlOper; eqnType = 1; } + else if (type == "2") { operator = verOper; eqnType = 2; } + else { operator = abelOper; eqnType = 0; } +} + +function isXC95() { + if (device.indexOf("95") != -1) return true; + return false; +} + +function is9500() { + if ((device.indexOf("95") != -1) && + (device.indexOf("XL") == -1) && + (device.indexOf("XV") == -1)) return true; + return false; +} + +function retSigType(s) { + var sigType = sigTypes[s]; + var str = operator["Q"]; + if (sigType == "D") str = operator["FD"]; + else if (sigType == "T") str = operator["FT"]; + else if (sigType.indexOf("LATCH") != -1) str = operator["LD"]; + else if (sigType.indexOf("DDEFF") != -1) str = operator["FDD"]; + else if (sigType.indexOf("DEFF") != -1) str = operator["FD"]; + else if (sigType.indexOf("DDFF") != -1) str = operator["FDD"]; + else if (sigType.indexOf("TDFF") != -1) str = operator["FTD"]; + else if (sigType.indexOf("DFF") != -1) str = operator["FD"]; + else if (sigType.indexOf("TFF") != -1) str = operator["FT"]; + return str; +} + +function retSigIndex(signal) { + for (s=0; s 1) str += operator["OPEN_PTERM"]; + for (p=0; p0) str += " " + operator["AND"] + " "; + var neg = 0; + if (sig.indexOf("/") != -1) { + sig = sig.substring(1, sig.length); + str += operator["NOT"]; + neg = 1; + } + + str += retSigName(sig); + } + if (pterms[pt].length > 1) str += operator["CLOSE_PTERM"]; + + return str; +} + +function retFBMC(str) { + return str.substring(0,str.length-2) + nlStr + tabStr; +} + +function retD1D2(signal) { + var str = ""; + + dOneLit = true; + if (d1[signal]) { + var currImp = ""; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(d1[signal][i]); + } + + if (d2[signal]) str += nlTabStr + operator["XOR"]+ spcStr; + } + + if (d2[signal]) { + var currImp = ""; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(d2[signal][i]); + } + } + + if (str == "GND") str = operator["GND"]; + else if (str == "VCC") str = operator["VCC"]; + else if (!isOneLiteral(str)) { + dOneLit = false; + + var type = retSigType(retSigIndex(signal)); + if ((type == operator["FD"]) || + (type == operator["FDD"])) type = operator["_D"]; + else if ((type == operator["FT"]) || + (type == operator["FTD"])) type = operator["_T"]; + else if (type == operator["LD"] && eqnType) type = "_D"; + + var tmpStr = updateName(retSigName(signal), type); + tmpStr += spcStr + operator["EQUAL_COLON"]; + var idx = retSigIndex(signal); + if (eqnType && sigNegs[idx] == "ON") tmpStr += operator["NOT"] + operator["OPEN_NEGATE"]; + str = tmpStr + str; + if (eqnType && sigNegs[idx] == "ON") str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + + return str; +} + +function retClk(signal) { + var str = ""; + + if (clk[signal]) { + if (clk[signal].length == 1) { + var pterm = retPterm(clk[signal][0]); + if (clkNegs[signal]) { + str += operator["NOT"]; + if (!isOneLiteral(pterm)) str += operator["OPEN_NEGATE"]; + } + str += pterm; + if (clkNegs[signal] && !isOneLiteral(pterm)) str += operator["CLOSE_NEGATE"]; + } + else { + if (clkNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + var currImp = ""; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(clk[signal][i]); + } + if (clkNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (gblclk[signal]) { + if (gblclk[signal].length == 1) { + var pterm = retPterm(gblclk[signal][0]); + if (clkNegs[signal]) { + str += operator["NOT"]; + if (!isOneLiteral(pterm)) str += operator["OPEN_NEGATE"]; + } + str += pterm; + if (clkNegs[signal] && !isOneLiteral(pterm)) str += operator["CLOSE_NEGATE"]; + } + else { + if (clkNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gblclk[signal][i]); + } + if (clkNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"] + tabStr + cClrS + + operator["COMMENT"] + spcStr + operator["GCK_COM"] + cClrE; + } + } + else if (eqnType) str += operator["B0"]; + + return str; +} + +function retRst(signal) { + var str = ""; + + if (rst[signal]) { + if (rst[signal].length == 1) { + var currImp; + if (!eqnType && rstimp[signal] && (rstimp[signal][0] == "1")) { + if ((currImp != retFBMC(rst[signal][i])) && + (rst[signal][i].indexOf("FB") == 0)) { + currImp = retFBMC(rst[signal][0]); + str += nlStr + operator["IMPORT"] + currImp; + } + } + if (rstNegs[signal]) str += operator["NOT"]; + str += retPterm(rst[signal][0]); + } + else { + var currImp = ""; + if (rstNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(rst[signal][i]); + } + if (rstNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (gblrst[signal]) { + if (gblrst[signal].length == 1) { + if (rstNegs[signal]) str += operator["NOT"]; + str += retPterm(gblrst[signal][0]); + } + else { + if (rstNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gblrst[signal][i]); + } + if (rstNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"] + tabStr + cClrS + + operator["COMMENT"] + spcStr + operator["GSR_COM"] + cClrE; + } + } + else if (eqnType) str += operator["B0"]; + + return str; +} + +function retSet(signal) { + var str = ""; + + if (set[signal]) { + if (set[signal].length == 1) { + var currImp = ""; + if (!eqnType && setimp[signal] && (setimp[signal][0] == "1")) { + if ((currImp != retFBMC(set[signal][i])) && + (set[signal][i].indexOf("FB") == 0)) { + currImp = retFBMC(set[signal][0]); + str += nlStr + operator["IMPORT"] + currImp; + } + } + if (setNegs[signal]) str += operator["NOT"]; + str += retPterm(set[signal][0]); + } + else { + var currImp = ""; + if (setNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(set[signal][i]); + } + if (setNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (gblset[signal]) { + if (gblset[signal].length == 1) { + if (setNegs[signal]) str += operator["NOT"]; + str += retPterm(gblset[signal][0]); + } + else { + if (setNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gblset[signal][i]); + } + if (setNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"] + tabStr + cClrS + + operator["COMMENT"] + spcStr + operator["GSR_COM"] + cClrE; + } + } + else if (eqnType) str += operator["B0"]; + + return str; +} + +function retCE(signal) { + var str = ""; + + if (ce[signal]) { + if (ce[signal].length == 1) { + var currImp = ""; + if (!eqnType && ceimp[signal] && (ceimp[signal][0] == "1")) { + if ((currImp != retFBMC(ce[signal][i])) && + (ce[signal][i].indexOf("FB") == 0)) { + currImp = retFBMC(ce[signal][0]); + str += nlStr + operator["IMPORT"] + currImp; + } + } + if (ceNegs[signal]) str += operator["NOT"]; + str += retPterm(ce[signal][0]); + } + else { + var currImp = ""; + if (ceNegs[signal]) str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(ce[signal][i]); + } + if (ceNegs[signal]) str += operator["CLOSE_NEGATE"]; + str += operator["ENDLN"]; + } + } + else if (eqnType) str += operator["B1"]; + + return str; +} + +function retTrst(signal) { + var str = ""; + if (trst[signal]) { + if (trstNegs[signal]) + str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(trst[signal][i]); + } + if (trstNegs[signal]) str += operator["CLOSE_NEGATE"]; + } + else if (gbltrst[signal]) { + if (trstNegs[signal]) + str += operator["NOT"] + operator["OPEN_NEGATE"]; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(gbltrst[signal][i]); + } + if (trstNegs[signal]) str += operator["CLOSE_NEGATE"]; + } + + str += operator["ENDLN"]; + return str; +} + +function retEqn(signal) { + var str = inregStr = ""; + var iStr = qStr = ""; + var dStr = dEqn = ""; + var cStr = cEqn = ""; + var clrStr = clrEqn = ""; + var preStr = preEqn = ""; + var ceStr = ceEqn = ""; + var oeStr = oeEqn = ""; + var sigName = retSigName(signal); + + var type = retSigType(retSigIndex(signal)); + + if (gbltrst[signal] || trst[signal]) iStr = operator["_I"]; + if (eqnType) qStr = updateName(sigName, iStr); + + if (inreg[signal]) { + if (!eqnType) + inregStr = operator["COMMENT"] + " Direct Input Register" + nlStr; + dStr = retSigName(inreg[signal][0]); + } + else dStr = retD1D2(signal); + if (eqnType && !dOneLit) { + dEqn = dStr; + dStr = dStr.substring(0,dStr.indexOf(operator["EQUAL_COLON"])); + } + else if (!eqnType) { + if (!dOneLit) dStr = dStr.substring(dStr.indexOf(operator["EQUAL_COLON"])+2); + if (sigNegs[retSigIndex(signal)] == "ON") dEqn += operator["NOT"]; + dEqn += sigName; + if ((type == operator["FT"]) || + (type == operator["FTD"])) dEqn += operator["_T"]; + else if ((type == operator["FD"]) || + (type == operator["FTD"])|| + (type == operator["LD"])) dEqn += operator["_D"]; + dEqn += " "; + if ((type != operator["Q"]) && (type != operator["LD"])) + dEqn += operator["EQUAL_COLON"]; + else dEqn += operator["EQUAL"]; + dEqn += dStr; + if (dOneLit) { + dEqn += operator["ENDLN"]; + if (iostyle[signal] && iostyle[signal].indexOf("OD")) + dEqn += tabStr + operator["COMMENT"] + " " + operator["OD_COM"]; + } + } + + cStr = retClk(signal); + if (eqnType && !isOneLiteral(cStr)){ + cEqn = cStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + cEqn += operator["ENDLN"]; + cStr = updateName(sigName, operator["_C"]); + } + else if (!eqnType && cStr) { + cEqn += cStr; + cStr = tabStr + sigName; + if (type == operator["LD"]) cStr += operator["_LH"]; + else if (type == operator["FDD"]) cStr += operator["_DEC"]; + else cStr += operator["_C"]; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + cEqn += operator["ENDLN"]; + if (gblclk[signal]) cEqn += tabStr + operator["COMMENT"] + " " + operator["GCK_COM"]; + } + + clrStr = retRst(signal); + if (eqnType && !isOneLiteral(clrStr)){ + clrEqn = clrStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + clrEqn += operator["ENDLN"]; + clrStr = updateName(sigName, operator["_CLR"]); + } + else if (!eqnType && clrStr) { + clrEqn += clrStr; + clrStr = tabStr + sigName + operator["_CLR"]; + if (clrEqn.indexOf(operator["ENDLN"]) == -1) + clrEqn += operator["ENDLN"]; + if (gblrst[signal]) clrEqn += tabStr + operator["COMMENT"] + " " + operator["GSR_COM"]; + } + + preStr = retSet(signal); + if (eqnType && !isOneLiteral(preStr)){ + preEqn = preStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + preEqn += operator["ENDLN"]; + preStr = updateName(sigName, operator["_PRE"]); + } + else if (!eqnType && preStr) { + preEqn += preStr; + preStr = tabStr + sigName + operator["_PRE"]; + if (preEqn.indexOf(operator["ENDLN"]) == -1) + preEqn += operator["ENDLN"]; + if (gblset[signal]) preEqn += tabStr + operator["COMMENT"] + " " + operator["GSR_COM"]; + } + + if (!is9500()) { + ceStr = retCE(signal); + if (eqnType && !isOneLiteral(ceStr)){ + ceEqn = ceStr; + if (cEqn.indexOf(operator["ENDLN"]) == -1) + ceEqn += operator["ENDLN"]; + ceStr = updateName(sigName, operator["_CE"]); + } + else if (!eqnType && ceStr) { + ceEqn += ceStr; + ceStr = tabStr + sigName + operator["_CE"]; + if (ceEqn.indexOf(operator["ENDLN"]) == -1) + ceEqn += operator["ENDLN"]; + } + } + + if (eqnType && gbltrst[signal]) oeEqn = retTrst(signal); + else if (!eqnType && (trst[signal] || gbltrst[signal])) oeEqn = retTrst(signal); + + var newline = false; + if ((type == "") && (clrStr == "")) { + str += operator["ASSIGN"] + qStr + " " + operator["EQUAL"]; + if (dOneLit) str += dStr; + else str += dEqn.substring(dEqn.indexOf(operator["EQUAL"])+2); + if (oeEqn != "") { + var oeStr = updateName(sigName, operator["_OE"]); + if (eqnType == 1) { + str += nlStr + sigName + operator["OE_START"] + qStr + operator["OE_WHEN"] + oeStr + + operator["OE_EQUAL"] + operator["B1"] + operator["OE_ELSE"] + + operator["OE_EQUAL"] + operator["BZ"] + operator["ENDLN"]; + } + else if (eqnType == 2) { + str += nlStr + operator["ASSIGN"] + sigName + operator["OE_START"] + + oeStr + operator["OE_WHEN"] + qStr + + operator["OE_ELSE"] + operator["BZ"] + operator["ENDLN"]; + } + str += nlStr + operator["ASSIGN"] + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + } + else { + if (eqnType == 1) { + str += type + "_" + removePar(retSigName(signal)) + + ": " + type + " " + operator["START_EQN"] + + qStr + ", " + dStr + ", " + cStr + ", " + + clrStr + ", " + preStr; + if (!is9500() && (type != operator["LD"])) str += ", " + ceStr; + str += operator["END_EQN"] + operator["ENDLN"]; + newline = true; + } + else if (eqnType == 2) { + str += type + " " + + type + "_" + removePar(retSigName(signal)) + + operator["START_EQN"] + + qStr + ", " + dStr + ", " + cStr + ", " + + clrStr + ", " + preStr; + if (!is9500() && (type != operator["LD"])) str += ", " + ceStr; + str += operator["END_EQN"] + operator["ENDLN"]; + newline = true; + } + + if (dEqn != "") { + if (newline) str += nlStr; + if (inregStr) str += inregStr; + str += operator["ASSIGN"] + dEqn; + } + + if (cEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + cStr + " " + operator["EQUAL"] + " " + cEqn; + } + + if (clrEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + clrStr + " " + operator["EQUAL"] + " " + clrEqn; + } + + + if (preEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + preStr + " " + operator["EQUAL"] + " " + preEqn; + } + + if (ceEqn != "") { + if (newline || !eqnType) str += nlStr; + str += operator["ASSIGN"] + ceStr + " " + operator["EQUAL"] + " " + ceEqn; + } + + if (oeEqn != "") { + if (eqnType == 1) { + // var oeStr = updateName(sigName, operator["_OE"]); + var oeStr = sigName; + str += nlStr + sigName + operator["OE_START"] + qStr + operator["OE_WHEN"] + oeStr + + operator["OE_EQUAL"] + operator["B1"] + operator["OE_ELSE"] + + operator["OE_EQUAL"] + operator["BZ"] + operator["ENDLN"]; + // str += nlStr + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + else if (eqnType == 2) { + // var oeStr = updateName(sigName, operator["_OE"]); + var oeStr = sigName; + str += nlStr + operator["ASSIGN"] + sigName + operator["OE_START"] + oeStr + operator["OE_WHEN"] + qStr + + operator["OE_ELSE"] + operator["BZ"] + operator["ENDLN"]; + // str += nlStr + operator["ASSIGN"] + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + else { + var oeStr = sigName + operator["_OE"]; + if (gbltrst[signal]) + oeEqn += tabStr + operator["COMMENT"] + " " + operator["GTS_COM"]; + str += nlStr + tabStr + oeStr + " " + operator["EQUAL"] + " " + oeEqn; + } + } + } + + if (iostyle[signal] && iostyle[signal].indexOf("OD")) { + if (str.indexOf("//") == -1) + str += tabStr + operator["COMMENT"] + " " + operator["OD_COM"]; + } + + return str; +} + +function retFamily() { + var family = "xc9500"; + if (device.indexOf("XC2C") != -1) { + if (device.indexOf("S") != -1) family = "cr2s"; + else family = "xbr"; + } + else if (device.indexOf("XCR3") != -1) family = "xpla3"; + else { + if (device.indexOf("XL") != -1) family = "xc9500xl"; + if (device.indexOf("XV") != -1) family = "xc9500xv"; + } + + return family; +} + +function retDesign() { return design; } + +function getPterm(pt, type) { + if (type) return type + " = " + retPterm(pt); + return "PT" + pt.substring(pt.indexOf('_')+1,pt.length) + " = " + retPterm(pt); +} + +function getPRLDName(prld) { + if (eqnType != 0) return prld; + else if (prld == "VCC") return "S"; + return "R"; +} + +function retFbnand(signal) { + var str = operator["COMMENT"] + spcStr + "Foldback NAND"; + str += nlStr + retSigName(signal) + spcStr + operator["EQUAL"] + spcStr; + for (i=0; i0) str += nlTabStr + operator["OR"] + spcStr; + str += retPterm(fbnand[signal][i]); + } + + return str; +} + +function getEqn(signal) { return retEqn(signal); } + +function retUimPterm(pt) { + var str = ""; + if (!uimPterms[pt]) return pt; + for (p=0; p0) str += spcStr + operator["AND"] + spcStr; + var sig = uimPterms[pt][p]; + if (sig.indexOf("/") != -1) sig = sig.substring(1, sig.length); + + str += retSigName(sig); + } + return str; +} + +function retUimEqn(signal) { + var str = operator["COMMENT"] + spcStr + "FC Node" + nlStr; + var neg = 0; + if (uimSigNegs[s] == "ON") str += operator["NOT"]; + str += retSigName(signal) + spcStr + operator["EQUAL"]; + str += retUimPterm(signal) + ";"; + + return str; +} + +function retLegend(url) { + var str = ""; + if (!eqnType && !isXC95()) { + str = "Legend: " + "<" + "signame" + ">" + ".COMB = combinational node mapped to "; + str += "the same physical macrocell as the FastInput \"signal\" (not logically related)"; + } + else if (eqnType) { + str = "Register Legend:"; + if (is9500()) { + str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE);"; + str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE);"; + str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);"; + } + else if (retFamily() == "xbr") { + str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FDDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FTDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);"; + } + else { + str += nlTabStr + "FDCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "FTCPE (Q,D,C,CLR,PRE,CE);"; + str += nlTabStr + "LDCP (Q,D,G,CLR,PRE);"; + } + } + return str; +} + diff --git a/cpld/XC95144XL/MXSE_html/fit/equations.gif b/cpld/XC95144XL/MXSE_html/fit/equations.gif new file mode 100644 index 0000000000000000000000000000000000000000..d81602dcda8c50e3a304aa4aa7320bba1e499b88 GIT binary patch literal 20290 zcmX7OWl$T=*L8{&cef(J-Mz&jxCM775Q-OXakn7FT>}&kQlPlILvSlzC@lpld4B)* z-48oEvomMzJ?Gq+y*pP8q$(+4*9jIxa5hx%M07Qa;NH`FQ`Y$>l3JgTSfhg4f!UTXp05}MM0s#RaAQ%LM zgMcUy2mk_sK_EB?gaSbUASf6Fg@d3d5DWlRQb zL!l@r3;>0Jp)fcUhJqphPy`r?fI|@|C=vigf}uz_6p8weMJNgkMZuvc)c@!NfMEbQ z41j_G0WcsK286?aC>RI;1A$>6I1Ge>K>;u*7zTyIpePs&0E2;HFgOf`f*}Af1Q>>Z z!w@JK5&%PjVMsU(iTY0&7zzwS!C@%W|0Du{5db&>fI0`1PFitfe|1$ z0)#?90SG7<0fi%=CI1-8a&p}8O7>R--QK?a`;QzVmKQp0#U=$Gk zp9B6A9t8rUK=A+6`cEVj6pVtx|6}$)LQya<3I_j=h5wRAA;2gE{J)6*3lxO}qmc0b z(EkHPp};5<{J#_P6UP6m0p9=Z|Emdk?Eh|Y=+&D`2cxjbxGg7|%ZB5KSv4Fv>$FFc zC`IhIYg<^y(-@T^>A6~glbP&><(74=PAb^|ezaV!%wsJ8^V=S+x=d%aBI>YKj09iT zxgu3H4fFg~ZJ>m-2%?)S;ld~%oMfG1M&hcKCc+ncod~X6{X{#Sy2HK3v085I9qg}Z z=xI?Ih?MldZx`76Lcwb0*z==mHzih#|0E<=U~HJ13NPRYCTKmvAZPwB{?W;xGG<5p zyC$um(?lGeQorfrogpaMj>ozCogXl(Ucklq+U(+Vr~mzX_H(1R1z)Ou?=oI*c)8b& znY_FSq!<&1xYJNkj|$ha_C6il*B-L|vhwZ2uW!hKH?M7$LSNh+2oyEF z3a@uV|@{yK0e6)MXK)LNyt-)K5B$>+9AufBh|?e2i+e2``ItOQYyszbp3ZpFQ1IFK?u(q`OVXA$fmGaJvTxWvgR= zeP;uehwApJRc4`C=T)j;NSirkp>J@MhFkV?RKv{kpG+ab2&}T`g|dmALhOeQXCUq2 z=0V&`${L3FQ7NYaXBM=ajAGh=c#iTQ7L)A+EZV%X3UGhit8jGsDdx9YFM`dZHDa(V ziu^pkIt1WBa5g?}rf8JAwRWIrS)4?r%@-q|`P56YoB2%h(;0=;s>?FBwn!Wn89H|( z=3n%%Cx#II$~U6n?{Tb(Bw!kF%_+t?egC)<%azN|PUD=X@%(iq;?%j@F_Z=?x30$} zc5=OPnT68PF24WqJhF%nVIABem&*+oR{eeGD%Y><9?A5H^Du##J@hsyjXRWXAc7m0 z#ew5BW^)ULTHHk|kPz3^kM>`#K*uFNO`TTADERIKI6sB*m;6!katV7B~-<5gNp52d{vUcHuyNcN0 zccpa#+S5DMEcv0^ljW-@YVk3?D3^djC8|6I*w;BKr+kyhgikry)$Y{`Hk5UHi*v1s zH_-2Ey=}*K#UO{(%%VTc>Y|%qpg*Pslu3WOl=-%muR?zI zS@z)E*jf-R|6qH!_p_*89J+ zGgyAUk(uT5VwGihiE?v-{dr2UY}71)ACvJfrt2!5YUIC|trQJZ;1kn^m{eiN*YlC+ zhroRf=LFg4Vzn=f|Dmm6(U^WAM2x70+^^b&PsqzMkH2To%%Fcmor|UK;y}jBxc{e} z<$Q87t*zep`&Ji6!%uI&gzqMFG)CMD^g<$v1LjJM4WYZA0pA>fzns zcgn)8){}`aGCzuQ0}3^>yZTgq+DT%%N(Qxu>p0w`&3FydUKJ-b!(fAjL@joq0V`tX zS!GrbuX+y-p@<3-M!_DF6aDXuk#J>ttFeAy^8tHSRX~ukx-i_8Z@uObyA1I>h~-#^gX>COr3}jnnIS^Y-5~ z1PZkJo+#LlRG;i)?7tIWHQF96?Vd<<<`FaBi4x8oW>+?D^=Tg{QF-`W)3OuwMaGdr z>;l!tMc`6k$mKvT*VR{Skh22JRmW{IefQa4km#Pn@l%g;_~)>)tu%Z5V&L5bOzE z=0QRJ$Xt96>S#TFCBbTWs{i(#EK}-9^0K7FBv5KLOfmfdXR_P&4cGe>HQHs>+bqYfeD^bk& zBH#lPNlAkIP3o^c*643Ui&(2Io_LM!R&?OJ=RMEduUCJ}HZesX|7Gnoy$n)4M$~7= zR}VjsR%=A{6*|(jd-_97|Nf}e?Yl6U53Z;CcYzQLJ4bnI1tK(ha-ECs{*4ScfghWF z>7U+i6?<-fTS%RkA^(nw%&r0exY3e8>Gsyy4kmlg8#P-Vo2>c4>tRoG(R`>AI~+5* z$(Hi6GPXnyHHix*E4{fCYw>IHTWoMmg|QsG?$GkQ@j|;JBLZ_U3MV4wbzsbpBdv(IK@UZ=K%6$KA1xm`FI{X15zvFG))QBfdhhO? z#Uru#8L_`)Twrcoa93RDMqKz~9KN014cJUNJ~kHHs&+VR5i=$^+wL36I9@$B6j$Bz z%NnPny33(6xx$L-2K)OyR#!s`;W6|?sYlA$sj zku0g;Js9s6)=u_iI3YmPW-nTP<-q=xOUS#5_&gH2R`vw2v(T%h#3@qNSS%q?SJ~%f ztINY=r9tOh)gau=pmYhlmMA@LpS1FL>H%e!J);n&xFozN=I~X^S>t$;2X07B;ytpkqxQgGD!lCR0t{|xdolKu4|;cEpt;j@&{}jSw#DnbsK56XpJVw! zM{*L`DwbI~_wBDU(Z%GH1kMcJ+)1Qo9KH{AOdudn9nW^^$+a0@4dUli0AvXiNGKe} zN;Dd4OLAzfqM1ni^6@tc@Gt>)C|KQ7XA7FLd^C>0wKx6P?eWFejKyD#E63%wlKvaQ z5XWA$Jc{2i%cSDFa^82UW3sG5vR80>u6Gn-=f{#-7}AD6xD4!7y}&l^#~&HrIj{!^ z1S)6EBeb4JGkJZiJWOl~%6+?(0+hK}okufXX4ByK1$0`BT0*rTul@(^2MuD z-4GuB(V4A5hW9>(#Y!dVnLP2V)VZXp7vHsFGZnXBa^m+O^n8A8M^XB=NG~q=M(3z| zNeL5i$!}|FFtuE$8YiPac!J|ybMS$4{|rh4IB{{Aydpj|(wKztnJIu*!5<>?6|yx&V=eK}HO7og{|Hw*uUUs0 zatt|V`D>W0OEK}WiT1h`7-w<8K5$89zV6@x$VKs3A4@utYf4q|ONm*1CslCF(h(AZ3&{);4gk8~UbvdaG}vkQT~Zitf%y2v4cf8Ze|AW9aa83TcOZ7qN?f$@ z`^tV@6;;_%mx0K|Yoqr}G>N}2uy5t**c5sFY$ib=b;HV~34Be~UU2s;sS06RGnCMa zGIXGnKYhr&i&lhHXDpBA}SZ;%ipJEiXL7kDW8>@V(a5eLyl?6(~ zzROYZsU!5438Z(B;d&{*GY_QkU-Q!TX{`;!bfj}?Z>YYa12Hp9KBB%qGxjc*c;~BX zb6-v!z`@m$n;D#ozTqHqs`Lvi!@J@;TFGlwq0h@=OdcyARIUMOYuWsz#6?suzfq?fcK^ioCw<%^P*BihYiE!S^Gr{1xlyjQkm=`M*5xcJ!$7z zuPOP)+WnXuX}Fy&StUL(IM_y0RI7`{wkw&XqBYzan-8!>h|#kmvAV!)ci z{>q<+bVD_$yQhGTT$ZaLB^mXg-bl%Ygi=W|iJ3!9_4CvUW24^eFfohaGsRu3yXIjGD18QNOL#rGoZMBuJgW z>1c?iSccip=1i=Ef7OLXaXgXFfjJxXf5+`RIveyM6RkjlR~Hr>lcv{L2-9UFp7o$Y z*Irjni_6KO^^Yc^WyJUEwAZ70R7Zf1HpHAZV8r<5>H%$A;Pn}uGNidZu!rB1q$BCL9H@{h3) z&If?;7k75$33t7=mAt^v_^w#({;L$uTIrfVF{(!`K^#wF)bEZ2mBsXnnxir2>Ifk` zynL*+BAe;nGHjE#AB!twM61pmkt6Yzlu(Y~ygu4x+sp9J8n!E;JzbTK_^t9nxt2xe zV+LJAvFeT@<)QYL6^hrhMX~BW_ND_f1F#NNwmX5!Q2Qvm01k}wwyx+p<(m2qd0Y=y z9y?#Z;s|!kK`@lteK_anTEn%8PiNva{uF@ZwvKMb2M2yuIpM%~o$AgA`6A z$v>$mO}w|TKW_c}$ov%WHf%8&`?`$xjDxdFf~&sV2qiOlG!>F!B#YecX6m!i;>1A_ z%f%NtWnfCQR`Se`4%0h)4QyTI3Aqvi>SsJ zri3X^1a9QKv^L}E`iIAr{W6`|qUdTzoOhYw9dI2J zglp908CTHUH`k$F8SiTPNaoeNC_f)Fbp-VM;sU68AN3ZRv#nfs%+v3tA3}W2$HR(g z)>17$6dvkcU+)J#hGKR&emP7>eWo3<6eJCumqD=?yZUz*GIMukQ-7q~W5kX$d5oaj z*w>FsX_bsJAKkm;)Am)2h!NdEf?ZGsM+lX=9GBDR)YAadx!;Ag+0uNI!lM3#P<4}e z?pfhH_e0xIXZYQCXg_^8;ohHzi0^;DzW0n_jVD{cKW=m=clsxGZxSogXS6cAn#_Je zLc#poo+N+wYVP0F0^{}4>+AQ}rzw>4l<2#sVe@{QWj;YL!T1%DF8X&OryghB)3cCa zcL;m(^C1*+_){d5x^PRpsaV`8u2B!hbSezrPGv*Gr%f50PFkJ5SqEYbtbd`DuE zMDt7cPr#QJ;V`)zI~teULG5Ifj49Lelt^t^hhNd7Zl<20th=->@p49y;3cU3TU#=3 z;E-1^npdZU*W`$VkWF$q1Lp{~Y|F3@`ZyI`+)s7S<0)#dFM(cv#qWc(Xa68q4Q?iS z*O{cf1%D$_WYZ{SYsm<|a5{YXWgZdh;N2_}kNkq|)$=#hLZ2alh-LEZ?O)Z0@md&^ zohDA!J)=0Yf!%65pRP*ZIGDCEa_X<}X7TAH)OA!cZE zxncp817T5+X#HUZx4urOd9Du%nawBXQpqLavN0w>^+hGITX-MNlVFcEBUxwAtiu(2 zRVO82npNcz0=-;f+T7}2md3ESF`IN*9h7ca$ zjce<)*t%pQRPI@{QdbTnd)y@VFctDv`R=TK>;$9BppnE0)`5&6~v0K9aw&y5d`=`hU39GG@b| z0)w?Z+C1ZW<{;p!agPNQE1=GiYyyuU@r+ZTGlZ|Dw){|wsbWauR;GA^yRK~uW_Veh z#v97VKV<8UEAf)fU3;)BoLfXcd+hi|peU!(b^3APJC8P)WJhBlP4XC~6ruj3K}N73 zIbqZ^d*!C4t&|tPOs(eVau$n2DOF?~f5uZ!_9ffI%8S?dM65(Am1*YuJHr86#al^h z{hpoLcm2QV4bpm_noo$oP#hU9%KnB7w+Lv7#3Y-JhDy(@)#Ow*>KmvOHrWzJ_^^%) zO_7xXiFk0+-o*1{Q6EyegnhJpv9d(5licD|dlaZpZET;x+ncQ5Fv<-bQ^~V3l2nKp zXv?mwJYPx*B~8Eoqd#x%dWe!2M$D^NxLypS^vU>*GEP_H*#&P^oTZB^LX=e?_vJT zzCF)`{pbNV%Ms>jPAXuTnZ(!orzuZiD(hO5xZX2#9>%!nbM2M9`DrQZKAKp+uGI70 zbdAB|yBbH_QRmnoL|9O)d>7XKIQGdY+cS9jYPaIZ>xiGzO--Wx>P5-%g-lC0KH1tc zWo1r$v;w~w3g28&r9dO&++78PSKvp^bz0aYX@dsS>3N{MzU6b(yw5kbf8fS-=5oS$ zDtS9*kpK5DCnrRK%!Ai2*OxEa*hy34*8~PxT`d;(w3KdWzTc|?qiO|l^R)xUSf#d~ zX)GwR#Aw@>BsOf9!IDz5wG)mx{^x3bL^wr3s@282EiWxt(ak;_uJU`(yiH+Yt@zgN zRNSMV1_sy@?_*FEy?2`zS5nd`&s9-+uH}43?M@xqWBMuir<0~QiFHyetCWuuo(io9 z_9^YSq$$pTr+aIK>IYxB7sez`LJF@`A1ZTJM^qqxShPQm!7|2bQ}rgdSYkGE$|gpZ z+}v4bi754}#^FK<>T$!?>y~FsAeu$%zVBKWC@dWMFF2RdnA`gQ)N3`0oyJ}56!(pY z!Rg#E0reyWZ1K9>xsm93DQCRd$sb~~-9iMOws6Z+Nzt*H*~M5!gTlaF>OK{5Po|uL zc=nb~;wWvOREGrW&y4J!hBGqNr?-zNyQAn_4K&;YG|xhfVI`EKmvU&2U*9NHGiL>8 zS$Jk`46mV$8^+YdzJ%`5yf9zL_DRZVN&X6m5}n1mI4!cZFG!PD5-S|6W>@y9xzniV zFN+b8f;-^NC+5xwQUFWaml7PbB1@%WVj$y@WLP9eIt#oS()|!JU#!4I2aT6m*A`@& zg6#{JwHnftBS>9%4b>M*u0jm`Nr{pTRBLZyn)as_8rOVvQHGZ3phJ)jT-CXeTtU#F z{|C*A-lj=o;3xB7P3qpQ%8gI26C))@ORND#Y-byqARG^{bRL%3=ozFklZ+);bVQOG z$0XAhWA|ub_*JweDJO}c5siMZ;7`3y6{svVhZ*|(xhXXE&9sH1`zixaRV)5`%W$}NC?>89RZdL9j>!jMqn>kuxn)&7xo7FEcu^|C` zR;F(tZ6VCioSw!dtBF?nwb}GghbZJ8hB(bcLw^MI{zE=S;gUY`i|L~ z=+*=#{X>{TOBhH58v!o&^eY%c+2@+7w&e>UgShn0J8W=urZ3~aH*b$>Wb#%e23<=u zT|D2HV*1xNYz6XDG}a40L>%`J##%pMYfWnCc)QS&u2O!+{GbvO2 zlLjhhRnc)5Dsx?_XGp!opW*y z8!2>uD3bQtKE`h-7@0Xol@-XJjYMADJYi93GvSh%RYcQDixsSI_B=Gfm9#KSo)FeM zBx1f1Ug#2R)8GE&n!R46g?m~duYqlBUYFpvsw|DvyWi__afu-mIsEgE>8k;2_(_w$ zjCXnq!iWFVnWY9TmRg>o{5U_6SR0=e&JC($nVrsOqms-{`8QiKZicxvq=VOCPFqtT_xsv2_L!5CES;j4` zW;FarjZG;hZN5o;mUQbO@dwDU0!Q;aRWD1{LSZ(-Slh?pYo{YjAJN^sr_0`|Uts#N z!(eRPL9A3nS8;S)P_C8WU(o#b<2REqXX%izY&18h=whMBHK%fUe~1cIEel9OT=A=- z)tPoM7en;mQ)#)yiSAgbYl(DBP-*>kmry|s2d}m-8yC$U&)d}3%tvq45hLEIXwAp3 z-puZ4GXB6-2}wyuC9w{RAodg_8j^oe^f`MG>hh~C9jw~dmHY1PiQ|3zQSpf`^ zmGRys*NB1ILMk_0>1;VB8~NHyo6Q7^oA>CP>amla=UYbN1+v4yczN?}#@oOqH#L_- z0?FSy!jM~iIrg4Xocd-F1tEN{;9mU*nO#odCktY+J5F{kj;PCSQv+6or<_sWo=d#K z@!td^Jtc}4+_YS>q>DUmcXBzkpO0J-%Nf$UGF^WMCD(lN+az(;GD_w(VC(2)=b3Ui zb*w@!UTMIIazp6H@^YeKO;wwux*9}JuZ5LEGf=|7GlJc%UZ5po`Y~r`fV<#M=xgS$f^O|^3B<*`aU4$8x?;&lXKDmdWZY#x%k)gKFeWt;5M&ZaJ3666)u9J(=+hA%x9#3Oj)vpv(dM zbA`W`bn(QQ{M|CYniWmC6!$GypC%Q%7ZqzJ;kHJ3E|64Xv$Bj2>?4c)-TUoDOw6Fn z46tKSa9xK?JrO8a>ftvI`CXsyi?Uukg#F=oy?hThOOGo&^QCul|08a;8O6Zuu!V){ zc}Slv3Lm~}D<|($rGBsK0z-CzjumsO?2 zyGp;3;1dh^AQK1kaQ=&|bow$J=att~1!eAutsXq_-`z;r>%Qzj#@l+R%zFFtlLavx z24(N^M&T+Y+pG(b4ewZ$%8rwLkB0o*83=@1b?wxNdIuQE;o{6Pu39qD-Bs@O+*@vw z^o}F`R~j5F0_d{%=(PWRrc_;Qq%P~zA|K0&&SW}`R02cEzUFFHwJ>B%r>3-}GT{n&WsKvPWouLOIPhbeqItHPyO9514k5e%QOLHum3c2 z2+J;7%Ddu;ShK*~3o3(zrOZ5JK?&N`-Tjq@Ra@s%Lr7fp8CY@j7ssoDQu>Ki`IJ>o znZAiCm(S#5h2$>0We%5Rz8d(37>(cjGH(kLXK3}R@Jq9gQ_3{v zk8Y)#&n15Z&68+U&snOqHz&p(Gdc(}%i`*k0qG9?_=x`w!GLqg_A~9id>VBNU#3ag zTYLKH6`(8g7Rz%lNA*yFIIfyJqm>2Yq`E&ESTE}5V#s-$qO#ngiZ&I+`=n)SQT7JU zS6Wy4Ds>U6qBDzwZlk)aYG{1TMlU!JM>CpPS`yujCP-G=gm&HxK9IvKtJv_VENM;p zI655@f_1UCki#fiO~y){@Xu0_gZ}5uIWoEB00=MRNHMxMGZ(B?BtwmUds&pEP`F4g zD3ec~dFeGn?#sWGIo%^V+4>YigRiwla*d=_{f%@MS=D!RbTL;jl}iRf%QUqM)@GJ* z#8iygjBb+E8|-^WkKVXAsSKlo!PnBi@CZn8fvCa>`vZz$;@saex(cIU-7vkE%DgGu zIRj4xXZUknKw}>bwQsyE-^*LtER6pv63zky+pLaG(V8GwHZ0}SS~L4NN|>i9YQj^S zt^@<&<ln}XjxRK;xOUpy2kT}$^p$#R z|ILp90I7v+@r8Ywd9`fgx0`~E8#-W9t7V*`qWHq1>hUT4-d@Sc(77~=%;7cytig>g zSW1c$ehD8=H59!#CAtt3@Z!!4M2svPC27UPEmlEhV<5XQ0kL|><|2#FR%ERd@jVR4 zZh!PnZDebQMHQ1px)DQP2eP%X-~IdeNXRJYxji>%Wt0^;bZyr97diAZaSFUOHETJ) zW3ghMGsTBJr;RtqXR*S7UBb504@S-|#8-?&?fy*swO z?_zuRDtmW*m=+sY7G5^Lpf^Wf^Ez1+56O|+b;w5->2{6P})4NVm-}nGOWcmSM3#^Q8`5qfPd(5MQm50S4r1p zz5Jo;Z8?Y@JmNdEFdBb=iLj$4+@tffrLW$9-xXuAVP}Cd-TS$^|6UNLvYA3WR+^n` zkHBNbgdLLIl%8sLZy8dmnr)Zv+Y3A#y&>GQ;Cutj-+SLR6wbF?MXRKBIU=b&_1WB# zOL6x7Z)GvX^i-v}=nwnar^h-E$GWG-E?l#N%E|?Oqd-#miu6GU%NzN-EVK-T>TSJu zKQ>c2kpP9AX|bJ_MxM@L91DD$BLC5if@A8Sylv`Py$Vsk#`?m|(u&Ov)vOZ~IZm~I zeD}_F(}^W?_w>upldGg^<~xfVNj%_^dB?r2>rR$hP;2#%{4o|0&;M zsXzY56& zMFrXX(={B+&AJ$w!HE1qVDT2qEld173+p`X=4^w&0!y?jTC3>KGN;Uw$qP{_uQu3? z#~~}T3Uwr5@J(0c(GlO6J%8%{)U~}lmSZ@U$)Z-`n#HlU?BX}W<0)?CHaf%7vCNy+ zJi@uN>bXO{Pj*$RkeYuE9uMSl2Fn~gI_i^dIOWOt;EtOX5)q|kxLfRxw>UMoxSh9n0s3}DgGg|R_p zoV77i`sja%7Ee1O^Dp$2$>gl3iSdLetIsPKa>|&rBg7?WH7ACm$hiAD-j#nm#=c0x zpbx%~q0=Ib4M2U#TrPdvBZ?y5ytvAE)oG|*)az0(YD8E@Cej^o!nw3RAvHka_WxdU#oBe1YV>rvsX76J;8q0f=`m;fq;HS-Lg3K?nNh=rTlGj26K>3 z^J3?T{&-YE8HGKye|^xrHItQJKy30w`WNjV%IC8W<+tX2{#NPz7V8~2p>vN*k`^u6 zmZr$^p`F*=wN6bc7Mlc?UT={bCts6@p5|8_y1=1Q`QW48UN6Zl8|YuP#5s;lCPGAIr&xV?;4wTM!*(hozGKW0zbao4sr<{+V~9D zKl)UQN@`X%?n9V%%1Y5MemAkvX?Gx1V3D$T9{Q}B>993Vu9n;Ap+(cARg=WQ5RuBD z^v^Nb2QRYsnLNcLZ0b#&UwO;SZOi88NyoM3C%Bl{PuUZTBJ!?HhpCraFFkzRccvzn z2p=kqM)EsMI(jnY^5VXH zBrzT?IdxsiXc{3;xQDAjxsn9T2%c;Ts~XIuGl6F*-&aoNi$klE6P8cZ5_udVEls^x zW>PSq2z=Znd;L5a0op!E5;sr+xjwg*I;?CiTf(>X&(rexbQx0xzw&RlfhY8 zp^&Oe@^i7)}wFEeMBCQMmLVp<3ti)wTxt3Vv5}$+uQvox*KLP<=2hm zE{4y2qdB(M2hQ0MhodSvrZNm{JE5j6FZVsrc5~>>nTytnec5qNXrRm8E=4w+3ST$9 z(4p9+irlXQm!hehYA8G~@=YA93D1qojqcr6?9HM`#4X$w<+6x9*uI<4+vMiCq7H)U zBjR_!M&P(Y&l0O@D_y%7ioIy204iiews(7_2bg&!Cl##2ksB4#r%vT|8)jUT=o5o= z3?V%{U-zF?AkTB)nvE%jn3zq_g(U>}t>~0*`jdlC*iK!Ib*fYu!@2HU5B#ZO&vN6! ztrJgdxepyzHNxb`-J-Y&1TPy-HbGgjCVf(_kb}l5qXOOTCuYB>b1aI0*N}H^NfOWS zQ>ZFmLVkM!xce*2eGzx5fn{>+*hQV4i@|G9{1KcxL-<_R`8f!eCP43>7_V{04QH*Q z!SxC?+$5OIUbB6T8>bP2LQAj_4O{k71COV{tCNt3NuCZ*&7fXohma0+;3~{*Qqy`P z98zgVp985Xw(Nf7$hbBigEqE1Z$n4PSY-!BMc;n@Zy1sPY7BujkL%ZX0pVWA{~0m% z=|jEe48&=KL5fT(vG~enI$)RAYYvZSfp}i>m2As~kXm8$jIfEL_sZfHGAFi$tAHi> z>64U61|s7}?$jz`hTd1>;p3%84c{ZA8Ah?nvKbil^=t}BLdmetZn0Sq_3ybIS(JO9 z)S=%ZekFEZRS+(HQMYVvR=a*EZTsH5fL_Xm81v*gdOGjfOOf_&2x3wNI_len#ngdo6lkm@WqI|g?yu9 z3^SH^6_k=7S<^~sJ>&>{9i;oAPig8S?ONMk5Vo-{>+XHHl3?>eva1d2R{cI(MfwPv zNs#&C_LT@@Fmrs&k@V)xlndJx=NxNgo81f}`ye)DgS$(`#waH{G0tg&J=%mlE&8%kasA`jCQYt6!2|70bgG*-{GB7d#Q8Z3i>JH*Fw- zMeI?oaL1JBt%p zzb{uZ!euPvWqPLlXV*PP+FUnaJoLw6Gt(rvm9Syj+Ml)ti zk3+S}E&ovF!T^ojoZlAp#S&mOalO&Z&q^oi(%Ag^VQhxRntG7|MqTWO2L43k8`OO{ zx+~Yq#sB_ryzXOXcX<6x&0hrPUoK9?t;&Ve@)h`}WjW(v7+JagW_q>H*Q_%VZbP&~ zU7`y6@=roaes%KGj#~Y z%V26(GthtwqWQ^G#S|v_Mb5(@r9T9H{i* zzz_0g30yPf5C6j#!=Zk^I3jI^g&_U0rZ8CpGtB_@+uV5-l++egn&OtQwRFN;dNDThRSCnm2RF6C z=w0hNHZE&3yi)#fp=90l`EdBP(vOT~N0LMZQVB69z8$EId_!Kd5!?hwb{^a-)fIp+ zlq$oW37E`0o-91!!dA#Mrvh%=6jF!OrI;%idZa&3oa>=z4IGKcT2-$vBF)WdU4`u% z7PNh5^EP**SvnY`KmifG!RLz&N5e#9dNz5(0={?CDk@+B&$=h??3FF`f}n<+82#yB z*h-zsr8B=q0HJSt@@m~+0?Rknc>9me?8&8|MRX?jL9D9N89$YI#}`Y_7g?UW*kRv8tz`AMSC-6Da*m=aYJS-WE_;)xXI3;|Ofjjl*pobl(G^v@ zlum{x#90>ZIg&~<)6INH=)Zby$lhe05w)Qr8t77|L2QR>NLG{$e0APvmZFl}aa)khuzN=!8Uo_ohX4!noG(NNZB5B+^vy^Oh z(yg6ALz9Y;3U#G6E=^Y1WJs0GXB6HFY8h9#+qv3ai9MiA^d`--#8Z4>lP^!{j1$A7 zs{Y9>^WK2 zxEjdXE{J2vgcIM0{eX$J*{+(&fFrfIR!j~n-8b#{QTnhQOGJ=iDy7ph} zaMGInfTVc9-*hbCX(17`D+wqo9nDG=h{yquE)Q%|nD!zATMR`KOYBCrxZMiEa0=P% zFkg3M-0Upj->m!LENSI#_k}sGl$ADM91q81c;nb)MJRKO+VFUiskIVP7%%oWMhesZY+>o_gJ$Oz)lGl6sgm?fh&~l1 z@B&TNU~id|{&6M{(NdSDC!}gom3XO(h|h{8Ozj*K{);}r6}CU;WpOKJPd1yvj=;gO znlLdo>%lZntt>ER&oky%MK$f05>=0LhGFjhna^{?ysZu_GC9I= zu{A1vj3_}FseNwxnhI<7cCP{`zTR@>P^zXBv^z`At%0?4DvdIT*2>!_2;G#kvl^%s zCo0s+ncfwrmE@*z6XXOwoRnUT2a&J`k>H4dx7VTiubE+U4ORVRq{H6Y3zgeU*Cr-X z_!~d)$r){3^K1rrsb&CYA4oS>|8iI}q@9M&|tn#q~ty8xN#O5ft9S4M%C}%l6q!tRtT!-lG z(dQ~RM@Yxy6U+c51y|D4m#)jEHFiN-Wzts^%f#YIvr`7e-Xszd~ zARA{4$P`i<08Qs!I`NoVKf%F@H{Ui2J0e&D4&$m zOE1-0WpcJm_p*{Kc|uFNc&)e{t}`+?_l zlH84+%wYr@WnK45m5Ib)%3Spm-CwpCo3IoQjF(ueCCf&;^f75oT|AdSS0%HTJ)4g+no3@!`$*@ zcW;DY5+{p1Cl$A2DMV}uS8Q(eABpYOk>pt7cGdZloBEE`%KL$vE&UcI?BW}`F7X0pp{E~OcPyD4rS%g@dV;Wes+uY%>uqn|R}dQ7kK zb>75~qR_#Zy2PIO7iNK+1ijc9j4Vidy_DWC+$h%~yGYaBt{d;&LO1f*wy@kUvAgk) znn*bQE$1sDr>67lQRP#Iu@{YT3z=oTL`k*`dEROR(#BsiN?dJC8fq2deWVKZt2k zBgZYQn(uK^7fm6OJcnSbQXNqmDG_9QTb?cekHE7j76$n1vd11>OljRIzm^V*!C!}k z^-^xL{1d(29h(wQ*I7Mb8QGVVD`>r@DaFrRoEbfn`Zs!U#YXctx&Lm7qQ^;N%V}IY z8&%DuN)jTNN9-{wt|BZPSEXV?;eXwyZ*b%{JNtulr_~NR(lW$Q@wa>_bBQ|?Js)<33Al7=~KFAtlwP%T%ot}g(ej5S62HYccV40A;;IJ%Flc+R2`4@;kLQi!Qn-13jQ#VcblsH<7bVUG;$h+OR!=|8g-Q%pOZ}yBO2QQ85PEj8R zS|7bWij)Z}Os#ZXkk@~}Zj;jSs&5^4;**T|V|LNaq9wZZk6_mDr$ zZa~nqbb(+Rs|b3@t-^6R)+m3%92SG=U5g-9_dZTV3(ef@;F+?&I3@P^NT&~uWFnVg zLGfO|{Zjs=^pG;+>jm7|leJH4AU$Txk4&ZJ2WVI(9c(j;ftp*YTk)xfI&~ab$MFG- zV3FSpI=+xE6ke|99sG+-4!mqLft0HO#s=1;W??0+w=8nypTsNFUtQP|)8M#k{al*M zkrML?9k8WpySuwy8v2k|q^LI&dmMVh#TJ9HwSt_qj*FI0g@G}=$QRXC*HDCG3(B#C zrUA~$81o`;##K6e9k+I(v!mTXcsVOHaBbWI3aBs}k?jysbtQ`e;}80WwH~3Ilhh8e z;SJG`r{UV%=jKeeScm+dEs&v!E#G6J8&9!zz6Z=c5YZOfkE8`C+=w0QS3U>~(mD%i zR`h24klxvP=|rC6>6?~3bm<~aA(bJX16C>^3 z_SRB7tFOPki}F~8VlJggA14vvFPywpFql5!Pd!)Qh?V%Yqb~k}>jx?qjan0GnzuOz zViwUA+KZv#0v1`K@&8`{;|?70;2aMil(D0D2T3wF5la(_d%$7yO$BFe&vKeaqWsB& zn5ccWU%hsx5f+!uMiEGs8%MH~YftZ&LE8o?9SDh&m(%JG;!k)6nPiTYRRyEHn6#NR z3$MAcjJjwgqsN?}&#wF(mK6tmtVZa@C(w#{9eE2-O**SN`On7Q#2U)eEY7+h3bE_7 z36~x?Vrks{tNUU(9StWYsxO@{hP$>dy(n9+B3SNvCF_*QBc2K((pao|$AzFMai$w9 znlCqRCGCWtvZuJWKUMuDMi*kLa^8d}wK5knQS#9WiH1~_ z%hq{MpR?F23VC}Mj_^Rko)|KSm2ckfJtqAMG}EjnaAFhlc-Xre+N{=JcDo6p3t<|A_=0SCb0kVcKT}=*-XS~Pd0H@SnrL0yd$MXHrp8?-iCvbxUK`?~ zVW670!qsi#Sjhk8I`2u^{tgdjjW(a@gyAl>9xP*frnfIL)2`IRmr8PEWV`m5#`XMY z@Nl%US)_${@l-ar!j;M^eJYL&Pr`HZ)yj#hT9EnssJ3fhpISt<1BDL6!s_0}ha?-E^%Z&h; zlOuBzseaY5K8~fb^u<2gZ>&Zx2bpGK{y^;R!{5qBD&V?)^WXiK$abBC|MO1!&ZQJU zM3N+s;6O=j4jy#VW?@2vZ6ZN*I5CnNi*6)k)MzlGLWUJVx)I2bkqRT`j2W>_Pm2sOKGYdfQqYM;A#8w7>m6L0Bi_Jkts7K%m*&&q zlI=b$s~K(P#hf{s2F;wb&&0pw-!7W@v*OGD;_dk#Ij1Uu+Gsr*9>JE0H?3d7YvTI#F4!fFmKf*?%IwT&XvD5eAt z!vC+Po8Y5SzS9HLy>nGJfI*}qKb0jgPo^;B}uBblD zZMWZQlTJF_id0LiF6j#FBH@a2GE2WYLQ1R4axyc<6g+3HlwHw9{nQxpN?^d{n@`fH{g-O900^ya!QxmMNG@XGOw z1GOo+_G za~(}gya4?(v_$^ebIPL-ceEDCM;C69V{t>(5i^dg11?*ZbLY9Q34HsX9$9OS1(J z(T}~83^*WXRE_ILV@uh+W&dNDFvt2k)3T%y4w)?K@@g%{p4a?2I$M8IlwgN#8tv$w zEgCUcwuy{WuCNLxyQSDJw6W>{51ox*0G1_kpxkn!Lhi_5ZPGw|ccL zspl~LnA*~~?h?I|N(} zN^-}m)qOg0I}$aoaRCz%-sUwq(5(n4C;^_qKC&%oErnx>f)w%mCpK^;Wl^j%TjvZ{ zsoAZqbsD;lQ4E$E+AYjxHY?KWw6(7K+ypgh8r_COWE%X01xIs=OXU7zuhZ2ff(sOu ze8h9798NDj6Qm&T-e<4uk?mniLE-SeM?@g*YKRApq0Oj9A*f-Yld_kh|Hw%& zB`Fhp9tWgKVQW>SnHy?=H@YaDk6N(m;Sm!?EHk~YDqi%Gj7WtQ&i{SRkwUT_v#M2- zez|3NM)4w6z&J$l1#&KB^kNA&{yvo3+%j675%i!RMbNi1z|URI;n2&b|pl5Oyl5dpwA!TFnS^6Nug z0_SDG^vQi$tWBQGTV*PUnsI6-mQNy}wXoKuy1ghz03sDC&7wPB9%P(7`KFE@I6QE< z;h^Iw=CfdQGfkeTCX|z0B)%!h@kEkdCdy>Es<=L5Vbe9O*;*sjDT#w>q@dr#$Vvf_ z5tzob4HkrdRRG4&};!b#Ad;#93o&8bp_`qT9I6r4j{>OdNrQJg|G zraK*{PIHRWvF3ECgS`njSteAYQgxn}drUA91gIcJNM>dvs#%{}*0C~Ht$3BIO$n>l zqYjlPCK)F|JquKtMzyb`Rq8>1%38w;)~GctE3pK-RHAw_rwH4OgmAbo>z-2a^_)s!hF}(@I@& zoMRpDn8!W#F*t4U59KPxU9<~gfYC+I(9lF=QraDsmh>J(F0WxtTU-cL`VTE{naf@F z@|VFJW-bRg%oq(a%?`5`%H>I2x`dds)?!{AVXMVPe3|V0$gfysNOM*+sF(pAXhCl| z$hI)_p%2Z_Tl|AQB+-qGKiCkxu`YperY!OjED$XeDa4RT}`Og%LbL~E%E4F6Abm*V`?*)gzNU7iW(lOs)Sc&z>h zskzMRQad}g&BAQXu1|tnN|+I4#kQkz#55?aP_G)* z)sD8kM{R8_yZX=oFtn`A{149oMO_D+1z=OppuEr-X%6co|@XE#<8h$ zoa&zgn%{_i^)>?Dy_9G&*!T1`t{kOsCRYhfRcYfaSw$DE+9PWdDOtPU&ptVfVY3e++;{ljm(T$C2VVWE>l- zkxM3g7VNSPv&Nk+MvD6TysrzN%EQj>_Sa;`MY6{RMTc%uEk41-Fz_a=Rr{R7~^t?Hg?^&AhTc z6VpQ)A(f}FdPa>D`E0SzlWEs@k6<4k-=<Fz2hk73)Jn(}WDwws0XT_&`^loD?KY zUGePnx@kjvYxssQV^(8QYKfE7DNFW;@UTT;o^M-f3ir4ou;x#u=8N~Pub=o&e*Eh= z=E;|`<~EvT{9uU#9S&F;L#!5VKmQ;K^{nng){3ZlgtQn6O6ae*+QP2F1lUp}gDB^H z3MHtziM~2TatP1_CyPs1F1r>mfEEySMkNAeYT;H(CvFg;p^Jw4u|VRaP6X^62P6&eWa-Wa3$aI9nr$Vm z($qXBS8Vc&u1O$QrzVH*KbFs5)U4~uL>#XY^W@5R`hx)b2VU}sDQ)K>@2N`K#Ss;$ zSe`E}t+Kb!MpEK}_z+G8GmfS4zS1Te$h_vrU>^T)-Xzi<0go?D&A4Jny|~4|`X?cg zh|@wwMv6yDW{Ho~q`b0%=OXgiz6sT!4IIHqC?9hcEh_+_VqNyndxEJKkBwp=2~t)} zF?(k}RAQ~F#jtv+iaht6QN2fN`Nagi3Dg!vmRB`Cmm8H zSu-5fvIy0Q=^iLHIVLw>=`e-s!Rli^+9G@$(>sMOIl&V(F>W=LQv?C2C4Qt0`vvN7 z=F@J8LrjYQyy~~oCn3NLEs63c!_Gk^b3!FE@GSH~F?7c$bVE6`Lp}6EK{P}~bVNzC mL{0QWO%%~obVXUTMP2koVKhc%bVg~kMr{W4MsGAA0029jq37WM literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/equations.htm b/cpld/XC95144XL/MXSE_html/fit/equations.htm new file mode 100644 index 0000000..39141d2 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/equations.htm @@ -0,0 +1,13 @@ + + + + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/equationsdoc.htm b/cpld/XC95144XL/MXSE_html/fit/equationsdoc.htm new file mode 100644 index 0000000..e335b77 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/equationsdoc.htm @@ -0,0 +1,53 @@ + + + + + + + + + + +Equations + + + + + + + + + + + + + + + + + + + + + + +

Equations

+ +

The Equations + page provides a list of equations organized by signal name.  You + can use the pulldown menu in the left-hand frame of the page to select + ABEL, VHDL, or Verilog as your language of display.

+ +

+ + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/errors.js b/cpld/XC95144XL/MXSE_html/fit/errors.js new file mode 100644 index 0000000..9df6118 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/errors.js @@ -0,0 +1,41 @@ +var infoList = new Array(); +var warnList = new Array(); +var errorList = new Array(); + +function updateError(type) { + with (document.options) { + switch (type) { + case 0: + if (info.checked) parent.leftnav.document.options.info.value = 1; + else parent.leftnav.document.options.info.value = 0; + break; + + case 1: + if (warn.checked) parent.leftnav.document.options.warn.value = 1; + else parent.leftnav.document.options.warn.value = 0; + break; + + case 2: + if (error.checked) parent.leftnav.document.options.error.value = 1; + else parent.leftnav.document.options.error.value = 0; + break; + } + } + + parent.leftnav.showError(); +} + +function init() { + if (!document.options) return; + with (document.options) { + if (parent.leftnav.document.options.info.value == 1) info.checked = 1; + else info.checked = 0; + if (parent.leftnav.document.options.warn.value == 1) warn.checked = 1; + else warn.checked = 0; + if (parent.leftnav.document.options.error.value == 1) error.checked = 1; + else error.checked = 0; + + } +} + +function showError(url) { parent.leftnav.showErrorLink(url); } diff --git a/cpld/XC95144XL/MXSE_html/fit/errors1.gif b/cpld/XC95144XL/MXSE_html/fit/errors1.gif new file mode 100644 index 0000000000000000000000000000000000000000..7908568ac4eacfcb305f9c1a1e5e5dc182aaf28a GIT binary patch literal 5648 zcmXAoc{CUA`^G0JR7yzpQbSqGE+Hw)*b*kYlI%v_c9LYv9z%8#LStVVODcWrgecp4 zNZE@-cBT31_j~?$&U4Ol&ULQ)dR@0Vq$Ve8wXtUl*Z}_jzyN>&011Eq0Kx!>0U!xL z006-NgaHrK_vNabO1&G3;{?41P~BL zKnwv%1OgBUMj#AuqA-eLC`zI@ zfZ{NUV<=9d1b`ASN?<5K?vg=C7$q^3B>y1-U>v}3fW$!n2Voq5fDir0D-^+f)NNwpa6lw1d0(TN#Fp1!vu~II7tuyLBIro z5d^v0AcBMm5+g|RUzGru1hCy!?UsoIVG_i48?XzWgkTcFc4_TGA`zHGu-#;L10_+I zM6ulzc84c%n8dMN$Gb*J0wxJ;SAAEMBw>=oc3*s3wEwpQBLD7xJE2U!`^&(sQJ(!S zn4U$*yuJKc(<7$Sni(1uIW1A_l8&S86}fGXPhN@ShAQ(q63!Z6<{g#KyOPgacV<9U z`Q7Pq?tZk51}A|ag$IWZ9jKnYl%pQAeKqZTphw_Pxa> z*TmF@hbea&QzV`6VcYZXI+Y(q>S}M>zw3!k53@w-J--!{X>ncE;h1->|Oq8Si8nAY0^mE@||>9 z9twC^j^oC+q1-rmFZ;av+HP%@eivK4auW@4JF|EVF%B@?WV`mJ=gpFW>3BS1yXH@Sp*PYUI53~RB#An)pJ=&E9>ko1Myvd&!&c=Et)F{ArCM$}! z(=O9kpL5zXR={yC&+_(2ZbFo0{WmmD!uOj?_M$IVfTdBvBF>}MX$i$g2OXVkCHn1i z1LIUY^YV+{IA-UkVw@%w`KCf5mG3koM9OmTWX!);e_q5ZK&?<9h8UjsQS&8Cc(HaW z$!W1}F2888egU6fe6`dn{Ig+o(CO#v%^BfEQRMUYpKk$@PGqT(R#LX{9sQZ&rT-4e zE-W=Moikr*W>$4xZeh18UIr*Uhm^T+=hKB&+!9g}m&b6*`4*9j9p?1do@A*%k4_`2&`FpmCK8e@C_|;&fttzomHdZhbGVS=^p4Zxj2y zP)&xWg{nNvPk+#nC2-?@{TYbg&tj*vc6RTW?w?EVhKej3BB;~mzgOFiYV52H+m-FC zkKVjfzbfGHIX;Y0F^hRiH0OZQi?MU1b7gX-g3eD?dmjs?){UK;ee~y8>Vu?M^v0k6 z_Fm#M(G6%!8zeD)0o#{9A2LN})1KKZV`y0jQt3LmU$*ewVVsZICA^tV{p^3t=u(J) zHs1lG!vEMRmqNu@Iq6l;HZfVchRIf2F*BsXeB|48o2Db9*;4TT)$g!X2B z`HBcV{~Xp_jTS-Eib&&z9OiR#VKN@eQI^hE*jqGOCDN9o?X`0`hf-QO$zz(zj17-{ zwSzC}FY5-heALGk46|mrA8Y&ifBN%f^TNrU`1?1424@%UlwA4S6S$Llcy-mMWaZ1O z^fTc*JI*;V9Nmsa^IRvUc~8CB6Do0fP}p2(MWrac{rlAfsXMFO)?m#c2AL0g4?ZYv zJ8khs9lc+Dh=%MQ<4!F}{gs%n{Mw-=K=sxe2o|JJ^R~Wvu~SC3k;x!Bzqhou=!R$(eCB(orKl ze(P1)j3uDlyvadasq!ucUizj*ZAMm+O_K{-0w$cMD_StXC8-g_}6r3Puu#2zKem}|kd$UdXaAn+Hy3x-Q6#w7Wb2=ZI%@|%`{^xS;3JBUvvL4aP z$=$wQHRIk0CP%~$G?bsT8=Yo7dhntBq6NR|=zHnCPVG!7EPBfKh9!{_glWhocIdA2WrE((qE34*@0$;0+iEY7@O&v!GObZl-*eY?CG(n_yquJWXg8FeQ&x|^kLWmD=EpwpmQFTV zSgb&-1J;%J*&{KdD$^UPG2^zJR666XE#5|1G>^T-^~tGn{pHx$;?W-zg1qSK)iD~f zmNso7l^38tY`_H+FL z2(V0RL@H)dBU;Cc-yQOfn7la9Lq+9y9uzLtbABc9y2#$o%EtzNH6Og`-PG+dBiF;V z@V9fII48D1|8GQ1sce@}pP7Ay*3Nou@9RbH-rt{p|J`VOI@d=9BvT?PHk+@#SxzYT zpOU<^)oJu*CHJQP?6niN1&n`Tt;SYqvA4e+rIa??9=8^?+Ei%Fo2Y*(JDP7Ly}37{ z2poREnqG19&hck&FE74jTKhO&9r2uv_q36MxG4}vODNMA4zNJVMu46qsnEv(WqUE& z2hz8jlX?$>`u6+V1oAgZ;&HSibpBUa408E7w`T*54OlV^jSP)zp!u3OphqKV5bXRsSX1MKvE;+O%W!BP$BB=(jaH6W ztKK^56Daxd#_7#_6jfNAPwd)2P|XKEMq?Vjmu8)-M{W+Bvq+)U@(EO|U}UrprRfV4 zqn8SQ0-HU5@KYva$@~1M>;pwfzR#5=PX-j^#ARmeq)gWo6OAL1d?IeJL@aF_xf)FS zKAASlnC7WmWS#~Mq(_r;HZpfEqF^}k#c*U^yMLKnREd2g#vWO^8Nt9GNLzL`XisfK zb0d57e{#{y#?h@l(d{YGowd>LhogHoqd&06^vlJ3GL9MaiDCMsNQu`haKBJtr1Ud1 z=0<^MrIGe`)mY}`n28jY@-X=;L$-*Qh4E#1oegtAX5D_3$8*;`5~~#ChbZ&y%r9j; zXzMKZb*PVAwG>gm*PLs#FzvOUBmUI!I8iHKtw)a+V4bUH;$=7Zx<0wh55ynn@Zf~v ze@%xe7kHg4I3b)I&o3d*8}YC@(L<~w{{Hb;0l|xti3wbujtW}|${dL*UoRwHHAz(S zP1HzD)T~R?9!b>QN|dq;AkU7Q0jnDM2RJjQyy+EsZ8w)Vj=l{MsrJB~|_lR3+G8k5^)4CGI zUdI>eko7~-=5Lr_Rh!aLI^jb#sp-e9X@!JB^6qZPxbB%&jw`TzHk7lGpY<{KnaN<% zIaA7{fOX`M;Igkyw0CZNP`pr@Q`CmNnxpHUJ6;7w$}iwkEUD;AnK ze`-O%c7e}~aZrbRVTfsAm|tOdT47{;VVLHvpGlNJ?D!*DuZXZ`ikt~Q!K}*THmUV7 zY1FJe125d3`Tg{APQo+Bd@=vk7nVVu0jF|*bvS7rC^(#ot#vqj@nXZzun-b9ejM5K zrf%bFt_)P8Rg>o}X}V9`pAH8-HK@-%v8Cg8rl`~;$4026m@RoO@u>t|wo0T{kC688 zfg&#P7Y?XT_C_w>jnp;Uyo^&CpOfy0AMi1h5gDy5)!ufkNpf9G&9PRalu%hc!l z{gQ;3^GH+AoIxJ5q_h(zxwQ8vz%f_a-##g`j=n)>6RuW#igZc|D_dC?q}j+fS$ER* z)7lPWd&S9er!Cjk)G|LeKTG8#z4QjakT%VdQzQ5Lz=rsd~isrmmt){rTnJ z-d$6cU$>vWe_3r!)maZuAHn@xBa50b7mv%O-&pqdpdFx5ag~ZuBCyNl_qqe`9Ma>*(WhtuiLMvDf?o^R}kmBZLlYg*6R4DD#y^0l% zyY?@59Ksr^B6Ii8R?x6kS`57sTYgodCSs;v5mR~5Z#pRz$`kKu93~30(`sH7)x4iM=V>PVg={eO$(hcgLkd|r zoMomQMc%(_HN1-JG|yC+%AL+&E@REsb9^>wDsXGteKWE-pru-Op*p3yq{Hvoll?7Y zn$IN0xElUKDK5g#1Ez}Ewp(;Ap0~ewFY>-(csU;3c5_pO<+1c|Hby@YYmvH?^UAbhP{PpYAW*JrfE& zQ|3K0{ylRUJr3Y44%Y7eKRx6UckeHS-c|G7b^qSYjNa{r-ajHNl$Bn9CmK-v;N}kR zSCpkIiEvuJzA9llKJj6ertgPJI8_!%giYDU!Z{gD^IrDlwuGmynZ)1f&ap9J&1Bn4 zuTN+7faYDl!b(5Gvj<{|Od?~FGK?R`CZcE*0ddtZn*nKOe`c#`rnt>0`{nC$8XsYZ zL%)y-UwU}tos`;-d%x(cBe#y+nP#%*=jD^Lzp)l@l|9^|Jxn<_7*yu-NeOXZW8s=> z@o#U8w+b~654koMKqCqywgec(R9U|q2?9sG_$54UNz0dDcznat=MjT-sx*DYBdNO?dxey z_aXCu^YoeGR~IKG)-b^bi#@^{9Sch*g5*28kvOE-GY9^m5t0Op<$ Ap#T5? literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/errors2.gif b/cpld/XC95144XL/MXSE_html/fit/errors2.gif new file mode 100644 index 0000000000000000000000000000000000000000..6a3df4c703932292d38c9ce449b79d62675abce4 GIT binary patch literal 3603 zcmXApc{Enr|Ht=rk!E8_k~oIaK=Giblp)!M2q$DF%5ZXO6*0 zq)b-`8BQ7`nUV~d(*8dEe*2HT_F8+b_j-TculL>up}vN?^XI>Ezzq2Rg#$nVfB*&n zh69WO7y=LghyzFghyVcqfdhg91Og-gBo0UlkOJK6C_2Dh@b&M;{;6+G$I&4FgU?b1cSIK5(p;{MIiJiPJoku zA^{>XKw>zFQ6z>)2uO&NkRl->2|yA!Nl+w#ND`1FPLdQ!B9aCqjgvG*(uiaL$>1bI zkqqLJArVd@ibUuSB7oC?q5+~YKw~(KQ8b2V2xy4YkfI@?2|yD#O;9v}XcEvQPLmW( zBANy?jngzm(}-pO&EPac(G23!rV&mfibm)Uc7QX0VgO<=z+gCoQ4EF{2pEVnkYXTW z2*40HLr@HX7!oif&X5#CB8CPGjWaaG(1>9G!{7`7d(PEf)tk)7ZM_HL{Qvhxq%`QM#C`$p?NUjD!h2Pr0wP=N>I&0dL1p%4+i89) zn^FSKwwPsY405nltA3aJ*a$UO?#&$;$15zg&}yGREz8!vo4bATpIm%D z;(_xs!N0{$d<+qg&-r+11#8gB@ozoFPhp}*PJ9X%ch7MSU+r7>=Cbr<#lA>v6|e{c zkDQ}e;VSLvLCc>e#j@x}LTM2i>7tv234?SK&wT-jH=@NoWUpM4uT%}@{us`UES4B; za?NPqgd7w~X!#uDeXh*nn9eEwto^RL+m9sw4RxvyVgCBDH(1O5Ne}1Iv;KO=XyD4% zy8%DzzorHabSp$yt(iZ3M^h&-!IA*j;p#1BY4gw~WUcxg%3gbILR7v(M^a)@%Kc%gqI!!og=*G#jTY6(KO8Oo zk2T_Llg+0Tdu#T`!4JX38}zmXJxunLvf^C6Dy7{Tw9rf!T*5!(G}A^yg=4RjzCW3I z>$St@nQ*;ug>;C*!&ue1#@47`uM9puJ*g7GR8N0tAYM>^b>;cZ<@vp@0uf6;dv)M; zDlZG`=Zh|cCpU~g?tK61RM1e?6RB$D+O3oSsdjo#HfiXFrN{Mnw@o%{Em=9$qO;ay zs#RaXWGdcZz}qPdn<`CbS+d*0?U)1(mve{JIrIsIUBe%}0WE@y0hq_9@Yw=H5O(JhATA1fUzV~W||D`#FW zj6X-){~fPUC}2<2iF{{&dwg)m;#9kp&*F5~@q)#l@BF?m&V00;diTuj2fMO*y_jU> z6MOSDqk$JY%SWn)-|kKw;A68Me);)$*m@C78V=+>t~7xMf-|>>l^#8&JN>ulg+@Pf7f z;brAxpGux*7TLDwZ|WBjSiQVYclO?jof5we3T?>Nb*b0iqV1B-k(Q73UWZ*RJH3)` z>0nVD-@p~3s;^OJjY_oIkLWeJ84{g!uUO?juKg38A^Fvyc6X1^j={Jq_49mscD?x{FN(`<~}7Efa$MsI{yaprW~PRHGP@he-S!bZ0gm1Q0>33y{;sBquQ zF}3oRaWGf-kl0hkAe6;b|mSve$`^F z-oE=5V0Hx{XP4a0U1uh*S)X=mb5+dF1`#6uUWVNS)LwdtQ#IOj*>d{bzI-aa3Hx*~ zT$VD}E4=AtUY%loi3B*QT@{`H?!)BNf5+Ff=d(N>nDo`N)|9VH_0A~p5gTT7B+S~I zh&z@tqt@)x?DN;_{Z4v0*mus+zU90J<{EO{)f;VgB%c{7+VDU`n2Zf;l~3c%G|BU{ zh&n2K+xKyn+c&SnMz&ofO_%Q7CTwQZ)BE}-O-&QRHOqSXBxXwMO!7}BHohC!JyX_l zE&uF&qu$}8Gv!@P`MzTD1*Oj$FAnv5)NSYVR!Ev{U-`(yc2&3FL;`2~J>IvJ;W@*i ze?g%3+1hQK7jo;cj*@nfPD7JbSL*?0Rwhed8}%Z08!RUl%8Eyn8DtPup)DiHT2n z2Vx5Sq+Q3%lgsQxlrHhx-?WRo#h%nPxqIw&W=M%r_ieJqc--!s)V<4Xn9|f?6Xl6Lzjbdp@nyYhdAY(O(#zk^n~i~8P_Ad>mp;D zpRPSW^C>&WN2|eHA@CS>$i>EIa}OI^%)eV5v`1>)2aVSHpv;IDYD1T6zdrfvqJPlB z!!q5(h-bE2`)ic8d4#f!Te`C~u5FBlW0C5|uZ-e#cE6O9Z@mlj_3f5P7WbSTRq3+N zEt5GTQfGF0Mdz?~cWu0u;SMSLPx7Wat0L~63W%D2o8M0tl=#Ek#5cC_tn|9u+kd34 zUmUbN_@x`>jf*dIeVSWvs+oD%r_I>E>>!;=b{ulr;AmS@p1-p7{JGRBukoJQ@WMyG z*Ke1$@UQ1t@H3KGH#hreVIryd$$)zE-0KU!C-v{GemZ#2bpFk)-&37|@x$w1&UaKe z6!8Z=9kn%G=zbP-XejgNn6%Mo@50@g=idXzBX<1yFe7zu{mI;kgyvrZY&6%>xnTlX z74JHi!k202V7}*NWV~8c!&cqL-bO8&oW#jyX?lE6$2+Yx!q}s<@S>0F%M+fJn%LEy zF8d7b9<;kDFa_D4j+l`_juVGZis20jGE;Jb%f-~mi5C#yHBv}M{g-Bl^>h=-=!#*1Gr9j;r$dbBc>Po$qC!~4bD z>!rwYIZc^Tt2kFpcWaAp_eF*IEQ6hO9dizM`5o)w$W2VTDP@UDW*y!`YibE$zmZ^s zL6wE8LFE3IGD;ejKN5vI5>35?#LpNUn>;RRH>su}XCeAX@VdXFij3)2my5sm@4k6X zM&B*aP3Xv4@eAXbA$w1$am=p7WdyCfVR|b`XfiXhR9$_ai|NLWD6@&EN`Yt%wdfbK zhoAXJ*BC@s4MtU-2s^6*_VmfRmaODRs}^WPo0_wF2GwjP)$q@1M9H=NB{BuG8d{UP z1~k}B5So6lF(_x|yHbs((u`UX0H*b0n86drml+h$H8&du}shCVQ@CXY6 G_WuB + + + + + + + + + +Errors + + + + + + + + + + + + + + + + + + + + + + + + +

Errors/Warnings

+ +

The Errors/Warnings + section of the report lists all of the error, warning, and information + messages generated by the fitter. By default, this section will display + the number of each kind of message you have and the full text of the messages, + but checkboxes at the top of the screen allow you to filter message details + as you choose.

+ +

Checking all + the boxes will give you a display like this:

+ +

+ +

Deselecting + the Warning box in this particular example would result in this less detailed + display:

+ +

+ + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/errs.htm b/cpld/XC95144XL/MXSE_html/fit/errs.htm new file mode 100644 index 0000000..cbe932f --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/errs.htm @@ -0,0 +1,13 @@ + + + + + + +

Errors and Warnings

+There are 0 error(s), 1 warning(s), and 0 information.

[Warning]:Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'MXSE.ise'.
+ + +
+ + diff --git a/cpld/XC95144XL/MXSE_html/fit/failtable.htm b/cpld/XC95144XL/MXSE_html/fit/failtable.htm new file mode 100644 index 0000000..ed152cf --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/failtable.htm @@ -0,0 +1,42 @@ + + + + + + +

Failure Table

+ + + + + + + + + + + + + + + +
Signal NameFB1FB2FB3FB4
Signal NameFB5FB6FB7FB8
+
+ + + + + + + + + + + +
Legend:
ce - signal clock enable cannot be placed
clk - signal clock cannot be placed
fbi - insufficient function block inputs available to place signal
io - insufficient I/O pins available to place output
loc - signal cannot be placed in this FB because it is assigned to a different FB
mc - insufficient macrocells available to place signal
oe - signal output enable cannot be placed
pt - insufficient product terms available to place signal
sr - signal set/reset cannot be placed
unk - unknown reason for failure - Please contact Xilinx Support
+ +
+ + +
+ diff --git a/cpld/XC95144XL/MXSE_html/fit/failtable.js b/cpld/XC95144XL/MXSE_html/fit/failtable.js new file mode 100644 index 0000000..045081f --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/failtable.js @@ -0,0 +1 @@ +function showFailTable() { parent.leftnav.showFailTable(); } diff --git a/cpld/XC95144XL/MXSE_html/fit/failtabledoc.htm b/cpld/XC95144XL/MXSE_html/fit/failtabledoc.htm new file mode 100644 index 0000000..c95037a --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/failtabledoc.htm @@ -0,0 +1,95 @@ + + + + + + + + + + + + + + + + Failure Table + + + + + + + + + + + +

+Failure Table

+The +Failure Table section provides a table listing all logic failing to be +placed as well as the cause for failure to fit for each individual Function +Block. The user can use this table to determine primary cause of +failure and try to correct it. +
+
The +Failure Table contains the following:  +
    +
  • +The +signal name 
  • +
+ +
Note: +Clicking on the signal name will open a new window with the equations for +that signal. 
+ +
    +
  • +A +column for each Function Block in device, with reason for failure to fit +for each FB
  • + +
  • +A +legend at the bottom listing all possible reasons for failure
  • +
+ + + diff --git a/cpld/XC95144XL/MXSE_html/fit/fb.gif b/cpld/XC95144XL/MXSE_html/fit/fb.gif new file mode 100644 index 0000000000000000000000000000000000000000..9783d6a5728255d1235153167c956c752d5b7649 GIT binary patch literal 10994 zcmeIVbx$0O^F0bJ6p9shDOTLw-QC?;T#7pdio3hJExx$ByDqRe6fN#_@ALh{O%Fva(F&%t5ZjW{@TiQF z{GamtG&vsSQJRIZKRhjyi%7Foi;1}rizIBKq1D)yb;&aJAz^G!nLfP}9_9(@X*7wS zhB0$Q8^2Pa1KesFC(Vlet9=t~X5%ORNW`PH($o#^Y2yPBtz>H224OQG!DCvjj=oLw zWfx7KsNb#}A^dN9ZgZ#}EMk>N%g#zGjr^M?rLFcq8O zbH_VBsUL;@Q=m$T0_%_kQz1W_8>7?HUJM>y;$4Pfhv^trBRt*X40HPNmo)#Q*Z{aM z6zSiL!rx50Nsx&60L4~bUl?Y>R~bQ-9(%;VSnSP8m-!_t#`|WYh)eVq>}ETrWd#)= z_X_IFl+mhec-Q=@C<3r$``L5mrrCf2s>HLU`la`ASHsnss|Raylf&Iz;r?mgP~ysE zJD|;%Wk-o6`tdl_i6yl4_@V?i8 zSQEhJ!jitU0Ia?$A6$3bmzhs}otKKisGN{TZf;H+TS=974eTD{l|-=7br{tK&L5=p zT14)N(B03F$KH&g*zc1L4^f2T&?fjHicgHcWwA2RWillY_P_aoV>YT&d53TbZ=yMp zlJ3z@aQgFDDDSa0_mjtZS76oLOe_&G8r!**I$Shdi^;o|rRX|(rd3OtNj*o`c;ids zy@4?l*jX|e>l5*Aa4y(-Kh~KP0h20epR~ZlwiL{dw%nIXg%-4b#JR)aqmH6u@V-_h zmOk8&-&*AD;6|k9d7R4HzZT#K`3!`L(epsxritYy1|K~Z!pU6AKJ%E%m!7i9)raoK zwl|f1=T;%Nmt32qkYJWQUKBeJ*BOMQ*0^q*@hio z*~#~Ic1TJ_YdE&1QoDP;Ky!XVZnAtzDfr6(qbA%-4AcrX!9kXIX-95RApRZO=db;I z3rq1&?~(WJo|$UqEtF>{OIzZyvhn<=F0by!(mIo=zG$3<0FDznHudSa@rKxcin5KK z^0mrM_Z5%RbmMT73W<#=KZKQRS%N2*qirx!1I)|!RY%6Cleh|UorO(+ zTvgOs^D^BLs=DS%1y}|{ny&T9S>$7USV%MVTl&Dm(nhC(HvzCuk~ ztGcIV-bk&TwZbMC3Vv*Dp8+~~O>IJ6AKT2*YMsN7w_rkW04OPhu4O)h`ysfXA7QET zK*AE#bWljX2Q$-}_ePJohe0BjBUK+VWQH)b3yec!*MT5A^pA?m9Gl5=DM#&Ic`ZX- zY0K{0IUbB$V$xP4%TE@!#dBBs98X8fP|L`*+J9Urd+w}OwVdkEhbNU6e{5Gn0*>=h|2UQftnadJ_{a4>!dHrk0uI*ymSYnw* z{2@CZQ6Cl5lviHC5UN!>(0{kP>>V;l7w<<`ddGim$u$tzp=}Xqvqk=efZS?EaYx38)md*BoR~m`Qg5G;~8&(t;Y9{w80r>`=n=0 zoe3ti;4l6Z%~dRx-@%#0EO2{2cd#{sVyJnu#jVcGdy_h}PN``W71iyaeB90<;8~D> zx%)+lC`3`xdZRAxxEjwW2;Ob8T!@gwr)iC{w%KHq@LwrrmMesi;$go2-{63HeK<19 zjE>~GgoQN885-H)Lwv@dYFqbiEuag2jfe%2l+{V+>%lgBmh0Fh@;(|3Q=SR4_gonv z=hxlWIVWL@p{}>>@PxJx*-RT)%TzrWh}O*P2e zRGHiU^4!0l1L!sKIX;4q`1I3Z`}`m~&*KQ@r6tt#rx!nZX58LhzrUafzPvQ>t(N;j zcbh*b4O%f@i{SA3u$F6teqE<5g2~y z9B50d6GyHv9!|(>{w*i&!&shQIjvVH#9~HmL~s3A?^Kdnwb#R4=+~57%l+CwYB{TF z+$ZcfCo(q={3vZ+XT@&qZQ}N0LGY0(pPya6JOrWt(N#gfu*BxOTIP5x;xTkpEsyvO zPj1gw`Fn#)DnjQch)1ymCsgfF8GtA2gJ&W66lyE(oaqt3^@ydlPXD~-QP-l_!4vp- zFVr-Wbvv9!?28#ov%iQp7aG1@Y6hltWO$#nal~-w;R%Ju2<4kQjSoP(w9nRb8QHJ^XDQW{FT3|u`$(rVj#-zeq(h`^J zN{6PEHrg^RRO3&02w~jH_PYf$kiHL}=5w=U-zS2!0O*R~j)N$uF4#xNnlYinO zR@K#b6GAPbA8o=$Dlsch65cBfAD$E9uo7Lp^%3+Exg-(?dH8&20Vo{_Xg*2!SxJN) zNyHmTq%TR|36d%JlBx8PfA}QRW+jtVB{q*F(?@Am{7Gh^!(x9iiteb(YVsUKM zEFRU5ZQ@G3b*5o$2xQsyi>U)Dv8o!=OOn#5?kIY^nfyU|fAB;8(sKA5r=)TJPW>d~ z8KzTkn;O4v`t-#-*H!CKEbX|J(BUQQnwRNkl=Sq8+3(EAR7=y{QJoKI?WAY3p=Vn0 z4A1oAG_^_&5iDZ&p;W0;4nlRxJ{y}lx-?`yhwf(gyoj_ExvVg9uVB2i)(x!q4sBfy zwhdbCy71injEIpa1Ce8fU(~Vt$=dOiDb@IKfzrftRS`3;W@qDAkPP(Ic&Cdf+vWWrbe4@M_|gS3x&je&L$i(2uO4m$rBux#!ln9b7rrC0De`--lVDn9*1wl7OQZ z!pBFmvf@ZO?wkgzm>h!aK(6f2iK1}6B*N@$13bldxyW`7%|L`SL z^ws73qvg1#<-$p&`dKE1$+>Vx1ofV2_`dlM8$=rf1?2Lvbx`Cs6=_$%I8SHpVa7tSNhsVz|B)&32gxveL09a7l$$lM1a{wlf)xi;j3TKzQGXs zDe((ol8U;$O>`AV9;;(rL$yq*qtmA^J0Xp&vez#Eh{ii`)Y^hKeX%phWEoq4ng!em z+yVn3XF#^JVkg@QD;{=cezLe#rU6}j4t}SDwv-;r?0v8yw~Y~O8*}-Dxx|^{w^c{~ zMb`>XMkI8cTXt(p8`s_+HMZ4NT2{K5RdiaNS+A`+YgKYS0J-utI@<~+YQ)-RDWPv_ z4Ks~mY+-E6>VkC^VLY(bHahjY__Yuprktf}c&ER}CgGVBow(gkHmHGV zPw0ZIS^?$Y{N)JszY~CEz4%mV6CJh|X9<45iG3+v(g;GUZ%MQJY8?1EMYO8{PR+?% z&CNx|g6GYfbDgI&HeXb_cpsN$Hl2ID2M*z;e329$`-VNMYX8DZloAOB_h`OR9#>b_+}>V__mR3w!R zn@0Q))!U2m7>&x0n$DN0{>f@rJpp+IYk$~oZw4>LiccOn&lx^v1Ip2o`Z_EZ2En;H zgO*e-KNL6*nq4*Tix$rZ-x%VY5xh>QNMHo}WEn$EGKMfvxV6dsh~Jw)27fd zaxDxE;wJce;&VH`AP?022yV#WVIB9T;!1tY>4$0UFG0Z>v77vj-J3?#XVx`U$>BU9 zJBAmXP%JnVnp10-F)8%F`zyxH8q>f3R;TcH%-`N^u5IR>tUDI^;I^pUQ1(V$LLtJ#&0%2d2s?bqlx zBIZ>=eIrA`H2$mBntCQ}PCtXaI^X_$em_~xxvSO1z-lWbyT{%oyKIJ<*hQXb93OsW zM6pH-m;il>l^2Q$A_`VwTGR6`tyy)GoQY4G!941oYt1c=6yn~{2+i2?V2Jk1(yR1x z?0q!Ud^W6>%3ILuQFihKt37#lZvFCXE-iGKN1Rb*>zrSR%@1%aK@YGs`?|4>VeVcz zU;0x2>bq%8-6f#pKk-Kf2z~Djw&dTN34dV&Hz>`~moG|!>oX1)4XbrvPRc~>XvFoc zX>ID)E(#vUw{FW|@e=pvdJT^$qsD>C~yVd=!NsT1`)f-4$eTDu4GT z6ON??H=lZ)2V+^IQV*bK&Dv;xx@{G|ZiVn_O4PFGc)Kb*+J%xiuNa(vD!FvMJtvjJsZ)V(pPrDfY?REC+t6K0pbI#^5#4VPX5*G9Ppp}=$;!&opk@H z)S7BNtzzPiOA?Mh?Ls<%pFL{;mm|%R)n{!j23~6Zn_8Dig>+wTpk0QMbmZDx?)*F5 zb6P1Cj3Grm>l40Q$iFJ?y%H4YxDqZpVV=1q{fl+FjwSS0cJ}X^@!_Yxf9KGy*M+YU zzg_i5TxHR3Skzwclim~_S0mJ4{bsl!?7Jb}xgmw#d?&l55V@r?x&86$mbT!QzVDWC z=aw0I%Sv{~4i&kJ2BdNSy5lXlIgwXlUk{LrEMB{JyY~$5gz(DW6y~>Zfdv|8aBu)uh zHM5VOM4kXBe-B9SY*#q5tj=XazGL>pY=UKvO2Z-YaT#*1{lU|>32$T5gb6A8EU-qmNJ_@QU z*^mE~bZ)@}?+$zp{)~)EMSJ~S>^CaH4|i{L@}USECgb7En|;YR5~0B1@r7d9L~6MZ z$wc*|$>eV;b)ly)vdf*=4S_>KLr8pL~4QL#pe_3v#K%jjCWZ8@n2ni!e9xR|u= zpl2(>SatCze2-3$o`7GL=!Wgra}_eUI09I#7duuwU&{2bdE63*fOyXN+YFx7ER@ZL z@_2hwr_u=eWX8FIu165ic_762RWg&vC7 z4X<)O*Ry%8G2V@YEO62Em8^+{_rXCNtuxiw??Nc$Ux+dz#sI^72^s+}>kuZB?Uymi{ zLPw_IzvsPB&6AHo^<&9 zlwdnH*(RV$a@<;{gL8Q|yI^F424`>P={y#0)z`iX7>=c0ToNDI!1Xux&90l$NslR~ z?Tx#x^b40)pSvx$=k@v`shV}BcWe9JFao1L&)7NW-W6NHk=PM?t(V&^mQO~=W2E#F zY1J+Ubz9Fsu3k=diB3nzYa3|(As_Dk17|T5RF=mOeFX32b(AsYZ(33XOy69JAPcxG zbjHi~xom5by*RA8YErCE0#$jpZZgmMU6mLGy!XUcfOpcraw>mJBXDhgXyKHT+*}xi zu0Q;c?h6e5^Z-Nm&&AMD`E~_pk1OWJVLMy`kmZx_6P%gQ<}5kU|8C))Dc>g zL-K)XNyx|5Z6e+HoPh_#IX*B&ej&AirEZL8?lbH+H8FO`?s`Ck{|}`h5=R zxDK?(tZ92*aD-lo(GH@ulO&$Th*>QsKT~qnHN^VT{G~QNE}S`wFZb)%kLHmoCT|Tz z9Bc*J5v=ftcp6ONN7?3%2c~i_vab-Ly=Te&g)7?pa|;U4(-`yd2zy9vNwt+sXVocE zLiDgD89^40j2(Qk<(3IK9l=CDA6rU=9gvh%pO$= z()%pdXP?TbrgQ|MsJ9ey-2gXZp9$DjR7;|q0vNIe1-nP6Ym^h*kIGO2%sZTvRQzCr zEr?8iG=XZ46V?)YTV&Sf6TgA}}W0-UA5gs;9HJ1Czyx#RCGIiAwqA)_b6+L-@YAV=6e;5U5 zMZ0tsOCs!pI<3ogn)YZQhkA*JNlHN^%NL`@SgV}lAys=yUc4i4CDm%= zygFYVyQ=ldOB<-zIIf;96L^-A5OdV}-A-rpc%>0qWp~=Y4A$sRrmjOIztG*~*R|lY zO8$vzVbS-ZyGV}&n*=y^(bX@?)GzgKMYvqAw z^2b*-Ts{`sF>* z?HP#Br7$}G2wK719gsW=x#^d6r*bT1)|Z7R=ihdtqbTvuRd!3q0Gw^~rF}hil*f$1+(R(`XD`uW53lOASX;o3eDytP ztNYny?VXi_M*V&Zg_a<>ij4k*2ke8j9})B{@$RrCn7zI)M7<=&>vellzrI0_t5lTi z0)rS~ykid4K4pWKPclR*!K#!wn~CBmbg>oa!W9{k6l2^!sn-w``ZFH1q2EZzJM&BX zSZY0Xhq8xYHlnx5p+0*ndwgRy%>7OW-VVeOLolC#iz@deK)UM2ryMdGL6db!N%!6uXKHcuwdX#t>g#`W--RXWr_Q(kRWX&OveX z@V!0MWs<{@FXqpn{3bZ+sP;RS;+_u8=4PuA_b0PlJ3dFBmBO0Fjs?He{YzcfYk|kM zqwTGD_qGj3hbL^ZEmMuT%-PsS4?F08XC?bv`^=s6v+jA15j{gYhxa4Mb&kkRi>7lK zk44R=%V+Gj0skJEbH0qK-{0z1PsZ>KcC$4{WA_4sZRQCMHa=3Lgx_1o5e#ycF&2N| zEylKtuDn{eyZ<`;cuwT+^;w+Bb!(G~Iw|Q)?ffOo5cB(L-YeX(i%w}(o?-iZz=*rT z$m{$-8gSN8&bG3Idh$bF<-ipUIAHtFqjLvDkwdzX)5l3r_5OYqoMzikkZYrjy(cZd0ol_u~i#^gQ1Q1EI!J&)3NRBlqI*ENs@ zc^fOA&)*CAb>0GSpTH7eDis2Kt*y+vbzpIxuS(sB^ZIw!R1t9LVd1=mum~D?nCy-C z_t%4gVLSKl%|$|ie?1mbd+AQ?4L$U|zErU1W7eU{v+r_!EazmSc24HJ*GXzP z&}mUx5mIH;oNV&e55eko6JT~cj~-;`y-*OtdK-Y8QIik1ZQWrfvkn4nqQ1O$-COX% z8;Y!R2;*=L(JAuUHw{A3DFg;~#o>w5;;#p*VkGW4;#>R%Luy3nBqbJKE4VmmWlCZR zLM0}K+6(Unqlg5ho9Ue-I)ybmfAIs8|NDDthTe$!c>Oyhsq&+{kR7Kagkr^IONE{o z8Z;<*hC+Ic&p~|x!-SR{jy_Z*v8;0f66*J@BGt$(gzX<1(TDmYHdwu8p`00;BC0j9 zqPcX83&k+QQn;KMv{8)tF$0P%(gWrrJ3}1OwPHNEBETu4;muxa$ySJ7S*lG{g+Sdl zrO3K(4Sy{|A!RgAbS}*_@ZdngwVPVbFzQ;oUqP{-*F&}%{dZ(KRyiG zH#mi!CIFh8>P!-ptx=UD9uij5l+B2h%ybY&Od*xB7c#bBCft(Kd1Vi|lkZcjd3Rw^b}Gc5eMt8#k8#vRKJWvH4`_{&?L$&mQaX}|wLLjkrz;*u1V z*ofy;ttjUN(|sEW!*Jh4{8VIBd+21xJFi=8p|RzZ+MooD<)FQLgP?C!nx$Mkr(*Xa zSzXM86f$>rY3Kf;bP$SSwR?T2ps;1hd|G7I5;)GNQ>HDATM{*Ow1*`?wl+-hWh{=D}0ao_hzQ#;YqAwdbw@dMf! z_S%M1a0U)iqc350fgSC)92RHWY(z}VGPwawyHachy1P&%>#gbaB#F)3f#~7k%3ZPw ze|ZkLCbE4BR*EKiHg?iabck&8)CS2V`UNzb_3X>jMbpz4?}L5qBBoo)hUXJ7S_w~Q zoh5HddRSBG@6yNoLrQ{!)E6q4B>XTa3JdZxoHbLuYBC1X-S(7{-pfi2?+ox3(qyFz zgk|DQwWY))V_RElxHH3bhbd;~eZk^tl)ySGzA^B#49TUWJ+hL@>wD2ADv@x1Pck#$VY-@Uy!mQT+2Uae`ldzv>_avt(AVi>p=yHeCsBg#GXsO zCfLkMLUAL1O{GmLqJ41xPUO16wy*9NHP^fGUCoSu>P>Uao%^*$s-l0kwnc7Xi&^VK z<5c{c8TD84l(|2tTJT47NdqaKzL zG@vL}+$8*7N64{=As1 zRBjF6bp_N7=;=i+4eMw~b@1uF+I)yWGxy#Dd#2Y0&dQ?p7Ok5DhPy@(keh3#!7H{V7 zcAPgmgEf$`4f(pjGQ^-XdNNJc-7mv=m@#8x`A}&`QG6PiEjslmZW4!Eo=}5FKKm_i z@_nUrhl(%JLE#A%wQhLGml&fb0RLKYcfO%cNDFLLGq>BR()bYvDP4-I{Z^;7WwoE6 zf1sI6V&D&JHY#M$&$U~AZVLNe*akE*S!ntOT*5pyNCFlrt~6+ku-*&e4V7sP$KhL1 zO~XmEjpeCyshNJx-pU)nem<%dyHOSZu_9p`tq9FW(zEj?t&)OQq2CFMe|YY8v4brmFD(#8EolVw{;jAtEoF#HuTJ5#GooVd zD;{bn=Z$-8@=8x$N$Kc5Hkpk8?7(Gwtw%CSW*6#vgpbVN5q24$7*!`ELo_Qh?W(>q z7Hm$F11e6`a^ud}J>={?+lSRt>^*J|jcu+(I#O-Bx?^fwl^|GaN(Oda(05$&V=mIB zI{w2^)t2z^NZfgW4FT9v7Gh$?Xj&t}Zg&Zer%*nrNZftp>g()MvHhqa2h+FN)sF+Twn}I8rB0V8z zMb?KIMb2cC%e@ht1W?Wg=7leIu+I`?6Ms|#ij|j&gjs_~ZEj(YcN~I%$98q;HU_h% zW!t9o+Q%-y{TA%gta3Ypi?h}*jc*@dCDcu*9yPA;wDYRwpYHk>4Eb2n)7gnLGsp9~ z);zCrYd<@is{Zm%GhN#zLW-BU0tcKqDhXL+#GA& zdh*=OqTO3LKagffS^+e)&)F9pUmzr}o>wQU#MiUVAG0L?!e!sWow${qVev>F>;4`{ z|9qcyffgE{+bax!wQBp^D9^D>rgmiOX zU+@3oJM(#VcAjTv_J`e_+1Z(uSCkVL`L^*G`1tbCe+c}a2mX%&|3!iS;n}licmK10 zJUl%7KmDKhm-~PCzX|+*H-V0h4$QxzF^@3+qksHQ{R78;o&2{8k~o-0lsIn`YH~V5 za9*+*_t)fhN02ZmWh&I>A!1&Cuv_Y{&F_nUD;@ntv94eskwv@Ac%ZIuD3!;2FjKL< zXe2}U$KKLFeeqbfz>7yT&Dtyz`3i}8{ACTc%0+7VO1gN^cfsYK>+O~Yq4qPSU%I2W zsgBXp^)~M5y0DbTdQG2J?JP6&z8b+@s=NF$YB)drcF*S%pqi^P3IXEMeId}TUJr_+ zV+eQnT)T}(#9f(D`5uHC%YJMvC+2}(?XXwQkYH(kyE7MppAPc7a&;WecllAo0jae> zc;K&I5K;=S_XZUnB_C)F{yKvdc!RPrN>c>mgIbv~^|u(-9{? zczQ0Ux}Li#-TAP-6usz7{KDVnbmU`|CW^Krdh39aBn z&Y3LfGHY5;zw>?@B|RYwjuMx$H8D`pv$d2H7ad3k33*&mqyqSowv%NPalsMqq$tUg zjTT-PxtcapzL&ADyMB=_0a0X9Hf$`V1rqE+UM3KKdR>y`v%y0By-hcH#hj`ra?Pvz z)$Fz}D_>zpQKstxD$jKVTQ5^|^m;t4+5I}#xeF5gEuV}E)3^9FVNi)S_$`wmSsnzc z^d`b)eV0AonW_|B%QS(v8N7xCqYSJvl(q9ih$b^YC!$#yTv;}n_oGMt6qSVxKM`Ha z4wLh$0)px7r;6L^qIbc;iu&l*11j`k`)!x9X=z^9JX6P=>cCOw{mEWJjxcY<(I+AX z4i^u+7I~hwS+#OQ=o|I@+B`QqW5Yi3Xfg5utbhtWkB7;KLyw5y{o>r^=XZYxh4CH! z#!#R#jysSG1P-GzbJVV_rt|5Qqb=8XtnHi>obHfU5fpBNR}c>J!Pn{s0_vRAc^k3d$qO*_$_y&tv4U(yA}sktjj%9bfHu#KP6%_U8`FIqSn7OeHMEZpb| zL06mX^E4mE^gUIRXWeQ2Ny>gI8w=0Qdh5C`8*76u`$NqAEz*Tn&%9BEV-cx=CeRg~ z$<+xp@_CZ(2LZ>+6)T}%)#PI>Gv4-JPIj4#+pillUjS?iPb2_G;iP(?-997jl4IZj0?NMFRo+$|h@k zse$9?b##>SPKQ$pNEWQt0W5p91mMEG2YDwHcUPI?#2{qBv+}{fDd3@HK1>wxDb0@u zh<-X5^GEJI!u`93AX)Y>sb>TA&G&Ebdop)0XAxw#r9AYw3VEc-SIu-G`jvRAm_sTY@|ncpjBzh`LIYb?9znW9Uf?Fgu-;K(G>XOM zB&($|uBmZ0Wx5MnM;-NI3pfs5E66C}Cx6`E7KoT{HIqolxIX91NiKPqlXd?@E=F3}W*v?MG|OU=!Zt zXQp^J)Nt2S$@2+(|7K-k{xK%)B^8}8gZC^NN(#eY=?hX<+0$6Ig>-Ptii&ahr{P|} zp~I!YqF4vk^AvNnWE^w>`4W?$pRHaqOMMEQ;|nWRSC^VB$Sr_lg4?rL=aJcdic8kJ zs{(!-0`dxqFrDviOGU^mA}MwnN25y^*s{zDT4yy&ETRSuMTsR|#RYS4K-S%}P|;9_NCW zfZ+;r)@5bqh_i38py^t0awFxrvnqD0Y2I~AHpxc08!y$zv_kTVbI!klQlh`s9}kt? zJC=QaY^-@B7wTM}mn|&~{Pwh0Dde|OthBftd$_-A@D$~u!)d4#fx)XTQoWefnCfGJTtV^hqN**ZX;Y#dYC+;Ko3iqi1?m3E!XI#86(2r^1^oGoO7r-5lb+ zJwaNg!^6K*(>M#Mn7@0fje(heVsiU?D`-VD3&D@!U_|(i2>}Hg%u~3%N^h;`f294Q zVmi@$I#T>m+M%E4-Z2leX!VI5+59uE;h6z@A`bQEZ#Y)eS%fCGsT2E?_)G%%nr7oK zhGqi3J}_vvK=vjFoBiC+r+-+zG{cLTHc&Mm%h%!j#<}Hmyz9Q zcy%MXa@S{*WA)^MQ~uH6k@*YXWnO4e8~*6uaKPOI6Xxg7+GY~_w2I54l0HR$OgY^8*WQDn+<#Z zS_-6|9m6{knpmtn3o&%rd8+R;Nj|z;_|$U3&FDj|=eCtj$Sd?@Pu|a)lqoiLkuq$D z8Vx@J%{aS@g{=dwJX=Ck9BIUyQSt>`l|%Abuj8P<^I9lv+JRx}R!q4i!(e^fK_wc7 zE*7{8N%lYM7Q8_S7Szz@w!`SEUB~sRNgSrmyDW};!iz_*14X!ZQj4pGzl+yrVc~gX zsLuY4Na0_8wXDgMZ8dFLDY&tF24`r|JT+4m) zmUTQtq%Bp^vtpuE%kI{u25(%&V0JG@ojk&6chSUxEB8_Dd82e^N6v$10cGdeAtU{3 zvveL2ig<d5j&x+zI@Z=*?aPeB}jPDYRHj2^io7 zK4#Pq^zth~ec~_l=b#V)KKCgIQg(#~K6w|^Jni*R97@CVRbkd==)^}=fn_8~4M7o@ z%6RA_z1rbC4PV3iC0hBja}NWdz!IcP&<@p)dyRG zw?dPczh_}k{xbdiLRb8k*4`MD_h@%y!WAjJk(IL1$Ln+OyweWZ$}mB;=hn_imRps|4HU+7dcIotXhHCn=x71EZc~g|@oO3QyXpv_W6e=lE62-?H z=Fa5fPcJ>k0y4Y`*)NI7>5ut(r6u*mcdsOnO*oz(TXh{rHr<-lks@ZcQNgn$-qil}JFgfSrnGQMi+mejGs-mQ>$KE9wekXfv~a@WE3*+j zNXh`HI4SN&!jCuzi0~qs76+1RkQ%87Nu>0&m`}HmOOmuriV{g}P<-hS6{0lYj0Mj~ z#ZBdmvMd&n;F66DW6kWgH3^MMh9kq8SObfM6W_2ng@ri{;`)7sWb7BGaPGv7Z{r7JathF$LdsR)ZDF)aJf45^_++yc^tFvG*cD<6kjR4&c7b z%u?o1Dkya1dCVu1*{odg*yu=wX(`^Xr(>5NzQ1yGPpkfwr{fBfTrKrG6!r)Aqf+(siSKHM1J<`Wig`j4zMznxdS;Y{rz#Q+_ z7tad0=+Z9P7^`U2FTh{KpW}(=v+~Q*>dSOiM7?Z;bx|(Kd>0mfcB|gsNL#znBwA;q zfIMVr`rN6K#h$(RIB{68g7&QZKExq(J3QG)2PX#yuq8g$r9c4b+IYb z2o~-Gi_CyUx4>c-VR5&xpVV+L0G?z7Pw|1LWx&&0;F*i?U-(r#mvDny2Px&$!g8ZL zBj}|^v*kc@PjYkMkWCdJSsXig0gulC)>N~YeW0n8IFe=#h7G9(4u~pi*h^Jpl(bfcLg)md_iZqpBuG)-S;0ugJA<89L*KQ^)Fk?~s z55U%G&vJF$h}6aQv4NJk+iLsW+!+J4Xfkn?!7TsRR+7CAtunu>OLO&Oif+q`YStmA zLLDg~xjS2>3C*dw{dEL+oq~q6zYL`sPK&xq@-LLj4F{`lsDF`PcZ9$0{F!Iw)0e<7 z{C1Nf_8mlgoW2R?JjeCau=w#DFz^)1}w#(wC{mv(WjiaX!0-)5b z+Ba-Hun_Q6;);q)Ks2|{qM?pH?U{f!`KvfJ#n?#E`r(#L?yx-4@)BzNzLCXV?C2;K z+1{$C{7Q=o52LPos@@~l-;|LZ$@u-OnU#MrLjk(F^h=4l!PT+ou{HskxMg=n~{}YYEMr6dge)&zstIyAFlnE~TYn{JUZ?Gf%QR zN$82}&ULTAo9^8hCu5Hatp4tz^uVZ{7$JJgzzsoBEPl0=0c5&gXNw}!esz{+8LpuF zHXq`Jk$U%21{sdgp(X94pplr?4xm_bPzmDU_C@dXIoprCS?I`Y?OB-oeSX^?D?f2H(4o2CaeRz8C+Ax89aOa) z$c}nPGBOd(QATwz;C$&l#V`oS7~jN{IjKa&P_+&sR3ed6e#Z=51ss*oy9pXV)=SV( zi$iqVUDt1i_nj);9c+^yqX%;;oQlbHj4HUmVv~FI$`i2ws&b!YhY8%+X}GJf~t;W>Qq zaUq|vg9#mQK2BveB6b3}IsZAm-&QrhQ6H&4nMCL!&XM_82~*U`sPIYj0)Oz zV&<+!D#=EBO&b@>9p^8wH^_%t(T3BOgOgVG^YtJ&v;PpS∨oYEGs46@Mjo8zS^& z2Es?et+qJ9`5h|$yScI^X=!oSq_gb#Xm`qp3m!d^Va4dr0)}I#ZLd>eC8`lN0DrU9 zTQEIHJ@`>|m`0>~c{#tAF!?={uH(MJf#`E8+nn$9aIepd?3*IIi%skKfm6Q`Smi9Y zxc;@{sOiY+_MMR{X2cxD+;a_DqNA9X1T>BNjAbpZ8L>{OwE7y(g!&M|A1aX4qsS-X zJRExCcbV|SdlUT846W1}C*$5A(IDRk^~EI#<7U_r2l_-69dsw(^vm^a>t+&-+Z+5% zx#jKpUj`bjNM6tu;$cy_iXK~QP2iqWQhcZ&6P?w(QK-5~qgwX0{ry|x?N|64nqQV$ z@0UAb>Ibs&#vM@9F(}`{1KqU!Plwxe_Z?_XZTI$7-KEv2ht+7O6^837msYui2e6y+ zuH_r#3$CAwfIasVU|QTd;Sp#@ymKw%*d}Q{GGi}|upQTaFX8}h^ko%7JFyzKR_b%Y zo_%6-kIKd@th?`{ra3qJXj_<^GgxUemi*V!&?oE%ryr_LlUH`%t=P)hpHUD;1-Ff9 z+@8H3JITAZh=z54pM?qhV-9dzJB;@vrI01OLo zh`_AQ?E>y!5#2+_Zkxt#6fv;sfYUHP4P}o-Bw~HeJV7HAd=NuS}W?OK+jBDU&a7 z=51%GA+raRN^k#jou$hcHznw{WGUGQxvGylr|!SA+K$N3Kl%bwzt6+k)BpQ5$5)w% zCR3PwHU|IAM}LCZzZQeZmGsYJb!#`eQpW67RMKi?`wJO;qnsyrV{>#hoD64UD3yu^gPAcnHvrOEc4AhvE#-k zHDW7o_6cC;NmRKL>PkD{nNsOb{^G&S!72f|$5B+N_dk3_i&!{IT#`u;GLL zUw=QSzKQdu?z?a5cgF&+nzjcd3D5cKWb7w&Q#fC({vg(s=X}{9$4z-}H|mGY&nq2Hy=qz5 zJ3Eu2U-4=!A3!$j?8)p$VjoqxEV>QoBUIgK^^=_ObE6^p| zx{Y>47ytO+W%otyk4U#Z`@%)pi2M+wjUS@D?W$RJ^lED$KIL}P`f>HV*DgTr!M@t7 z5AZ3akalH%zZm;BhsclOyTjS~Uf;VTtVw|HB?4@`UoJ2w;g>#!nMPeY6KCij>Jz`Y z-q96dbHuZSplPJeQkX#QV-85P`uuAwn(kLMn)cGvXJr;rn4@)Pf81w3m%)t^&pCJk z$IXnuz0!iLQZZWCbt@QhIp{}S`#^a%Dqy2qSDgT{@zoGzk$-<@Sf;&+jVOJOEApKe zVZT9+vgY2T0DH-RQ@{lJ+x~+rAi-oJ#=A6YFF1mhbnlNREwsr8s9aZI~=ZxT2@+#>}cv^3zqk^7cAgE4D|2k`fGR+PQZ>qw9{6&QrJpX z3`KX8&oA?(Qg+cl={WN3gcXNkkfe#`40`oipZRU%FsJbrU9>!wR?@`Kp}5{HOKg$I zVFOy?&|Zh453h1+uq(NuY>dq!htJgb7wo~s(?jow>tzFQNwP6Z)`vZx)x~;AlM9~G zN-f%sTRfT0eQ9p-#;8Ff{Dw%(vtUPj=vpJrY$Ey5`=!4PxN=5eZXpl*HcEaw)bWB^ zU?P4LyF5%Y_LBO90|0vu-N*}7h zybZEcU!U0%`u=)0`d2^yLYR0H8FYw&Czu!URNxumDxgAgOFN z1hH>@i)8G#BQMHXF14YXG2r|{4lO;%vl#1P+ctWR?v={Gyc-Vm!|Bt87}$qq2)ASO6xiT + + + + + +

Function Blocks

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Function BlockMacrocells Used/TotalFunction Block Inputs Used/TotalProduct Terms Used/TotalPins Used/Total
FB17 / 1837 / 5481 / 9010 / 11
FB218 / 1825 / 5449 / 906 / 10
FB314 / 1839 / 5481 / 906 / 10
FB49 / 1825 / 5481 / 9010 / 10
FB54 / 187 / 544 / 903 / 10
FB618 / 1823 / 5426 / 9010 / 10
FB718 / 1822 / 5434 / 9010 / 10
FB818 / 1836 / 5455 / 9010 / 10
+ + +
+ + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs.js b/cpld/XC95144XL/MXSE_html/fit/fbs.js new file mode 100644 index 0000000..8e97ea6 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs.js @@ -0,0 +1,9 @@ +function showFBApplet(fb) { parent.leftnav.showAppletFB(fb); } +function showFB(fb) { parent.leftnav.showFB(fb); } +function showMC(mc) { parent.leftnav.showAppletMC(mc); } +function showPT(pterm, type) { parent.leftnav.showPterm(pterm, type); } +function showPin(pin) { parent.leftnav.showAppletPin(pin); } +function showEqn(sig) { parent.leftnav.showEqn(sig); } +function showFBDetail(fb) { parent.leftnav.showFB(fb); } +function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); } +function showTop() { parent.leftnav.showTop(); } diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB1.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB1.htm new file mode 100644 index 0000000..ae25d1a --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB1.htm @@ -0,0 +1,264 @@ + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
(unused)0 MC1  (b)(b)
(unused)0 MC2 11I/OI
nDTACK_FSB25 2_1 2_2 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_1 4_2 4_3 4_4 4_5 5_1 5_2 5_3 5_4 5_5 6_1 6_2 6_3 6_4 6_5 +MC3STD12I/OO
(unused)0 MC4  (b)(b)
(unused)0 MC5 13I/OI
(unused)0 MC6 14I/OI
(unused)0 MC7  (b) 
(unused)0 MC8 15I/OI
nADoutLE113 10_3 10_4 10_5 8_1 8_2 8_3 8_4 8_5 9_1 9_2 9_3 9_4 9_5 +MC9STD16I/OO
fsb/BERR0r3 10_1 10_2 11_1 +MC10STD (b)(b)
(unused)0 MC11 17I/OI
fsb/Ready2r5 12_1 12_2 12_3 12_4 12_5 +MC12STD18I/OI
(unused)0 MC13  (b)(b)
$OpTx$INV$22310 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 +MC14STD19I/OI
(unused)0 MC15 20I/OI
IORW014 15_1 15_2 15_3 15_4 15_5 16_1 16_2 16_3 16_4 16_5 17_2 17_3 17_4 17_5 +MC16STD (b)(b)
IOREQ11 17_1 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 +MC17STD22I/O/GCK1GCK
(unused)0 MC18  (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. A_FSB<10>
  2. +
  3. A_FSB<11>
  4. +
  5. A_FSB<12>
  6. +
  7. A_FSB<13>
  8. +
  9. A_FSB<14>
  10. +
  11. A_FSB<15>
  12. +
  13. A_FSB<16>
  14. +
  15. A_FSB<17>
  16. +
  17. A_FSB<18>
  18. +
  19. A_FSB<19>
  20. +
  21. A_FSB<20>
  22. +
  23. A_FSB<21>
  24. +
  25. A_FSB<22>
  26. +
  27. A_FSB<23>
  28. +
  29. A_FSB<9>
  30. +
  31. BERR_IOBS
  32. +
  33. IORW0
  34. +
  35. TimeoutA
  36. +
  37. TimeoutB
  38. +
  39. cs/nOverlay1
  40. +
  41. fsb/ASrf
  42. +
  43. fsb/BERR0r
  44. +
  45. fsb/BERR1r
  46. +
  47. fsb/Ready0r
  48. +
  49. fsb/Ready1r
  50. +
  51. fsb/Ready2r
  52. +
  53. iobs/IOACTr
  54. +
  55. iobs/IORW1
  56. +
  57. iobs/IOReady
  58. +
  59. iobs/Once
  60. +
  61. iobs/PS_FSM_FFd1
  62. +
  63. iobs/PS_FSM_FFd2
  64. +
  65. nADoutLE1
  66. +
  67. nAS_FSB
  68. +
  69. nDTACK_FSB
  70. +
  71. nWE_FSB
  72. +
  73. ram/RAMReady
  74. +
+ + + +
+ +
+ + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB2.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB2.htm new file mode 100644 index 0000000..96e4ca4 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB2.htm @@ -0,0 +1,267 @@ + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
iobm/IOS_FSM_FFd71 2_2 +MC1STD (b)(b)
iobm/IOS_FSM_FFd61 2_1 +MC2STD99I/O/GSRGSR/I
iobm/IOS_FSM_FFd51 3_1 +MC3STD (b)(b)
iobm/IOS_FSM_FFd41 4_1 +MC4STD (b)(b)
iobm/IOS_FSM_FFd11 5_1 +MC5STD1I/O/GTS3(b)
iobm/BERRrr1 6_1 +MC6STD2I/O/GTS4(b)
iobm/BERRrf1 7_1 +MC7STD (b)(b)
iobm/IOS_FSM_FFd82 8_1 8_2 +MC8STD3I/O/GTS1(b)
ALE0M2 9_1 9_2 +MC9STD4I/O/GTS2(b)
iobm/IOS_FSM_FFd24 10_1 10_2 10_3 10_4 +MC10STD (b)(b)
nLDS_IOB3 11_1 11_2 11_3 +MC11STD6I/OO
nUDS_IOB3 12_1 12_2 12_3 +MC12STD7I/OO
iobm/IOS_FSM_FFd35 13_1 13_2 13_3 13_4 13_5 +MC13STD (b)(b)
RA<0>2 14_1 14_2 +MC14STD8I/OO
nDoutOE2 15_1 15_2 +MC15STD9I/OO
IOBERR8 15_3 15_4 16_1 16_2 16_3 16_4 16_5 17_2 +MC16STD (b)(b)
nAS_IOB1 17_1 +MC17STD10I/OO
IOACT10 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 +MC18STD (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. A_FSB<10>
  2. +
  3. A_FSB<1>
  4. +
  5. CLK_IOB
  6. +
  7. IOBERR
  8. +
  9. IOL0
  10. +
  11. IORW0
  12. +
  13. IOU0
  14. +
  15. iobm/BERRrf
  16. +
  17. iobm/BERRrr
  18. +
  19. iobm/DTACKrf
  20. +
  21. iobm/DTACKrr
  22. +
  23. iobm/ETACK
  24. +
  25. iobm/IOREQr
  26. +
  27. iobm/IOS_FSM_FFd1
  28. +
  29. iobm/IOS_FSM_FFd2
  30. +
  31. iobm/IOS_FSM_FFd3
  32. +
  33. iobm/IOS_FSM_FFd4
  34. +
  35. iobm/IOS_FSM_FFd5
  36. +
  37. iobm/IOS_FSM_FFd6
  38. +
  39. iobm/IOS_FSM_FFd7
  40. +
  41. iobm/IOS_FSM_FFd8
  42. +
  43. iobm/RESrf
  44. +
  45. iobm/RESrr
  46. +
  47. nBERR_IOB
  48. +
  49. ram/RASEL
  50. +
+ + + +
+ +    + +
+ +
+ + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB3.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB3.htm new file mode 100644 index 0000000..13fc835 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB3.htm @@ -0,0 +1,277 @@ + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
(unused)0 MC1  (b)(b)
(unused)0 MC2 23I/O/GCK2GCK
iobs/IORW115 2_1 2_2 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_1 4_2 4_3 4_4 4_5 +MC3STD (b)(b)
iobm/ETACK1 5_4 +MC4STD (b)(b)
nRAS3 5_1 5_2 5_3 +MC5STD24I/OO
iobs/PS_FSM_FFd12 6_1 6_2 +MC6STD25I/OI
iobs/IOReady4 7_1 7_2 7_3 7_4 +MC7STD (b)(b)
BERR_IOBS4 7_5 8_1 8_2 8_3 +MC8STD27I/O/GCK3GCK/I
ram/RS_FSM_FFd15 8_4 8_5 9_1 9_2 9_3 +MC9STD28I/OI
ram/Once5 10_1 10_2 10_3 9_3 9_5 +MC10STD (b)(b)
nVMA_IOB2 10_4 10_5 +MC11STD29I/OO
iobs/PS_FSM_FFd210 11_1 11_2 11_3 11_4 11_5 12_1 12_2 12_3 12_4 12_5 +MC12STD30I/O(b)
(unused)0 MC13  (b)(b)
iobs/Once11 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_2 +MC14STD32I/O(b)
nRAMLWE1 15_1 +MC15STD33I/OO
fsb/Ready1r5 16_1 16_2 16_3 16_4 16_5 +MC16STD (b)(b)
(unused)0 MC17 34I/O(b)
iobs/Load113 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 +MC18STD (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. A_FSB<16>
  2. +
  3. A_FSB<17>
  4. +
  5. A_FSB<18>
  6. +
  7. A_FSB<19>
  8. +
  9. A_FSB<20>
  10. +
  11. A_FSB<21>
  12. +
  13. A_FSB<22>
  14. +
  15. A_FSB<23>
  16. +
  17. BERR_IOBS
  18. +
  19. IOACT
  20. +
  21. IOBERR
  22. +
  23. RefAck
  24. +
  25. cs/nOverlay1
  26. +
  27. fsb/ASrf
  28. +
  29. fsb/Ready1r
  30. +
  31. iobm/ES<0>
  32. +
  33. iobm/ES<1>
  34. +
  35. iobm/ES<2>
  36. +
  37. iobm/ES<3>
  38. +
  39. iobm/ES<4>
  40. +
  41. iobm/VPArf
  42. +
  43. iobm/VPArr
  44. +
  45. iobs/IOACTr
  46. +
  47. iobs/IORW1
  48. +
  49. iobs/IOReady
  50. +
  51. iobs/Once
  52. +
  53. iobs/PS_FSM_FFd1
  54. +
  55. iobs/PS_FSM_FFd2
  56. +
  57. nADoutLE1
  58. +
  59. nAS_FSB
  60. +
  61. nLDS_FSB
  62. +
  63. nVMA_IOB
  64. +
  65. nWE_FSB
  66. +
  67. ram/Once
  68. +
  69. ram/RAMDIS1
  70. +
  71. ram/RAMDIS2
  72. +
  73. ram/RS_FSM_FFd1
  74. +
  75. ram/RS_FSM_FFd2
  76. +
  77. ram/RS_FSM_FFd3
  78. +
+ + + +
+ +    + +
+ +
+ + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm new file mode 100644 index 0000000..9d68bf3 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm @@ -0,0 +1,258 @@ + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
(unused)0 MC1  (b)(b)
RA<1>2 1_1 1_2 +MC2STD87I/OO
(unused)0 MC3  (b)(b)
ram/RS_FSM_FFd213 2_1 2_2 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_1 4_2 4_3 +MC4STD (b)(b)
(unused)0 MC5 89I/OI
ram/RASEL20 4_4 4_5 5_1 5_2 5_3 5_4 5_5 6_1 6_2 6_3 6_4 6_5 7_1 7_2 7_3 7_4 7_5 8_3 8_4 8_5 +MC6STD90I/OI
(unused)0 MC7  (b)(b)
RA<5>2 8_1 8_2 +MC8STD91I/OO
(unused)0 MC9 92I/OI
ram/RAMDIS118 10_1 10_2 10_3 10_4 10_5 11_1 11_2 11_3 11_4 11_5 12_3 12_4 12_5 9_1 9_2 9_3 9_4 9_5 +MC10STD (b)(b)
(unused)0 MC11 93I/OI
nDinOE2 12_1 12_2 +MC12STD94I/OO
(unused)0 MC13  (b)(b)
ram/RAMReady16 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_1 15_2 15_3 15_4 15_5 16_1 +MC14STD95I/OI
(unused)0 MC15 96I/OI
(unused)0 MC16  (b)(b)
nRAMUWE1 17_1 +MC17STD97I/OO
ram/RAMDIS27 17_2 17_3 18_1 18_2 18_3 18_4 18_5 +MC18STD (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. A_FSB<11>
  2. +
  3. A_FSB<15>
  4. +
  5. A_FSB<20>
  6. +
  7. A_FSB<21>
  8. +
  9. A_FSB<22>
  10. +
  11. A_FSB<23>
  12. +
  13. A_FSB<2>
  14. +
  15. A_FSB<6>
  16. +
  17. cnt/RefCnt<5>
  18. +
  19. cnt/RefCnt<6>
  20. +
  21. cnt/RefCnt<7>
  22. +
  23. cnt/RefDone
  24. +
  25. cs/nOverlay1
  26. +
  27. fsb/ASrf
  28. +
  29. nAS_FSB
  30. +
  31. nUDS_FSB
  32. +
  33. nWE_FSB
  34. +
  35. ram/BACTr
  36. +
  37. ram/Once
  38. +
  39. ram/RAMDIS1
  40. +
  41. ram/RAMDIS2
  42. +
  43. ram/RASEL
  44. +
  45. ram/RS_FSM_FFd1
  46. +
  47. ram/RS_FSM_FFd2
  48. +
  49. ram/RS_FSM_FFd3
  50. +
+ + + +
+ +    + +
+ +
+ + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB5.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB5.htm new file mode 100644 index 0000000..0166645 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB5.htm @@ -0,0 +1,235 @@ + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
(unused)0 MC1  (b) 
nROMCS2 2_1 2_2 +MC2STD35I/OO
(unused)0 MC3  (b) 
(unused)0 MC4  (b) 
(unused)0 MC5 36I/O 
(unused)0 MC6 37I/O 
(unused)0 MC7  (b) 
(unused)0 MC8 39I/O 
(unused)0 MC9 40I/O 
(unused)0 MC10  (b) 
(unused)0 MC11 41I/O 
(unused)0 MC12 42I/O 
(unused)0 MC13  (b) 
(unused)0 MC14 43I/O 
(unused)0 MC15 46I/OI
iobm/VPArr1 16_1 +MC16STD (b)(b)
iobm/Er1 17_1 +MC17STD49I/OI
cnt/RefCnt<0>0  +MC18STD (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. A_FSB<20>
  2. +
  3. A_FSB<21>
  4. +
  5. A_FSB<22>
  6. +
  7. A_FSB<23>
  8. +
  9. E_IOB
  10. +
  11. cs/nOverlay1
  12. +
  13. nVPA_IOB
  14. +
+ + + +
+ +    + +
+ +
+ + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB6.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB6.htm new file mode 100644 index 0000000..6486681 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB6.htm @@ -0,0 +1,265 @@ + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
ram/BACTr1 1_1 +MC1STD (b)(b)
RA<3>2 2_1 2_2 +MC2STD74I/OO
iobs/IOACTr1 3_1 +MC3STD (b)(b)
fsb/ASrf1 4_1 +MC4STD (b)(b)
cnt/RefCnt<3>1 5_1 +MC5STD76I/OI
RA<4>2 6_1 6_2 +MC6STD77I/OO
cnt/RefCnt<2>1 7_1 +MC7STD (b)(b)
cnt/RefCnt<1>1 8_1 +MC8STD78I/OI
nCAS1 9_1 +MC9STD79I/OO
RefAck1 10_1 +MC10STD (b)(b)
ALE0S1 11_1 +MC11STD80I/OI
nOE1 12_1 +MC12STD81I/OO
iobs/IOU12 13_1 13_2 +MC13STD (b)(b)
iobs/IOL12 14_1 14_2 +MC14STD82I/OI
nROMWE1 15_1 +MC15STD85I/OO
IOU03 16_1 16_2 16_3 +MC16STD (b)(b)
nVPA_FSB1 17_1 +MC17STD86I/OO
IOL03 18_1 18_2 18_3 +MC18STD (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. A_FSB<13>
  2. +
  3. A_FSB<14>
  4. +
  5. A_FSB<4>
  6. +
  7. A_FSB<5>
  8. +
  9. IOACT
  10. +
  11. cnt/RefCnt<0>
  12. +
  13. cnt/RefCnt<1>
  14. +
  15. cnt/RefCnt<2>
  16. +
  17. fsb/ASrf
  18. +
  19. fsb/VPA
  20. +
  21. iobs/IOL1
  22. +
  23. iobs/IOU1
  24. +
  25. iobs/Load1
  26. +
  27. iobs/PS_FSM_FFd1
  28. +
  29. iobs/PS_FSM_FFd2
  30. +
  31. nADoutLE1
  32. +
  33. nAS_FSB
  34. +
  35. nLDS_FSB
  36. +
  37. nUDS_FSB
  38. +
  39. nWE_FSB
  40. +
  41. ram/RASEL
  42. +
  43. ram/RS_FSM_FFd1
  44. +
  45. ram/RS_FSM_FFd2
  46. +
+ + + +
+ +    + +
+ +
+ + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB7.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB7.htm new file mode 100644 index 0000000..d4c0ba0 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB7.htm @@ -0,0 +1,264 @@ + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
iobm/VPArf1 1_1 +MC1STD (b)(b)
RA<7>2 2_1 2_2 +MC2STD50I/OO
iobm/RESrr1 3_1 +MC3STD (b)(b)
iobm/RESrf1 4_1 +MC4STD (b)(b)
iobm/IOREQr1 5_1 +MC5STD52I/OI
RA<8>2 6_1 6_2 +MC6STD53I/OO
iobm/Er21 7_1 +MC7STD (b)(b)
iobm/DTACKrr1 8_1 +MC8STD54I/OI
RA<9>2 9_1 9_2 +MC9STD55I/OO
iobm/DTACKrf1 10_1 +MC10STD (b)(b)
iobm/ES<3>3 11_1 11_2 11_3 +MC11STD56I/OI
RA<11>1 12_1 +MC12STD58I/OO
iobm/ES<1>3 13_1 13_2 13_3 +MC13STD (b)(b)
iobm/ES<0>3 14_1 14_2 14_3 +MC14STD59I/OI
nADoutLE01 15_1 +MC15STD60I/OO
iobm/ES<4>4 16_1 16_2 16_3 16_4 +MC16STD (b)(b)
nDinLE1 17_1 +MC17STD61I/OO
iobm/ES<2>5 18_1 18_2 18_3 18_4 18_5 +MC18STD (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. ALE0M
  2. +
  3. ALE0S
  4. +
  5. A_FSB<17>
  6. +
  7. A_FSB<18>
  8. +
  9. A_FSB<19>
  10. +
  11. A_FSB<20>
  12. +
  13. A_FSB<8>
  14. +
  15. A_FSB<9>
  16. +
  17. IOREQ
  18. +
  19. iobm/ES<0>
  20. +
  21. iobm/ES<1>
  22. +
  23. iobm/ES<2>
  24. +
  25. iobm/ES<3>
  26. +
  27. iobm/ES<4>
  28. +
  29. iobm/Er
  30. +
  31. iobm/Er2
  32. +
  33. iobm/IOS_FSM_FFd3
  34. +
  35. iobm/IOS_FSM_FFd4
  36. +
  37. nDTACK_IOB
  38. +
  39. nRES
  40. +
  41. nVPA_IOB
  42. +
  43. ram/RASEL
  44. +
+ + + +
+ +    + +
+ +
+ + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB8.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB8.htm new file mode 100644 index 0000000..c6f700f --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB8.htm @@ -0,0 +1,274 @@ + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
fsb/VPA14 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_1 2_2 2_3 2_4 2_5 +MC1STD (b)(b)
nBERR_FSB4 3_2 3_3 3_4 3_5 +MC2STD63I/OO
cnt/RefCnt<6>1 3_1 +MC3STD (b)(b)
cnt/RefCnt<5>1 4_1 +MC4STD (b)(b)
cnt/RefCnt<4>1 5_1 +MC5STD64I/OI
RA<2>2 6_1 6_2 +MC6STD65I/OO
fsb/BERR1r2 7_1 7_2 +MC7STD (b)(b)
cs/nOverlay12 8_1 8_2 +MC8STD66I/OI
RA<6>2 9_1 9_2 +MC9STD67I/OO
cs/nOverlay02 10_1 10_2 +MC10STD (b)(b)
cnt/RefDone2 11_1 11_2 +MC11STD68I/OI
RA<10>1 12_1 +MC12STD70I/OO
fsb/Ready0r3 13_1 13_2 13_3 +MC13STD (b)(b)
TimeoutB3 14_1 14_2 14_3 +MC14STD71I/OI
nAoutOE0  +MC15STD72I/OO
ram/RS_FSM_FFd311 15_1 15_2 15_3 15_4 16_1 16_2 16_3 16_4 16_5 17_4 17_5 +MC16STD (b)(b)
TimeoutA3 17_1 17_2 17_3 +MC17STD73I/OI
cnt/RefCnt<7>1 18_1 +MC18STD (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. $OpTx$INV$223
  2. +
  3. A_FSB<12>
  4. +
  5. A_FSB<16>
  6. +
  7. A_FSB<20>
  8. +
  9. A_FSB<21>
  10. +
  11. A_FSB<22>
  12. +
  13. A_FSB<23>
  14. +
  15. A_FSB<3>
  16. +
  17. A_FSB<7>
  18. +
  19. BERR_IOBS
  20. +
  21. RefAck
  22. +
  23. TimeoutA
  24. +
  25. TimeoutB
  26. +
  27. cnt/RefCnt<0>
  28. +
  29. cnt/RefCnt<1>
  30. +
  31. cnt/RefCnt<2>
  32. +
  33. cnt/RefCnt<3>
  34. +
  35. cnt/RefCnt<4>
  36. +
  37. cnt/RefCnt<5>
  38. +
  39. cnt/RefCnt<6>
  40. +
  41. cnt/RefCnt<7>
  42. +
  43. cnt/RefDone
  44. +
  45. cs/nOverlay0
  46. +
  47. cs/nOverlay1
  48. +
  49. fsb/ASrf
  50. +
  51. fsb/BERR0r
  52. +
  53. fsb/BERR1r
  54. +
  55. fsb/Ready0r
  56. +
  57. fsb/VPA
  58. +
  59. nAS_FSB
  60. +
  61. ram/Once
  62. +
  63. ram/RAMReady
  64. +
  65. ram/RASEL
  66. +
  67. ram/RS_FSM_FFd1
  68. +
  69. ram/RS_FSM_FFd2
  70. +
  71. ram/RS_FSM_FFd3
  72. +
+ + + +
+ +
+ + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FBdoc.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FBdoc.htm new file mode 100644 index 0000000..36e7c96 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FBdoc.htm @@ -0,0 +1,310 @@ + + + + + + + + + + + + + + + Function Block Specifics + + + + + + + + + + + +

+Function Block Specifics

+ +
To access specific details for a particular function +block, click on that function block in either the Mapped +Logic, Mapped Inputs, or Function +Blocks sections of the fitter report. The +function block details page displays a table of details about the particular +function block you selected, a view button you can click to show a graphical +display of the function block, and a pulldown menu you can use to select +other function blocks to see.
+ + +

The Table + +

The View +

+ +

+The Table

+The +table at the top of the function block details page provides the following +information about the function block: +
    +
  • +The +signal name 
  • +
+ +
Note: +Clicking on a signal name will open a new window with the equations for +that signal. 
+ +
    +
  • +The +total product terms used 
  • + +
  • + A +list of product terms
  • +
+ +
Note: +Clicking on a product +term will open a new window with the equations for that term. 
+ +
    +
  • +The +macrocell number in which the function block is located
  • +
+ +
Note: +Clicking on the underscored macrocell number will provide a graphical display +of the macrocell that looks like this:
+ + +

+. +

    +
  • +The +power mode
  • + +
  • +The +pin number - an asterisk "*" indicates a user assignment
  • +
+ +
    +
    Note: +Clicking on the underscored pin number will provide the pin layout diagram +for the highlighted pin. Rolling +your mouse over the colored pin will pop up a tooltip with the signal name +assigned to the pin, the I/O standard, the +I/O style, the slew rate, and/or any constraints assigned to the pin:
    +
+ +
+
+ +
    +
  • +The +pin type
  • + +
  • +The +pin use 
  • + +
  • +XPLA3 +only - The GCK (Global Clock Signal) mapping 
  • +
+ +
Note: +Moving your mouse cursor over an "I" in the Pin Use column will display +that input signal as a tooltip.
+ +
+
XBR +only - Below the resource table there is another table listing the +Function Block Control Term usage, the product term mapped to the +control term is listed. Clicking on the product term will bring up a pop-up +window displaying that product term. +
+
  • +CTC - control term clock
  • + +
  • +CTR - control term reset
  • + +
  • +CTS - control term set
  • + +
  • +CTE - control term output enable
  • +
    + +


    Below +this table you will find a list of signals used by logic in the function +block you are viewing. The +list displays output signals as links. Clicking +on an output signal link will open a new window showing the equations for +that signal. +
    + +

    Note:There +is also a  +button +below the table. Click +this button to open a new window describing all of the acronyms used in +the function block table. You +can select either brief descriptions or more detailed descriptions by clicking +the "Verbose" button at the top of the window. +

    +The View

    + +
    When you click on the  +button +above the table, a new window will open with a graphical display of the +function block you are examining. The +pins are all color-coded: input pins are green, output pins are blue, and +clocks are magenta:
    + + +

    + + +

    Right-click anywhere within the window to pull up a menu +that allows you to zoom in or out for easier viewing.  + +

    This menu also allows you choose to see all of the input +connections, all of the output connections, or both at once. Like +the pins, the signals are color-coded: inputs are red, outputs are yellow, +and macrocell connections are aqua: + +

    + + +

    To examine the signals of single pins, simply click the +pin whose signals you wish to see. To +examine multiple pins without having to see everything at once, hold down +the control key while you click the pins you want to view. + +

    To view the signals for individual macrocells: +

      +
      Click the inside edge of the macrocell to display its +macrocell connections and inputs.
      + + +

      Click the outer edge to display its output signals + +

      Click in the center to display everything + +

      Double click in the center to open a new window with a +detailed macrocell diagram

    + +
    + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbsdoc.htm b/cpld/XC95144XL/MXSE_html/fit/fbsdoc.htm new file mode 100644 index 0000000..04a25ff --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbsdoc.htm @@ -0,0 +1,103 @@ + + + + + + + + + + +Function Blocks + + + + + + + + + + + + + + + + + + + + + + + + +

    Function Blocks

    + +The Function + Blocks page provides a summary of all function blocks' resources. Clicking + on one of the function blocks in the summary table will display the specific details for that function block.   + +
      + + +
    The summary table + contains the following:   + +
      + +
    • The + function block
    • + +
    • The + number of macrocell used
    • + +
    • The + number of function block inputs used
    • + +
    • The + number of product terms used
    • + +
    • The + pins used
    • + +
    • The + local control terms used
    • + +
    • The + number of foldback NANDs used (CoolRunner only)
    • +
    + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbview.jpg b/cpld/XC95144XL/MXSE_html/fit/fbview.jpg new file mode 100644 index 0000000000000000000000000000000000000000..7f43c6885ed5c145281b4c8ea5611471f025fa06 GIT binary patch literal 2652 zcmah~c|6qX8vo6Vv1QNFAj>erFj=z|5@JM_?AzGJl58UiS6LFWjXhg-$B|@-tS7rk zwv=V2;WCCALrRoTxz4%w+;i_==lgk|&-1>Y=lgu0@8|vJIU*e`13Z_FOpE{!2mql$ zXaG2(00zgezXuo$0v#U^_)q+G1OG1aKMMax`;-2+`KS-zWd+cHA_N2nz`P&`FX(6p z5CH%X^w{lRV1qKVu(Cr=92b>&01y)x1cri{m?3{Kpb#cz7BDM_O@Np0B)=dabV?(< z6(%W-RK8@FH+$Ry``st-Z}NXMS)dSBw&N0<7x=>@7O_q$#w?#Y4jG!9IG$ZQPXatVP_xsyK zF}LGzHu2c_rN`EiZK6UKhvpD$Pa3U#WALzW-F)I?(!GC3X61i~GwzHqrm%=^2VblT zUud8o_`x4_dL&x)UJ-xst(Lxd1Oz9|R*|WX(}vf1R4^dOvtMdI!e2E5qHMjnVa{;RP9=@ zRuUY&eD*7+Egf?JHHwf;3-=JC)BFy2*py zgfd&UWQ>SKAM87RrbK?DrPlR$ZAWcUYN%pZ8m| znD#c)PkCF+*60H!*mfzY44aWmL_bThx6wDwE=D#txit&%s@G4s_BL$oO1>;3MzFMF z*@gp=_caK7DTE}ENpY`tbLKN<>ntAt&Y;TX?{xLA2t8hP-%F{g_Bp|xBOVFz->aC< zPnVvD){Ir#Xg*hm(8Ds_di?2bTjBnJA@owXLr)9O1MAetB`AIDBdk z`?7plEc*wl^15PksbEmig{*ChY4Xn{?ph&RzcBE~^q5L#t2luZ+KlG(ixtfhX$QZW zPJS?PGov7lqi7-s#p$8yi?ye62#7i9nfUbwV`OiAN2Xj}yPGTW+u0bYm9na*Kc0)* zjro?}Je_CRlVZp91EzZuDg%!?{}EBx=%x^_ukiA7pufG_KkAyQy#)xPjBmRG=NAWB zLbe-UYLlp|J8MK1wa$6RJ+2$5iZ5R$8S+Y$NRvZ1%myrs{pYNcVc0*Pq?$e>I6tBh zkh}~Fr$=Ogdh;OJK4O4T^jI!eRLjMx&qI1*3BI0E7DVf&XW>Qlz&qnQwOUJ8YL`2H zPw`rp0(EXU>}^G|DVS^Q6e7*PpM2Z1c4b2a0yktw8>vMqEA&s>wJ3ekU=SlUPFLg_ z+c*iQ&72NMq1YO&_PcHT?B2+4bLO79Hv99n4qqpIQ7LX5?Pmf*S~lY15^YQL7Kr)# zjdx2WC%)y#rRZx<;!CfDyUurzN5A`Avf6qDLs$vrPkfNwZ;&D@`^r1GFsp%z%xiYG z73){$lP(PmHu!fmdoo!Eyz(29hU}%nXZqG$Hf>0%VRDojVCGGmk|swB=T|LJCm`rsqy^WVk_*=gb54iimKPt7(DeY!ob z+r=Wnzodt;AEekrpkY53Fy9%y9qOJ2=$sN&`Ie+I#*Gs#eJ%GE-a?+GQI!q?$mK6qxa@aX;2Za|5n&DICuJ#T{v`opPwRp zJw~|qyvsc=sn@Oq{mLc3G~HK;8?%Il{9n!7HKla2R9dSuG2AC=2c8s-UNNR3ZN;3a zYn5sS*S#gq_*HbXx6h|;I7r=(uNpE()o01eNs5*SI2bqKs529n zgFwFn3KmcqqnV}kNcs(28RU;%#GfXC|#nEG84#RZY ztC8P%t;9QN$Eyi3Q90ac&W0g+(HeryE4)b3Fs#}jfz~6#uVCXDtvW0&@i_?QwM_Qr z8zrhOH~fOqw>G8VzKED$%=O3BHg7Naytpnbc|!9^{pKJF``jUE{5@-L;8i{NwqIhv z>7GmzvlT8^Fh~ZPEHP1}1=oStuiBXRVHi$gk#8C=yI;%5qG&W^@u@aUGfQj~$qm%` z!w^cLs^Ul}jtToY^hQyo8p3hpluGo5eSrFzj`y;pA>TOaK{PHdO@@%$`+d@jvU3)RAfQ}E{J*5P`~A|5EFFr((k$I6%>vR(i*zp~r63~R!h)cHNXOE(bT=q(8f1~~E)hX^ zef|7?^T(VyXU@6vJonyb&diz7fT>GK+HzyNVEqRD{{{zvP#_Qhf`C8}I0%9QK>!d8 z1cJdqFcb&|fDj-M0uDl;KnMVY1c8un5E2DK0w6R9gocCAC=eO|VL%`Z9E3rEFu*?~ z5C8`OC=dYr4-y22fKU(+0D*uY5I6*af`po2!e(~&?pERfM7rn3><<%K`_9-=pX!PzVr!fPfGXI0Aw~KmY^`gn+>jFcbm?AP^t~0**kS z5C{N)1R;=c1QLZn0thq+frcZ{C5#K4gl6cPjcQw9mZkpKz_0RNK+1V@8VXb^ygfY1;)8iGPY05lAQhQZM=6dDGg z5g;@Ijz*x+2mp-)p^WYNR&5KZb%`D@U`gU@(DR)NOgBu5kDR zi%R@sSbfoG8n+S3%G{6=1jFV~tH7arF#FT={3`A<=5_#5QiH?*-D{RPXN;@04?d++|#~y~=LL&|XSrl=FVRXpn>* z>yY9emtC=H4M;*CyHY}`O39ZWYNP_fIo_nL$^y+j^*BnIEEWo#Ks{*L@#VWq*Wo9j zQygV$;iM9KQxeEE)l{ZsX$X-{kL{-v353oy#Vhh?ZZ-!Fmo!{GecL87A}-(J=R_5) z>8ea`Z8Ou{^d0e^Q3~`9z?pi0zn-WzSiVF*8GzQO*5E->%LBC>N<@g?l>5G@5bwsTKB)HQ096?( z)>BbC1n?eK))NbbRWwJz?ptN{o6t$QUE0wwmyLxH@SiJ*K3*MM>8a~!q1alvVI_g;dh ze`|XQCVid81(ud6SzmE<+zLd@iN8*=B@1Y@{EntZPtA%5m4sGp#0;XY3 zfHlEn^de|ugo_+;6NPX=NZNN|{g7IeN8WEPShr(IV-OD2uOWjaZ8y7lF`kQq{HX%B z`(>qF;K7n+6N*E|rs~_HMs{N|@#fv+yOXxx6L+Uw1dsln_0j1)hqm!u6A5Z zno`|gO`Gc7|Ee#)BK)d^6>3gTv6$OJ>*~ zJe!9P%`-^&^$|i_8yiJz)S^sNFd4-kVoT!8-Oo657A^FRmCUD1*=1lOd`#Qs5{NWQ zAlXQ!ZB^L-r;QA7$fO37MSfAzbZ$G6#sxtVhp0Xh4nigB5^S~#=s&xVz>FWo;Xck| zoH0uhsXk9~btq)oBpjBRs7Z2iu*adfQ8G(RNl=eu!%n3mV^L0}jg7<;qaM|Cxj74( ziXFshG8;nDpCSjwA)J!suw`M2aMBzW-1dcM7PHF8xBL!bHsBiz8dB&CJ z`{Fr&tbpxd+OWQh%qCJ!XpHo@o%JNG&tw6<_i#ExilW~tkrVb(D%e0@!?U}rcc`275-hx1#>y)ory0ko4L1>eIZAhX#LkwI<{ealR|dV`%&$P0HNe=ulZqa-$GU;Aa& zObJ_4xz2YgO+|AuRK|IkTBRB>7HZDS;a6ZS$Pv3j#$?N1xZ)9ig#}H}n9+1!h4VIv8FU#G}RhV54%n+JkmeD7;(=k}zuK>)_|a0Lv_!>7m98Cni75<;|El(+ixqu z%;!kDetlJ5uJ&_J)rD}*mV#+msk&pvk$#P>3>`lazh8Geuzh%c9(l^z87F5Eo5D!g zs0L{)`}XrVFmGCvS3;2aSq$Jcn%itTf zP5*C49}P!d-DO9=tS4WDPX!2*C`&KVT8~fZ=rsEX0;h(A)qHYuM|vNwlY14=&VHSe z`um|m`7BP}zsurBmTi9rk#5<+oBuwed`KH^AGhIvA=}?&U3~RUZpcohA31q@*8QjI zD@AGZt|+l^@yTDHh;X6e8;O&6C2h`yrNQCw+1ts9G{3wc(N9V|#B+8^O(VK$HySN% zA3w~0zbJU}+|AHQsFE&`qZq>-gBS22gWSqXE{&@TxLp{h!1Z}Q)9i?vZ%9v7wPR>{ ztn-WfYAmWc_gBj9OA;sl&S`OpVxLSU<{HB{q>rx)9bvs~C9kL1BL$jj?o=#AT2POu zw*?Z+oHXj&zb&XYqWj&ooedcRsftbt>KF~60wNiTjsAX$LHZ{3A_MShX4YxlELf(5%#a0of+x>dx#VrhE7;h z{SF>KH}*dEC7RVXJ+k}tf^hJ$I>i!+gF8-L=P}RpnRI!mwtMo6L*ehKYY8Ja6^~#? z8U=KgL~Ga0t8XibvH22MR+U12clL{72e-9W-(VgYoTmNvcRl;1;JBCOE$reB{G$)t zRg-eJ{SFAZ{eb(Mv=>mms-bw`8UP5f!U$Ny@Rh=5f4|kO3uQkKJ>LyJBM5o47s{v{ z%3|v}@cRv&Cb)s%ZA;8M{F_HlM<{-9g)ndnS4)D~7r_s7;oRH=6*F(D3d4v}!v#ON zJ`oOOoq5-~OTkb0mJA#&e*+#8XPXy#zd`qY*g0~sG?HvOl=>6P!a}4DGO}xd5WW|z za}${Yj_Sh>myLb*pDBf{Sujn$P!}CRHD#FOUO2=hT(}G@wg*-k30^OaN*9j&wHs#E z64R3z6;MWR)xsjB8P($)^(0?}gD^&uJM{W@Od>c|apb+iUKD|5G!0gq{$31mcpL>* za6cD+1o3;FH+!)~X7MFK@nxUlQ7!S6i}BSr@wM~`^->9qW(nw^gqBYUZ7m5Mz+ytz zO+pWSVxLsvfLY>D8NEmWRezm=DI2qXKJ_yr>^;_}3kDSO^)wy5kk_fW^R?_gtVySJ zPah0$c_tx7!cTw{Y_+wghd#*$SYqASG={0vOV6-X3?XK=j5P+>s*{WyAX@XfWJE0^ zM{RPqL5jLv$_SVmQv3K6OH3B)!=pdRKd?Y{y-;y56T@p^oc*Ub7tHFE)Vg+0&CZ#6 zr&8AzQ-?LdkL|=DSZwbNc=4x@^qct3tgJ-BOag!S)Hc0cv61|BX{83q8dGsbK5W5L ztdKQ)SRSWx9g-GIt1C_ajg8{&j0~uKgkMMYZJGmJ`&55DU2&8u$(!RCxZrT=rJAh! z`0|`AGL_j@gkrLn3eK9Y|2i|NfJPI^ZioeXUQ6Z!0y)M-bJnw&urkVQNJ(IU?xqq0 zgxSS>*wpMHDz+r6Aa<*KYFRtRuapujh9ABfWB?SbMsZ>W$R|pySxsQ-)G&_U=O46x zFb>tH;^wEeoiRQSW7eF+z0;>!#?G|%c~Zuj2};3-om25lGKkowqEaD{GctHw=Ct&e zS)zm(Wyuh>v^fTFIg%@-fENQxSor*9{WExHL*lhz%r-;9Liv|N7}z(A$LJ=vDK`3Q z6x=TS^|!ff(4~Zif*K^?;u;U*s zAd{pLAUG$3Q9#LR39t&Wo_a%N!J@8|M4I}zkT6_%(L~DE5inb+Z!VeCGCVc)*_ZWD z!#Mtxeg4S{vgec7!q18(>qX|zMBL8F(B5PVc|sg1*w@_o-SonQX5qQ96bzRf5>v%# zuM2xA1zl?kIbI3PhY1?w=c8@NTzyGiplFHgsoEwPf(l4ry=*GIqOS-`LgK}=LPCwSv_%CEh}sND!)?gIZOy7c^Ck)MCJIgw zB5f*%8HtkfDpOeq9NCF{KvmPks4O>>Z5nDZOQLcKwM;BS8x$&0_Wq|#bv{mfjAr%k zKfco(fk(Gq@mDJNyDBHVHRl#J34S$61DdyO7Jrv&9&T$uB(>b>$`!wqaqpBBuH0gr zku@_-O=bztLav(LIeB+T=y3$r`TNnmHqd~(k-YY3M|)z>L^RF5 zvdw;$%>jJPM+5eAmoIk4z-_9UtTB$+kd`A{xgcX*80YiufaXL@%PVcNW(uNYOv@y- z8b-xFExXlhM?DMEntRwnzO9bUX+tIECui#8CTsdv%2r;7a<1D7T3C+iP8SwY57)%^M71+DDAk35~mNnYty> zcI|xKcNN_~Xo>!ex3`mY4{3K`Ew|%G2WUHM=?@251?pG%>F?GDbq>nAn!e$6w0*r{ zSXeAe!lf6DYo`x!tu#TGG+GNZYMIgnuA~`2@svKz^=05$sB;*lQd=%3m}f^RBOQ$i zFtxwostTk7U$>Pt_|1iApKn0AP)+@XGaZ5w@OiQ48|j16PDV!lMgSmYWVG$XVyX`O zaw^_+vgXib%`pqvQ2y`QUUQ?#)}9a7C+PE84z4bnI6${4VBQuAq`Rn}6~f0pTTS0sls=wY$d)TJ}7_S^Sl zpzU+ImvOWl6{Lk~KvrqXdD2l8Z3z$it3z?u0k$;8!ncjS@)%avhs?GS1~@MKaz3fl z;+|DcI`d4X?ouQ$(nxQ)YByvTHx!FDUnxo!)0@NK%J`T!>L^+I!8qHiy z)+UZg?sQ!pc3o#9kQAy^yK;F&FPoc`#*Du|DGK<8)^1ms=KZOvd-akm#>FSWV_0SK ziH@qvys!AN*Ib$lKCM}ELp7m9)01VdF{&7rx*7G#7iKgjJOt>UGgcnMlSI^recF~) zN7eM4@3@B!Qw_d+%`<4r5u!$){SNJyWOGLxK_1T5|Iv2VMJSsr1dxgiPydzQN%Ufx z?MYeiaJ$!a2ywS`9@sC2S6pj`JDQMY_~zouQ%Wjh0<^rf;pyr_OL$t)V(V}C>Z$|s zZxO92NtN~^!%-kkCUs!E;FdOI{Q91SNHjc47Wcb4@Ozk ze?}&>ME2~>FE>Y6FTUTqe@Ca({&78W!#Q@BEF#Y3?e%`BhfDct&d*hT@J=WA9m(-PVSXFUARLP@Tc!6Qp`BoP+C{Nh5Rq0EP5!WnBNYR@Fit(+ zI8E9Lpn_FZdc3oewx}vm_ zckn_(2*_7l6~2fugAbB|?MK3cwJ4k)Vv|0Bp(o&Z`Bg&hO?vPOlkIls#1^YkVSLwK z;>up~!(IyWK2l*n-FiPGbpKQCe%47z*2;eR#C|UGL4m?SZZ(Nx=vN9mu;t~tY;|;? z0=-uky_ytPouJmDhH=d%EnZ8$dZLjU<+se_z!_g%3 z@wCG6to8AH=<#CiF|gEiyu5O}@^HMye6pc%vSodO+N8W}rAjNO8E;`zU}e=UGxZPoTe?Ry#&SRk;MZK941B9yI3B%oO@`q}jfPY)HbS7BrU8MShz zr+yX@D;3>28J$QLW8ZnZCp`t_#qup%6g$zKzL@;QBUz)oY+)9$^T)~?j~7#==VMu| zuvld;9s~OP%r7ZmXHPUoY5v4L!BK>$+p%SoLq3a8$g-2bKBV`seZcmi8%$+T!}(CM z&a6I_B9_nERL8hv8?}2*wWoxA79-9t$kG6VAPtx)>?yx;jp~FT(9_qJtP(<*6*=c0!>_^&U>vb?!^>R4(#a+P3&rZcJ3i5{MiIh*rcye(^yZ88pRd6Xr za48!H z3QOyiS~sqHE_*s4swe-u@#MKwenNw;0>SnKyNaUhEW0wDW8+lKPNcEE2G>tA!)KdC zVn)vie!bF#N#gleNDDd&85`+A8;oM~9sT(=H4x$^=9X8NGv;12XK<^)ZJPGGH+T}J zIuRUbz|8)G|1Uj{P-j%VwU}SZgBR5biD8~duYre z7(maa;4inau2%$?8`21VfV}W@CFOSyvuyI6@h52^>id17<<1_+V@8MYBTC(_Bx~l> zt%Fd?6icM!{qcLpp=u^%-W`!#Hv-S@3BuGIz;TeO520>YVKJnl zRQLju@5v(9aQsD>`+|nTH`kZ3#9Js2%9CxVrA@&$zZ)Q`1%YTyBy{>&IK--u$h9_V z=q6fxZA;sY$zxmxqND^P9Ei!?UwaJyq?Y!K~f1OG)vNFoF*U0J2}sLcy>gQ3mDcrP27lP;uxF}n()CBD zeOYjGmRpzpuYXcB_abD+-)VXv*lGMLfVitQW5OHrp6SN=guzN@Nz2)9hVV+YIX7q? zn8acLo3<$|%H93V%%^E|SyL2`xSux3Ps51j_Tyu(w@g+WCJ_}QKq05EG!dDZzDlV? z6`pv3?2CPq%(jIl&w#pwpDsr+v}whzI)hBs7s(49+iIt;=d!IYx~QcT98ceDGrhc> z2<$xhh#PWj`SRgppSFkOy^;g{t#_z>=Vw8`~UBsklCu6IF@@0$;r?JZ`j;o&Y zh1l#aUDYkvLftE~Wqpj9S8hR-emTa}np+7HV= z2!D7hxAlbX^N5CoFEWNSVH2rke#Ag)Mn$s8tisM{VhzoB8nO*_{yc7hf0;S>*l2Cb zc*Lao;`3aNlf=u{-#tA=v)1LDr59Su97`^<4=bG&hFkx8oqP#=;W+;(fA?cDOx-V^ zs>)f3Dr;Ef-ct|3m}DVlQadN)0VPc^A0i@n^;)oZ!#>Q^n>hZ~I$>mjon4o%FUhi=2_)SANYe$+nJlGObVq zEz+<0l@ykURcu->w%TV+7o&qmFFiLc(pyXLN@doLzn`9K(XHH<@aA};ZuZ)O+k&I0 zdb*iswA`C^eLpp=mFxcbPQW~Kl5rBmzIyse#=0%-x7;qt%3}5s_T{@>_gw?U;2#Ut zm(3sUk2MtF3;$WveU$K+xYYfX&1q8G?s;@zdAK>hasQhlxqS}t#B8put62C6{}tbJ z*QbI3!}mK1Ic<82F5WNeRsn^a_~l>P5l@=D$0VW}pkAfH(gz9|z~z0#Uz>;Bmm|E+ z0#@!K-;|gyMoPD5^^9t@B)IUm;Vcn{G zL|wyB*$Zq6-vkRu_4cyPDsqzcaPcei8n?2`)iV0=toBI^YKz}&_C3krwmETtv+WIYpFA2lRX_@UM*t3Pfk4{lbKrb(_Db|`+6TqRb$u@@1SQ-$9t zhZ@y&S*SW>qfGN9`xNv#|MtUP%tfs)Z)t z2fC}7_^FxVRxVKTuZ_sWwF`e*l0X@@oi24vFp5aKcQGe4b}otc@TwT%@Q=F5!wBWe zMXG91yw3}HoQ$CKn1+^!>i$0A*#cfZ5_ImXp%)>%gX48#Tas`cwGxqGR93U5&aieQ zb$6fi3e#}r1z(V{YI{Vp>0dd}TK9AQK@A+x)Zwsc4wW09q9?oPR&6qZsegV+c(kC= z2uDFDThoah&2YqDEUQkK&2z#e18?9_%u%vw7s0C-83~83P_`%Fbf6C9FuWaE4fXm( zx$JmOvgn9;-U&!{W|EN$_byd$*si89UjP3Y1L+0YMYY4NN~Ot@$wQ? ziWOVc=Dcc8T2?N>2#Xz7dSM0z48On{o}S z6dJ`HNVI5Fl}Zv6oa}kC?<#f-Va)0LeT9?Y_INc%HY38r=rY(k? z()(cz^%po{XH<&#+s(ZZa(zaMQAWdr9|ufTdnbrt^8BJ+h0j;&`wI(3dh7MFF;MGG z{h@eSrw)<)4o&hW(qA!ZZ&a0-<(g8KRj+1ROVj#)2G?S+J35ZK>5Rp5+W#Z-8#(wS zWi+EOYN43m(KO*Zksm))?xr}=rnN^2t8u8>%Nir>Zygb7@{Um0z^PoYVK_8L!BR823thHXn|6v!sfP zBimdkpb|A8d{p-X+lVB%@goLixjX`ti1e-ye_xl;SA0?}43*B{lTMLq9V_@gm#+Q! zK#S3DNdHOyMZegQicuA0PVv4A%U$3rueLx)tKb(AvVNg8e(|+1QN0&N@aMwL{o;2; zb35$3#CMfP7acPy&wq3zn|&1cIncjyA)Z|^(PdTj!CrcgRGef}q9}cwf=Qys7dGlv zwS=rDxNF8aG%DuTnuaK0mYd|h)J@{nNXs*G2a6bhE6tkf3mywm;qr%8RX-mPe;h2! zU#xDWlHVBueVnbk=3ZIRSZ^0H!QZaV_e$?!W~P{_AA7swiMzaXP*rc+V2rpy#+Jf2 zt15weL+>J4#SWR(_z{g6qvtcyz+9F=w*UA2rSEfw(sr}(rxjw!%TVSbROiwns&mZd z(zv2YoAj_%YH@I_LUAukthCb1Bp6z}xs*p$8A2vZqf_;-cW@l z{6%9O_8hu1zFqqZDn-YrO2%T09sOt1Y$>Gidb3e7T>!PEKzBbHJJ^(+#DBI$CT={? zJ|h&otw3fu*MmLUwIoiO!%yTPq>rgkg^KUU2)WHv*}fe*S{|5{k^h!1QHK=UdaTbW~8&-1n`n-dHA}pHkaskgFchp2=h~3YuaQ}2cDAmO=jJD@GoAgg0ppWQ{m7R7#oO3V9J97Y zk6eq0dqS>|Y1tB$_}-S3`RlgQC&m*ShM~2oy68tGwiMQxtn)T3CHZ8!nU7319`7)* zNvzW)+mW2C^A4@O61HUswX58>V?(d=;Xef{&_6BNfLyQfWY|DXm=J8wPF34Cf<&f{ zdFk?1Bz{C;%+h+xsHLtq(IcC(wpx4F~TZuLf>d}Onp~SHHcv22!>@+3Yj|~d~1xkH3cHAep z18AN7Y4`jCa9_9-_%plsKkP;ZAibH1V{&(6OLk*USe1M!{T?{i@|<8U&YtSd<`yo( z*e>sFoISeOV?CWCO z;P59$CfsrY?@w4w*$Nbw@)d8LGcpd+a}Nqi+&uA}KUBL2w{7>N#=mvh+W*WfZb76& z>0WLFY2@c5nPvPLGK;_is-kuTv!Z*d{`k+Dm5S8apM(7;^=gE-sK=&=6f}RG>_NvR z#0ov4$Yh1=Yd=}FGQu+2V>tTscE!uZ_mErrgw|wx!o*8U!3$?NesiaYGuUfRH~H@( z+3HTx_Rgsj+vyMH7izigE1YSoE16dU-laDu<)6IDqJRZ(5*O@xN0ZmdRMzZl~25t z&_`zY7eYiQ#y>6?_JIpDcd0sed8)`|ip-^0H+Pcqr9^3AKg%mo!E*O0ZofB|Nqv`o zjInav8S-2#3W^1CF|TC0FQvN6L}CggG_PWbk!sG|k}kL$j6B>jT&iEMWW6|Gl78Gv zeu*1Skfjf)1(zK0S9*$E{zGLFE(PxETylZG6yN=FdGBvl>Zj)X%P_N8KjxKM=B2fw zpXll@8-f7S)l0K?mkM5`cEF{SFHT8%sY^ar0ArCMUAdyIIkbe}1nhUF4?s zUa@h=n{sKHmIrS5Sp&cPO@9aI{SKt_H*79rCw|4(9RKFSN$wo8cYYydCo&v9azp?pFu!e@ssnd{?AA61xLOhe{+Tyf!m@ z(Pu$bLN2jhBq5SVU=8ov70)&N8+x#BTFjrcuf!Rq=hj2smJ=5%J%8{@eLs3_fBxXW z*nM)Odz<%xFs^$mU-EUa;7zdP8=HVP%dwDy$=3yX%t`Mql0Ix#=%rPa{z2{DCQ@Y8 zxg2LYyOZ19UYxy=U=5}(|6_i#-R`xG)T3cjybF$bQz_**LmTp|>(5Q{_Sekd&gOWT zD#uOrzuG2$yWSBuE)l~HJdUl4AbJ-l8}C*iSR8hDN1H)P;92+5so^x3B=c^V%Sq|s zZ=J?l+VbGhQjzs${Tk`J324_l@W+s+R=fe*Ve z4||yp`=t*DK=Z@l@Waud;yY8|ejy0~BBbDW0RMF!rv+K!5iLzS39T!Kw}B{hkgC}#;Y-x&N1EQ* zRS0HwivcM^Lu5RHSi*`Bxuq4&pfO$PddWGFBNKRWw13GpnWr2>33a>To-V@O1jSN; z*Lx!g$yUO7VGh0O)Bp$U{81RwzhBlc?7RvaN=kg7DPOaItWOis#DR52S!F6ookogV zD+2AU1I8X+6`~`TN=UF&8Fi*=r$>-nM%5mFM0UPqNz$oWc{6FYo8SRd?j+tyow%vg zz@H_j?3dwpw3M2E^pY{}zZfBFU!-V`savP9_nOJPj&Eeb0m8fpUpgmgb%+W!nDKUmvlN%bE1M}>v$j0}S+MuF53L>G< zPmXb9Z~kz6vW=H^(t~&m&MVd?sVy2&yk4;KfE26J=YYdA4Lt@Jh8Gn(Q%AO301`E2 zux-RcM5LGg>(af?q^ymGIdq`F-_8tJwYYdhydd;>UI7*v%-iXpKDLrdnj|^hNy?$R zsq?qQQm~gf%Jj^MfW1TLHM+>w0qq($8_i@G%ExAT0gIcIDszt@09W9l$@G>9UiN8-#iGTjd@P+B|xX_du(_67#3Kb%j~Sa-+en^M$B47Gn80Wy#lblbs{h6>*clHo6HuDtIcnTRFUl% zY1V6xD3qlvZowo&E@sRaU3!(KIE1IBj2`T``x!D&`fj5ODxR!gc5(#XF!D@W{Vk?g z92l^2Ets`q6Qi+v8||<9m+)n-lxTfbnHFYkNyCnowb!ODR6b;cVpEk}PCQyrOs-JB zXsfyR=sRbirM+%gIu&A|R}NE_{`@L>s7RJc;iWW%H3{X0SsJQJ)H-t!x+bx|&cRrshB5aSs) zpEit^NZ0*HZO6^rSNt*RNBqmY4aTyg&6n&}u)I%%y*GF}Q9%|jls&;!(yt?U7*QM& z4du_nk58kMHhz#N%&7eNrWewulRulKPtl7@EaI(2_f>yKY=*^wNoko{t)ry(+?&18vQ2^GuRB9dI?FKo<>D`t0+Q3AvFTJACGKaaag(F@xG z99<12schT02@1V0VjS#P1x9XI!0hWK+!``9f? zCs8#aB$aE0vjM$!(=~zjhigQ4kw(?ULZ6niUy#1~#J#HN8MBJBJ_pf~8sKCm+R^>X zOcty?C@@b%XuX^Z&NLem$Sq0|-n9O?)iMky&>?v2vfCDkll>}gmJd=?qu8M??@1Yj4qu9`;mkjT(Xz3C8$z;vAT{5otILDz)0nyu z)t5z|JCDl!AoC3mk+{|O@1dF?)`b>eNWdeXgq2n46-S=$hZ+y_c%qIqT*VegC|_~= zla6(qz?+XxUYvMLn_KU%XsU!lzlRUp(ygAgkjSlsKl12Vk}YdCugoWL4^yRN#UU?8 zeB&$*i<~2~mB99q^YO?H&P!WShlewN3~%hg`_J?JaQ0aQ^4%H%<(`@R9JFX9g=9_o zWXpisZeNSebyqv7@r!Iys%}CcYeRL&xs`Qz|YOk_P<+J2C1J@+r3Ks zyF^QR)uw3xb=6ueI1Qma9k#E!Nglp^TC1Jr;&I~3L-T&o)NA&w3f{Mx$pO}wX1@ij zQ+)@$H znE!1?-y&q$>fpE!TA2eav${mAUGcos#9gh6wD{e*sC1sH^ms+xILdl<5oe5I@uT(C zliZi8%IwQz>?_y4XCV~Hrlif!-u~nk zm%-`D#uZS&_#6gVTXSYzXPM9^-C(t9{3=25ED=Nka)@Ip2xH%ilyz(a?!o(Nj{UWm ziJ5bW8D++4rz<3*FGN0eR2j#`#!gO|!;Rb!+0iSKe=Iz2FEnjGkgp@pX*H0v!~raQ z@+)3&ySK5N&2StYj9x74sk5&TD_U75lN(~c)BpS#w?NIhC@^X>t~J9V6;C9fNFzCl z$t*+0)2>2ahr6v%mNHLOggvbjqBB&K`s6VAj`cD`%hg=@#GXP1qHnFOP3~ya zYBJb3_DH5kI5w0E3$NUrulQQg3oDAKFqMW-edOQeOeCSNL4+ zB4)4DxqJ+9ybX7~EJ{<1BmCW*{R4LW-*5$nmIg(0z4k199lrb8+Bu-a`E@~Qa57i$ z&~9+X?wg6ykiOElJ6xgG&isEl`3YhC`p#h{{b96aVa#QIoO?cYT#q^M#C!T8)VU*d z%OXvpH=@!WAGWpT;e@ojsteaeXwd(lJO z;`BSAtWH1WcV&Fa5+Arz@XJ%k_fv+j`RRF(%=@W^5vh>!G~WF*b)IxUw>;g1=c9Fb znu$u99Z#l3d1e65CzJ9FbZ=&c>!;lE&-i;`Zmy77Y%yaTs49+l3s24%&zGV79OZ~q zeAlGw^2A%7q=)i6O4k%Np0sNx0}2=M+3*4pw|6oJ1rn&DYiBWIm11jDu@i5JC#ocX zw=@)08qHgl>{ce?Tx`wh;A@S##s&R#D(2;WWx?e?;TAN{Rkgw!xPhwP;jKAA)m-z| z8shjbxz*Ah)-YGpaUNEYS3tNc8rb+675Dzf3Ft{?-s#^ z=ijxTZ5WZ`=G<0rSXu4fX2%DeRqmLm=$Pm0T&d{X;p;l7=mM_!x*sY!CitKO;nC_i zVtI$XjQo9eeEe(NA@9w8lHq{{`Z^5x|DizjxzH2kLvFi6p_RkLe9&0_Aw%aOYmc1i z@Kh`Q;rgRd&!h2-%JBe?@jm|VLmuD9D!=o(@h>VrR_&j_ubLo7Owd9nn2*16LjSwv zpQMCNPw>wYS55I&O}bT}vAJf3_Px4Xiwi1>4V{aXRiGfKc%nzNA&%IH>k^xXcx6>D zx+>@2#VXgmHo0;LyDG&@oqraae}r$+@MyJ!e~r9yZKxbQfxvK9xAF>X3RG`O2y7`- zZ>bAxTOU-YyFneQcigHArm<1jB@XJG`XEr-5VQ}Vz8NEA~S!QR(Ot6&5fPlAK%j(X0KgrXrzd4+cjd9Qeg0+>aTC*naRP5XLa|^ zX{Sl~zvbqYbsU!uD(Vl5(T5Awe1Uz3jhrXzzK7WoLb#%}xQb`EvbA^`LU_(+cqA2( zJJkg5&U%?WFrl7%CDwcOZr_|dCwPTOGOI|k5kCqlNk!d;x;%z%`Ny@~8&7JHFJZeA?s^`TRM9f=(|P&Oa-9SU zxRbhjteD`xKj6tJ;V(?CTpi;Zb~-s63O}>pU90vfva5Kqdp>v|%>3_X|8HTI)jF2T zbC$~^FIr(XK{x0TLhQ`N02FrMRI&W96Bck>(dAm=bWvg9+ls2FMGG#Q@HJys?!KYp zITYkg^9^IHL_0aJj|r~#`Tp$^99*JXM`iF2^Fa^mJ0^SuFE4u7JoqFJ`A&TWo;C<` zT?(>aikyl}qCEdjW1~@85YfsnY)7MR?i~Umf8!Bi)OFZgB5cD#%xRY_q6d;So=q0` zRVBw*!43OE)!Ab_1h39UcHAJPh#Ww{dztw}^is6#_EJIH_gVk(vl>sO!%GaCp91hI zGNUTGONc-b|l7Hz>WizE+fYGO^}MJRj`MQ(FP$3C z6DOC^+sc(b->xnn{%&FRnKQ}mlhh^tY36`ww(D=aXxmkfB3{(?P_?=QF?73 ze9=n`>lEO1?B*@TZZ0kmjjX@UMrA~g`SCO#7(9~TaCWnJ_p0QY%j?~#SE;{+iiD3* z^L^Vvz@y)Og$)I?&EDFQj#Mr-?jAqt1;51~t1dK%DN3|mmmUgSu&IdJr0 z7sw{EkO2rg;)*Y~T|z3F_6w@AH}Faf?{US zoNIqFz0)PeU;R5}EV)9&rIq&+`#mBD>rNgwp(435-nnRKl3q;!epzR7Ws08&srD;# zDsfQHp=f_V2WlSq^pD$X&$MQfw1u+~=H_TOmnXkVp<>E(rhnWt%6_PeG0{n;dAYMM zNKA^fsy>xe?H9`azW{+3e&}bpmU6ikdpVJ_d5F5Oor^h`w>h4_u%74n*>Z6APPgBg z?kYp}*p6-NKB%55FvoImc-FIa&g!=|F|aZ$Hp927SjIP>XU5L!#X@N2_Az;PG`G^T z3^(@BI(mt(|N3IjYRs~F%eHJ0m+Mr!>42hiBeVDc{+7gI@_T=+P6PKMmvzc=tF|UO ze5+}mGAi4;G~#)j!A4fKD z!tNnUHbldA?BZ#FsuqMEwNXQ|OItUAzN(@}Y5`BWma2OnvpXgGyGx@pb<=UXBYb+x zv#avEyHoPmKI*hivmEp?tVd|Z!uL*pC+nhX#aF7gdN*+ss9Pg+wt}^WYip;U?AZDp zJ7+7By10U0ZEFKS?lvsxia5M3at_lhE;BK$B5}6Qx^|LozR#(*UwW>dY=DOFMlY(! zr|WNO|83Jc?CxQnss<+C8Z1XIJId2Dt&%RB9<|1vD~(e<1KTkh3(1?d^=gke4Rh#;e- zG)WDb%ZLx7!KwxFCNx;pI>MqBi&`ye)S~57S?gy+r9UfHlZp~0w4@cI+L9D)s8p#j z!S1Xjb?4EeKxM`{=@To&on(a3>KsNSM9sWF5`E3{$44H-|qSvuuThkG3}JUg*tN1J zjH3u2@=89Tuxjh4v2KzIDU{@sudN7+!fn0fK>Mr~`Lu(^mN>jyPAFQIBMmg6gu)0T zm8#*e!iUtt4Y-qrsz^uDc%&)Eh-|7Q#u;m5%Rr5cDDA_!Es5;rs zOH4ZTOxnwqNCp&)F2p9v5`dpXLkyasHhhl7&|o1-q@A7%&>G{&?EU zvY5__s6?Gcg07_U2=k7l1p&;|rv&jl)Trm$bZN1eL_12*Lvea;ECL;J|LjFAUvJ}c+4qC$)t>>q>A=@aWsyA z>dGZ=uL4q4`M9lRr{j<^aWhAhVmGPA+?C9$4$*=!vzep}R9~aWb80e~#`-EatkANB z-W8{!?!OQjOVg-j9};gWu3}?Rv`o#Uh%}Sja)}m{Sn^EHkqMhD;RKT#PpXIveMrjJ zYAaAYVYjtxC%tCY4cMXoK@_S8F?un`n7AY?yx5@IcB3Fmi;Oa-8}f{y2wieA&A`Z; zXiu>z6VE-=K625dr1m4)?Srgt`YXtWI<3G=jm6uv|4KyJk&~-- zxr-2%uQiH|Ca6co&^@sCE^&ty27}{)CMi@OVX}9qm_!dD}zbu(Pt=PER&oK zI;1fh0B}^e0;%L9Z=uRiy7nyzNrEFBaf(b*IG!>U25(if|IVQFRvDE%hcJb)NR&Pk z6Rix$Da&z7n?%$dg}q2H-YSZ!^d%Y?&PHbBu}d_nf|Qf22qaobRTpV-!n$N=Tl0%z zUJ5w50*Yv6MycS)GK0qam1IxRn2`e?1+JZNFgP|D-4}C0t_^jNDeNoPa%z%CfB7$%Q)g&*L(zMAIRuOBMX^`11mGfs3c5bXjzY5;#eZ! za7=TS)M9r8W(zm0r+^$xOOh&Mk-=QdA+CX;MVeKru{04qNg5%z>d2Kh0qs;ML`la+ zvo&lYrXz&O)HW3)oiqKeWpG*BXWnG3jKQQcCL|eB|0>9_xWL9cO{yPVY(f*EaAh=S zAtl31#3)N5#Vh@U(j;m2lG@a7C{ltPpVTtIlB6X(xB( zwo=3rps3_SL-7c3NOL`GE{HYne9qY1b63$siZz&Aio1|>i%6WRCZD`tia-)C+?11x zUcF3XyebreEru*M3{q#MCqT7QGCZd&3gq-P|H8Ml&Vo>?lt7(Y6bdpdS|)Lhs#v1Z z{+y^J;ZclQY$ut8rpTwjfeJ`4B0kA@6-tT$ie-*UOsD{dDK9#Tag<`0j9Dg*l}x4i zK;#zq*{+{K35hKmg5UfSxLKl!!EIEW<;AuBn-@?y77$7{p*I_ce^mMWJm zgc@4J20V?(P(l8)pNTBTsQMX?PGg1PhMsqr0;$++ETt0+nfN;rzSJlVBb~c^^hOs- z2B6yNOfdnck_pOXDl`iUXeMb-+5SYtU?g&dRH71^EX_#>ObRg1h!zrhtA|}9i?AR9 z;`CMIP0IBRkAYNDxuhtjqurHLkmKH?|3HK&|FU2D@?|Zr;^ZxG-mQpiV-?~au$78g z*i68g(ZvL4AykE1Layp7ihxI^CMskrUGgD)fyJhP6$>~kl{pda)H_DmvHM1=-IcA+ zB|s@8aV&`wijp!_YrE<3B$29)LWy7pUfgKUMW|XwBw_23$$Jrnn_W$Aqb_|DAzQ@O z$EE9WxKWRZu*}P)V#Q|}I;Z%0h&g&~MO={$#Ot&Uq_8n$PCYd5^<5)^5 z($3`8GgU}el|TCNufBv8QtaCA|Ezo2)1Jc9x<39ZIL5)BGS^bFmz)#8(Pg6*VY9F~ zC9o^&St!@kct7d+5@ioQ7q|U&<;$FGqh{fi^h)dH_97{Ha$@5MVIp%TyMbgs;WLn= zJe$6f+QeF9=SzzNC z_*R5?jjJgi-Pjgufpc^H{}d>B3~ucUss}l))c$-bDWt`f^x09Vjok@mnYFcsa?>^G z_e})%1gVs~tE8;LJHU^J)NZMiCPeZjl}N`P$6H9_ka{m6 zc#XJFBy@}mB${IXHfi+eBBopqN|*-Jno6|l3|r=7IFx2m)GJCfkia5G;>ZKa;=(8( zZX`kwSd?g}OlE-U!lkB9k0=dr08Gsm2z^jS4s?P zbjpJk5sk*|UQ+4^4}yer&M7`<^IC0_mSWRT1pm13r#uRdV&!oT?-bw11&!=TFpWGE zk7np1_l#ms$f_h@qE~3~QAB3+j;&m#&hk!Az0N5wevP7F0-RD%E6&PNj3->sD=B^` zFN{Q6z9{kluMowj+P3d2WMU=O3i8@2C2V5!-X%%G0vBT>4$ERl{NjIt!;j7)UV3OV zHYE3y3TrOB zLdyg&UP32H*5&|Z?Orft^kk`)_^ZFplJ~Un^OWR^^iMIY!mG}U(&h{dUxey>XhSe4 zQ6!3cmS&autX~3hAkk>rjw2;3W4g43Yf^;=1xT0(Mww2=DLQGVx)1@Ujva4}A;al) zsBxg)%Z#9r`N&St=qHOtLrhY~seopZW`{HsL(_&M)JU&2847kVX9(|zC*CC|)}^1| zXX2;=MEY&fv{Iw=hxDQXWf~zU2OPtacUR6^xbK?&3vgfu=yltK%%OsR7|mvls@vpmD| zJn7R!=`%v@vpu2HJ+pK^Mf5B@l;I{ltp1QJlhjK z|I2hk_0v29bUP>2MC%kh9i~1N6jRAFNI^9|i4;qVv{YsEJWJI)vvW@mls>IfM+KEc z^>agEv^$G*@|-hQb#+%AEiKEkzXW2xz6V5i6)1T%-;DKGmFpHHL0OTtS)En6k~LbX zwOWU@(MIk=AMINIVF0`pA-r_}4kBE|Ra^~1034{yto3`;bzR-2U6m_X+lE+y1q9ud zXmIsj^>tsdwdA(7(ei^{dBZ-+)gTIXAk1}K6_#D0m0x`&UmdnLAoguK^k1db5hC_s zn=@lM)>;LY776wr&=q03)nI)iVc%5_I<`Nib!8KxWhoYJtjb~$X<}V=Aa%4`M>hEMWW9Uu!lJm=+-zrE0M@-+VR%ftF~! zR%93UTpdUks5Wc=fo#q8A8dALpw{G~wq{kDKr3iy>$USj{qK?-k$Vc4@J8 zZjsP8w$?XhF>3|4ZWZ@m8)!cq7m)QmWb1j!#-&JR;7G`-Cbh*_- zDKBw3)@hy77F2h2S+{jv_jO@6c4c>VX}5N5_jXzLSMfG)h1G3w33q`vc!hU(iI;Y* zp?Hxuc~$p#mA84F_j#fBb$20d7q@!t)^};PVn=sfx50CnHfPfoe9g9d|I^kQHr9I4 zH(R9^d-AI0Q%dYeU#kW_W~Wn1&aagH2eadf3cXxNlpSX~8sYgVY}7mV8xrA@_mZpnL)MbF=toQ@4w=mRWT*T>IC57ubvgm~+pVjEfeHJy?x*<8s^B zTeX*cgOz64)?kg+j8T?<1^8{ymT&oMim|nAzeg8_7?IG}ja3R>|8a?NNf(g-?m=uSGfQhK)qzO4_Zl~T zV>pxRwvMItj#Jr`iS}SaxrXuel81F(QJH;#1(qqVmE$)K99WZQnO%)JmLnO6!C05k zmzN*ckRM2FgO-GKc$nWtbW1pUli7srHQ$`M_YT*S2|1a|IguY(o*TKEd6tbu`G0Yk zkqsD!f8~eknT(ff8DB-8q*78gJ(nEgQL_ zA=jfzSEF6FgAdkG4myB=C8bqb($-a=@7ah+x?|1RWgVGmijR0*S$c!Pwuw>NhDUg( zbv20tccxDln&&rdnK^K?nQ2X0j-@z?i8+p`HK(oBZxI)yZ+5Bg*NE}?X32SnYZ#!V zx}}|!s9jj5y;`m1)~L1ltqs?$C-|#j*of(QXRW$gu{xhIx34MJpbI!$0o$+pI*tXK zu>D$D^SY{=8mI9$tBn|`5m&DN%Q<>2`?4`Rvo#xfwPCY0dmB7Evvnb~G5Z=w8?)&e zu2tJnxSDBOTZJi`U#}sy_f;2YTVG#$wRKx!Q~PFb8nz=FWK&nGZMwI2`?w9_h!?q! z4OpCA86k2TukSj8nOmHt^|ohOdtrL9sX80?8o9ySY8(4kPP)AJ`CT77aEaS(0=snA z+ofgMp7C|M6*(xi0lUK+eSceoKiRo`8ej2yUH99f%^HXU9FmoJecyGJ**Pe`JHNwQ zx%=B|+c|89hP$0Ly1!?SkFcg4TAJm1Xf(XOz5Bo+yl#Uya|dE%Ra}qx7^BPC#H(7e z%}k%68icA;Z&VKcbUJ61Qb%157WCB36V*jM4i1#n^YqnMeL;2fL1$gn$@4y0f<&=Y&mUAg z@%%w;J=KNX)Q3ITiT&8EQ$lal5y;leCtcDZUC-}4+QakNE%n;96We1n+qwNnCDh8H zT!p#3f~owh%U!Yg&;3~6`E$oT+^g5a*BD%1{98G^oSz)8wS0o}{hTK{!PAw#SJueg z9j@0MSj;=DDO`tt1>AX6%8OfTe;K9+!r=ox-3Q*L@%!LG*n_S6!rOgc;r(<09=>S_ zdnLZ$#r@)so8o(e#ff%k1N+7={N8ixujJTIzF^o{;gLSvArI1Ef>9wnC5Mo?1|=<-P!DE zn(6EHwB7#g;Xdwd7qsPGc{|&)Z$WkQ{(0}d?vc0e{hoMlLG8D`@D2a)5kK)2fAJZ= z@pc{m@gYC*C4cfMzw#~r@-aX2HGlItzwozxa*+_>n*Pm4Eq}zxkd2`Jq4h zrGNUVzxu8J`msOzwSW7$B)|K;|NFr|{KbF#$-n%~|NPNE{ndZ{*}whW|NY@V{#8F9 G0027~SW^A~ literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/genmsg.htm b/cpld/XC95144XL/MXSE_html/fit/genmsg.htm new file mode 100644 index 0000000..0146e6d --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/genmsg.htm @@ -0,0 +1,17 @@ + + + + + genmsg + + +  +
      +
    +
    +This file is currently being generated. Please recheck the link after some +time for this report data.
    +
    + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/header.gif b/cpld/XC95144XL/MXSE_html/fit/header.gif new file mode 100644 index 0000000000000000000000000000000000000000..526171bdf217d82a99bbd6cfacec0fb44ffd7582 GIT binary patch literal 7878 zcmd6o_d6Tj`~U6PS8G#3?UAaQwUyYhWACl@CQ_?L5Mn0w9DG z2_1a;{{9u;bDis4_x;0pJn#E?&bf}Zu9lp<^Cqzn5rOD`LSi zR#sPVb2#h-c3^OztGlbYr5RI;DJetV-V#=3d~g%U6FjE55lJ}5ZOpk2wA-9~3Bir} z5RQ<9BQ)Wt=Y2XD+k@JluuGd3q5T~|hJ z@Jp_^!GEz2VJ8H?8nQCsbMhr}V=jb%PsFcAo_t9p;E}f{=#3@hK=(i0E?m3BO20g= zTYh5{v@!_7b%UCVp&W5RV~!sqs1wM&sJqyHrS1&JpgksEW!lP@JF zJI#cnF2V_Jpoj-sD>6_ff@_h$)=I2&%i~()HwHo2f1b31SNb6vqc$6(uGp4;*nbf8 z$rlU(-#pO%&(Z;G84tEf1lK5mt&+es{@Vyz=?1TKLkRdT!V&hCuz~%Dt^Ie(CnZH_ z0)F83pZV>s_!(qZ4)~UU#}Y=a1S8vYEvj5l4?*4_5akzS6w$(E?GADg5)bTzpxGp2tTVrEY%rts)Yf~ zf#%v)9p0Z0C#fxjoCcD<0rL=y9foWY*01xjKlTLfOo#nOe6M>Q%=9%EC41s9@Iv|P zg@zUV)@ZVch}IsWt`faTvWo9PHzGh5ooRGM44M89XYb};B;K|gDgS} z{J5ZYd_lZ#SqT3xiOr>RcK?G*vw_ySM~F>0yEkU9j~!U>?W>UAi;->}KOe3n4*z~~ z))K&oj7>>Ul@bsf9JajmDWpbK=rjLnSfjl_RN^6LgbNaifE`r!Y;ZT--FD!)Ii0f9 z5xiVq1=0SwAe6r#Ww9cO7aJPpPPhva=7F=i)K?|}#FpoidBD=4f-Uyv3lseW81v&+PD+ZDyzl zYXMc}RDOY*nBD$p67zKJSQoKPp$kHIMaVtRJ<7(jsW80ID;y+PIF+IK?m`(FuEEL~UhY3(58XhR95&!f^MR2~gOm@Ve}2w?(9+j_ zR?cBZu!)Zw5|e96py#r6ZYLI<@$GPrpz`aaGtg_+9MmtE0l z$-q|?xAbcWY_wz>%>VFz^8;s_J8+qin`>npL!P(q)FyC>N3v-mEn@0e_i&3Efwh%JweKrV#(=Qwt_fv-YF*1Zk#8z{Wa2rT)330Wv!e)- z2H_mD#sN$YQc`ywWCSf;*iHLY%4RP-+sSQMS6BA3Wl%fpy@hM@%h=jLdv0EVKdBXK zW2u^eX|2i*0X@I_F18<)e$w^QtNDk#EdHz%FU#7nt0{JEn;sa7;yBO{QdDEjx=SFd zy5kjO5HY;Noy`AI1Mcv+6M(Pn%#Bu?3ehh}u%*F{&ZoEN-8k8N9%1P&ULnRi$5ZQ% zdFQi%x~2?XD6F4EQ3|2dYF&C!|5fsba}^EF7bPHckRf5P{ycoXeD&7 zg4gjuKWW)%iZj)|TJG-;j4}u-Z| zeG4%1S7-Slbe#7xUBwfrv%}N@F30S|Y#vo!h^a^}>B2RQPrCGm0;qI1yN>Y>pKIM8 zg;<}5qEfYLc$xN<>Z-Z2rH)NfBWJ9uIgd974$SZh>o;3>>$CPtRq8X9>cH>0mgcpe zTKtz4KbHCThO|PR7m__pQjq|lp3`+DPQbA}n?Fu$OgWH=2h7W9|f$kap zkd~2a9s8qr0Z0i696ObBx1%ZFTu@dZ(#!pBUlUL6(Af-tOFNmI_Op23PfTK)p)<`= z`eDrn{bALUV*4?mj9;DR%$u)Jte$lR9jW0+)RK6g8k2koD%EIBAGs1q#{Q6buB`b7 zWHZvWuC>F+B>gZ-j{~H-;F@GUZscuRZPUzDB$K2N8k_11Z^b?_A>q}_VVgbBl4{Up z2x>l$D+Tj=_K3W=xRH0b+GGhz3ngTYnP<|Sli+CMLY`|r@& zMBNv6RN*Zc`6*zR!=7I&C|ama#urd)E9f!!4mRsk$U9P~v~ObC^a`dg)Gd4;v5sxp zBC88~%hnObF2oe(REIlg)OxeBRQ4Q02FP4VzFBEAi?{!>WC33r!wF^4$Y_XMhEPp} zKg#|~nn%mFuG4P5{DSh`angrNo(zTgYC)2(o)7DLmPS0aVms(5ZC_5C=bQ?Qm-*3r zH8y40W(pSbb!NO8xBWtK5qy343I77|X@_blS>X@Qz0y>Do=b9MBcEx28)5vVhs=Qf z9U)o94yEty$fotbg1PI(9EWX;&rpjFH}-|+faf?<&yo*5*ME*2hf$cadWhpenvMyD4~)#Uzk-wVh=anLt^KFyQ$Lom=P1R{_l z%yKL3S6z?cUXV2bQ|67{pjSyWoG#5fB{v1S#plz2l>Q?gQqug-$|1R1`omQL74VUR=KN-ppjv*27^V*F9qv{{$ zZ^5L2T%{IPmsblzRR2j=>TNmwy$1R+G@tU#3Qp;ITgCaG2X?C3@fxLeb_#rB0hd9bAD8FbDijHUHRe3gR^#ybcuwo_YD2>(1fDBdRc#Q zxbpeVC%9OqRjEX={#Ypr_O9Qg-$t#?-Xj(EGFjpsW3_d`?lnMm4|%`(6IfZd0mg*C zDJ*_(U-~B|^`4Co^RML_*)o`7y7Hlf@H*?mNn5Y@dAPqY?`j6OCS_DrP{eMcSXy_# z&(#@G1j`Mvc-2sH#Oal`nm}7@YBE$R9?FpjtqrG4+;QP|W6Dd86_tuetl$=_ zdrJC3{?5A&K^QB2K53Y7bTHO2WZ4nystrwgRu3VIHx5V`diLr} z+dUaxR6$MK_3$2rv)DY%qKghatMOJ-W3$lnF}S_)juj+3w}@+(Zb5~Qt53Wx4TlU}N%V5}^Kb!K|WtV})c?#WQ{rhmtWzmG^KuS=&I zO9#Y5xkxg$QlL9jM0+VvU=H*v6%h*=A1s;ldjvQI&zJ(uG|qzlN`Y#}M;OJ$Du%%< zwlXSN-BQ?CNJd!(_p<2LQ#7AsCn9{&R0;1`5^yWoMV|I^OMX>WihXJpeU^m?NvS1M zfZ;Q#$!vg9g8#W$+AsSX^KJg$e8EN1dYoNQcKo`}UYfnw& zcwIso0b4}8SYD4ixBB$%DXe;lg zvgt7y4kp`ATN>g+>oXS%L&Lgp3zb~Y)1F+5Xqq9iRi85Deh^IIjHhZ%fEaY$m{Te1Ypw6 zNu0-@3l-GN6SXZBqs1uXK;^d}KjlDU3jAB^(8sE-3LLej3m7HZEF61hCEoO~u(qE+ z_)Svh&~A!2{`rVBB0C~mG=+6;oWs1hKt8{#{f1F-wxdgIR1!m_Jf~$?SXi%>=kbdM zMSweU7p3i)QvcP|t_iMkm?%0Rricu;66?3RYD4}BqWUV^mOakRaDiqH>wP)hh#pJ# ziYP%vda-Q9@+Of~JnZKWVyN+P5nZSeS4$pv&e#+gbgqMGBaib#z;w1UWiYS%j>=vi zl|hEfPQ4xRG+^4B#ZM z#MSFly(;mE^*NG`ZE|6LW~effR^qhiK-z$~3rc1Ao_!ug9l39s_P$cRp{;cw9*w}e_GrB+Mm7J2jZPjlYwZh6S+wjm`nFSQx~E;=k0!lD(giQ+?jXn=Z_H@J*Rdn-C@4I&XR2!ou;iAUwCs1%YNArKmS@>y@|to zrbQXAxnkj`ub=A6+&xrM|Lx~Z4t`&%2!y%;R%!ET=h9$zg8qG7+K#M9_+Am&d+qaV ztJv@dj~i!k2LZlyp36EDq`nioQGqe6ytK#Gti!kfE1T0O$kKXECTr$uALChl9{2I& z*8Qp9p8O;twa=92MeYzOz8`@l&yPf^UvJlb@}92OugfIqnqx+&@wdEVosp|UfTIRf zpLuMF?()N(SwW(XK%hq)w9olK((>Ly_k~H7T z;%4YHy7(yj#yXq%zpl9%xBJ!_+l_T*6jE!n{tpw@Hu4+}F>A$N9k4-KQ1`^6%f*X< z#6a6;KUe}v9$~|51Dq{qC)OIeK*fD7I^GlEZ{(8{fKc{_UL^EeG(CZbz8`!32C@xi zR42OBbi0U}i{0F%GUXR@72)AHVc7+eRvQn9ebJ?kSyaBT6E7*Nz^2_ej9ype< zr+IE)O=b8gOzGoKb_W@UnFKbK{0-zIfQ5b{R)#h zwp`b5rp_k^i7HvA?WWv42NEqlGRO1x{C40|qivR7-%$YaaSpQ~yKrK|%`nZf7(axB z-&sG$VQ1mUaq3S2BeiOgtpyH3z;BMxm;1c!$M3!$14q0RvbH`mcuRo3J8pQ7N~-GyM_$$3kG&D_j5eW)8~3Mp-vcHsp@R)5rhA#Ns8P+i znvc?F>oKY?vL}Sab0hKDKa~FhDfSyE%3_AgbeyV-c>|o^S_k^7con}l0XlBY8sfto z`YWD%gZYCaGm=M|y+5kh>JOi{UY_r?*mghpsrp1fE3>@9aT*)BLjndM)%?d5}Z^05i#^OG&DCk0q=IP~Hr_>UuI3KyKxoxQ>WdIZq2g1|i^7m!@ zch`O_XlL=L$K;r{yH#rm?ny&BgE72l36tmX)YGPXMrEqs-mNdy37M(E!+AeYzpv8N zM6o}5ZgyZ)ToDM)1qzu@8(XU-F}&}>tt#Ybr3=WUqDytX-fvH#k|DOe{vsxgV-sTK z{o%iVer@leeK2U>pK@Pxe{oAMmg$y$c@0@1oz3T3g={dcd{XeSQ=+CpGYxW6qLCJE z%D&*GA!gRoXnwSOWZLF7ky<@{*<#PFO1Ak~k%(b)7=G`8gVuP^Q|AneZWpc&sSoS94%x?Mj&|=v{B*3C+Ec0>f^k0tai!a#=U*6sd zkuU|S3Uf=G4w2F(x~Nfbgq{vlkn`48#23A&8c75;3G*Z?By zKTuiv;3*8sDW?rn5DZ2LYKDVEfWU1NZ&fb>%*j|WAgBk(rH0rbN5=*Of&dw#K&;x^5(GG@GTjm{vDNj zrlJz#04eo$X^Q)a?K2FYeWlcGtav*Y=>^}Bq*I=pbE#sl6oeWnEsCgnvPO=fd&gFt~5 z#O+JLS#GKTAmD7FPN?(_O+!tdS%j`&vD>0>P5d7TAujj!E5>Hkv%`mNSVozPshcI9rV5Px64nFnaM6`norh6 z4jfo)yw1O$AO;$uLAJhK@G;ww2TTW`AW8<-(O@-=e7i8#R8TOzM5^q#{6RB;KM%6V zDiGtoECSRF29|D@sUm`1jJQ>%lowJA?v7i0u37spEvTivs(muHp$30OQg(LKA!iJD3jG^^6h zjF4K%gEiAs!dCOwX?oHK!~p^ zzGLm4P@beaG)@5~Ua`EdpY!B}~E zE0bGKdG+f_5q1akY%X{BFXOXwLE6=YpU9kKZYrk_Ua&D$$WiO+N-pN0nG_Sq>BAIq zbb*FBsmN%r2&emulkYn7vWNFz!G#X?XTi!!la&7Jp z^{vlZ&pu~i243kJJDgJAU*7E2nR-OS!ym+tv{PR;H=UNRylWV-Y8X)12OB=+lX61< z&bl7Q#I*=k(6R{|%8I+O-+|KltVw1jrY3U=xENV~K8RpN89ABqbD+kUW?aUz+os%X zN@k`%HRit_(ifLxeCIso)G;nzE8%U2<>UC;S6{Kk7_FsVgvp~Yu2cJFdZ8%X&{-?f z@@>xN@A;Qo3Z0>}Jjys~Gj7ryi*nb`+BI{BHJw+2q;JzD(KOE+dLS_H8ZaY>+G~>9 zi@l23LX0NNX~ZZ2xNQGxF+}(8o|(=nYUv`y#RTMWRI<$^po|P(>argV6slxoApZtF_;J+S>^TA?&pf8*&tCrr|(-9ta}+HEtDzpptMfAf})Z(PpZ#EM5;Oc VqLcg#YBz=68q$Y)6B83D{vW&9{;~i7 literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/home.gif b/cpld/XC95144XL/MXSE_html/fit/home.gif new file mode 100644 index 0000000000000000000000000000000000000000..d4411845fbae647a6d162b29a209ecbec85207dc GIT binary patch literal 940 zcmV;d15^A*Nk%w1VLt#J0M!5hUS3{8LPFQWWa#MR+S=8;ys{x7Ab56V{{H@FW?sw7 z!j+YZRaI3eC@4HUJcEOJwY97o8XE4_Wzy2iO-)Vv;bz3MV}*ZUZEa>WG&H8Bnya5; zTU%Ql9UZHyp)oNq#>Tu*P*7M{Sd5Hif>rt`UeLaQ;Xd`_QR6)`cn89hx11ZjY5PEmMPh%qWHEjTy@kdcy;k}5F@ zEkpxN3Iz}lBN!AJJ`tv;sHv)}tgR6RLntRb1sX3H0jC`uKD`FNz`?@9#KpqB5JCeA zI35cnB)h!7D=Q7x*xB0K+}+;E11C5d4*(w#)7C5>>>2Lw@bU8V^z$Dc2m~$U94zPt zE7oPG;lRO+006z*8>nd;KDjH@U3eO}U!w-&ODkot!5CO^q7^EPB4y|1(Dp!Q1AV!Q2fM!Dq ODXfL&t4PWa1OPi|=7Dhl literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/index.htm b/cpld/XC95144XL/MXSE_html/fit/index.htm new file mode 100644 index 0000000..3b23466 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/index.htm @@ -0,0 +1,14 @@ + + + + + + + + + + + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/inputleft.htm b/cpld/XC95144XL/MXSE_html/fit/inputleft.htm new file mode 100644 index 0000000..83dc5e0 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/inputleft.htm @@ -0,0 +1,14 @@ + + + + + +

    Unmapped Inputs

    + + + +
    Signal NameUser Assignment
    + + +
    + diff --git a/cpld/XC95144XL/MXSE_html/fit/inputleft.js b/cpld/XC95144XL/MXSE_html/fit/inputleft.js new file mode 100644 index 0000000..63fe42f --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/inputleft.js @@ -0,0 +1 @@ +function showInputLeft() { parent.leftnav.showInputLeft(); } diff --git a/cpld/XC95144XL/MXSE_html/fit/inputleftdoc.htm b/cpld/XC95144XL/MXSE_html/fit/inputleftdoc.htm new file mode 100644 index 0000000..0cb5adb --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/inputleftdoc.htm @@ -0,0 +1,81 @@ + + + + + + + + + + + + + + + Mapped Logic + + + + + + + + + + + +

    +Unmapped Inputs

    +The +Unmapped Inputs section provides a table listing all inputs that failed +to fit into the specified device. The page will appear in your browser +sorted by Signal Name.  +
    +
    The +Unmapped Inputs table contains the following:  +
      +
    • +The +input signal name 
    • +
    + +
      +
    • +The +Pin/FB Assignment specified by the user.
    • +
    + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/leftnav.htm b/cpld/XC95144XL/MXSE_html/fit/leftnav.htm new file mode 100644 index 0000000..99d935f --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/leftnav.htm @@ -0,0 +1,63 @@ + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Fitter Report
     Summary
     Errors/Warnings
     Logic
     Inputs
     Function Blocks
     Equations
     Pin List
     Compiler Options
     Text Report
     Help
    +


    + + + + + +
    Equation Display Style
     
    +
      +
    + +
    + diff --git a/cpld/XC95144XL/MXSE_html/fit/leftnav.js b/cpld/XC95144XL/MXSE_html/fit/leftnav.js new file mode 100644 index 0000000..bbc0028 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/leftnav.js @@ -0,0 +1,180 @@ +var noAppletOnClicked = 1; +var appletMsg = ""; +var waitWin; +var oldIn = oldOut = oldGbl = oldIsp = oldVcc = oldGnd = oldProhibit = oldUnuse = oldNc = 1; +var oldInfo = oldWarn = oldError = 1; +var verbose = 0; +var dispPage, mapLogPage, mapInPage, unLogPage, unInPage; +var javaPermission = 0; +var abelEqn = vhdlEqn = verEqn = ""; + +function IsNS() { + return ((navigator.appName.indexOf("Netscape") >= 0) && + (parseFloat(navigator.appVersion) >= 4)) ? true : false; +} + +function openWait() { + waitWin = window.open("wait.htm", "wait", + "toolbar=no,location=no,"+ + "directories=no,status=no,menubar=no,scrollbars=no,"+ + "resizable=no,width=300,height=50" ); +} + +function closeWait() { if (waitWin) waitWin.close(); } + +function popHTML(name, str) { + document.options.htmlStr.value = str; + if (name.indexOf(":") > -1) + name = name.substring(0,name.indexOf(":")) + "_COLON_" + + name.substring(name.indexOf(":")+1,name.length); + if (name.indexOf(".") > -1) + name = name.substring(0,name.indexOf(".")) + "_DOT_" + + name.substring(name.indexOf(".")+1,name.length); + var win = window.open("result.htm", "win_"+name, + "toolbar=no,location=no,"+ + "directories=no,status=no,menubar=no,scrollbars=yes,"+ + "resizable=yes,width=300,height=200" ); + win.focus(); +} + +function setAppletPermission() { appletPermission = 1; } +function getAppletPermission() { return( appletPermission); } +function getAppletMsg() { return(appletMsg); } +function setAppletMsg(msg) { appletMsg = msg; } + + +function showHTML(page, html) { + + dispPage = html; + document.options.currPage.value = page; + parent.content.location.href = html; +} + +function showTop() { showHTML(document.options.currPage.value, dispPage); } + +function setVerbose(value) { verbose = value; } + +function showLegend(url, w, h) { + if (verbose == 1) { + url = url.substring(0,name.indexOf(".htm")) + "V.htm"; + } + var win = window.open(url, 'win', + 'toolbar=no,location=no,directories=no,status=no,menubar=no,scrollbars=yes,resizable=yes,width='+w+',height='+h); + win.focus(); +} + +function showSummary() { showHTML("summary", "summary.htm"); } +function showOptions() { showHTML("options", "options.htm"); } +function showFBSum() { showHTML("fbs", "fbs.htm"); } +function showFB(fb) { showHTML("fbs_FB", "fbs_"+fb+".htm"); } +function showPinOut() { showHTML("pins", "pins.htm"); } +function showError() { showHTML("errors", "errs.htm"); } +function showFailTable() { showHTML("failtable", "failtable.htm"); } + +function showEqnAll() { + openWait(); + parent.eqns.setOper(currEqnType); + if (currEqnType == defEqnType) showHTML("equations", "defeqns.htm"); + else if (currEqnType == 0) { + if (abelEqn == "") abelEqn = parent.eqns.getEqnList(); + document.options.htmlStr.value = abelEqn; + showHTML("equations", "equations.htm"); + } + else if (currEqnType == 1) { + if (vhdlEqn == "") vhdlEqn = parent.eqns.getEqnList(); + document.options.htmlStr.value = vhdlEqn; + showHTML("equations", "equations.htm"); + } + else { + if (verEqn == "") verEqn = parent.eqns.getEqnList(); + document.options.htmlStr.value = verEqn; + showHTML("equations", "equations.htm"); + } + closeWait(); +} + +function showEqn(sig) { + popHTML(sig, parent.eqns.getEqn(sig)); +} + +function showPterm(pterm, type) { + popHTML(pterm, parent.eqns.getPterm(pterm, type)); +} + +function showAscii() { showHTML("ascii", "ascii.htm"); } + +function showHelp() { + var helpDoc = document.options.currPage.value + "doc.htm"; + popWin(helpDoc); +} + +function getMapParam(type) { + var paramStr = ""; + switch(type) { + case 1: paramStr += "10"; break; + case 2: paramStr += "01"; break; + case 3: paramStr += "11"; break; + case 4: paramStr += "02"; break; + case 5: paramStr += "12"; break; + default: paramStr += "00"; + } + + return paramStr; +} + +function showMappedLogics(type) { + showHTML("maplogic", "maplogic_" + getMapParam(type) + ".htm"); +} + +function showMappedInputs(type) { + showHTML("mapinput", "mapinput_" + getMapParam(type) + ".htm"); +} + +function showUnMappedLogics(type) { + showHTML("unmaplogic", "unmaplogic_" + getMapParam(type) + ".htm"); +} + +function showLogicLeft() { showHTML("logicleft", "logicleft.htm"); } + +function showUnMappedInputs(type) { + showHTML("unmapinput", "unmapinput_" + getMapParam(type) + ".htm"); +} + +function showInputLeft() { showHTML("inputleft", "inputleft.htm"); } + +function doEqnFormat() { + var type = document.options.eqnType.options[document.options.eqnType.options.selectedIndex].value; + currEqnType = type; + parent.eqns.setOper(currEqnType); + if (document.options.currPage.value == "equations") showEqnAll(); +} + +function showNoAppletAlert() { + window.alert("No Applet supported for this session!!!"); +} + +function showAppletMC(mc) { + if (parent.applets) parent.applets.showAppletGraphicMC(mc); + else showNoAppletAlert(); +} + +function showAppletFB(fb) { + if (parent.applets) parent.applets.showAppletGraphicFB(fb); + else showNoAppletAlert(); +} + +function showAppletPin(pin) { + if (parent.applets) parent.applets.showAppletGraphicPin(pin); + else showNoAppletAlert(); +} + +function printAppletPkg() { + if (parent.applets) parent.applets.printAppletPkg(); + else showNoAppletAlert(); +} + +function popWin(url) { + var win = window.open(url, 'win', + 'location=yes,directories=yes,menubar=yes,toolbar=yes,status=yes,scrollbars=yes,resizable=yes,width=800,height=600'); + win.focus(); +} diff --git a/cpld/XC95144XL/MXSE_html/fit/legend.gif b/cpld/XC95144XL/MXSE_html/fit/legend.gif new file mode 100644 index 0000000000000000000000000000000000000000..0aad0ebb2b70d602a5de51f334db320963aecd00 GIT binary patch literal 1213 zcmZ?wbhEHbbYYNT_|5t{|x`Z6p#k8fItW26Hs1o;P}rV&LQKmVZp&>4q>gB2!Vr4tUMwf zN5lgenL0(4!=`X-R6f=(Z(g>hLQvVYk6pkb#GqiQN1v*Xk(@mQx>{oX4}SYL3(-ZLg{PbIW?Vg46wGYMq|u zn=Q81XAM_fo9K>9t7CUNzkhZ2b*P^AE-z+oIhh>~gZomd%jWJ1On$UhL9zRb_P11* znKj1MwUvgepRad#DVKI<+KOA3ob}7Mbbc=OKeb3PJ*+0m`2O{T|9S-(SBu}@-`C76 zueZmd@CMIh?f7$hc7A?-Qr2nyI~g73jf(3Zb>I2=Ic;6U$-YHbW*xBl_EBGT_4HM7 zDan_3PFe4ftKN9-%a)sy@0}OjT>g{$!uH$FYPnCuqK#L!oNvsCY?&F5Cd$5`p|sNF zl26#yuYo?2o7^t9mit!7<*xboB_TI!YD7?2OlO3A=&D$@L|^f>Gs1hmTShF-5!z|7 zAf$k`Q@qE8OH;+&?%ejdxjtq)r^krs6&#on5|;UNYDC(~r_(~8iHRg8w0TODrc9C) HV6X-NY{uvO literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/legend.jpg b/cpld/XC95144XL/MXSE_html/fit/legend.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1d04af024b10f70a03faa699fb2da92df25a3ced GIT binary patch literal 1256 zcmex=9X@jO*zpr5PhGlvPb?HxGHT=yahkYr<3UbkKb$@|Xn~>>0l3-%LJOC;5^8;98;2VV88*>51JHKa(Qear>Bm)mQ%? zq4QY(Gb~_T|M!Fap&jRMT>j@#*886!M)*I2TJL*7|q# z`G4m&UWt-e_v}+j#r>Sb*=1b9pC%uaJeqZQQH{W?XP<7#x*yu)pUi!}QY!vH)IF8l zb)OiDy4HT0RKWP)`rJRpf9KiwK3wa6ApF*{g^TX}XGpkVyY!3Uy}L^?4hL7?c-o=h zZ}G5c%5)A1ZGqnocF7+;KRDj|$5(9k!^$mlogLcjInFORydtZt==RL?f49sFJNY<@ zPV7kQII+;{lV1o!t*Tz<2Pe(9x=*jvXvOi`|`FD6KAU@8ln+!F=gI!^5x&@qZ0s`@bLf&%pKJ z{w-sNi+`-wWp0~g+9Ed5MDLt(0JqHgs9*E;<@{a!pW#UcP|NG){|s-oFn*qX`2MZr zBlkEzOl@yBnX7dB&9{j<)whawo{%{Cv)=3ee}=XrAFuyqT3i4A=YNJr8H*bDf8_Yf z)?d)N{_j7-{C{fwsN60M26Qdxd<-LY)=T}nD0RGho$fo+UM}%ztf_8&PgW{CUVr+n Js|e%&n*i?m6>0zg literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/logic_legXC95.htm b/cpld/XC95144XL/MXSE_html/fit/logic_legXC95.htm new file mode 100644 index 0000000..e253a0b --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/logic_legXC95.htm @@ -0,0 +1,2 @@ +
    +
    diff --git a/cpld/XC95144XL/MXSE_html/fit/logic_legXbr.htm b/cpld/XC95144XL/MXSE_html/fit/logic_legXbr.htm new file mode 100644 index 0000000..d256e25 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/logic_legXbr.htm @@ -0,0 +1,16 @@ +
    +I/O Style - OD    - OpenDrain
    +          - PU    - Pullup
    +          - PN    - Pulldown
    +          - KPR   - Keeper
    +          - S     - SchmittTrigger
    +          - DG    - DataGate
    +Reg Use   - LATCH - Transparent latch
    +          - DFF   - D-flip-flop
    +          - DEFF  - D-flip-flop with clock enable
    +          - TFF   - T-flip-flop
    +          - TDFF  - Dual-edge-triggered T-flip-flop
    +          - DDFF  - Dual-edge-triggered flip-flop
    +          - DDEFF - Dual-edge-triggered flip-flop with clock enable
    +          /S (after any above flop/latch type) indicates initial state is Set
    +
    diff --git a/cpld/XC95144XL/MXSE_html/fit/logic_legXpla3.htm b/cpld/XC95144XL/MXSE_html/fit/logic_legXpla3.htm new file mode 100644 index 0000000..c0e6f4a --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/logic_legXpla3.htm @@ -0,0 +1,3 @@ +
    +Legend: PU  - Pull Up
    +
    diff --git a/cpld/XC95144XL/MXSE_html/fit/logicleft.htm b/cpld/XC95144XL/MXSE_html/fit/logicleft.htm new file mode 100644 index 0000000..5da8011 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/logicleft.htm @@ -0,0 +1,16 @@ + + + + + +

    Unmapped Logic

    + + + + + +
    Signal NameTotal PtermsTotal InputsUser Assignment
    + + +
    + diff --git a/cpld/XC95144XL/MXSE_html/fit/logicleft.js b/cpld/XC95144XL/MXSE_html/fit/logicleft.js new file mode 100644 index 0000000..2fa4142 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/logicleft.js @@ -0,0 +1 @@ +function showLogicLeft() { parent.leftnav.showLogicLeft(); } diff --git a/cpld/XC95144XL/MXSE_html/fit/logicleftdoc.htm b/cpld/XC95144XL/MXSE_html/fit/logicleftdoc.htm new file mode 100644 index 0000000..3537167 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/logicleftdoc.htm @@ -0,0 +1,100 @@ + + + + + + + + + + + + + + + Mapped Logic + + + + + + + + + + + +

    +Unmapped Logic

    +The +Unmapped Logic section provides a table listing all logic that failed to +fit into the specified device. The page will appear in your browser sorted +by Signal Name.  +
    +
    The +Mapped Logic table contains the following:  +
      +
    • +The +signal name 
    • +
    + +
    Note: +Clicking on the signal name will open a new window with the equations for +that signal. 
    + +
      +
    • +The +total number of product terms 
    • + +
    • +The +total number of inputs 
    • + +
    • +The +I/O standard where appropriate
    • + +
    • +The +Pin/FB Assignment specified by the user.
    • +
    + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/logiclegend.htm b/cpld/XC95144XL/MXSE_html/fit/logiclegend.htm new file mode 100644 index 0000000..7033b9e --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/logiclegend.htm @@ -0,0 +1,106 @@ + + + + + + + +

    Legends

    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    AcronymBrief Description
     * User Assigned
     (b) Buried macrocell
     FB# Function Block number
     GCK# Global Clock number
     GTS# Global Output Enable number
     GSR Global Set/Reset
     I Input
     I/O Input/Output
     Latch Transparent latch
     LOW Low Power Mode
     MC# Macrocell number
     O Output
     OD Open Drain
     PU Pullup
     /S After any flop/latch type indicates initial state is Set
     STD Standard Power Mode
     TCK Test clock
     TDI Test data input
     TDO Test data output
     TFF Toggle Flip-Flop
     TMS Test mode select
    + + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/logiclegendV.htm b/cpld/XC95144XL/MXSE_html/fit/logiclegendV.htm new file mode 100644 index 0000000..e1813d1 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/logiclegendV.htm @@ -0,0 +1,106 @@ + + + + + + + +

    Legends

    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    AcronymVerbose Description
     * User Assigned
     (b) Buried macrocell
     FB# Function Block number
     GCK# Global Clock number
     GTS# Global Output Enable number
     GSR Global Set/Reset
     I Input
     I/O Input/Output
     Latch Transparent latch
     LOW Low Power Mode
     MC# Macrocell number
     O Output
     OD Open Drain
     PU Pullup
     /S After any flop/latch type indicates initial state is Set
     STD Standard Power Mode
     TCK One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. Test operations of the device are synchronous to TCK. Data is captured on the rising edge of TCK and outputs change on the falling edge of TCK. An internal pull-up forces TCK to a high level if left unconnected.
     TDI One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial input for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level if left unconnected.
     TDO One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial output for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level when it is not driven from an external source.
     TFF Toggle Flip-Flop
     TMS One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It directs the device through its Test Access Port controller states. An internal pull-up forces TDI to a high level when it is not driven from an external source. TMS also provides the optional test reset signal of IEEE Std 1149 or IEEE Std 1532.
    + + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/macrocell.gif b/cpld/XC95144XL/MXSE_html/fit/macrocell.gif new file mode 100644 index 0000000000000000000000000000000000000000..ec9e68e415b6e37bc65b8284884e6a2f6f8747b6 GIT binary patch literal 7699 zcmeHIWm6mA(rsy>IHfI8qz0uprMR}Z7m7o$;_mKN8c1*r!AX!1+=3T(C%C)2Q^?zU zf5Q9uo|&DqJI`~@?Ci|W%E(Fc3;d+Pf<3-^^dAKNHv<1lf&Z$&|KRDj!te-g&FJEyA+ER|TN&kK>Z2g>5RRR?E zQ7*3PUCuAuByyu>Ux<;p5-HD1?Sj{IV#AqVU*aAxRTYfp@`f@*w!Rk|&-U?A`FsC+qH`|Wf~-tz3_j+j))4!S4EJ5&6JpPI zfwVN!M%YBsKrDQUZ$NQu3eAG|ffTM;KYvq%ZodbDC19=DUIl&bVq61r zPg<@;@^2QbMG0SSuSI{uVOo!oB)3|Rm1QVgk5lB`S&vtdX4*(l*Yu*p(d+`6CF!~E zY$Sg*E`x(jf~__omT`D=NoLG5=1{9HCW~0yMwKnF$E4RM5bnMleHsr|L|&E)c|Co$ z`iIoqoG{+q?HK?1o^4RPyUtE-%AsdrJalN z>LKGjwt|{T=1tUklFeb=b{@zcu@nqiLkULoerVKjo;z$Zzbv#%s9%NdG~3jmK9)Tp zusu$>97`!{A>^ZBY#<(0UA>JcUpz?3E`zx=X2uXNewQ z?qK)PajyELwPVrGyV?jF;^e@08J>AywHZ`D1?P^+ejuu96Xy`w80dd-&mAexVh2ON zSMWyke9xUfN2qoea!pFcMIPkoDa`UDtGuMH>6+MVxNJP(z2{Bvcsp}h=B0p1tDFx* zuv{;PaHQ6>eh#CCHG}yMuh(K^*l*Sow1^8wBA(26EQRsf)Wl>qFw+%B{+=nG+^nJT zF3@)HE!iz)E@D{;rGWA;|1z+)jn;I)zZ+etkSqPO?(XkZ?4-a{ngqA+uUpJrEp!;t zER8bFM^i0S9Q6tzw~D*pF5K?~;0$oX<<1J5Z|>`G*{9H0b)nhtwzy5t>V&yY@g1c{S>biN+zwXrA_g%B>@6FjC!|h3?U>38s%- zj9^F8Wi0eGm!PIx1U=yGd1`@x(#~&6eh7&M`YaMiXS@zYyDg+yrK^PB{ z^;bGIj%s{Ep9sqzkW!8YO{`^XPl?_NAmXmtOxjiO$|2%@BI)UG z4e)xBTjRX4?#$SJTZtM!FeZbA4LM3tz4}yK-z#%hxT3A3-r(WeO#PkZ9z-#$unhxh z&ndo`6e?&n7eO}XH*wJd15OpB=|G|U&*_lw?##o|OI7*(^Sv0k0UkEU%By_QXS?E} zc_b;>l9u8i9p&H;{n^j;8TwXnWdfMb;|bHXzMUlY18YE;R|%H9OgzHI($)#W`!Gt8 z-BHQD(s)WZUDo9$KR6-|PSVLF*-idUXmD5){N$rNkvDt#n~8#_L<@`>x`LUhrwX*y z7V5F`XoT(sLVFOQS=)y$@xCZBA}Y#-p?;Jz5NMkdk?D-@R`J@O6gx&1>21kR)z6ZZ z=+36;&mg{JGqd*$vK;&D?Y0~2G2-1PpgqD%{%k-)lI@ZW3eRBvzpA6 zrp1~`xo4)>R$eBE-g3_lYDa6H9?3Tpay^lz+weYmW3;)a%KqTVQzfnSH(CRo8q%xT z?%2f)UlSs}rY{x0*&64Zvg{-hsZ2ehmfI0f!bHx1oPyjCCauJYF@b(eBx(rVb}Fwj z-A$I%==BYfHP5iCT>E$&JD7NPO_RQ{>u)l@3C2V9Djw+-ZULKivRyTRvS@tn&i;;- zdu&JT!mdh-hsAN?7@C>IO=u>~>Se!+wdfo2$lta*A5>p~ZK5uMp;as5yD#93`fuWr zQ`Cf?P@(OG)_!G2Dt|p4oBqUV1v~nD=VgAIp>$N&8`s@_#%S48X|Sm=L|^6eg;SXJDobT(*wxi`^ z#ia;#RCYF^GaxR$EoF1J!i;SR*x#`53G+$kJ{sgd3HyHTaTQ-1sdVcP@i$8!7aaWYTc-{g;e==a%qP8K z60FUzFZCJTmEo-&+31-pfu^TQbzg+P-@B|eOt-Vlw_P|Gx@x|=KMEviTz;l}-A4Uz z41Bhyf0cUO#dlmC75#VY9mmZ>Uft-WMDV)-!x}Am z6EZH!8esjEY^Q8!4i@OL+kUlHBGJ>i1ozjdY{bg~@ZV?P@f3*+=U~#X-!YbvlA2M% zTfu3kc6-mFG$~anQ@pjWA}3O!8Z5(ZJwM`^fb%JV)8wGzR~C%?;YU5;E9TKHo_-KM zt0xK3(c*#wj8T^bfrNE2lmf~f7e;%(%$ji>L%SGffMB(!F*kXUs}x^l+eL7^+zs<% z-a+V*DOy7>!;NGDKV#~m84AM%Z(LC8wj4}BXiA3pHq)svm*Tu{O4aKA)fQrIF?myQ z4*W7L<^t6f9u_?b8aFoAbQbn)o?h3nPA=BLWRAd;c;U`34xx#lu&Dif0Xhia`-ZyW zPJ+fnBC}L7(%ssEDZ!ip0Ln8VdTJ3|$fSVdWcf4!r^8ylPIM+D?x-g@voq6wjpa-2&Hp8ILm#&pJq$}7+E%HD|6WK5YvGgS zlZC46B%J`gDs=3PJ>&KGy<6*C!uS&&ho?q)r2Vl_#oC7Q3%H4BCYi3Ku~0$-A#6n` zc9_@CX{PWJ4Bl@+{Ao9g<0Cor%HA8lFj7 z#flv;kC&e2c>#$@@rs>cN_#U4&A(xLEuTY?;7BT)Hlzi4UI?_{%_Y~(IJ}0anM2ui zGo^Mj%OaGCUp&iUi+~Ys<;JL{e5i*q3fd8n!{0}^>eVNib;G_@>I1y; zOfP}x=DZk3Q-A+5Sf8gWaC1wk@YC4XmK9t63$tct{Uvy}6rjQRl2sB`g)lD4d4 zxPFz8l9zuJEPtg?n5Y>|qF^*HZ%sp;MMmI9L0xg)VHKraK?}<5$Se6Gl*bN*f5rep zre_sd3G{hvD$kgrd8ta_btyi~U-`}9?#a^nF#az*mEJpYibXKUbR`kBW3jnKt#K(G zRI$1qrVgmq$NL(?T+WRPkiBykuJ)GnQS;C=FazmY*tln&CFInXi&4}Xb zbM!+DsZqf~s89eZ%m)R8q9Phl;5k&(9V&*pE>5T}0Ze$=ldcsM7__Rh+6FJyWmVXdGwKgcFoS1>F)n$*JCTZoa8* z*#r5_ibw7DH#|cAxu)`OmSP;wZ#lfnep|p*L*3MR*O)qmyy};30K{PFX)Gl}30UFJ zwRy}6eLpE`EGM^pm~T0)^TS0dLpK7C=Gy6mWm2i!i$QHKe2X5-#t7h5(P=lnwr$~M z&9D*3R~7D5*Xz{O>x3KsS;Rv~p#rYtnm7CONE%x^@C&5&i;W4}6}CIYkX;YSUGr64 zW;D$gcl!K7U5^2^7Q)r{n%!QkiAuKJM7XB*1Lfp8Y1}@h!Wf$Fk3Ky{^Ce&8dcgY? z`U-Fo{9;QzJ6hJ}P9Bs#sGV~lHCi213o`9<*P-0ze9LOcq}yGD%+whOqGs$ZOzW%G z?sv3B8I$*vvX);kg;XeVNL#f<5%ft6Kn1K_sPTR#QSvs~<_ipDy4Ba$A=_jN;1h}i zDFfYikii4WJ_*p^qHni?@8Dcx_!>>+@?Wb_-`*X)!C}_)=QJ!QU{9wV$d+%^5x8N) zHbX>a_)c*MqZqtLllYh|{yU>fkJcV%JG=t|mfFaPL0U0GM?Xby4=VTqmCUE@FMh!V{o5s!UrhLFmw#aV2^gkAMijL`1qyh*-+gd6H zA^UW(AljZ>+3BeJ+IYVD?|(-idT88BZnFCnzTMH-!TM=gK=}P2wYNvvfYI7E;(~Aj zH>Ftm6;j)?$c%O@yLd=LX13XOmgx1IAltZ~RfNQDd3xk*rP4Q${sh;vpBu5x1gCxyL4!~m=rn0liIOJJHIM4T(mIlIXGRdKYa?GYl~buFNAI>H9mWo z7Ht^)s~A80?||@*$JotL8uVVT4eY_DrIK;R?4n5RzXLbrWK`o2vjcC*shl#BKjc` zo#YnV3@x9VUVkY%_C!vk1iq-wt^#3RDN<`o{^TvSYw44|>~s%uh{(r%SVQm0x1wWZ zqBdIqYovqhxs!bi_lsu4!t7BSzc3Fj{lXziG8yOT+vP4R3B?T9$teSIGbwMRPwmG0 z0BWrJ%L0pCCP{gQGfo_$)4;=ZCxdA|;+^R29)9*rx01>I9z`h6jyLTZuHCp5Qtf>Q1;owwia>ks-i^zx<;yBv|;|B>ig=W+NyYg z!A39DB(-F4y~TjSekl94&{6elL=mgQk@8|j7WrAYZ%%3b$w#8L*P^=yr5^GDQ##6W zREwS#(Al>NQ?H^t9h56JMK4_fJl&!%3LiEAnVvpNs#0&Sj10VjqK7>kj(>5aT9u}E z4oyBcP@wYxiYWocjpj!dXaw1Mt1zU>s-+%y{&Y*gXG3^DOd?2 zz&2z-YM;&dr`mpF7QLrc_OmmJ7NB9Qo+3M{$=yJ{saESGS3}EO%dU&UJCm=rVdm!EcAivGRRwZ84X+S&bSxcO1I#>sMTJcY!9FRI+4T}nuS_`v=DO1feaww??BGIPfBmb2;R=0apK(=Xju^751muX+9&H1zHG z=|psK3(om)?hV#H@#m4}!j59#R~^qegP&K82<|^}EtNP=nmv|$@xxbEf{Y^P08VlR zQ0^dusM*y}0`yqA=d~wBrMGGH4qHem`as?9;{Q5H(2Jcp^)?vWjy1mqsc-kZNDukK z#?nq#y5z) z-j6R!qo2UMR1TT2ofr}y2B{BmOog7b@>7_-Vti*M-!~>J5~BWDzSh-M_JEs5c2rt{ zn{xtRf{koaPUBbExS#-xr&B*WwOWf`2Od70`euBLXALXSQb`3sLpE&~M5Hzi0bX#| z&1w@MQIkNS5`amoPtBAid?8MEfr@pqW>)n!Qp+>|zy^0B;?XK_KI+6%6Q`}<%?&^| zs2K*k_0=wi?kaf>a~IiOjQEizUBg2@bq$tEQWO7Juz6N7HT8Nsd=jdLxEm67>c$hYb5<3)qBP07TKsF* zAx7b68Lwg3?-tJTZ0=K9Ydyk!-l5yiW6|g8-eWnWTiu z@HbPA4TnM{ev&?BkoZLPgR@QHwO*W?6s!3U5MbSyqUl=dbJ!2C$f4pX(F45 z9TVw+Tzf~`%O#6d92cl)tWp!)DsAv3#E%|If6&tb^{WWVeg!X(WulW@jg3d>nXv$p zwNR~2%vyoo(S!aK7VSQ!H;n&-4DC&@$lxxNrEhk`@JcCSx zGjxXPh#2dRD<8CJ)_$*5vRWB4TB3iuT+zdBZ$;|0J)bCOW85hjT%>bSmCd`u#8`(? zfCP2qY*bu`1w4#1?~M&qRKc697>Yi2MOcU{!AF9xgQ pHu?wNT8*0;r9K-rhWEExe{eL*LO$C5B=XVjV9+eL#m9Xl^ncXyUyA?$ literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm b/cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm new file mode 100644 index 0000000..e79d379 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm @@ -0,0 +1,304 @@ + + + + + + +

    Inputs

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameFunction BlockMacrocellPin NumberPin TypePin Use
    A_FSB<10>FB8MC1168I/OI
    A_FSB<11>FB1MC211I/OI
    A_FSB<12>FB8MC564I/OI
    A_FSB<13>FB1MC513I/OI
    A_FSB<14>FB1MC614I/OI
    A_FSB<15>FB1MC815I/OI
    A_FSB<16>FB4MC589I/OI
    A_FSB<17>FB4MC690I/OI
    A_FSB<18>FB4MC992I/OI
    A_FSB<19>FB6MC1482I/OI
    A_FSB<1>FB1MC1117I/OI
    A_FSB<20>FB4MC1193I/OI
    A_FSB<21>FB6MC1180I/OI
    A_FSB<22>FB4MC1495I/OI
    A_FSB<23>FB6MC576I/OI
    A_FSB<2>FB1MC1218I/OI
    A_FSB<3>FB6MC878I/OI
    A_FSB<4>FB7MC854I/OI
    A_FSB<5>FB3MC625I/OI
    A_FSB<6>FB1MC1419I/OI
    A_FSB<7>FB4MC1596I/OI
    A_FSB<8>FB7MC552I/OI
    A_FSB<9>FB7MC1459I/OI
    CLK2X_IOBFB1MC1722I/O/GCK1GCK
    CLK_FSBFB3MC223I/O/GCK2GCK
    CLK_IOBFB3MC827I/O/GCK3GCK/I
    E_IOBFB5MC1546I/OI
    nAS_FSBFB8MC1773I/OI
    nBERR_IOBFB7MC1156I/OI
    nDTACK_IOBFB5MC1749I/OI
    nLDS_FSBFB8MC1471I/OI
    nRESFB2MC299I/O/GSRGSR/I
    nUDS_FSBFB1MC1520I/OI
    nVPA_IOBFB3MC928I/OI
    nWE_FSBFB8MC866I/OI
    + + +
    + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm b/cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm new file mode 100644 index 0000000..252a5ef --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm @@ -0,0 +1,304 @@ + + + + + + +

    Inputs

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameFunction BlockMacrocellPin NumberPin TypePin Use
    A_FSB<11>FB1MC211I/OI
    A_FSB<13>FB1MC513I/OI
    A_FSB<14>FB1MC614I/OI
    A_FSB<15>FB1MC815I/OI
    A_FSB<1>FB1MC1117I/OI
    A_FSB<2>FB1MC1218I/OI
    A_FSB<6>FB1MC1419I/OI
    nUDS_FSBFB1MC1520I/OI
    CLK2X_IOBFB1MC1722I/O/GCK1GCK
    nRESFB2MC299I/O/GSRGSR/I
    CLK_FSBFB3MC223I/O/GCK2GCK
    A_FSB<5>FB3MC625I/OI
    CLK_IOBFB3MC827I/O/GCK3GCK/I
    nVPA_IOBFB3MC928I/OI
    A_FSB<16>FB4MC589I/OI
    A_FSB<17>FB4MC690I/OI
    A_FSB<18>FB4MC992I/OI
    A_FSB<20>FB4MC1193I/OI
    A_FSB<22>FB4MC1495I/OI
    A_FSB<7>FB4MC1596I/OI
    E_IOBFB5MC1546I/OI
    nDTACK_IOBFB5MC1749I/OI
    A_FSB<23>FB6MC576I/OI
    A_FSB<3>FB6MC878I/OI
    A_FSB<21>FB6MC1180I/OI
    A_FSB<19>FB6MC1482I/OI
    A_FSB<8>FB7MC552I/OI
    A_FSB<4>FB7MC854I/OI
    nBERR_IOBFB7MC1156I/OI
    A_FSB<9>FB7MC1459I/OI
    A_FSB<12>FB8MC564I/OI
    nWE_FSBFB8MC866I/OI
    A_FSB<10>FB8MC1168I/OI
    nLDS_FSBFB8MC1471I/OI
    nAS_FSBFB8MC1773I/OI
    + + +
    + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm b/cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm new file mode 100644 index 0000000..51577f2 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm @@ -0,0 +1,304 @@ + + + + + + +

    Inputs

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameFunction BlockMacrocellPin NumberPin TypePin Use
    A_FSB<11>FB1MC211I/OI
    A_FSB<13>FB1MC513I/OI
    A_FSB<14>FB1MC614I/OI
    A_FSB<15>FB1MC815I/OI
    A_FSB<1>FB1MC1117I/OI
    A_FSB<2>FB1MC1218I/OI
    A_FSB<6>FB1MC1419I/OI
    nUDS_FSBFB1MC1520I/OI
    CLK2X_IOBFB1MC1722I/O/GCK1GCK
    CLK_FSBFB3MC223I/O/GCK2GCK
    A_FSB<5>FB3MC625I/OI
    CLK_IOBFB3MC827I/O/GCK3GCK/I
    nVPA_IOBFB3MC928I/OI
    E_IOBFB5MC1546I/OI
    nDTACK_IOBFB5MC1749I/OI
    A_FSB<8>FB7MC552I/OI
    A_FSB<4>FB7MC854I/OI
    nBERR_IOBFB7MC1156I/OI
    A_FSB<9>FB7MC1459I/OI
    A_FSB<12>FB8MC564I/OI
    nWE_FSBFB8MC866I/OI
    A_FSB<10>FB8MC1168I/OI
    nLDS_FSBFB8MC1471I/OI
    nAS_FSBFB8MC1773I/OI
    A_FSB<23>FB6MC576I/OI
    A_FSB<3>FB6MC878I/OI
    A_FSB<21>FB6MC1180I/OI
    A_FSB<19>FB6MC1482I/OI
    A_FSB<16>FB4MC589I/OI
    A_FSB<17>FB4MC690I/OI
    A_FSB<18>FB4MC992I/OI
    A_FSB<20>FB4MC1193I/OI
    A_FSB<22>FB4MC1495I/OI
    A_FSB<7>FB4MC1596I/OI
    nRESFB2MC299I/O/GSRGSR/I
    + + +
    + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinputdoc.htm b/cpld/XC95144XL/MXSE_html/fit/mapinputdoc.htm new file mode 100644 index 0000000..3ac20d8 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/mapinputdoc.htm @@ -0,0 +1,147 @@ + + + + + + + + + + + + + + + Mapped Inputs + + + + + + + + + + + +

    +Mapped Inputs

    +Like +the Mapped Logic section, the Mapped Inputs +section of the report displays a table detailing the resources allocated +by the fitter to mapped inputs. Again, +the table can be sorted by Signal Name, Function Block, or Pin Number by +clicking on the appropriate table headings.  +
    +
    The +inputs table contains the following:  +
      +
    • +The +input signal name 
    • + +
    • +The +function block number - an asterisk "*" indicates a user assignment
    • +
    + +
    Note: +Clicking on the function block will provide a detailed table of all the +block's resources and a graphical display of the function block diagram +(see Function Block Specifics for more details).
    + +
      +
    • +The +macrocell number
    • +
    + +
      +
      Note: +Clicking on the underscored macrocell number will provide a graphical display +of the macrocell that looks like this:
      + +
      +
      + +
        + +
    • +The +pin number - an asterisk "*" indicates a user assignment
    • +
    + +
    Note: +Clicking on the underscored pin number will provide the pin layout diagram +for the highlighted pin. Rolling +your mouse over the colored pin will pop up a tooltip with the signal name +assigned to the pin, the I/O standard, the +I/O style, the slew rate, and/or any constraints assigned to the pin:
    + + +

    + +

      + +
      +
    • +The +pin type
    • + +
    • +The +pin use 
    • + +
    • +The +I/O standard
    • + +
    • +The +I/O style
    • +
    + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic.js b/cpld/XC95144XL/MXSE_html/fit/maplogic.js new file mode 100644 index 0000000..e40c8f2 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/maplogic.js @@ -0,0 +1,23 @@ +function showFB(fb) { parent.leftnav.showFB(fb); } +function showMC(mc) { parent.leftnav.showAppletMC(mc); } +function showEqn(sig) { parent.leftnav.showEqn(sig); } +function showPin(pin) { parent.leftnav.showAppletPin(pin); } +function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); } +function showTop() { parent.leftnav.showTop(); } + +function Sort(x) { + switch (x) { + case 0: parent.leftnav.showMappedLogics(0); break; + case 1: parent.leftnav.showMappedLogics(2); break; + case 2: parent.leftnav.showMappedLogics(4); break; + case 10: parent.leftnav.showMappedInputs(0); break; + case 11: parent.leftnav.showMappedInputs(2); break; + case 12: parent.leftnav.showMappedInputs(4); break; + case 20: parent.leftnav.showUnMappedLogics(0); break; + case 21: parent.leftnav.showUnMappedLogics(2); break; + case 22: parent.leftnav.showUnMappedLogics(4); break; + case 30: parent.leftnav.showUnMappedInputs(0); break; + case 31: parent.leftnav.showUnMappedInputs(2); break; + case 32: parent.leftnav.showUnMappedInputs(4); break; + } +} diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm b/cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm new file mode 100644 index 0000000..187e63d --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm @@ -0,0 +1,1407 @@ + + + + + + +

    Logic

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal PtermsTotal InputsFunction BlockMacrocellPower ModeSlew RatePin NumberPin TypePin UseReg Init State
    ALE0M27FB2MC9STD 4I/O/GTS2(b)RESET
    ALE0S12FB6MC11STD 80I/OIRESET
    BERR_IOBS48FB3MC8STD 27I/O/GCK3GCK/IRESET
    IOACT1015FB2MC18STD  (b)(b)RESET
    IOBERR811FB2MC16STD  (b)(b)RESET
    IOL035FB6MC18STD  (b)(b)RESET
    IOREQ1117FB1MC17STD 22I/O/GCK1GCKRESET
    IORW01418FB1MC16STD  (b)(b)RESET
    IOU035FB6MC16STD  (b)(b)RESET
    $OpTx$INV$2231024FB1MC14STD 19I/OI 
    RA<0>23FB2MC14STDFAST8I/OO 
    RA<10>11FB8MC12STDFAST70I/OO 
    RA<11>11FB7MC12STDFAST58I/OO 
    RA<1>23FB4MC2STDFAST87I/OO 
    RA<2>23FB8MC6STDFAST65I/OO 
    RA<3>23FB6MC2STDFAST74I/OO 
    RA<4>23FB6MC6STDFAST77I/OO 
    RA<5>23FB4MC8STDFAST91I/OO 
    RA<6>23FB8MC9STDFAST67I/OO 
    RA<7>23FB7MC2STDFAST50I/OO 
    RA<8>23FB7MC6STDFAST53I/OO 
    RA<9>23FB7MC9STDFAST55I/OO 
    RefAck12FB6MC10STD  (b)(b)RESET
    TimeoutA311FB8MC17STD 73I/OIRESET
    TimeoutB312FB8MC14STD 71I/OIRESET
    cnt/RefCnt<0>00FB5MC18STD  (b)(b)RESET
    cnt/RefCnt<1>11FB6MC8STD 78I/OIRESET
    cnt/RefCnt<2>12FB6MC7STD  (b)(b)RESET
    cnt/RefCnt<3>13FB6MC5STD 76I/OIRESET
    cnt/RefCnt<4>14FB8MC5STD 64I/OIRESET
    cnt/RefCnt<5>15FB8MC4STD  (b)(b)RESET
    cnt/RefCnt<6>16FB8MC3STD  (b)(b)RESET
    cnt/RefCnt<7>17FB8MC18STD  (b)(b)RESET
    cnt/RefDone210FB8MC11STD 68I/OIRESET
    cs/nOverlay027FB8MC10STD  (b)(b)RESET
    cs/nOverlay123FB8MC8STD 66I/OIRESET
    fsb/ASrf11FB6MC4STD  (b)(b)RESET
    fsb/BERR0r38FB1MC10STD  (b)(b)RESET
    fsb/BERR1r24FB8MC7STD  (b)(b)RESET
    fsb/Ready0r38FB8MC13STD  (b)(b)RESET
    fsb/Ready1r515FB3MC16STD  (b)(b)RESET
    fsb/Ready2r521FB1MC12STD 18I/OIRESET
    fsb/VPA1412FB8MC1STD  (b)(b)RESET
    iobm/BERRrf11FB2MC7STD  (b)(b)RESET
    iobm/BERRrr11FB2MC6STD 2I/O/GTS4(b)RESET
    iobm/DTACKrf11FB7MC10STD  (b)(b)RESET
    iobm/DTACKrr11FB7MC8STD 54I/OIRESET
    iobm/ES<0>37FB7MC14STD 59I/OIRESET
    iobm/ES<1>34FB7MC13STD  (b)(b)RESET
    iobm/ES<2>57FB7MC18STD  (b)(b)RESET
    iobm/ES<3>36FB7MC11STD 56I/OIRESET
    iobm/ES<4>47FB7MC16STD  (b)(b)RESET
    iobm/ETACK16FB3MC4STD  (b)(b)RESET
    iobm/Er211FB7MC7STD  (b)(b)RESET
    iobm/Er11FB5MC17STD 49I/OIRESET
    iobm/IOREQr11FB7MC5STD 52I/OIRESET
    iobm/IOS_FSM_FFd111FB2MC5STD 1I/O/GTS3(b)RESET
    iobm/IOS_FSM_FFd249FB2MC10STD  (b)(b)RESET
    iobm/IOS_FSM_FFd3510FB2MC13STD  (b)(b)RESET
    iobm/IOS_FSM_FFd411FB2MC4STD  (b)(b)RESET
    iobm/IOS_FSM_FFd511FB2MC3STD  (b)(b)RESET
    iobm/IOS_FSM_FFd611FB2MC2STD 99I/O/GSRGSR/IRESET
    iobm/IOS_FSM_FFd713FB2MC1STD  (b)(b)RESET
    iobm/IOS_FSM_FFd824FB2MC8STD 3I/O/GTS1(b)SET
    iobm/RESrf11FB7MC4STD  (b)(b)RESET
    iobm/RESrr11FB7MC3STD  (b)(b)RESET
    iobm/VPArf11FB7MC1STD  (b)(b)RESET
    iobm/VPArr11FB5MC16STD  (b)(b)RESET
    iobs/IOACTr11FB6MC3STD  (b)(b)RESET
    iobs/IOL122FB6MC14STD 82I/OIRESET
    iobs/IORW11517FB3MC3STD  (b)(b)RESET
    iobs/IOReady48FB3MC7STD  (b)(b)RESET
    iobs/IOU122FB6MC13STD  (b)(b)RESET
    iobs/Load11316FB3MC18STD  (b)(b)RESET
    iobs/Once1116FB3MC14STD 32I/O(b)RESET
    iobs/PS_FSM_FFd123FB3MC6STD 25I/OIRESET
    iobs/PS_FSM_FFd21017FB3MC12STD 30I/O(b)RESET
    nADoutLE012FB7MC15STDFAST60I/OO 
    nADoutLE11316FB1MC9STDFAST16I/OOSET
    nAS_IOB15FB2MC17STDFAST10I/OORESET
    nAoutOE00FB8MC15STDFAST72I/OO 
    nBERR_FSB49FB8MC2STDFAST63I/OO 
    nCAS11FB6MC9STDFAST79I/OORESET
    nDTACK_FSB2531FB1MC3STDFAST12I/OORESET
    nDinLE12FB7MC17STDFAST61I/OORESET
    nDinOE26FB4MC12STDFAST94I/OO 
    nDoutOE27FB2MC15STDFAST9I/OORESET
    nLDS_IOB37FB2MC11STDFAST6I/OORESET
    nOE12FB6MC12STDFAST81I/OO 
    nRAMLWE15FB3MC15STDFAST33I/OO 
    nRAMUWE15FB4MC17STDFAST97I/OO 
    nRAS38FB3MC5STDFAST24I/OO 
    nROMCS25FB5MC2STDFAST35I/OO 
    nROMWE12FB6MC15STDFAST85I/OO 
    nUDS_IOB37FB2MC12STDFAST7I/OORESET
    nVMA_IOB29FB3MC11STDFAST29I/OORESET
    nVPA_FSB12FB6MC17STDFAST86I/OO 
    ram/BACTr12FB6MC1STD  (b)(b)RESET
    ram/Once510FB3MC10STD  (b)(b)RESET
    ram/RAMDIS11815FB4MC10STD  (b)(b)RESET
    ram/RAMDIS2715FB4MC18STD  (b)(b)RESET
    ram/RAMReady1615FB4MC14STD 95I/OIRESET
    ram/RASEL2015FB4MC6STD 90I/OIRESET
    ram/RS_FSM_FFd1510FB3MC9STD 28I/OIRESET
    ram/RS_FSM_FFd21314FB4MC4STD  (b)(b)RESET
    ram/RS_FSM_FFd31114FB8MC16STD  (b)(b)RESET
    + + +
    + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm b/cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm new file mode 100644 index 0000000..f41e7aa --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm @@ -0,0 +1,1407 @@ + + + + + + +

    Logic

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal PtermsTotal InputsFunction BlockMacrocellPower ModeSlew RatePin NumberPin TypePin UseReg Init State
    nDTACK_FSB2531FB1MC3STDFAST12I/OORESET
    nADoutLE11316FB1MC9STDFAST16I/OOSET
    fsb/BERR0r38FB1MC10STD  (b)(b)RESET
    fsb/Ready2r521FB1MC12STD 18I/OIRESET
    $OpTx$INV$2231024FB1MC14STD 19I/OI 
    IORW01418FB1MC16STD  (b)(b)RESET
    IOREQ1117FB1MC17STD 22I/O/GCK1GCKRESET
    iobm/IOS_FSM_FFd713FB2MC1STD  (b)(b)RESET
    iobm/IOS_FSM_FFd611FB2MC2STD 99I/O/GSRGSR/IRESET
    iobm/IOS_FSM_FFd511FB2MC3STD  (b)(b)RESET
    iobm/IOS_FSM_FFd411FB2MC4STD  (b)(b)RESET
    iobm/IOS_FSM_FFd111FB2MC5STD 1I/O/GTS3(b)RESET
    iobm/BERRrr11FB2MC6STD 2I/O/GTS4(b)RESET
    iobm/BERRrf11FB2MC7STD  (b)(b)RESET
    iobm/IOS_FSM_FFd824FB2MC8STD 3I/O/GTS1(b)SET
    ALE0M27FB2MC9STD 4I/O/GTS2(b)RESET
    iobm/IOS_FSM_FFd249FB2MC10STD  (b)(b)RESET
    nLDS_IOB37FB2MC11STDFAST6I/OORESET
    nUDS_IOB37FB2MC12STDFAST7I/OORESET
    iobm/IOS_FSM_FFd3510FB2MC13STD  (b)(b)RESET
    RA<0>23FB2MC14STDFAST8I/OO 
    nDoutOE27FB2MC15STDFAST9I/OORESET
    IOBERR811FB2MC16STD  (b)(b)RESET
    nAS_IOB15FB2MC17STDFAST10I/OORESET
    IOACT1015FB2MC18STD  (b)(b)RESET
    iobs/IORW11517FB3MC3STD  (b)(b)RESET
    iobm/ETACK16FB3MC4STD  (b)(b)RESET
    nRAS38FB3MC5STDFAST24I/OO 
    iobs/PS_FSM_FFd123FB3MC6STD 25I/OIRESET
    iobs/IOReady48FB3MC7STD  (b)(b)RESET
    BERR_IOBS48FB3MC8STD 27I/O/GCK3GCK/IRESET
    ram/RS_FSM_FFd1510FB3MC9STD 28I/OIRESET
    ram/Once510FB3MC10STD  (b)(b)RESET
    nVMA_IOB29FB3MC11STDFAST29I/OORESET
    iobs/PS_FSM_FFd21017FB3MC12STD 30I/O(b)RESET
    iobs/Once1116FB3MC14STD 32I/O(b)RESET
    nRAMLWE15FB3MC15STDFAST33I/OO 
    fsb/Ready1r515FB3MC16STD  (b)(b)RESET
    iobs/Load11316FB3MC18STD  (b)(b)RESET
    RA<1>23FB4MC2STDFAST87I/OO 
    ram/RS_FSM_FFd21314FB4MC4STD  (b)(b)RESET
    ram/RASEL2015FB4MC6STD 90I/OIRESET
    RA<5>23FB4MC8STDFAST91I/OO 
    ram/RAMDIS11815FB4MC10STD  (b)(b)RESET
    nDinOE26FB4MC12STDFAST94I/OO 
    ram/RAMReady1615FB4MC14STD 95I/OIRESET
    nRAMUWE15FB4MC17STDFAST97I/OO 
    ram/RAMDIS2715FB4MC18STD  (b)(b)RESET
    nROMCS25FB5MC2STDFAST35I/OO 
    iobm/VPArr11FB5MC16STD  (b)(b)RESET
    iobm/Er11FB5MC17STD 49I/OIRESET
    cnt/RefCnt<0>00FB5MC18STD  (b)(b)RESET
    ram/BACTr12FB6MC1STD  (b)(b)RESET
    RA<3>23FB6MC2STDFAST74I/OO 
    iobs/IOACTr11FB6MC3STD  (b)(b)RESET
    fsb/ASrf11FB6MC4STD  (b)(b)RESET
    cnt/RefCnt<3>13FB6MC5STD 76I/OIRESET
    RA<4>23FB6MC6STDFAST77I/OO 
    cnt/RefCnt<2>12FB6MC7STD  (b)(b)RESET
    cnt/RefCnt<1>11FB6MC8STD 78I/OIRESET
    nCAS11FB6MC9STDFAST79I/OORESET
    RefAck12FB6MC10STD  (b)(b)RESET
    ALE0S12FB6MC11STD 80I/OIRESET
    nOE12FB6MC12STDFAST81I/OO 
    iobs/IOU122FB6MC13STD  (b)(b)RESET
    iobs/IOL122FB6MC14STD 82I/OIRESET
    nROMWE12FB6MC15STDFAST85I/OO 
    IOU035FB6MC16STD  (b)(b)RESET
    nVPA_FSB12FB6MC17STDFAST86I/OO 
    IOL035FB6MC18STD  (b)(b)RESET
    iobm/VPArf11FB7MC1STD  (b)(b)RESET
    RA<7>23FB7MC2STDFAST50I/OO 
    iobm/RESrr11FB7MC3STD  (b)(b)RESET
    iobm/RESrf11FB7MC4STD  (b)(b)RESET
    iobm/IOREQr11FB7MC5STD 52I/OIRESET
    RA<8>23FB7MC6STDFAST53I/OO 
    iobm/Er211FB7MC7STD  (b)(b)RESET
    iobm/DTACKrr11FB7MC8STD 54I/OIRESET
    RA<9>23FB7MC9STDFAST55I/OO 
    iobm/DTACKrf11FB7MC10STD  (b)(b)RESET
    iobm/ES<3>36FB7MC11STD 56I/OIRESET
    RA<11>11FB7MC12STDFAST58I/OO 
    iobm/ES<1>34FB7MC13STD  (b)(b)RESET
    iobm/ES<0>37FB7MC14STD 59I/OIRESET
    nADoutLE012FB7MC15STDFAST60I/OO 
    iobm/ES<4>47FB7MC16STD  (b)(b)RESET
    nDinLE12FB7MC17STDFAST61I/OORESET
    iobm/ES<2>57FB7MC18STD  (b)(b)RESET
    fsb/VPA1412FB8MC1STD  (b)(b)RESET
    nBERR_FSB49FB8MC2STDFAST63I/OO 
    cnt/RefCnt<6>16FB8MC3STD  (b)(b)RESET
    cnt/RefCnt<5>15FB8MC4STD  (b)(b)RESET
    cnt/RefCnt<4>14FB8MC5STD 64I/OIRESET
    RA<2>23FB8MC6STDFAST65I/OO 
    fsb/BERR1r24FB8MC7STD  (b)(b)RESET
    cs/nOverlay123FB8MC8STD 66I/OIRESET
    RA<6>23FB8MC9STDFAST67I/OO 
    cs/nOverlay027FB8MC10STD  (b)(b)RESET
    cnt/RefDone210FB8MC11STD 68I/OIRESET
    RA<10>11FB8MC12STDFAST70I/OO 
    fsb/Ready0r38FB8MC13STD  (b)(b)RESET
    TimeoutB312FB8MC14STD 71I/OIRESET
    nAoutOE00FB8MC15STDFAST72I/OO 
    ram/RS_FSM_FFd31114FB8MC16STD  (b)(b)RESET
    TimeoutA311FB8MC17STD 73I/OIRESET
    cnt/RefCnt<7>17FB8MC18STD  (b)(b)RESET
    + + +
    + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm b/cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm new file mode 100644 index 0000000..68a0fb1 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm @@ -0,0 +1,1542 @@ + + + + + + +

    Logic

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal PtermsTotal InputsFunction BlockMacrocellPower ModeSlew RatePin NumberPin TypePin UseReg Init State
    iobm/IOS_FSM_FFd111FB2MC5STD 1I/O/GTS3(b)RESET
    iobm/BERRrr11FB2MC6STD 2I/O/GTS4(b)RESET
    iobm/IOS_FSM_FFd824FB2MC8STD 3I/O/GTS1(b)SET
    ALE0M27FB2MC9STD 4I/O/GTS2(b)RESET
    nLDS_IOB37FB2MC11STDFAST6I/OORESET
    nUDS_IOB37FB2MC12STDFAST7I/OORESET
    RA<0>23FB2MC14STDFAST8I/OO 
    nDoutOE27FB2MC15STDFAST9I/OORESET
    nAS_IOB15FB2MC17STDFAST10I/OORESET
    nDTACK_FSB2531FB1MC3STDFAST12I/OORESET
    nADoutLE11316FB1MC9STDFAST16I/OOSET
    fsb/Ready2r521FB1MC12STD 18I/OIRESET
    $OpTx$INV$2231024FB1MC14STD 19I/OI 
    IOREQ1117FB1MC17STD 22I/O/GCK1GCKRESET
    nRAS38FB3MC5STDFAST24I/OO 
    iobs/PS_FSM_FFd123FB3MC6STD 25I/OIRESET
    BERR_IOBS48FB3MC8STD 27I/O/GCK3GCK/IRESET
    ram/RS_FSM_FFd1510FB3MC9STD 28I/OIRESET
    nVMA_IOB29FB3MC11STDFAST29I/OORESET
    iobs/PS_FSM_FFd21017FB3MC12STD 30I/O(b)RESET
    iobs/Once1116FB3MC14STD 32I/O(b)RESET
    nRAMLWE15FB3MC15STDFAST33I/OO 
    nROMCS25FB5MC2STDFAST35I/OO 
    iobm/Er11FB5MC17STD 49I/OIRESET
    RA<7>23FB7MC2STDFAST50I/OO 
    iobm/IOREQr11FB7MC5STD 52I/OIRESET
    RA<8>23FB7MC6STDFAST53I/OO 
    iobm/DTACKrr11FB7MC8STD 54I/OIRESET
    RA<9>23FB7MC9STDFAST55I/OO 
    iobm/ES<3>36FB7MC11STD 56I/OIRESET
    RA<11>11FB7MC12STDFAST58I/OO 
    iobm/ES<0>37FB7MC14STD 59I/OIRESET
    nADoutLE012FB7MC15STDFAST60I/OO 
    nDinLE12FB7MC17STDFAST61I/OORESET
    nBERR_FSB49FB8MC2STDFAST63I/OO 
    cnt/RefCnt<4>14FB8MC5STD 64I/OIRESET
    RA<2>23FB8MC6STDFAST65I/OO 
    cs/nOverlay123FB8MC8STD 66I/OIRESET
    RA<6>23FB8MC9STDFAST67I/OO 
    cnt/RefDone210FB8MC11STD 68I/OIRESET
    RA<10>11FB8MC12STDFAST70I/OO 
    TimeoutB312FB8MC14STD 71I/OIRESET
    nAoutOE00FB8MC15STDFAST72I/OO 
    TimeoutA311FB8MC17STD 73I/OIRESET
    RA<3>23FB6MC2STDFAST74I/OO 
    cnt/RefCnt<3>13FB6MC5STD 76I/OIRESET
    RA<4>23FB6MC6STDFAST77I/OO 
    cnt/RefCnt<1>11FB6MC8STD 78I/OIRESET
    nCAS11FB6MC9STDFAST79I/OORESET
    ALE0S12FB6MC11STD 80I/OIRESET
    nOE12FB6MC12STDFAST81I/OO 
    iobs/IOL122FB6MC14STD 82I/OIRESET
    nROMWE12FB6MC15STDFAST85I/OO 
    nVPA_FSB12FB6MC17STDFAST86I/OO 
    RA<1>23FB4MC2STDFAST87I/OO 
    ram/RASEL2015FB4MC6STD 90I/OIRESET
    RA<5>23FB4MC8STDFAST91I/OO 
    nDinOE26FB4MC12STDFAST94I/OO 
    ram/RAMReady1615FB4MC14STD 95I/OIRESET
    nRAMUWE15FB4MC17STDFAST97I/OO 
    iobm/IOS_FSM_FFd611FB2MC2STD 99I/O/GSRGSR/IRESET
    fsb/BERR0r38FB1MC10STD  (b)(b)D  RESET
    IORW01418FB1MC16STD  (b)(b)T  RESET
    iobm/IOS_FSM_FFd713FB2MC1STD  (b)(b)D  RESET
    iobm/IOS_FSM_FFd511FB2MC3STD  (b)(b)D  RESET
    iobm/IOS_FSM_FFd411FB2MC4STD  (b)(b)D  RESET
    iobm/BERRrf11FB2MC7STD  (b)(b)D  RESET
    iobm/IOS_FSM_FFd249FB2MC10STD  (b)(b)D  RESET
    iobm/IOS_FSM_FFd3510FB2MC13STD  (b)(b)D  RESET
    IOBERR811FB2MC16STD  (b)(b)T  RESET
    IOACT1015FB2MC18STD  (b)(b)D  RESET
    iobs/IORW11517FB3MC3STD  (b)(b)T  RESET
    iobm/ETACK16FB3MC4STD  (b)(b)D  RESET
    iobs/IOReady48FB3MC7STD  (b)(b)T  RESET
    ram/Once510FB3MC10STD  (b)(b)T  RESET
    fsb/Ready1r515FB3MC16STD  (b)(b)D  RESET
    iobs/Load11316FB3MC18STD  (b)(b)D  RESET
    ram/RS_FSM_FFd21314FB4MC4STD  (b)(b)T  RESET
    ram/RAMDIS11815FB4MC10STD  (b)(b)D  RESET
    ram/RAMDIS2715FB4MC18STD  (b)(b)T  RESET
    iobm/VPArr11FB5MC16STD  (b)(b)D  RESET
    cnt/RefCnt<0>00FB5MC18STD  (b)(b)T  RESET
    ram/BACTr12FB6MC1STD  (b)(b)D  RESET
    iobs/IOACTr11FB6MC3STD  (b)(b)D  RESET
    fsb/ASrf11FB6MC4STD  (b)(b)D  RESET
    cnt/RefCnt<2>12FB6MC7STD  (b)(b)T  RESET
    RefAck12FB6MC10STD  (b)(b)D  RESET
    iobs/IOU122FB6MC13STD  (b)(b)D  RESET
    IOU035FB6MC16STD  (b)(b)D  RESET
    IOL035FB6MC18STD  (b)(b)D  RESET
    iobm/VPArf11FB7MC1STD  (b)(b)D  RESET
    iobm/RESrr11FB7MC3STD  (b)(b)D  RESET
    iobm/RESrf11FB7MC4STD  (b)(b)D  RESET
    iobm/Er211FB7MC7STD  (b)(b)D  RESET
    iobm/DTACKrf11FB7MC10STD  (b)(b)D  RESET
    iobm/ES<1>34FB7MC13STD  (b)(b)D  RESET
    iobm/ES<4>47FB7MC16STD  (b)(b)T  RESET
    iobm/ES<2>57FB7MC18STD  (b)(b)D  RESET
    fsb/VPA1412FB8MC1STD  (b)(b)T  RESET
    cnt/RefCnt<6>16FB8MC3STD  (b)(b)T  RESET
    cnt/RefCnt<5>15FB8MC4STD  (b)(b)T  RESET
    fsb/BERR1r24FB8MC7STD  (b)(b)D  RESET
    cs/nOverlay027FB8MC10STD  (b)(b)T  RESET
    fsb/Ready0r38FB8MC13STD  (b)(b)D  RESET
    ram/RS_FSM_FFd31114FB8MC16STD  (b)(b)T  RESET
    cnt/RefCnt<7>17FB8MC18STD  (b)(b)T  RESET
    + + +
    + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogicdoc.htm b/cpld/XC95144XL/MXSE_html/fit/maplogicdoc.htm new file mode 100644 index 0000000..75e0468 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/maplogicdoc.htm @@ -0,0 +1,167 @@ + + + + + + + + + + + + + + + Mapped Logic + + + + + + + + + + + +

    +Mapped Logic

    +The +Mapped Logic section provides a table listing resources allocated by the +fitter to mapped logic. The page will appear in your browser sorted by +Signal Name, but you can choose to sort it by Signal Name, Function Block, +and Pin Number by clicking on the appropriate table headers.  +
    +
    The +Mapped Logic table contains the following:  +
      +
    • +The +output signal name 
    • +
    + +
    Note: +Clicking on the signal name will open a new window with the equations for +that signal. 
    + +
      +
    • +The +total number of product terms 
    • + +
    • +The +number of signals used 
    • + +
    • +The +function block number - an asterisk "*" indicates a user assignment 
    • +
    + +
    Note: +Clicking on the function block will provide a detailed table of all the +block's resources and a graphical display of the function block diagram +(see Function Block Specifics for more details).
    + +
      +
    • +The +macrocell number
    • +
    + +
    Note: +Clicking on the underscored macrocell number will provide a graphical display +of the macrocell that looks like this:
    + + +

    +. +

      +
    • +The +slew rate
    • + +
    • +The +pin number - an asterisk "*" indicates a user assignment 
    • +
    + +
    Note: +Clicking on the underscored pin number will provide the pin layout diagram +for the highlighted pin. Rolling +your mouse over the colored pin will pop up a tooltip with the signal name +assigned to the pin, the I/O standard, the +I/O style, the slew rate, and/or any constraints assigned to the pin:
    + + +

    + +

      +
    • +The +pin type
    • + +
    • +The +pin use
    • + +
    • +The +input register use
    • + +
    • +The +I/O standard
    • + +
    • +The +I/O style
    • +
    + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/newappletref.htm b/cpld/XC95144XL/MXSE_html/fit/newappletref.htm new file mode 100644 index 0000000..37f3d7f --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/newappletref.htm @@ -0,0 +1,14 @@ + + + + + + + + + + + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/next.jpg b/cpld/XC95144XL/MXSE_html/fit/next.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b8bbb999ee5f7042c9dcbad4dacf3659aa2ee7a8 GIT binary patch literal 1079 zcmex=9X@jO*zpr5PhGlvPb?HxGHT=yahkYr<3UbkKb$@|Xn~>>0k;H_C~9n78`F`QPzJCPur8PO(e59<=M&#AB!andt81j&-><& zq0R)8)>*%}S1eCIc5p`YX|0I4_YHq$Fz?;;;rYSt*U{0tb<-VpZr`&@tnAX^l};~h z4@wFuvh)0Bc+*$ATG}JO(dzgS4jcZ(uV&>fUG1N$daHKQE6pTDX*Pvd9!8IgE0a~U zjAeMzr9*y*KC+EJcQ} z_Q%h2XUvoA_V4%D9AWcJ^)|EmddV+~w(ZY~|Jm}-{= 4)); + +var pluginDetected = false; + +// we can check for plugin existence only when browser is 'is_ie5up' or 'is_nav4up' +if(is_nav4up) { + + // Refresh 'navigator.plugins' to get newly installed plugins. + // Use 'navigator.plugins.refresh(false)' to refresh plugins + // without refreshing open documents (browser windows) + if(navigator.plugins) { + navigator.plugins.refresh(false); + } + + // check for Java plugin in installed plugins + if(navigator.mimeTypes) { + // window.alert( navigator.mimeTypes.length); + for (i=0; i < navigator.mimeTypes.length; i++) { + // window.alert( navigator.mimeTypes[i].type); + if( (navigator.mimeTypes[ i].type != null) + &&(navigator.mimeTypes[ i].type.indexOf( + "application/x-java-applet;jpi-version=1.4") != -1) ) { + //window.alert("Found"); + pluginDetected = true; + break; + } + + } + } + +} + +if (pluginDetected) { + // show applet page + document.location.href="appletref.htm"; + +} else if (confirm("Java Plugin 1.4+ not found, Do you want to download it?\n" + + "if you choose not to install the plugin the reports graphical applets will not be available.")) { + document.location.href=XilinxD; +} else { + document.location.href="appletref.htm"; +} + +} + diff --git a/cpld/XC95144XL/MXSE_html/fit/options.htm b/cpld/XC95144XL/MXSE_html/fit/options.htm new file mode 100644 index 0000000..38f328e --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/options.htm @@ -0,0 +1,106 @@ + + + + + + +

    Compiler Options

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    OptionValue
     Device(s) Specified + xc95144xl-10-TQ100
     Optimization Method + SPEED
     Multi-Level Logic Optimization + ON
     Ignore Timing Specifications + OFF
     Default Register Power Up Value + LOW
     Slew Rate + FAST
     Keep User Location Constraints + ON
     What-You-See-Is-What-You-Get + OFF
     Exhaustive Fitting + OFF
     Keep Unused Inputs + OFF
     Power Mode + STD
     Ground on Unused IOs + OFF
     Global Clock Optimization + ON
     Global Set/Reset Optimization + ON
     Global Ouput Enable Optimization + ON
     Set I/O Pin Termination + KEEPER
     Input Limit (2-54) 54
     Pterm Limit (1-90) 25
    + + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/optionsdoc.htm b/cpld/XC95144XL/MXSE_html/fit/optionsdoc.htm new file mode 100644 index 0000000..f1ee543 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/optionsdoc.htm @@ -0,0 +1,760 @@ + + + + + + + + + + +Compiler Options + + + + + + + + + + + + + + + + + + + + + + + + +

    Compiler Options

    + +

    The Compiler + Options page provides all the fitter options settings for the device family + the fitter has selected.

    + +

    Fitter Options

    + +

    Basic Tab

    + +

    XPLA3 Advanced + Options

    + +

    CoolRunner-II + Advanced Options

    + +

    XC9500/XL/XV + Advanced Options

    + +

    Basic + Tab

    + +

    The CPLD devices + have the following fitter Implementation + Options available in + the Basic tab:

    + +
      + +
    • Use + Multi-Level Logic Optimization

    • +
    + +

    This option simplifies the total number + of logic expressions in a design, and then collapses the logic in order + to meet user objectives such as density, speed and timing constraints. + This optimization targets CPLD architecture, making it possible to collapse + to the macrocell limits, reduce levels of logic, and minimize the total + number of p-terms.

    + +

    Multi-level Logic Optimization optimizes + all combinatorial logic arcs spanning from an input pad or register output + to an output pad or register input.

    + +

    Multi-level Logic Optimization operates + on combinatorial logic according to the following rules.

    + +

    If timing constraints are set, the program + optimizes for speed to meet timing constraints.

    + +

    If timing constraints are not set, the + program optimizes either for speed or density, depending on the user setting + for the Use Timing Optimization + option.

    + +
      + +
        + +
          + +
        • If + Use Timing Optimization is turned + on, the combinatorial logic will be mapped for speed.

        • + +
        • If + Use Timing Optimization is turned + off, the combinatorial logic will be mapped for density. The goal of optimization + will then be to reduce the total number of p-terms.

        • +
        +
      +
    + +

    Logic + marked with the NOREDUCE property will not be extracted or optimized.

    + +

    By + default, this option is on.

    + +
      + +
    • Use Timing Constraints -- This + option instructs the fitter use Timing Constraints when fitting the design. +  If this + box is not checked, the fitter will ignore timing constraints, if necessary.

    • + +
    • Enable WYSIWYG + Mode -- (CoolRunner only) The + goal of the WYSIWYG options is to have a netlist reflect the user's specifications, + as much as possible. All the nodes declared in the HDL design are preserved. + By default, this property is set to Off (Checkbox is not checked) When + this property is On (checkbox is checked), XST:

    • + +
        + +
      • Preserves + all the user internal signals (nodes)

      • + +
      • Creates + source_node constraints in NGC file for all these nodes.

      • + +
      • Skips + the design optimization (collapse, factorization). Only the Boolean equation + minimization is performed.

      • +
      + +
    • Optimization Style-- The Optimization + Method allows you to select from one of two basic optimization strategies: + Density or Speed. + Density focuses on solely + on density, and Speed focuses + solely on speed.

    • + +
    • Location Constraints -- The Try selection + will attempt to fit the design with the pin assignments specified in the + design source. If the design cannot be fit with these pin assignments, + the fitter will remove the location constraints and attempt to fit the + design with no location constraints. A warning message will tell the user + if the location constraints have been removed.

    • + +
        + +
      • The + Try selection will attempt + to fit the design with the pin assignments specified in the design source. + If the design cannot be fit with these pin assignments, the fitter will + ignore the pin assignments.

      • +
      + +
    • The + On selection will attempt to fit + the design with the pin assignments specified in the design source. If + the design cannot be fit with these pin assignments, the fitter will notify + the user that the device could not fit. It will not unlock the pins under + this option.

    • + +
    • The + Off selection will attempt to + fit the design and will ignore the pin assignments specified in the design + source. If the design can be fit with no pre-assigned pins, the fitter + will assign pins, which can be viewed in the fitter report (filename.fit). + The user should take these pin assignments and incorporate them back into + the design source file. The user will be notified whether the fitting + operation was successful.

    • + +
    • Output + Slew Rate -- Use this option + to control the default output slew rate. You can control the transition + time of device output pins by setting the slew rate to Slow or Fast. Limiting + the slew rate (Slow) reduces output switching surges in the device. The + default is Fast.

    • +
    + +

    Note: + Any explicit slew rate control properties in the design or constraints + file take precedence over this Output Slew Rate setting.

    + +
      + +
    • FF Initial State -- Sets + the initial state for all Flip-Flops.  The + options are Low, High and FPGA.

    • + +
    • Collapsing + P-Term Limit -- This option + controls the degree to which the fitter flattens a design netlist. A logic + gate can collapse forward into a subsequent gate only if the number of + product terms in the resulting logic function does not exceed the p-term + limit. If the path delay of a logic function is not acceptable, increase + the p-term limit to allow the larger functions to be further flattened. + Choose a number from 3 to 48.

    • + +
    • Collapsing + Input Limit -- This is a + secondary option for controlling the degree to which the fitter flattens + a design netlist. A logic gate can collapse forward into a subsequent + gate only if the number of inputs in the resulting logic function does + not exceed the input limit. If the design fails to fit the target device + because flattening uses up too many of the function block inputs, decrease + the input limit to prevent flattening of certain high fan-in functions. +  

    • +
    + +

    XPLA Advanced + Options

    + +

    The + following options are available under XPLA Implementation + Options, Advanced tab.

    + +
      + +
    • Enable Fast + Input Registers -- Enables the use + of the Fast Input path in XPLA3 devices.

    • + +
    • Enable Use + of Foldback NANDs -- When selected, + the software will use foldback NANDs. This increases the capability to + fit a design, sometimes at the expense of speed.

    • + +
    • Reserve JTAG Pins for ISP -- Checking + this box will instruct the fitter to reserve JTAG pins.

    • +
    + +

    CoolRunner-II + Advanced Options

    + +

    The following + options are found under the Advanced tab for CoolRunner-II devices.

    + +
      + +
    • Use + Global Clock(s) -- Select this option + to allow the fitter to assign input pins used as clocks to dedicated global + clock (GCK) pins of the device. If this option is disabled, only pins + identified with the BUFG=CLK property in the design (or UCF file) will + be assigned to GCK device pins. By default, this option is on. +

    • + +
    • Use Global Output Enable(s) -- Select + this option to allow the fitter to assign input pins used as output enable + control to dedicated global OE (GTS) pins of the device. If this option + is disabled, only pins identified with the BUFG=OE property in the design + (or UCF file) will be assigned to GTS device pins. By default, this option + is on.

    • + +
    • Use Global Set/Reset -- Select this + option to allow the fitter to assign input pins used as register asynchronous + reset or preset control to the dedicated global set/reset (GSR) pin of + the device. If this option is disabled, only a pin identified with the + BUFG=SR property in the design (or UCF file) will be assigned to the GSR + device pin. By default, this option is on.

    • + +
    • Enable Fast Input Registers -- Enables + fast input registers.

    • + +
    • Ignore DATA_GATE Attributes -- Data + Gate is a power saving property that can be used in CoolRunner-II designs. +  This option + allows you to turn Data Gate off in case you want the fitter to ignore + data gate.

    • + +
    • Tristate Outputs Termination Node -- + The Tristate Output Termination Mode globally sets all tristate outputs + to the specified termination mode. By default, this field is set to Pullup.. +  The options + are Pullup, Keeper and Float.

    • + +
    • Create Programmable Ground Pins on Unused I/O + -- The Create Programmable GND Pins on Unused I/O property controls the + option to indicate that you want all unused I/O pads to be configured + as ground pins. This can reduce ground bounce. By default, this option + is set to ground.  The + options are Ground, Pullup, Keeper and Float.

    • + +
    • +

      Default + Output Voltage Standard -- set a default voltage standard for CoolRunner-II + device pins.

      + +

      IOSTANDARD + names supported by CoolRunner-II are:

      + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
      +

      I/O Standard

      +

      VCCIO

      +

      Input VREF

      +

      Board Termination Voltage (VTT)

      +

      LVTTL

      +

      3.3V

      +

      N/A

      +

      N/A

      +

      LVCMOS33

      +

      3.3V

      +

      N/A

      +

      N/A

      +

      LVCMOS25

      +

      2.5V

      +

      N/A

      +

      N/A

      +

      LVCMOS18

      +

      1.8V

      +

      N/A

      +

      N/A

      +

      LVCMOS15

      +

      1.5V

      +

      N/A

      +

      N/A

      +

      HSTL_I

      +

      1.5V

      +

      0.75V

      +

      0.75V

      +

      SSTL2_I

      +

      2.5V

      +

      1.25V

      +

      1.25V

      +

      SSTL3_I

      +

      3.3V

      +

      1.5V

      +

      1.5V

      + +

      The software + automatically groups outputs with similar IOSTANDARD settings into the + same bank when no location constraints are specified.

      +
    • +
    + +

    XC9500/XL/XV + Advanced Options

    + +

    The following + options are found under the Advanced tab for XC9500/XL/XV.  Note + that additional options for XC9500 only are also described below.

    + +
      + +
    • Use + Global Clock(s) -- Select this option + to allow the fitter to assign input pins used as clocks to dedicated global + clock (GCK) pins of the device. If this option is disabled, only pins + identified with the BUFG=CLK property in the design (or UCF file) will + be assigned to GCK device pins. By default, this option is on. +

    • + +
    • Use Global Output Enable(s) -- Select + this option to allow the fitter to assign input pins used as output enable + control to dedicated global OE (GTS) pins of the device. If this option + is disabled, only pins identified with the BUFG=OE property in the design + (or UCF file) will be assigned to GTS device pins. By default, this option + is on.

    • + +
    • Use Global Set/Reset -- Select this + option to allow the fitter to assign input pins used as register asynchronous + reset or preset control to the dedicated global set/reset (GSR) pin of + the device. If this option is disabled, only a pin identified with the + BUFG=SR property in the design (or UCF file) will be assigned to the GSR + device pin. By default, this option is on.

    • + +
    • Create Programmable Ground Pins on Unused I/O + -- Select this option to indicate that you want all unused I/O pads to + be configured as ground pins. This can reduce ground bounce. By default, + this option is off.

    • + +
    • Macrocell Power Setting -- Use this + option to control device power consumption. Select Low or Standard to + set the default power mode for the macrocells used to implement the design. + Select Timing Driven to automatically reduce power on paths covered by + timing specifications that can meet speed requirements while operating + in low power. The default is Standard, which results in highest speed.

    • +
    + +

    Note: Any explicit power control (PWR_MODE) + properties in the design or constraints file take precedence over this + Macrocell Power Setting.

    + +
      + +
    • Enable FASTConnect/UIM Optimization (XC9500 + only) -- Enables optimization of the FASTConnect/UIM for XC9500 + devices.

    • + +
    • Use + Local Feedback (XC9500 only)

    • +
    + +

    Select this option to enable the software + to use local macrocell feedback whenever possible. The local feedback + path, running from each macrocell output to an input of the same function + block, has shorter propagation delay than the global feedback path. The + fitter always tries to use local macrocell feedback (if possible) to satisfy + timing constraints. This option allows the fitter to use local feedback + to generally improve timing on remaining paths. Using local feedback can + speed up your design but could also make it difficult to maintain the + same timing after a design change. By default, this option is on.

    + + + +
    + + +

    Note: + To force the fitter to use local feedback, manually map both + the source and load functions into the same function block using the property + LOC=FBnn, + then apply a timespec across the path. 

    + + + +
    + + +

    Note: + The XC9536 device does not have local feedback.

    + + + +
    + + +
      + +
    • Use + Pin Feedback (XC9500 only)

    • +
    + +

    Select this option to enable the software + to use I/O pin feedback whenever possible. The pin feedback path has slightly + shorter propagation delay than the global feedback path. If this option + is enabled, the software uses the pin feedback path instead of the global + feedback path for macrocell signals that do not drive 3-state outputs + or slew-rate-limited outputs, and where the associated I/O pin is not + used as input-only. By default, this option is on.

    + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/paths.js b/cpld/XC95144XL/MXSE_html/fit/paths.js new file mode 100644 index 0000000..ff4aa89 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/paths.js @@ -0,0 +1,39 @@ +rootURL = "http://www.xilinx.com/"; +prodURL = "xlnx/xil_prodcat_product.jsp?title="; +cpldURL = "CPLD+Products"; +acr2URL = "coolrunner2_page"; +xbrURL = "coolrunner2_page"; +xpla3URL = "xpla3_page"; +xc9500URL = "xc9500_page"; +xc9500xlURL = "xc9500xl_page"; +xa9500xlURL = "xc9500xl_page"; +xc9500xvURL = "xc9500xv_page"; +marketURL= "esp"; +supportURL = "http://www.support.xilinx.com/"; +educationURL = "support/education-home.htm"; +buyURL = "http://toolbox.xilinx.com/cgi-bin/xilinx.storefront"; +contactURL = "company/contact.htm"; +searchURL = "company/search.htm"; + +docURL = rootURL + "support/documentation/"; +doc95URL = docURL + "xc9500.htm"; +doc95xlURL = docURL + "xc9500xl.htm"; +docA95xlURL = docURL + "xc9500xl.htm"; +doc95xvURL = docURL + "xc9500xv.htm"; +docXpla3URL = docURL + "coolrunner_xpla3.htm"; +docAcr2URL = docURL + "coolrunner-ii.htm"; +docXbrURL = docURL + "coolrunner-ii.htm"; +docCr2sURL = docURL + "coolrunner-ii.htm"; + +var messages = new Array(); +messages["fastinreg"] = "Direct Input Register"; +messages["inreg"] = "Direct Input Register"; +messages["fbnand"] = "Foldback NAND"; +messages["fcnode"] = "FC node"; +messages["LATCH"] = "Transparent latch"; +messages["DFF"] = "D-flip-flop"; +messages["DEFF"] = "D-flip-flop with clock enable"; +messages["TFF"] = "T-flip-flop"; +messages["TDFF"] = "Dual-edge-triggered T-flip-flop"; +messages["DDFF"] = "Dual-edge-triggered flip-flop"; +messages["DDEFF"] = "Dual-edge-triggered flip-flop with clock enable"; diff --git a/cpld/XC95144XL/MXSE_html/fit/pin.gif b/cpld/XC95144XL/MXSE_html/fit/pin.gif new file mode 100644 index 0000000000000000000000000000000000000000..f110f3df4f08db5e7b03c33b102290f713d6f6ce GIT binary patch literal 3093 zcmeH`_d6R37spFmSG8tUjiM+uiz3#g)2Q96R;#UEGh$WM2p7HfjoBfjMi4|otV-(#5vjTT!J0J1sW-ZcLRDEFM{t8p5~a8`#) zYYCK+s_h7e57Aai(>OoV`XmS(Gt=<;=Z0tqZs%K>50flvCiv%E8;DooMWdCK*|&TSLph+NEZ!Xat_6O-sRziqcYMWT?%mOG_e5lT$kkj|YOnjtXj*36T+FbX z?1)bZwM#7adaOM>R^oiTK+wgzn%OZ6b@XfqH9=M^;d&kt8gzRFDHWg|-tp?G7){nC z>0VCHT&giP0O9=zP%@mNp1HR4k?#>OJ?V)XY4ZIHaJ}$>)qu+~)Wz0~qQyTz&(Q_g=@durMF&SyY5Yq0ptxOp}G2FB?FG+?oY22-8T0O?r9h zOcj9*IMDMM&tLVXzU%_@EWc<4YnNMSHzJjvHCddAK^3g`EM}vYx$V|&2Z)rY+VX*Jmc6w$NZ9nJf%1tD( zXlJ}&)P8x>4i{xNKo!IO~-%Md||UI>wIx%^ta+y>G?KjLFI1Ze|B=x@ki`crKcuQ#&6sNTM$!YLfRCQ;lvN zEPb@|Iatnc#~iF=1(6R{;pN%;Yw^(V{dGh^@@i#KF&VsBfxZ}C%PS2`2$tNM>#xU zg~54pppSW}%uq~A(WmWx$kz8JPcU6hfScta!!P!}GuMJ7PcB%2+015HSkB$8QuNcM zhxUSGWbtS3D~EBr!1q`)S~um&Bl|buMz`40IXH%*r4r%noCR%jF{vPx{(&(*L1|XK zekU#h_uCs3b!26HoLs0?JCi^4%Pn53>lYV=cwPtR9#eP>Ed&1Kkpgr`a-ECe=e56t z3(gUW-8BR(Q(NyO&BR3sXP}|u3D5l?erd6&V0D`+$fvTUr!tI@ zmMiiX((wL8a?gwb!X|1GH^RPaQe!nV{u z$utOAu4|~lsEP5qWA4m>u-NlC;XjM@#vDv&+trb*&uzE=q}P*FDS2E;9@h3ZAIAeI z`2s}bT=KG<{o5zDl^p9v1~B>e7*B+(641mFHIkkqpQn;kV&-l#3Y(%7X%R~-g8D{t z4k^WVIZ;+|CSUV~sYqjU)E`iJ9S2ov$#k2CL9zT8$}=GUV&R4j4mE~(`ZjxRVZ*Ll ze!R*@9qmFzIgIw%BK@9d_$8qoS4}4Br>K}9ieF&eb&}bKky+DQ{*J-CyBPWstfPXzhH&;lyLd96McOmF_O{ioGZP10B&>&o2ybADVTKQH!iGY$ zrC}s{H*ueX{%iyy_fg#ft;zmly1roH8(CMmsSu-o0xRy*V}KmI4%*V7YM6Uwm-Q-= z;51rUpz3DEsaD<9K!YYm5Z9c33Xf=WeW^Sc18HAo^b!OBa;97NJe&`wfMOch;zk3< z>Hh9}v65|F<^=t=S`y;mi!e?PRN~F(QO&`EReM%F2tCv7XM+m1l_UcQ^wA@($EeRj zyP;Ckgv7F-R^_FgW7e#%p*Of2jfmcE+zq{vv9yemB3Fy%o*8U2we?NemLjNOk^k^& zO`q$y--A1jMAb`mUwGdxuq%YktBD-o7O%NvNg-=fW z$`y@z4^ghA934>wjsdN~ve|WBj=$d7-AO>{YSOR)f5j*XFea301I1mB*A4?qM+&|M zGGnsath#+;7Rf(QB3QCUNGM|PR@)b4==$xZhB!KTsf-}HzD{L$u?!L$)#`^H#f8Q3 zh+B6;vNyj8`)%)=9FBZGp&%`rHVFE7EQ(6Sr0A`5V#lZ2XK7WrSI9vTjM;{q{5o#^ totOw)q%d>8$Flhcbns|Jmw7Oh(o89~Jl=T5Je(YCrs42)k!Q~6{ts)#@;m?l literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/pin_legXC95.htm b/cpld/XC95144XL/MXSE_html/fit/pin_legXC95.htm new file mode 100644 index 0000000..db88bcb --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/pin_legXC95.htm @@ -0,0 +1,13 @@ +
    +Legend :  NC  = Not Connected, unbonded pin
    +         PGND = Unused I/O configured as additional Ground pin
    +         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
    +         VCC  = Dedicated Power Pin
    +         GND  = Dedicated Ground Pin
    +         TDI  = Test Data In, JTAG pin
    +         TDO  = Test Data Out, JTAG pin
    +         TCK  = Test Clock, JTAG pin
    +         TMS  = Test Mode Select, JTAG pin
    +         PE   = Port Enable pin
    +  PROHIBITED  = User reserved pin
    +
    diff --git a/cpld/XC95144XL/MXSE_html/fit/pin_legXbr.htm b/cpld/XC95144XL/MXSE_html/fit/pin_legXbr.htm new file mode 100644 index 0000000..00a6d2c --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/pin_legXbr.htm @@ -0,0 +1,21 @@ +
    +Legend :  NC  = Not Connected, unbonded pin
    +        PGND  = Unused I/O configured as additional Ground pin
    +         KPR  = Unused I/O with weak keeper (leave unconnected)
    +         WPU  = Unused I/O with weak pull up (leave unconnected)
    +         WPD  = Unused I/O with weak pull down (leave unconnected)
    +         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
    +         VCC  = Dedicated Power Pin
    +      VCCAUX  = Power supply for JTAG pins
    +   VCCIO-3.3  = I/O supply voltage for LVTTL, LVCMOS33, SSTL3_I
    +   VCCIO-2.5  = I/O supply voltage for LVCMOS25, SSTL2_I
    +   VCCIO-1.8  = I/O supply voltage for LVCMOS18
    +   VCCIO-1.5  = I/O supply voltage for LVCMOS15, HSTL_I
    +        VREF  = Reference voltage for indicated input standard
    +         GND  = Dedicated Ground Pin
    +         TDI  = Test Data In, JTAG pin
    +         TDO  = Test Data Out, JTAG pin
    +         TCK  = Test Clock, JTAG pin
    +         TMS  = Test Mode Select, JTAG pin
    +  PROHIBITED  = User reserved pin
    +
    diff --git a/cpld/XC95144XL/MXSE_html/fit/pin_legXpla3.htm b/cpld/XC95144XL/MXSE_html/fit/pin_legXpla3.htm new file mode 100644 index 0000000..8dad55d --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/pin_legXpla3.htm @@ -0,0 +1,13 @@ +
    +Legend :  NC  = Not Connected, unbonded pin
    +          PE  = Port Enable pin
    +         WPU  = Unused with Internal Weak Pull Up
    +         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
    +         VCC  = Dedicated Power Pin
    +         GND  = Dedicated Ground Pin
    +         TDI  = Test Data In, JTAG pin
    +         TDO  = Test Data Out, JTAG pin
    +         TCK  = Test Clock, JTAG pin
    +         TMS  = Test Mode Select, JTAG pin
    +  PROHIBITED  = User reserved pin
    +
    diff --git a/cpld/XC95144XL/MXSE_html/fit/pindiagram.gif b/cpld/XC95144XL/MXSE_html/fit/pindiagram.gif new file mode 100644 index 0000000000000000000000000000000000000000..504bb2b0fba66a2a6d92c65f259b6a52ba328c73 GIT binary patch literal 21649 zcmV)nK%KuwNk%w1VK@Ok0rvm^0001h0001h0Dyo1004l10Du61fPjF21Ox>91O)ud z1O)s9goK0y1pLg*%tS;)`~>_2{QUg<{3IkK?BwiRbbOqQl(ej@926A9%*5#Kf$uq-KfG`y^|baZrNL{y}lq}p0goKQY#Js#jOhlxtq)aqS?Cj)xjEqEtlx%!_ z_mKo zTy%7tl$`YR>{LWdoTQ|bjGRnVRIH?|M8xDwL`)PE9BgE4z`(%&000300RR6000960 z0RI60|NsC0EC2ui05}0Y0YC`<08=DM(Tt!#g9Qf`Oo))60|%%aG?VBcNkmjBFgnP{ zabrY?AVWs%coAX}iV#s*JUQ`WNr@^!x-^M$=1iFnU+T;$GG_{rKV1g(DRS3Gh`Wlq zOp1!B1EWTHPI@@C>eHr69ZUr|H6m1;JWHyKInm-os7tX9y~wetR*!9yT16}OYF)Mp z4d!LIP_N#LV_Cx0*s*O-p@hX!oS0HDuiu9#u zuVSMjE$tMwU92F#UUWJ&s!hu)1EV_Y_M=tKh=10`m^foyx^IWu-q<&uZEtyb+%IYrtitKKf9&sydKvy4OCmE~V*qtFDlp}{tj3!! zzW2hLF16J1>#o1>URx4J-r*Q5b{)}$)v!D&m*B&0ef5<KU+ex-DM+e>n>*8G>R&vm7Q*1Mh8de>h*jsCjz)2nV6l_wA zmnK+ZljE(niZMw%VatTe<}}R(N@-w4y2)5mSU;J#mfx?xJviVje~pn@+F56w;!`Hi zwCvXfFExQsejfR8V#4M*0>WLj`}B($MCExR=0sL?Nn`9{>K_ja{PP1oT{G-+3uZb+ z32XO|VZ3T&U(l_$%{qj`8%uBk_#vDe3NxPLl}8}&UwC*8~j!XmIIA0XXH^2TSlZi{jo-R)PrDxLgo;Q9ISA( zBMJroE6Azfz-4f&Lk?ivR=pfrXowZu9L07gthd0(N-*@M14@GPojLN8Ew(`YLFefx24tw)Dx*_l=m~r9JB59+c^b9+HtK#~6S2O}5tzqEf z$s#91v$zZrZWbC66Pe{dz(LW1tg)d+gtijzH7b@{stEnI!yFP)B#F;6B(NU%5*x+t zHjUDa4{1`rA8L_J%%tWnquD=%)dY|lV-Mr}G&d3Duzz<+i4(_Yu_yM?f);6(5I@HM zLGMMfXKW;o@f`9!Y0^=ZMv|PASh$nB9W9$N+*l3&Xv0muvySUCS^?XXGB~E-o{{oo zT$)JEC>dvhw@lyl0x3)l2CJ9_A<+jbiXf7mj%}PnDGDpb$%UDbW(dsU@m4g-m+*{+ zA)3!2Q@YeUstBFV)W`wRSjq)9F{L&ulU6H-CcR`1P!|jt9N!63;NZt;qkDuDuuMT4X*F!xD}q24U?tLs&_~ftHJwJt*X&CZH&# zwr*5gX2QByRRx0cXHdE-V4bJY87ej*ih0#1t*Mcv((@+v?Im*8$q zEv{vkOhssWUu#{|E!WLJ*)mEUYqdh3X|gSrqh?MTrm{+xnK%{UK(6(->k9*_sXt~=R%57C_hR#}xD-Gu7swBF^ z)!8YarSjnA9&K=a`ioHG9(#5#=S<80c@>nI}4~GPVJ@ zHYbM&$Lg#Z!P~cCK?3e0X1ljmRa`mBHsFGnb?yd#4N2V`k=|zi&Mm#MJ?pqWL_srq zaC}{OySq;Nl!_%*CgEyo;f;)<-Nr1q(=uy*)4Ha&R&k0`kg2BQ2?1C_4~SM5@3SJ+ z(|l`Dj`b~QtEh0Ub+wGZsWx$$ghqbGkRCF%rjpyrP_7m*WDa*LavE;Wv-=>al0jptCZ(o zJ`wE{81$Kh_%@3_js!QW_+)>DTFQG>KE?W9ev*7B9j12w@HyYiPxF}NSEab7tNGny zMt;oYJ^8`KY25r`Q27o&@Y)o^TOJi%%|6B@CZ=}>r5MZ=a^1%=Rd+OfRd3{DUG*aw zv}J07lW71KU+hOeo<&sTQA#s(WR&Mfth8l7M1K0$TAvbjv&D8S2uCU7G9`u_{ADLo zgfz|*dxK{kuq9q0f?(*QU>O!UKto>(1sOG0ZwA&@#q?W&RwCUugrgUOQ$#@S^<1~ST(_OqrUu%aL$)XBEwae!*N|QWWN$Yh6Qd|vUYX<*LWkbK*yzpQV2RAXIekOYb!$} zJ7F76!7{FaQg9_lpJ*ZTaf$zR zl5Ue2jL3I!Y(j{rXN*^aYYdSo5)v(PVJXiTDbbiOjT0;Xl7h7|EuZo!Z~{8m2#u>U zjp7)Nt709@NRG8Kj_HVw|3ZTfFbBTy0Gq-r3{a1Bl7h_;0s8obmQoe{xGMgLSkBTo zqvwbQxo_h0hzD753%P|3sa_0OkP;b@6#0+}Igu9GkPw-X7`c%id6B~vO#e4MBsr2M zS&}Guk}8>!EV+^{*^)5%k}?^SG&z$t$%_F0!jTpEks1k-I{A}4*^xdOlsh?;M%j}{ zDU?a+BTEUCN2!!hS(HsllukL7PbrmAS(RCtegHCz!uXY58J1u7;LJybcGmRhSzy``Cw;5b_0huIH5+ku}nm0O<{)^5(Q4)l!`)PTBsN# z4wNN~Cp|+rAU8B$1!f*+(PN!cJjr*60O)lyCRr=S5My&b;TKz$_fzIo62J#h4}}}A zDH48(fqR2AiOC*AG9RF^Bnkr>idh;67l`uFh5iPKMsi|B*oJCkoci=be>WHmlzDuq zjK{cfe3^v50#G$(XDH|$Ezx0dmrXGLGCME=RJaLF@R1};nVX9FBumnm3FRb?$z~1& zAPH4ZO)@d&Nod~Lhd8Mvn$|G3^(8E*L{m~0)Ol!S5)x{Zb0yO_$)iA(q-iu#8LoH~ z7CK6_XHy->B=(V>JEETW0iS&ri|h#?S)nkx`JU}rpIrfo-o>K!88!d{U%<61&^e%a zmwCv!p-DHI(4ktd$w*T5CbbbQbmA>chbLu`Eh$(nj?w^s2Bl6aE{77Odh#eL;iOS2 z9HoK^*$AeVf-4EYIbRy4VLFi3Q7>kSSZI2taO$RU>PG<6Dq#XvR7mUg zD$j`|J7sGf#yh)#gkIEY%LId4SX$|&nrMW9;F+l86>1)acZ8R4_V+xg#)NL?gj1Co zu@kNtNi~LgELP)jAjf4QM==3Lc~%ED9cFKTVLLqPNVsHL@!@#*`mCTfX974;^VgNx zMu)AWtma!YiZPRCBd8!NMWp-GXtpL(XD3}8`$ZY^ zgCKLNAsb9i%c)YE8;@og(@K2liL716LoXB@;kO>M1V7pNk*0`Yb7*2c8%!a%qgN4o zl_5!&8C%9i5e7AGb-QdTgRiuyfp^uf5=D5D%CeNGv|NjqCo>e!cQ{byN>$hdJV5=lOTDz*vugU+S4eGz<+hH-1MR`ObDkH~fYSD)C#sVKKh z7QceaR@A z9oJ%zYlD9O6>rfR!NL2sH6pi-ONSJvyq2sJ&=zT)L&ri! z5?E|-#SxryD7)K5HbKNN@YSu>EoZj5B)}xAP1{DaiG|Du_rxTec?G+w_x3&zDs$aD zs1B1=E-jiVCb5ZJvAIYiSmc0~8&D5NP&uY>=ImE)+%)FtIhd)c^V7)$#%*c0%FMjF zDGXXGd{5Xb(Goc zd9A4%TnCGq9HJPf%%{G0!M3_IJoV34^%Z``Zpk-Rk+Z`ScE1jI664&4)wi?Ce1R|Xne@WUR3zZKKN=xq@G@B-at~T`nzCQDBI|ffEL@k zu36YTnA4BZ%jD|Mz`VE?ZPpz;y0aO=7AAk^oxm)kwKWuUDe-MA3>Nha;UP)DY^T`6 z(Ra|@IRY3p7aM$qjn?B_V1Uca%R$x_O*hZRytEflT=I5kmrB08U;yf$t2W$3F;%|T zJHNbhodv1J$k#7@+JD`BjYi_tjX%MwiyT5CEoXniRol(>c;X4K!HXHwT5VYWR#KuU zLDehVKIo+^EWHFsI8#y=Ifc!`f_=*4vn{1kf_J{$q02!WTJ(&m20UEt_fumhVdra` zCQ|0KTf7=xENk??_2c9BRKnaXwr%wjI~~Xb*i+)$rybX@*Vi{H*fOEg8&6gl|&g5Kzcb!pY%+>jCj(Fa&o&;zbbA~r?&z+-g5O; zx-H$f^|dnfUk{LCUn>W3j$wcH|A_XHa=TS;CsXkNEFh0!zYF!K2UYJa`KXU=Dq`#? zjjdvd6N~OQStMI|m0Ef6hoAUHZ}^LEm5yKdk0096+xU=Q`G`;Xm(Tc`ANh*E`Ig_X zA}jc!Klr0x`lNsQrl0z#zxt|=?uqXCna}x{-}$l+`?UZ0v!DAQDL1yS`?df3xZnH2 z5B#wo{77kQ3QCvz*X$f?**LnbHZeb+iphhAsHgd6j=g@nvi*Snh@y+>75C93qLJ{C z2{;xQid$S)`zr8Nj(~61EY0J-Jz5c6we$nA7oC+hc{yx!qn(6ZcmRPai33y)2pTNd z%z?s!4G%UGcu-=&gA^?yM3@32DvcF2I!xiv!6btRcU>guFr`V97)Kf;d5~nxh9_;l ztoc$V%ableHcS#xp~8W?bQUCe@}oxwA#HMO3U#J~r$&>ax=GVuvlK~EaOLVX?ANYj z$0|G+R4qrgA>Bp|IdP&}wiOpT2%1)?-Gq1ZUQ~P6;oyw~J2s4qc;nK7Jt?kiEK(~@ zgpLUsm8cb~L!*zWdhU67GfSA1i9ZLyUJRs=)Ctl%gm+lMnlm%(stTTr5D``kFu$(yGSCimSfGmoJdOSAi8Wb zt~MHTvyD7~68ufU-+BwqAn0a;DmjFrEb=%8Eo5z?=1kj5A@T~V3r6@jlWaT^bNa5$ z?!-g0#5F}b)3*D3%&jmjKMN`(`>vZRNW-N13_{%hj7*Y6DVu!Kx7`>VP9X>l1!^vq zLhK7F1}9PNxyL%y3noy1GBGqd&m1wmi!7t8ycktY@zohmG>Fv|dop#U)+D@@pg!-K z3N#Lxa%!{wB1BX`+;jwvNdp^=GE9sn<>*&BsbfeZt^^y&p>0*`s;+PQ>@%T{$Q>54 zR>3rNv{YS0FV%NTdzGSg@6FELQ}6rj3ktDkmy5~i`fT5G6VzS--nw-&o; zq)Se~S1TXv4X%YXI@Do?B_h{ZyC;S;Fbf@hYh#vo@fZTCT8^0`w43r7FTx2=d>{l0 zZ+!8`6USNQ%73O@?4^(9+N;B{-duvvU-BDisudU=bk#Lqo#@d?dK_%lXLr5xq|-*_ zZP=uqF_oI6-zCw3Cbue{_|vDQKciQyw(ORzwMv`VR$UOl zzuYYcH&toNj>`2G;S7X9ZV`*c;P=4)-~n%d5|NO6$mA<&Rf<8DqE4A~C#($)$YBBO z4~Fyu7X-#^A#pj4+_1ABxdCu860_Tad^0Vy6|rQ@lb?Bzl$#ia>sJ)?6@4-?zi>U| zHcOmJRe)z3&v@@ABAkyEF_bs-)lYrRdrN$>_`D9*MnG<%pY^IWs*d>0j#5+93}X{N zKDMVzZd_yBx;HF`EYNvN(Th>En6c|gYGLPFOA4b0$pRh;QFGhX+`c%UeCa7t%Zb=s zPIH)JWrR38xg-QRsJ$ws294E9p3QhAKHn|!g>Iw>qn;(i10{%Nzf2hd6v7{$u<|C@ z>dIZ#rZ-cX1tY`kVI^&eOYyA#D2LZ1Oi(a%zYU2mF?4CB3f;#>RkpEEu!Pj~%y%8I zmBgK~laHaWcuSWUuXuOko6msRfY?2yIyt#X%UD*i3#xD}d!wRr{@B9~uF`oUGEw*l zlcNes(Td!Z$WkaMNpWt`qkv>+3`N<%*;o)E)Dh?%OE*#DJgkobYKUsKH&2iflQ0Wp z;#{2MIKL_7pzIrrNgWBt<5e(z^t7cdyXZ-5GIc`;B%yQklgs=}4% zPcpMYWn^cB9wbh42J)l-aYg4`BA6ld5zVqf(@;yvSxJe!kEUA{+hrwyM6NcEm38Gx z#3G_tF)qhHI}(mDK^x5*m4{WEq$Qc4qtH&}=$k5p5GrTuSmM&Kw;WAoID=Ku`5c@Jn$p8iNrRIfb2yr_?NM5X)a{1N(T}JW0v1xy zI?iKOv#DXEXjG+GQI__%e^zzPhE+UHkz)95ff-B+6#TWX^00fe%}y2%Jlq+N_In5W z&l)Y*y9%EXdwY5RZ55+<aP35~61G z7-7bl>pX2KrGQ zWaO&qxt_)E9RJcExTHoz%p2cg*{5L+i=@*W25pZK(4*JXZ=6H+iV5XtF_Z39$q~76 zc?nG0qX@`4A_SwKBN@dL&QOvkUfZX4Y)cHT_RNw_p<#M#5#PbhoaF?cG@t$FnTXo9 zgrv=bZj4KiyC`=Sa?_M%urJ{H?nZl0M+7w74Pc9_h-Ofbog)hd07ZGDZo7ZkuAB z&&%bPLeIi4K3@OoILi>*c(}H<*R-`tTv3x6+m&4SVPKqR5hK)@{ToPe33yiFhA-FQ ztIw!lygPWW(8F4EsG!?YL!eI5E-Llg7X=*ke_wTrf3Em)FN?%EE3bog=JsBybYf}E zhObw;FRcl99}kmN-Gu#8-t_Bh;*c~#DJHjepWD^F6$iF}S~h$M73*1ZQEe0-_Oz`# z*PAB`!bF}9g@v-`CjMnOjAt^~HCdY59zDuqOti5-4n^O6aOhi3lt?E%y$s&;IM_6+ zz(t?`z-)(JkVvZeArm-L)Z)<6njgs0iONkMZ{9q~8`6$(mW~E{{6QOM>7>=Vk%#hd=yDn=w<*>NYJr7E<+ zA|Ii8rVNP=Qo1>C`kt55s<Y zJu2hBBMZ96O1~$&69QZ}Uh@m=8L8C+F4n?8X=1@v0y8vwJCPWx5y}@zV?XT@l6w*& zBy1A53Z{k9vTSpy;xnqgQ#GGEybK#S4%DFrjKKkvFtsbPPJ)W2+q9Zku|N|&lN%}j zA51w(O1x2;t(hyh0?DVfqL{8=LVo(O_4&G-(~AikL!+7?Dk7s6q&1}~4b2#=jQgbi zqb!loC~U()S!*&h)S|8mieJk&@aVC0a;`JHF>kpdtkOb+E2Iz{zTgoG?((y~D+$yq zsg#pJCUd^Pii|Od!4UdGE2|;NO1LL{BoFIAP&}vPI)58}dHV?;f}zE%X0V+*KZsc_QOct7z0GU?MwH?7bJVvs7!vZrs0mM2jU;GnxCd6q~aD0n`y5 z=`D=J5mJ&br=vJ$lsgS8woJ6D>rsr*x-1b2vWOg~lOnwp^DErADOAKp7fMJR+PSLQ ziziB`nEI0QfHXBQd69E#1M7QrxmYiL7|*$-)T2%nC_E)QUFKu4K6h zmT@PMu{l13y44^ujbzA$xEKtQMVT7MVPqx2YAVz7vpBM}bzHSZ`;m||w?mw|HB_fp zi;5nrk%W;*4nl~bQbK@)mOL!Tn=7Xh+=|uGzqXq?dt5=^v&6V_4Y`y{L_49dLJogB zMtR%Ht3<<*)HFhLNs+-iOdAyY`aXS0FUG6HtLQfnJfx{Qt!-Nh2oo^>hnNy%Y@oe( zJ=he=L=2cr!?mE=5J$wQTw+JO6c4VOH{6IoO`O2=VVS{*sKo=cRU*g2l*eo|3a|Xi zQDdSRinUc#$Pp8{hr6HU)1Z$uroxPo?o>`g>kDmq#9m~IU(+Q zYE9QPyK>_+5K_z}45B5h#%tq4KqAYuOFjTaM|>NvlPS#sg|aQw5T?=)lUlmH*vMlX z&F)J>9mFpKoxND>HLl@E{mo?!$tMFJ>{sLR{V)b#8my8yC&rz*)uUZMZ6ii z2p*!&dAi6Rh14V&MTd(SnoObXS;cLMq9bKalTy;YS|~Y;&GgbwF+DUMNj;C7q2HV@ zuhUT&bs^NuR&cz!Xzhz$9Z!&uP&Adsf&3+FOdcqmR%?JIV35`(yd{Wo9+C$R<9zT>*EX7Ek zj%WyEW9&#G5k(4#spsj5QKJltCP1H&^pG zy5-jPgFbhWQZM9Ny~D68m0p*P!*R^O*G)mWNW4`6Npj7X&>hvITF}^OFv283x4k~e zlvf8~AFy3P_-)dtC94ueI;EXL-&!o1O_A1p-y?~@Zv|W0u)y*#)Evzd66#07T_RIl z8DafhRYYImJs8TQRVfNQ3(llWnp)FKI^%uFTNO?06fPKkRYsz^zjO|p*;g46VXgyT z@s-@LAm5>NSLZ1{pvym<{1Qnbze*`i&>g-1<1|@ubzW8rAAx-(`ZCz>1D+Dbw{Wx8 zkg&jlUE+BvV40J)u3S(HwcPVv5)DP#>KF=$Q_B+yS=I$fip=0Hq@=J?(Z5}_{bIA2 zgvSo@T1^bM8bwLVIu9+p(-xK<`Xt**3Q|-wwT#u&20_+~9JAu}Nm$D&KZe>{OylG% zH0WSt)r(%>^0u9AOM{iw?ES&)s7mx;;Q@7zDo#v^RZ~k1%i$Xk%$2Z9eqihCyoy~Cypt%ut42S-WLR`nAAbsNZ>uR+;_bpg~VYb zof1WL#Jv#NDHhQFG6=_uQEM>aO9TMc|$C^pu zJ4shAQnjNrQS=bu)H4HaKVf07b96*R(-hajj(7WrO~bk4vm|(Mo#eySw;3Tjp^{%4$oU`(M>Qlj9v>tO)Q*E}*+=BPEk zLM=I&z5g^N65)^VmE1|)qn~E!T2-(yeOkEwAwmT>q2pc0D79bFDtP7G1iW7~79dLe zvjL_jjLT2(Nv*tK>_YxxWi?{|SP|fY)#X5`n0BUB5o%%&&xW&AE2v7xf@amnGBAP*U_(eWe48YCB+{+RJ3cXA_t@*D5*lHu_^R2#~LOtnM2odLU(jS-*Y^2fH`;o7C`b{=yC>#2|f>VF+T}I zM+Hz9^*`?^GBx$i2B{C)YNz&VxAxI}x?$h-VApkF?{;nn_i_LB za_9AMM|W~R_i@j$bvJi!clUUQ_jwohcdvJOzju01cYC+5V#oG>&vt+p_<$$)fj9Vq zNBDzR_!3cfeQ)^xe24gb$9IW$cz%C)ijR1>Zug3x_>1>=iwF6R4|$F!`HUa=N8Vb6 zPxzHr`Icw-mv{M?hk0vf_>;%@oBw!`*ZGpid7IC9o@e*<+j)~8`kpWPpC@{t_xYtC z`uvP(edC`YQCenNVIC&ogrX7_``vD33ug^&LVXDX;Z36@d*pma4=YDpX?LxdjI~E_ zdTGGol4y6#>bx=_{o1CC+~p2W2_#)aUjB`R;m_R~H|vr4W9=r=&Awm>5<}DAz&ER@kBH{8 zKeoiK-x#p}>s?7=T1h1ipaqfCLUy8$eJGgY*=#IF;c;-C5a;eok?e*jOuG8fhv{)e z$Qn%5C|>W+Xdqq8Teh=fpgIWy{1QlAi`WbQ|2ZmL{m9&dwAq3tlBj&-UfZQgdpvQx zU7y_EYH%al zs&cLWR-7s^Em4jl(F#1N_@_^pX=Sz~sE}t(mNF$z9LrN8!K6Nu66G5DXI6_jy)Xku#Hfff>Sw)uA2L%dCRA%uKkh~aR6C3c_x zbRkwarEwX0xuJ9%q4gtC+F4ZDggy=!ofVw z9Z<2IW@(&|#aJhefsv#hdz>L;(^&Eye0Q`<4<--)letWK$7miCIr{Yo>+m!=$nQ5u)z2zMLey$~2 z&{q*fd=pC|38o)H_UU))jh=4g-n~7)2l0{t_xKQ0J*k+`kgwsGslxE4dE$t-$voX# zn`+AKbRTOtozI8*i#o%qt&UylC` zYV%Khm*t?P4o;lklDqon)UJ_E#CSxJO1_+gT83?T#$tPoIn(HUoq2E?cU-l$8-YtU ziFRqrTzq{UhZ>M_8$`EbcGJiyueaL$c%wYoTYFw;QCcgB5_wcui=cg{TJmiv+`K|u zg**Dzn~OPDaG+xCrKs6o?x}O!dOaM6LW8Oqq^b~H))Mdow=X2nUw?|GUb z^snEevDgs;9DslU1~6`q>xzQtqBIBfr!#9pSTh`W7zjF$b0ZrWxyW=r?|BPc8r+`e zLWi-+p^b!HipiKV$0ZGd>o^sum3Mw*AMeyIZ~5btjqq1DmNBX|yQvSo@U#(-;VCA_ zBS}giSEnSdZHWwui4z&e#MwQuBMfw2axiDa{z%7iTEr7wj)=uBX0eQ9JfjBNqZcol zQG+j3K%Y$V*A`$(-7hlQaFKJt3-3U&d6Z0QILJMN-g%MzpCz zb?Q-}+RmvO^`HMN=OQ69Fp!7@r18@#;BJFgzRJs zYY=7*Zg^a+lUOp!R$OHUB!J9cNWEIAMq$b$dr1iaV=LR)(zdp?y)ABYtJ~f3wzt0h zEpUTNTex_0wbraxPI_`$`gLwPxzeFQ_(#&4zz?1YDjdJ2c|<_X<}=sbUk}$tO=@~@ zKZv@G%G7ouZ7Po_N12b|BqgNal!P8#dGbg)+ftCA}m*h?01R6O)1`ZW1%v zj24XAJnlt5j%tvNRXkO&7?&l28O>Qw)jqLuCM_mC45U;PVZyQIzV-UaN69i@`4ISL zMcUVgb%$VB*(Po5DlwJ$R?@Uh4Jj4VPkHOhQM=`rZwX44m~l#6_eSSU%9Sx^s^(;# zNoK{~DvZl;Inb@PDZ6alE^bilU6|2|e;}T5&P>>;E^nyI>Qz#t3PfiqJ*u-m(b$@` zyf>ri*VD(-aRdtp#v(e4SY6E@YW;%CNPoCy1;pwqbA9Wt3W~Yf<)Sm2_FyYd*k@<8 z(ToDTB1%(QNpWVe)OHi!fOQOip`LM$licS2Bnx!5;M)+8)m*0wVL8P26)B~Hwd+Jz zTYq0|v;#4`lts@^y5}BmWw>nK+MM@CDOPKxTce+A3B&edM zkbqfxGwDffu69VpBw8^Es-9i7-pCp4UU;kP^)!dCjOQ%tt-N1u7l~Y>9TiXISlBA? zg9&XOgcmtR_F1@;Pt7N%sCw1rwGVa=?mFwBmBxCcktCzu$X)Z7LNoqjbmI*>{t$_7 zJj{{PMQt}cp4c>jx((MCz2c&Ah0{D9nua`#apsnFBwc5;ih%Ccy#?^Z^x^rqi9>5h z?=9U$`uL~sLtzm;B`H%Q435I%W{0i+oVl(W@p;WoXIZT`^8ZnGnWqh7=Z3nYd#;tx zUz}~TEatY?fvCwx=RsuQifjq%-p!` zy^n8%(jcE`OVkz5-|DL@^hu4==y>^T>;aFZSvOrz_qM6j8!+f8YYQW>_3*;0F6{5J z%yGs)Hfh_>>}qWuIcjo#z%7ckFxiXf+2E~NW^5bxHQZ|L-Foz0wIoqcu-ti=R_T)-8;m6iUr$mE!cx)2*E8#fzZu8=9DxiI5=7!H$^?j=b?6t%+No z1%AcDtf0Gr$VZL;R0`$_?sXjE!Hrp|1Ww&r!vNdEprHCd6V0uOkK)kp%?0*CSR`5{}hnT$37B&Ky#mZVbzwXkoAfO?*wE@eoV>sT>mO zqD#Ea%R%Ca{a<*IA$*zt;qM z#gW$2nO5b=-o5bKj`5nb;ft&A*ep()nhczktsO~*-Ik?LFBYX>xTDJPn{MFTB~pvG z*kc;jok%nzeUuuD1kl7}C0YE>7Wx=O2qjc9O*Vp7wB=7jCglj$BD7SP=V>9n*^bLC zpD)U#7)}>Ytcu&c7>2!0#fckbu;I|DNb14N-pOI~tO($|T2P|RqaEX#A*3m3W9(#x zAim?U98L+=TZcXWUG04s+3nletxASHg+nT3QD`8L8Qi1M9Y)|Ij@g~(SqfyP();`! zI)+P7E*@iEh-AKEO*l+^UBqd~(V=LjIlg7yR9_2*X1^KARRG}Txk(}R2p&NtgE1iY z0cKO2W&lafKGMhh0G!1UjX4jwGpdqLlrfy7}Y0NKx6OhfxgPzbGuBK$rqpt~6l-?n|8(61uHJ57QZy1pfw@{( zB8M8PC`M?+SjG$>#t3kdD2o7~2qNNfUg^pqA80b$3<6_PM&Oj5p;O*wLB1Pl5+)FR z;zso2VV);Z*vv-RSIZrQxj81n$!L#OmrbmXP8JO8s;WrO2`X3X?h7* zsli6Ogx&(uV-eby?WlwT5@yGFmz&%ZLW0D2kfT7V(L-pWnbHW4lI3SnCXk}wdkv`{ zv8AKsVDSy*sc4=fI;nnk8-Tqo?0SnjpH2I+hPK1l`v=j?H}an7s51WN?c~n{ppIHs~eIO5H;H194dlh z$gm_GMUrZgQqy@*Ds%v-jnYJ$vKBGEBRI}UA4%%Y(Meo}D8HUv3|i)@_G!Ty<2e!; z5(dd9N?_q6SqjJ~|DBe(Wt>R%>Z=+dZ<6Igu1AG>Y+BZ9TS(rK9_-42T1MV!7-~mp z{v)-}>q$IbutuuW&8iP-=ytYVcXY}^z8YtmhX>Z0OX3TfCaQ!6k-w~uENUuz>d0x~ zBECKCgz#%orWaCrGj{-lzm>DUf|#f^?f~z20zEWY4r; zD&pU;UT)mbNM+6|PcAFdPNIWCrE+eNvMnd){p;junw=5scLAsh<|vC!;3wA62{Ozx z&R~KD=mlZ#m9{N5G5J{ej(p%G!KdnWDL5u!Iu9-s#0@|qam3d+A#aElSF zrxC8x!fH$CW9up|-r=e!^5^4XshHGA;cD6MLhyR-#qb8~aE@U`ycgK62E4fcBxlA* z#6>NWdg0%e;0V8IQ^t$=kt_{09<6TJC-p2uE)2weGK}!K|c~DNqD(F~aZR7_j-0qJfDgx++HvO)jlH zuuV>A+^&tA(koEXZKHXH+#T$#=-&so3%`Xh($38OGF;UuSOe>*3U8%x)~<{uF`Ot} z1tPNek*}@@1p3<1S*ot#P4awXFy6vyhn^zp^ex)`Y4jbG^F;upwj>)Hg zdfX#YVj2H39zv>F^-J>RQ2G6#Z$9#XU2qy(s0gw!@nN#bzRrD;V)CK?YFoBf?2I!i zuh7}PG3u$^`>GcA2@rywS0R_Dkb%@2+4ChE!~z^NLL+o-V=}E|%S!N#+QmTY&5hQFHhJ>^nx)*b?4|~6 zr&jWu;$L!pCFPv%CU=*TKn%NJt6rL1DeGpf_^}QCL=ekS7Sp9qlBe8RpjTlWu$Dx} zF(I+S*Pd`k)ncuhyhzHOGjjUOMFKU-CdqnPCNfJ~G^+^h$*`|!?=x>Hv0YRg&f)U$ zNaGf;N$sgFU+Oad@iPtJrh|BuR@b!AWzeE?>|pV%dCU%yJY)z0Fo9(c9W6ODy(UNwTvA%gDxAIM@fz1&C+bN;S&(J&SC$)tHJwZtw)(xckDiA^XXufF zBf}O6I@8_BVq|l=V3a#i0DOe&O|D9cB3$i6M!;o|G8g5Y9CQmaI&8YaOLTco%S> z1sWOhssS$)(Ceepj{!C&nx6-NXLr2TH1S&0Q|$81hOUkR_M?_=b4P7PL#cqIMwy+c zepKI)FJ|@yOrRG^u3h@j(JC?uYgmqIw0aj_wXi`n+ef@<{=N;B}j*Ciiql*05R+ADs~ zXODa4NyR$0vx-LNZ_9pc6@SQ__bN>2V})zi;?_5MMx#nw|MLYgdk%8*e;f9b|7Mhj zb>}W?DmM{DudVsau=DOLTVvWM$MXn2G4J~2=sI&TDz}u8b|}VN8E?9Xg~^3yBAw~^ zkES@%YTyOubY2f}J-eGs*s1e^Z^(gp{svq!=W&W8HNtso) ztEkbIj6t_cN1f6NX8viBO~J0DCp96yW00;s_nJm zjp1IeUh&boKTGRQTXyH1;h z3y0#_t^3jIN+!N)jJV#ifB62$wzYCRkq)qh4!dW+|9WqGaKJ0NaN0fRo+05<JB0wZb(JY076sR0HK!{Lb zLWU0;E{y147pjU5EADbIX=6u@89jysd9WZ!f&x(;1o;tFGm5B^I5;^_rh_CFJ4%GO z(_zDj5^K6FNzmoZq68F1I8UvIBfOx3l)lnrP8o(z{L zb5pVvZaDIBn5AKck4<~|SK6jc(lm|MAX};k;Kz@@ zS|e|suVokZ>lHs|`Tui6=(4GrBWWS!R0^sz>?$hCp~~7~jxPyaE36@w5OWK%!Z`Ge zF$5K3X+Y7yv#UDtHk}4byLoiP6`h>TETNbf?av#wJ=p-WvD-i z^t?9S|LsQDI^vefLOJ6p$b(T6kWco2iq<>7dO^gF!@!WQGUB+mBS+BSyQ)1N`jkVcaCD)X^$&2loYR^0`vYL4sj za4Cx)eDa`P;qEZoO*y`hWgd@3duhjv9#CS=lw>PnTbD)G#40Hkx^6cu{P|=tDLOIa zC>vvPmA&?~x{}*|4dp}d+ltDAiQ|CO7wMZ7TQ_ogR$3~^8N%TxNyg!kx z@TH6iAbop?(!lQ#z4K#`4C&uP947?n9ksq7*5)Oo}pIR`uti4V;*PO8$Q-Qb$XMztm~3JP zBom4VRt}TY3w39}-%RjcV)PcQ;KZfqK(0eu>j;@72({#mD2$=0k&1}7IB89(axI}B zL+(_No1r3S5)@$+|24xB^1QBY>{Ar&xHC72L~C!q0TvS(WXCefP<2D3q5m%8lzsir zc_As)(*WqKRW0RGToM-Ex;2pqj;&6cL=pyhRkPOUuTyt>(+sU*lx6j8VYCTc;uM&; z7qX^+O7r9^)AuQj1m)=klbs1c!W*o35t)?YVL|K?9>g)Jd{T6$V2%gC9!`!rr!*M?BeS>l@Tq48 zl@%Xx9pwJsp?*V)#E`NsNhaY1ARz=_cS{v_$md z=$%YQN?-DCQ=X#9z^u3vh-7g{L;NdN@xrZ%ZHI)x^IdqPW+kuY5lbFZ=nWaFm>r67 zR1Ey#+E5m-;eGODZ$Y9Rp*l8Zg%5nxS*k@Rf*A`2?Tn~kX#=+CokxLCa0yzMGVg~J z!F7_l)YM9Jr~|pw3MQzcEoInN#je<1gt4^U33>`B6VM*^aJbynxQNHghzM74h-_FK zZxWy>{|RP;b}H+{2Di$8_KAXptKK+YWkVb~(RnxtYI8v~9O%)>S)pWXTw#YjS_&z& zp-b#XP8Qjm3YV+oqs>D~5>kUCY`+9r?w}wXwY<{BkE7#Z5qBvOHP#5Vq6(KodDz+n zicP$Jt1c1uGs^`IH%15f9&b++Uwi(f6{Lx-+zT0Ao4-)e z|2_^x@Ixp&yNF!2JjZ11SO3|n+*&TBc$VgT+nlup5AZ{t{jPWVY8oBA^FDu4GKG=j z=erEDkkgj(nLTwl3AuB9EG4E!y8M?f%dxXGb?!*>muF)urc2x1SGcZ2IcqJLj_#RK z*zOEmJl1B<^qT0swBue0eCf9s<`Xkr7R`F&#HBlv&AKh6R^wa}DQ~?nb)n;9RB88( zpZ2a#n?YuL#TF_bhvxpSoy6Ngh$yCu@M6(C8-#99kHAgMSS%~n0{!>obS5Z`Uld0W z#xmj*?HGf7x@ix2D8}@0ZOWs~a%02Qh(giUQw#hgk8T9YA#eC*j;+~WGM!lf|C-OP zg`Sz12ARmv4ZY-+2egm5~2 zIO=Frs%i{P6%i{g*IcIHeFrryv3>EgO!m0X7a0~IjvVTx1TsNI7L(DB8sLMTd`~=M z$RsXtn7ke3tpRJki-`L#56Q7Yj;mi@%N>0(rSn<|O!`cr|K;HXv30EuRiqBcSSwwQ zWJ$2i>GUqqYAYsg%SE`SRsao`B2V6=$Ul2D6Y|7ivBq1`2+H)r zX^r41hkQ!)NCnQ6FEPN&n+yxqs4e{%<%quScWenJc&~vpkhHL7{YWeEeoidT%|lZtM#-+M4zKnNRoJAnF2%^sE({}uu*Azm$Zsij3unmgB1-KB zDWq7!iE0u}zZl}&Tuj{rW()o4=<=`QR;Z-ThJ&V0*#z+Bt`A*^sym8fqP(p?(9U0A z#*iS8)f_|Nz^S5KCN`i5(PXV5-0tldqIRa_#X|9{?#IC1ZRTb|VAd|yI1u<0OJq`u zc}($iz)PaQZpR++lSW7fRVHn8ujYKpZZMJU983624gGR3i@0p0|0ZJH0xXP5g^c_R zedO(Rcq#x_uMmwR^w>&+MD3{j=J$$m483l{`s_{+;>pwyeA2IarigRcFV=LT+#D&n zkft|s=k+je%z&lC%#0UP&j<(hP>L=c;uGNmFO_RH_{nnW zL!uZ2>^6xN1F>#Ah7etoyWB0Ch@xw}5CL1LRoLXvl(H&P&EV?At$cHK$c61tXv$*4 zBssI%m=ZM8?HT`TeuN3arZOEXCDEEr*1YZ2l&9pnk~SY^FSIXmy6+Y_4q}Ll>lCeP z9B-q_XcST7=XUbj7V!HBlZ||=*)pbLBIioP zPE95zIuokYz71ZCsGKV3-$ro<*D*h%X!v>wD!i_w|E_Z#J+mk74^ZT%pW5v-Ev%VT z6PiZLDq6G0zCIP!2n(4p#uP9;h*bKCxp3Yd5WZGycEL$yC9&1NBF|c}(Dw;-0 zl5WEot+xnC377P@6sbz#vNjeVPz6;`$>K!@NQzEU<)Vr~|EwzQ^VHzU!eX-bj0HLz zhE08FuL#VySjHKDf+rzpd{DAgDDN&T(?dn9Si}&)yn;Fk71cgtV7lT;Yw}@)BJVm< z1uf4dLn1I1>L9s;H0BCN3B)8G)bNtWf2PO?{|SXuwME#Xw6E}!Mo_98sm8IKR0y5p z2+2Y#!gDI2MYCcsU|2;XVePu;sSSavKzr{MOD?m_)KtC`pdzOsuZvSVHKA4{A~*@b z9OMkO2X;d5qf#t)_B6gMWHTqPDm+tP>}D$ENmz|#-~3Hpx%BBIl~*s;qC$@05Nb8b ziF3LJDaL3q7$sM(W^$JGCjw5-G;_*e2>06bWT6Bmw5+Q7mAF#%d`dD~El^6u0&D0M zr9yUM|1eo|qGffp<;=20pH4>a#+B44p9Tj;XvJz7)y$l3+VE9aG%}&$#Wf(d^I!-x zbTvZj&{`+-T6vaLLABaYgh)!wLUGMu|DYp7J|#M4&2HQfYr3LEqbzWzXY2Z zxi@~@cYWRN0p{QVS*X;&WbNTdqt|)q~=ufDsA*>ey8iH3A zLV_Rfy*7d@$ii1H_%0`qFfiC=|2}ipoVGqh)eh*KrwUI_S-l&O5IeRq!@~)n1dQv zAs*NvBp8N!xPwLbHZ+*g@H2_O7=(%BgG+c|ws->7xQo}AS5i)@rizD6n2YO}jqSLN z?>LO-qat*eju(P|^}s36n1~sIg4sAB5LtuU&S(_)kv%A>*h6h8*(zSx0kT+;XE>3) zn9;xh1m0$UgRaq-Q@d4~tmRWdz8Nd@o*pM|D zmQ@*-X*q-qxQY9tfhyUU|7+ulQ6ZB{n2w=&L&um`czK$4rJ75bn9-P;?Kqkz(3`ng zjuSwOueqDe`J2xCf`l^rGsYWDwqIMeeY>~CIv@Z5J3CUs;s5{u literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/pinlegend.htm b/cpld/XC95144XL/MXSE_html/fit/pinlegend.htm new file mode 100644 index 0000000..26f2262 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/pinlegend.htm @@ -0,0 +1,150 @@ + + + + + + + +

    Legends

    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    AcronymBrief Description
     CLK Clock
     GCK0 Global Clock 0
     GCK1 Global Clock 1
     GCK2 Global Clock 2
     GND Ground
     GSR Global Set/Reset
     GTS0 Global Output Enable 0
     GTS1 Global Output Enable 1
     GTS2 Global Output Enable 2
     GTS3 Global Output Enable 3
     I/O Input/Output
     INIT Initial state
     ISP In system programmable
     JTAG Joint Test Action Group
     KPR Unused I/O with weak keeper
     NC No Connects
     PGND Programmable ground pin
     PROHIBITED User reserved pin
     R Reset
     S Set
     TCK Test clock
     TDI Test data input
     TDO Test data output
     TIE Unused I/O floating
     TMS Test mode select
     LVCMOS Low Voltage CMOS 3.3 Volts
     LVCMOS25 Low Voltage CMOS 2.5 Volts
     LVCMOS33 Low Voltage CMOS 2.5 to 3.3 Volts
     LVTTL Low Voltage TTL 3.3 Volts
     VCCIO Input/Output Supply Voltage
     VCC Power internal
     WPU Weak Pull Up
    + + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/pinlegendV.htm b/cpld/XC95144XL/MXSE_html/fit/pinlegendV.htm new file mode 100644 index 0000000..577547a --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/pinlegendV.htm @@ -0,0 +1,150 @@ + + + + + + + +

    Legends

    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    AcronymVerbose Description
     CLK Global Clock
     GCK0 Global clock zero
     GCK1 Global clock one
     GCK2 Global clock two
     GND Dedicated Ground Pin
     GSR Global set-reset
     GTS0 Global tristate zero (output enable)
     GTS1 Global tristate one (output enable)
     GTS2 Global tristate two (output enable)
     GTS3 Global tristate three (output enable)
     I/O Input/Output
     INIT Initial state
     ISP The use of the JTAG port to program the chip while it is powered in a system.
     JTAG IEEE Standard 1149 (JTAG) boundary-scan test standard.
     KPR Unused I/O with weak keeper (leave unconnected)
     NC Not Connected, unbonded pin
     PGND Programmable ground pin
     PROHIBITED User reserved pin
     R Reset
     S Set
     TCK One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. Test operations of the device are synchronous to TCK. Data is captured on the rising edge of TCK and outputs change on the falling edge of TCK. An internal pull-up forces TCK to a high level if left unconnected.
     TDI One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial input for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level if left unconnected.
     TDO One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial output for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level when it is not driven from an external source.
     TIE Unused I/O floating -- must tie to VCC, GND or other signal
     TMS One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It directs the device through its Test Access Port controller states. An internal pull-up forces TDI to a high level when it is not driven from an external source. TMS also provides the optional test reset signal of IEEE Std 1149 or IEEE Std 1532.
     LVCMOS Low Voltage Complementary Metal Oxide Semiconductor 3.3 Volts
     LVCMOS25 External I/O supply voltage for LVCMOS25
     LVCMOS33 External I/O supply voltage for LVCMOS33
     LVTTL Low Voltage Transistor Transistor Logic 3.3Volts
     VCCIO External power for Inputs/Outputs
     VCC Dedicated Power Pin, Internal supply voltage for the device
     WPU Unused I/O with Internal Weak Pull Up (leave unconnected)
    + + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/pins.htm b/cpld/XC95144XL/MXSE_html/fit/pins.htm new file mode 100644 index 0000000..d4ab53d --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/pins.htm @@ -0,0 +1,521 @@ + + + + + + +

    Pin List

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Pin NumPin TypeAssigned Signal
    1I/O/GTS3KPR
    2I/O/GTS4KPR
    3I/O/GTS1KPR
    4I/O/GTS2KPR
    5VCCINTVCC
    6I/OnLDS_IOB
    7I/OnUDS_IOB
    8I/ORA<0>
    9I/OnDoutOE
    10I/OnAS_IOB
    11I/OA_FSB<11>
    12I/OnDTACK_FSB
    13I/OA_FSB<13>
    14I/OA_FSB<14>
    15I/OA_FSB<15>
    16I/OnADoutLE1
    17I/OA_FSB<1>
    18I/OA_FSB<2>
    19I/OA_FSB<6>
    20I/OnUDS_FSB
    21GNDGND
    22I/O/GCK1CLK2X_IOB
    23I/O/GCK2CLK_FSB
    24I/OnRAS
    25I/OA_FSB<5>
    26VCCIOVCC
    27I/O/GCK3CLK_IOB
    28I/OnVPA_IOB
    29I/OnVMA_IOB
    30I/OKPR
    31GNDGND
    32I/OKPR
    33I/OnRAMLWE
    34I/OKPR
    35I/OnROMCS
    36I/OKPR
    37I/OKPR
    38VCCIOVCC
    39I/OKPR
    40I/OKPR
    41I/OKPR
    42I/OKPR
    43I/OKPR
    44GNDGND
    45TDITDI
    46I/OE_IOB
    47TMSTMS
    48TCKTCK
    49I/OnDTACK_IOB
    50I/ORA<7>
    51VCCIOVCC
    52I/OA_FSB<8>
    53I/ORA<8>
    54I/OA_FSB<4>
    55I/ORA<9>
    56I/OnBERR_IOB
    57VCCINTVCC
    58I/ORA<11>
    59I/OA_FSB<9>
    60I/OnADoutLE0
    61I/OnDinLE
    62GNDGND
    63I/OnBERR_FSB
    64I/OA_FSB<12>
    65I/ORA<2>
    66I/OnWE_FSB
    67I/ORA<6>
    68I/OA_FSB<10>
    69GNDGND
    70I/ORA<10>
    71I/OnLDS_FSB
    72I/OnAoutOE
    73I/OnAS_FSB
    74I/ORA<3>
    75GNDGND
    76I/OA_FSB<23>
    77I/ORA<4>
    78I/OA_FSB<3>
    79I/OnCAS
    80I/OA_FSB<21>
    81I/OnOE
    82I/OA_FSB<19>
    83TDOTDO
    84GNDGND
    85I/OnROMWE
    86I/OnVPA_FSB
    87I/ORA<1>
    88VCCIOVCC
    89I/OA_FSB<16>
    90I/OA_FSB<17>
    91I/ORA<5>
    92I/OA_FSB<18>
    93I/OA_FSB<20>
    94I/OnDinOE
    95I/OA_FSB<22>
    96I/OA_FSB<7>
    97I/OnRAMUWE
    98VCCINTVCC
    99I/O/GSRnRES
    100GNDGND
    + + +
    + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/pins.js b/cpld/XC95144XL/MXSE_html/fit/pins.js new file mode 100644 index 0000000..591e563 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/pins.js @@ -0,0 +1,62 @@ +var specSig = new Array(); +var pins = new Array(); +var pinsAssign = new Array(); +var prohibit = new Array(); +var unusedStr = "WPU"; +var gndStr = "GND"; +var vccStr = "VCC"; +var tdiStr = "TDI"; +var tdoStr = "TDO"; +var tmsStr = "TMS"; +var tckStr = "TCK"; + +function showPin(pin) { parent.leftnav.showAppletPin(pin); } + +function printPage() { window.print(); parent.leftnav.printAppletPkg(); } + +function showEqn(signal) { parent.leftnav.showEqn(signal); } + +function updatePin(type) { + with (document.options) { + switch (type) { + case 0: + if (inp.checked) parent.leftnav.document.options.inOn.value = 1; + else parent.leftnav.document.options.inOn.value = 0; + break; + + case 1: + if (out.checked) parent.leftnav.document.options.outOn.value = 1; + else parent.leftnav.document.options.outOn.value = 0; + break; + + case 2: + if (glb.checked) parent.leftnav.document.options.glbOn.value = 1; + else parent.leftnav.document.options.glbOn.value = 0; + break; + + case 3: + if (isp.checked) parent.leftnav.document.options.ispOn.value = 1; + else parent.leftnav.document.options.ispOn.value = 0; + break; + + case 4: + if (vcc.checked) parent.leftnav.document.options.vccOn.value = 1; + else parent.leftnav.document.options.vccOn.value = 0; + break; + + case 5: + if (gnd.checked) parent.leftnav.document.options.gndOn.value = 1; + else parent.leftnav.document.options.gndOn.value = 0; + break; + + case 6: + if (unuse.checked) parent.leftnav.document.options.unuseOn.value = 1; + else parent.leftnav.document.options.unuseOn.value = 0; + break; + } + } + + parent.leftnav.showPinOut(); +} + +function showLegend(url) { parent.leftnav.showLegend(url, 650, 350); } diff --git a/cpld/XC95144XL/MXSE_html/fit/pinsdoc.htm b/cpld/XC95144XL/MXSE_html/fit/pinsdoc.htm new file mode 100644 index 0000000..9da2708 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/pinsdoc.htm @@ -0,0 +1,265 @@ + + + + + + + + + + +Pin List + + + + + + + + + + + + + + + + + + + + + + + + +

    Pin List

    + +

    The Pin List + page lists each pin of your design with its pin type and associated signal. +  Check boxes + at the top of the table allow you to select and deselect which pin types + you want displayed in the table (the default view will display all of + them).

    + +

    Note: +  There is + a button below the table.  Click + this button to open a new window describing all of the acronyms used in + the function block table.  You + can select either brief descriptions or more detailed descriptions by + clicking the "Verbose" button at the top of the window.

    + +Clicking + on the underscored pin numbers in the first column of the table will open + a new window displaying the pin layout diagram for the selected pin.  Rolling + your mouse over the colored pin will pop up a tooltip with the signal + name assigned to the pin, the I/O standard,  the + I/O style, the slew rate, and/or any constraints assigned to the pin: + + +

    + +

    Clicking any underscored signal in the + third column of the table will open a new window displaying the equations + for that particular signal.

    + +

    Clicking on the button + at the top of the screen will open a new window with a graphical, top + view of all of the pins:  

    + +

    + +

    They are color-coded as follows:

    + + + +++ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +

    Color

    +

    Signal

    +

    Green

    +

    Input

    +

    Aqua

    +

    Bidirectional

    +

    Blue

    +

    Output

    +

    Magenta

    +

    Clock

    +

    Red

    +

    VCC

    +

    Black

    +

    GND

    +

    Yellow

    +

    TDO

    +

    Gray

    +

    TDI

    +

    White

    +

    Unused Pin

    +

    Black Outline

    +

    No available + Pad

    + +

    As with the single pin display, rolling + your mouse over any colored pin will pop up a tooltip with the signal + name assigned to the pin, the I/O standard,  the + I/O style, the slew rate, and/or any constraints assigned to the pin.

    + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/pinview.jpg b/cpld/XC95144XL/MXSE_html/fit/pinview.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c3a27ca5c3e1ff7ae45eafedc7f9c40ec212299e GIT binary patch literal 1868 zcmbW!doQXf>O=;b# zml(RHBH~iiy)@RfMOBNDifvJY(lMJ*t&%nqyL)EO*}r!8dC&X)^FHr;zMu2RU&v!WtZ>>V6w zPTzj#dBV$^?h_bvI{3_4=DFyY*tmEW`@#=t=^2@qIal%vt{2|8dFwX!r@Ljma(;!N z@_s{OQ*%pe+k@_&-oE}vj|T=v$Hsr25WScb&%U1fWqx7t&C;@Tb?x2y`wtr*H@967 z0QOJTAF}^&feIH?F%~#-+XaEfD>e*-BX*i8VQk%zj7Uw={!7Z(BYCCuT`Gp=9ulp9 zsOPFUvc)V#x=s6s?7xGh{$H{`VE=ZB0W=Jv7#<7+4g)_+5EI|t_Te)MgV8aqayC{*8bzy$NGB4*&!*%FG=ecG%YliwDrYHhrnf5ol^=CG&*$fDJ z>&hzMl%ArdWBUhrx1iZHSPHwknAnAR+l*PJ7EIcryAvYAhhmGY3e!9o!qL7GD^lX= zUtAi%HYd>-QQbb7$U1xGGlSZ>NeLNvhnEB0(NZ*;xFLv?1Nqbx)j{`0uC>6KyVo`D znwe1V9Hlfci4VOuLN#n7u$Z`Sst?M6T!4qXS+^3H|7RW6;tHyK+1lokU}*#&5`mVO z521w9(=;P8i>-SZYIv=~l>DRSIxq3zmS0lQ3b#b|scNWxb!~nwICxsL_%tr&hZG&S7x~bR2uU;oFaTucWUE1L;PEE0tVgdBfD&;M5^$Rrx&p>bR;%+kc}nMh;|X@Vn)J+S^x@k}(%f z*qI{Ne6dB&kiUsv!)5Wz^`W$M-#8_wa1VA)rVVM-*RG*`Y@Hq(hV*RDTWLCw^3!Xh zA&w7yU#%AKU13>Xc6h1#S_|a;?Wm3~rAD^TWROzvvN)b&JU`kvbbP;LsPu1XR~ArM zw&A`8UGOgLTDwj7k0SlK9m$V+gl6NiW3ykte7UsOxf`85UYlPG`cC^)_OA|;8WRFz z>38FU0gzNb-^rS4Z?)g6LV31{5e9s^M{pB29$C=hWRwg;&XeN^$s$w>dAG3&`cEf= z@K=S!yhN8Vi=~=sev|aj#GQoBEfZRdZ*$w#iJ%N;D^89k4L@oeU}xf;Tg$O3=)cHx z1(`yFEN^bNev{b%6Ww{1{ymF)wcLwSdfq0qZobX0b=fC(#9I>cp!jBwW7F`S-?+#1 zSP|vTKagKeRX!NTJ^wIrW!l=EZya->@zkc zuU+3Gc4;um9d2_8z=?HjiMu-cTy~bx7^Nhu7RQ&fu{rHTA`fTXhq^^*UJ0duqem znwlj|E9?n(?|Ka2*R|NmMyvsopf=xknjPnA4UV>5 zhYpr4lA%w&S!vyThJ1N{!>}uAm~A1{kZcVk-DzrP*4=0w%Cuojt;v>ap0a^;t(l9> zCdK?E8%%xO=&xa+p|b|ZBEm3_^iHpyuLSV= 4)); + +var is_ie = ((agt.indexOf("msie") != -1) && (agt.indexOf("opera") == -1)); +var is_ie5 = (is_ie && (is_major == 4) && (agt.indexOf("msie 5.0")!= -1) ); +var is_ie5_5 = (is_ie && (is_major == 4) && (agt.indexOf("msie 5.5") != -1)); +var is_ie6 = (is_ie && (is_major == 4) && (agt.indexOf("msie 6.0") != -1)); + +var is_ie5up = (is_ie && (is_major == 4) && ( (agt.indexOf("msie 5.0")!=-1) || (agt.indexOf("msie 5.5")!=-1) || (agt.indexOf("msie 6.0")!=-1) ) ); + +var pluginDetected = false; +var activeXDisabled = false; + +// we can check for plugin existence only when browser is 'is_ie5up' or 'is_nav4up' +if(is_nav4up) { + + // Refresh 'navigator.mimeTypes' to get newly installed mimeTypes. + // Use 'navigator.mimeTypes.refresh(false)' to refresh mimeTypes + // without refreshing open documents (browser windows) + + // check for Java plugin in installed mimeTypes + if(navigator.mimeTypes ) { + //window.alert( "length"); + //window.alert( navigator.mimeTypes.length); + for (i=0; i < navigator.mimeTypes.length; i++) { + //window.alert(navigator.mimeTypes[i].type); + if( (navigator.mimeTypes[ i].type != null) + &&(navigator.mimeTypes[ i].type.indexOf( + "application/x-java-applet;jpi-version=1.4") != -1) ) { + + pluginDetected = true; + break; + } + + } + } + +} else if (is_ie5up) { + var javaVersion; + var shell; + try { + // Create WSH(WindowsScriptHost) shell, available on Windows only + shell = new ActiveXObject("WScript.Shell"); + + if (shell != null) { + // Read JRE version from Window Registry + try { + javaVersion = shell.regRead + ("HKEY_LOCAL_MACHINE\\Software\\JavaSoft\\Java Runtime Environment\\CurrentVersion"); + } catch(e) { + // handle exceptions raised by 'shell.regRead(...)' here + // so that the outer try-catch block would receive only + // exceptions raised by 'shell = new ActiveXObject(...)' + } + } + } catch(e) { + window.alert(" Creating ActiveX controls thru script is disabled \n in InternetExplorer security options \n To enable it: \n a. Go to the 'Tools -->; Internet Options' menu\n b. Select the 'Security' tab\n c. Select zone (Internet/Intranet)\n d. Click the 'Custom Level..' button which will display the\n 'Security Settings' window.\n e. Enable the option 'Initialize and script ActiveX controls\n not marked as safe' "); + + activeXDisabled = true; + } + + // Check whether we got required (1.4+) Java Plugin + if ( (javaVersion != null) && (javaVersion.indexOf("1.4") != -1) ) { + pluginDetected = true; + } + +} + + +if (pluginDetected) { + + // show applet page + document.location.href="newappletref.htm"; + +} else if (confirm("Java Plugin 1.4+ not found, Do you want to download it?\n" + + "if you choose not to install the plugin the reports graphical applets will not be available.")) { + + // show install page + document.location.href=XilinxD; + +} else { + // show error page + document.location.href="newappletref.htm"; +} + +} + diff --git a/cpld/XC95144XL/MXSE_html/fit/prev.jpg b/cpld/XC95144XL/MXSE_html/fit/prev.jpg new file mode 100644 index 0000000000000000000000000000000000000000..eb2928581f4bcea54b1064517f7a86135afdf365 GIT binary patch literal 1490 zcmex=s9iIEYA7@1%I!D0d#f@A`UGMI9L z^#214f*cH@47SXSN(@YbjLd?J|Bo<8fgH!c%*cQM9Gt9d>|8)O0|5pGHlStf%uMVM zX(nbCpd2FyCj*zTh*bErYl1?eVoKtQ%BtpNn}Iq+fM%fqkfC;^KdU49b&{<(WSUp<%RH$lHVqE}1B)6>*zsaCf_)3J<0GG`PX zBv)>G@5&YRZgHIHr866Tls?|?mL1jmHFdwR`Oz!Zyl%NY{|?{!c2wkm_;v9tm4NLY zJ8oVuJL%r8s3CM+?8B@~&BGNbA?}=o5_7n!b>_aDlf<31%X;J0&z_=ZeT|O1Xa#la@N6MEtk4^S6ef4zTx7$(LZpT)t{LFc|?cm|QS?k?CW*s}ny=dA_-I^C$ zXHIgtb*+PK*OG|2T+d#<{oOEoMW2^x)t8nVSBm|59a>m?%9SbqKBsLby4#dm~Pgw=G&}YPe083z5J=<5k>W$Q}@ogKlXNc#-jIB zH`nvcq_- #UWVEOpjvO~`6E!MNvbH!#{72I&~;C6%QrVi_P_Usn!IxkeC=lZK! zKaTrzoWc5IKjwXqj|p65ZEd|d;5Dmb4u(aRp&jTKJ)ekW^8lMQOP|mHRooss$8|8g64mQ9UAQ1Ti+~Ce_uXNYoGJie+}DB zR~0hc+gtajYqjjudOzJ8u``7yeOxHGZo#QJv5zmDstW3Ia@i{*bKE(m=$$CXRGm|T zW-B;n7OR*E^d>#r8+s;h$I|L6Pp!G!d^x{ZinRunp7H2#ovwT+H!gZxJogFdCROHR z0gvCRSen~AB)@wtxO2$@&A*jfUS-U+X6Zk8^ZGFrKRs8Y?MpqXXPsHm|2%NZ%x+qjk_nC8Ksm>?Up^8Qy$^S_VhnxDM) ztM>kFyyVu$=K?vXW`8ZMk#^d@69@qIt?8T3X%ux zKC|DGNp*ZKbg$oA@!zMyAN&30GM`i3D>u#g?~}(L>*f{ezWB09{=K?<_m`I!Y#v2l ze3@c@&(B``B~C4iW9PjUi2hylQM`Uf#x&OTf+~K#XIJ0M5!F3DulLLmwHaRj{s~ot alqWmzy@)u#-Xmqu;mpTf2m}I-q+duRk`cvsSE z-ox`kKw!{CLU72HsOXs3tJmUwxpg}^CH2nTwCtP*xexOmKwk?2 zwZ{cxxJW;62!Rw+V&v2_M>+a&i7Q`5V~-|f5gVB#R4gdmPX4{jJd&zYQq(=PFJ#{a z7Wu!(zJmSDH3C@SF#7V~5YPqdPlx9l!$hdk5#B3-w%jRrlBGt2r1LZ1t)kuJ3r|Ky z@$hCXHRZ&IL)enEh3w?36>|GX@-B+nZu?2vH&40iOWolzGmRgj0eA01T?Y!|&#G9E z9EqfvxUT6LK@a(P7Qv!gxmx@4;=0MHQcd@|x{IvT2W^ySAZn?#!`BFfqdL57*NcB! zy&GVM`C;?G)GCtDXGWSyH>bR+R7=T~gDMgbE=Llaty3iATGcy+y06#8CcbctG!kaZ zi>YTjyJVXLZ#=seE$U7~jJMIVQ0zK&J<^Phzl5+4!LXrLuap+z$+ z<0DJJz*~d*`_gv>4vWX@R*xbdV2Clxl}ZIsF&aGSlZr7Sii6sYkL~PjY{@lN_oz;5 zEvA8iSfc&7q3BlmH4|@wRi%#H7V!0Av+s=ZH?tFy znms%GVHBPI;GFQIAq}@|UH8wz0;^WxSb~q2m#tOpt@m3QTw1t157`3abCn(7l})rz zhLv@!4w{f|wy8&HZc?_#hmY8EQ$q#ja^?IxXOFX97&Ed`nT>HDl?8kO1YJ5HnWX04=uP+_bV^L#8aq>%W?-h*QVCqrp3U#F<#%JxsKMCd7I_jx8_iNrl1vE--vu*Y*KH*c_AME)_Hac!u z3m2^Ml9vWhb(eIqrsYHWEGfIG`CghU=|KZQ{zk>^9$xT9@pkp(dj4GN!!CB0lgIS- zMQEne049{Dm{?k0Kd{bXYf2umHJQ?bA?0aXCZIgaOxQ9dMDEnF<&%{KwFC=m&81pr*4lm| zOgoi=MNAs;I|Ro(f_<=g0;X+J>S4W6m^*F|oP$5;FygS7`a0bGg)=LD%CW-LH1lN1 zr-Uqrq@)y?d(58W=37+x^ zc05F`358frrb{B95BOcFa!y*d(w*{MoLEQo>8^Is09Doe2yao?_k@}^$jWk~`_U>D z8l#>G85uxnAeRPWBB_om}jw=I($f!V|>3WoQb*p3TtO8iG3Cj-7%pZ;b2_Ca_G g71N?Me#yf7*vS&>Dp%(2l6OH`nVP)@SQu^icTnr;*Z=?k literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/products.gif b/cpld/XC95144XL/MXSE_html/fit/products.gif new file mode 100644 index 0000000000000000000000000000000000000000..768040424da06354f7c2ef4e69841ba8ec949646 GIT binary patch literal 828 zcmV-C1H=4BNk%w1VMzcT0M!5hUS3{XTU-0#W>r;Hg@0fg8X7`ELQPFgG&D3IARs6x zD7(9_xVWvcv87W}Q&?D7rlyxrP*7-QU%kDu)WBprJ3F?vs(g1~@!4gppJH-uUzv|# zN=iy4B_*t^pxwx1F)=ZZhhf05W0RAE!os+TiFqC#9;29I=h0=pzO;IJY|6M}oSckB zMMbl-r!Oxrwx?pbxvo}LR=T>dKR-WFQAk=^P=9}MPEJm_xvgPgSFo_Bc6MlJXj^S< zVRCY1A^8LV00000EC2ui07(EH000L6K$0*;oEVLZq;kn@I-k&}lb9kEQ^r}0!R>m# z;IMd1<}Qpek7viQxZkdZd`_?1@A$lao|~jB1%ZNtgoTEOh>41YE(sG&Bao#Kp$P$jQpf z%E2Bj1}!Zd90%6d*xB0K+}+;Y)E?9vI1vs5=;`X~?CtLF@b3;05D^FFG7ka!{Qds_ z00RmfDDZ&{2=mVA1HiDM!-o(fN}Nb>Vt@w-%?(&sv7^V29xrB87@(m90w^^wJc*Kk z1cw(?u55W>=1TwsWa50PsbAwk234{(!isLRl+2BrY? zHU&UIYuvd2R-j<}V1(PFa6D)J(TCLkcShcg+y`=7pM!9czXW;^xDP zmNmXj`hfxlz*y5t$RMC$UDb_MdnF#Ral;HBl)|7~;<9n38BV-RdV)ac4Wt>iR*fQq z@(kg@ZaD4uHGtupZ$GH68?$PprdQwn5Mt^Ir`?GV9|jZiq{6>IW>&3=U1{e$` zpiT^3bc1#Hag`xM7)*Cr!3t3Er;HySuL%8XCB`t+BDCO-)TgLP97g zC?FspG&D3&P*CyNWpZv`-Nm#;IMd1E_*wQw0g~MyWjA*eBEK# z@A$la&+q&Hcpi5NgoTEOh>41ejExB%h6s|Al$Dm3n3$Q_Q-x6=XmI6Eq%eg7d=Qf71bg!GrR?`l zqEwCm5Q2qiGT6lo5@>G4IG5u}2N24RB#ACog{2)?I&exbm; + + + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/result.htm b/cpld/XC95144XL/MXSE_html/fit/result.htm new file mode 100644 index 0000000..a63a253 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/result.htm @@ -0,0 +1,14 @@ + + + + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/search.gif b/cpld/XC95144XL/MXSE_html/fit/search.gif new file mode 100644 index 0000000000000000000000000000000000000000..714dc20092cf8efa2994765f2d5366c977ae5eb8 GIT binary patch literal 975 zcmV;=12FtYNk%w1VNd`a0M!5hUS3{8LPDyYVr*<eTU%SZyRTJM zRm8JnhK6~ywW-3wxv{aO`{8D|xUEf1O&S^+T3S#jC@3HxAiTV?g@0hn%fnMsQ<9Q| zuCAe>p_QqroI5)^o12V!dTg1Qlw)IAP*6}LM11)zB33?5WkPQ|u7L}Hl zn3#%QS@$J4159j{n}Kl21M*k zfLOd%C<+4*AP~4AII`uTECfJUFcgDj$u$7XAQ0JrTnKOhKoCH<=+hD;5F}mY3O~^tCCph52 z1{yMuod5*LxB!YO?o|VPnyrXha{>@&00x@LxTIf^HBPK$&Ns zVNf7Z0Wt>w0Rz@Bqyem{S>t?9b-+Oj41{n%2-!{O=br$ua6$uGhF~b4`T?rwd@by_ z=LwMZx#*-!v2cI~c%DGRrZh-O>8D4X&_bp%3}EU2o`R|>4KDC_!T>A88f&VmQc42@ xE*wAu3M2IDE3LHxiUJK>zB+;q$R?`;u)z}6Lk`f6Fu zYVwkkBbF|mR#uiVZCdNLZL3zTnzMZQ%uSn?W@JQncULpu1v(&EkX;O{c?pVrDVg(L zaIDQaEmfd%JUI8h*@E{q@4ru6P@v%>(SKrb%E=rf=?g1Nv{po|zt&K8`YzwT2L?YJ z^=6;$+ETT4-H!VocG&c-xc&ZHYu)+#*8dtBo0^+g+S)rhyE;XB`}+HMCQY6)b=p++ MnX_ikQe?0O0MC_UO8@`> literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/style.css b/cpld/XC95144XL/MXSE_html/fit/style.css new file mode 100644 index 0000000..5f32596 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/style.css @@ -0,0 +1,19 @@ + +.tocRef A:link {font-family:arial black; font-size:14px;} +.tocRef A:visited {font-family:arial black; font-size:14px;} +.tocRef A:active {font-family:arial black; font-size:14px;} +.tocRef A:hover {font-family:arial black; font-size:14px;} +.tocBgnd {background:#CCCCCC;} + + +.pgRef A:link { } +.pgRef A:visited { } +.pgRef A:active { } +.pgRef A:hover { } +.pgHeader {background:#E7CF5A;} +.pgBgnd {background:#FFFFFF;} + + +#tipBox {position: absolute; width: 150px; z-index: 100;border: 1pt black solid; background: white; visibility: hidden;} +.tipBoxCursor {cursor:crosshair;} + diff --git a/cpld/XC95144XL/MXSE_html/fit/summary.htm b/cpld/XC95144XL/MXSE_html/fit/summary.htm new file mode 100644 index 0000000..0412d69 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/summary.htm @@ -0,0 +1,155 @@ + + + + + + +

    Summary

    + + + + + + + + + + + + + + + + + + + + + +
     Design Name + MXSE
     Fitting Status + Successful
     Software Version + P.20131013
     Device Used + XC95144XL-10-TQ100 +
     Date + 10-24-2021, 6:31AM

    RESOURCES SUMMARY
    + + + + + + + + + + + + + + + +
    Macrocells UsedPterms UsedRegisters UsedPins UsedFunction Block Inputs Used
    106/144  (74%)411/720  (58%)82/144  (57%)67/81  (83%)214/432  (50%)

    PIN RESOURCES
    + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal TypeRequiredMapped
     Input 31 31
     Output 32 32
     Bidirectional 0 0
     GCK 3 3
     GTS 0 0
     GSR 1 1
    + + + + + + + + + + + + + + + + + + + + + + + + + +
    Pin TypeUsedTotal
     I/O  + 63 74
     GCK/IO 3 3
     GTS/IO 0 4
     GSR/IO 1 1

    GLOBAL RESOURCES
    + + + + + + + + + + + + + + + + + +
     Signal mapped onto global clock net (GCK1) CLK2X_IOB
     Signal mapped onto global clock net (GCK2) CLK_FSB
     Signal mapped onto global clock net (GCK3) CLK_IOB
     Signal mapped onto global output enable net (GSR) nRES

    POWER DATA
    + + + + + + + + + + + + + +
     Macrocells in high performance mode (MCHP) 106
     Macrocells in low power mode (MCLP) 0
     Total macrocells used (MC) 106
    + + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/summary.js b/cpld/XC95144XL/MXSE_html/fit/summary.js new file mode 100644 index 0000000..8702822 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/summary.js @@ -0,0 +1,28 @@ +function popWin(url, w, h) { + var win = window.open(url, 'win', + 'toolbar=no,location=no,directories=no,status=no,menubar=no,scrollbars=yes,resizable=yes,width='+w+',height='+h); + win.focus(); +} + +function showTop() { parent.leftnav.showTop(); } + +function showDoc(device) { + var url = docURL; + + if ((device.indexOf("XC2") != -1) && (device.indexOf("S") != -1)) + url = docCr2sURL; + else if (device.indexOf("XC2") != -1) url = docXbrURL; + else if (device.indexOf("XA2") != -1) url = docAcr2URL; + else if (device.indexOf("XCR3") != -1) url = docXpla3URL; + else if (device.indexOf("XV") != -1) url = doc95xvURL; + else if (device.indexOf("XL") != -1) url = doc95xlURL; + else if (device.indexOf("XA") != -1) url = doc95xaURL; + else url = doc95URL; + + popWin(url); +} + +function priceDev(device) { + var url = "http://toolbox.xilinx.com/cgi-bin/xilinx.storefront/1816638537/Catalog"; + popWin(url); +} diff --git a/cpld/XC95144XL/MXSE_html/fit/summarydoc.htm b/cpld/XC95144XL/MXSE_html/fit/summarydoc.htm new file mode 100644 index 0000000..607f637 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/summarydoc.htm @@ -0,0 +1,205 @@ + + + + + + + + + + + + + + + Summary + + + + + + + + +

    +Summary

    + +
    The +Summary section of the HTML report contains several tables summarizing +the fitting results for your design. +
     
    +The Header +table contains the following:  +
      +
    • +The Design +Name
    • + +
    • +The Fitting +Status, which is one of  the following:
    • + +
        +
      • +Successful
      • + +
      • +Design Rule Checking Failed
      • + +
      • +Placement Failed
      • + +
      • +Routing Failed
      • +
      + +
    • +The Software +Version 
    • + +
    • +The Device +Used, with a link to a PDF version of the device documentation
    • + +
    • +The Date +and time of completion
    • +
    +The Resources +Summary table includes: +
      +
    • +The number +and percentage of macrocells used vs total in device 
    • + +
    • +The number +and percentage of product terms used vs total in device 
    • + +
    • +The number +and percentage of registers used vs total in device 
    • + +
    • +The number +and percentage of pins used vs total in device 
    • + +
    • +The number +and percentage of function block inputs used vs total in device 
    • +
    +The Pin +Resources table includes two tables: +
      +
    • +The first +table indicates the requirements of the design, broken up by Signal Type. +The number required and the number mapped onto the device are indicated +for each signal type.
    • + +
    • +The second +table gives the total number of each pin type available in the device, +alongwith the number used.
    • +
    +XPLA3 +only - The Local Control Term Resources table lists the local +control term mapping for each function block: +
      +
    • +Each row +lists the local control term mapping for each of the eight control terms, +LCT0-LCT7, in a function block.
    • + +
    • +The control +term mapping can be:
    • + +
        +
      • +ce - clock +enable
      • + +
      • +clk - clock
      • + +
      • +ee - output +enable
      • + +
      • +sr - set/reset
      • + +
      • +uct1 - universal +control term clock
      • + +
      • +uct2 - universal +control term output enable
      • + +
      • +uct3 - universal +control term set
      • + +
      • +uct4 - universal +control term reset
      • +
      + +
    • +Each LCT +can have some subset of the signals above mapped onto them:
    • + +
        +
      • +LCT0 - sr, +oe
      • + +
      • +LCT1 - sr, +oe
      • + +
      • +LCT2 - sr, +oe
      • + +
      • +LCT3 - sr
      • + +
      • +LCT4 - ce, +clk, sr
      • + +
      • +LCT5 - clk, +sr
      • + +
      • +LCT6 - clk, +oe
      • + +
      • +LCT7 - clk, +uct1/uct2/uct3/uct4
      • +
      +
    +The Global +Resources table indicates the signals used as global signals in the +device. +

    XC9500/XL/XV +only - The Power Data table indicates the number of macrocells +in standard and low power mode. +

    XPLA3 +only - The Block Resources table indicates the number of function +block control terms used vs available and the number of foldback NANDs +used vs available. + + diff --git a/cpld/XC95144XL/MXSE_html/fit/support.gif b/cpld/XC95144XL/MXSE_html/fit/support.gif new file mode 100644 index 0000000000000000000000000000000000000000..a154620eb23ea85665f2a9d925132d1cb474dbdb GIT binary patch literal 760 zcmVr;Hg@0hXyRRA=8o0Qvv9YC1O-({V zLLeX@C@3g2G&ECFQ&?D7P*6~4W?$66WbxT$te;|XZeJxOC46^akB4EQp_JXoWHB)@ zz_4SJlY_mzvK}5DiHUimm|~faVWgy&oSck$dTi&>Wy-i?si~VwOG`aHJvur%v$LnP zw5df!MM+6XFE1~yuA#Q4Vn9GZR#sM8T2Q&UtzltTXlPrxxvqbIaZyo7baZDJu-SE}PHjv>IXKwhTUld`_?1@A$mF&RTpHfPsR8goTEOh=VK^j1~-!kdcy;l$Dm3 zkSs8p8ygLuprN9pq@|{(4I42ltSTxq3bC@Yw6(UkxVSSaF)j)&E-xtw#Kp$P$jQpf z%PB7?&M7?*4g=QL*xB0K+}+&{5GW7{)HDwP=;`X~?CtLF?+-L6;ML~<`1$(#{Qds_ z{{lQ1QE$LKfCv*R>?bfo!Gi!U5XcvSKm>dQDpVwp5#Pp+`Yu$U$dTj4k|p&CB=Il+ zfCv%<2$+BY0099L0SKUQQ>Fm_4mN%M#3y2cOcNJ^`kZh|RJ3!SrCR ze>qSHaq{Qxi;9UNz8QkRVG(fUzJ3t9vP%jRL=fO`6~F`u1Z;~%4Va<{4H$~P-M%xq zWF2-Ra4-rUABLD3LiEMhJ>P{BGtc&&pc%4qs3q97(0~N`BT#_O6{z5X0`VZ=f)Fay q;DZrXXy5}sP-x+X`3Qs+gN7V#D9{ZchFGCMHI!%~gaYjl1OPiZ2uK$I literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/time.htm b/cpld/XC95144XL/MXSE_html/fit/time.htm new file mode 100644 index 0000000..2856558 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/time.htm @@ -0,0 +1,4 @@ + +

    +
    + diff --git a/cpld/XC95144XL/MXSE_html/fit/tooltips.js b/cpld/XC95144XL/MXSE_html/fit/tooltips.js new file mode 100644 index 0000000..790ce27 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/tooltips.js @@ -0,0 +1,143 @@ +/* Your are permitted to reuse this code as long as the following copyright + notice is not removed: + + This HTML tip handling is copyright 1998 by insideDHTML.com, LLC. More information about this + code can be found at Inside Dynamic HTML: HTTP://www.insideDHTML.com +*/ + + +// Support for all collection +var allSupport = document.all!=null; + +function setupEventObject(e) { + // Map NS event object to IEs + if (e==null) return; // IE returns + window.event = e; + window.event.fromElement = e.target; + window.event.toElement = e.target; + window.event.srcElement = e.target; + window.event.x = e.x; + window.event.y = e.y; + // Route the event to the original element + // Necessary to make sure _tip is set. + window.event.srcElement.handleEvent(e); +} + +function checkName(src) { + // Look for tooltip in IE + while ((src!=null) && (src._tip==null)) + src = src.parentElement; + return src; +} + +function getElement(elName) { + // Get an element from its ID + if (allSupport) return document.all[elName]; + else return document.layers[elName]; +} + +function writeContents(el, tip) { + // Replace the contents of the tooltip + if (allSupport) + el.innerHTML = tip; + else { + // In NS, insert a table to work around + // stylesheet rendering bug. + // NS fails to apply style sheets when writing + // contents into a positioned element. + el.document.open(); + el.document.write("
    "); + el.document.write(tip); + el.document.write("
    "); + el.document.close(); + } +} + +function getOffset(el, which) { + // Function for IE to calculate position + // of an element. + var amount = el["offset"+which]; + if (which=="Top") amount+=el.offsetHeight; + el = el.offsetParent; + while (el!=null) { + amount+=el["offset"+which]; + el = el.offsetParent; + } + return amount; +} + + +function setPosition(el) { + // Set the position of an element + + src = window.event.srcElement + if (allSupport) { + el.style.pixelTop = getOffset(src, "Top"); + el.style.pixelLeft = getOffset(src, "Left"); + } + else { + el.top = src.y + 20; //window.event.y + 15 + el.left = src.x; //window.event.x + } +} + +function setVisibility(el, bDisplay) { + // Hide or show to tip + if (bDisplay) { + if (allSupport) el.style.visibility = "visible"; + else el.visibility = "show"; + } + else { + if (allSupport) el.style.visibility = "hidden"; + else el.visibility = "hidden"; + } +} + + +function displayContents(tip) { + // Display the tooltip. + var el = getElement("tipBox"); + writeContents(el, tip); + setPosition(el); + setVisibility(el, true); +} + + +function doMouseOver(e) { + // Mouse moves over an element + setupEventObject(e); + var el, tip; + if ((el = checkName(window.event.srcElement))!=null) { + if (!el._display) { + displayContents(el._tip); + el._display = true; + } + } +} + +function doMouseOut(e) { + // Mouse leaves an element + setupEventObject(e); + el = checkName(window.event.srcElement); + var el, tip; + if ((el = checkName(window.event.srcElement))!=null) { + if (el._display) { + if ((el.contains==null) || (!el.contains(window.event.toElement))) { + setVisibility(getElement("tipBox"), false); + el._display = false; + } + } + } +} + +function doLoad() { + // Do Loading + if ((window.document.captureEvents==null) && (!allSupport)) + return; // Not IE4 or NS4 + if (window.document.captureEvents!=null) // NS - capture events + window.document.captureEvents(Event.MOUSEOVER | Event.MOUSEOUT) + window.document.onmouseover = doMouseOver; + window.document.onmouseout = doMouseOut; +} + +window.onload = doLoad; diff --git a/cpld/XC95144XL/MXSE_html/fit/topnav.htm b/cpld/XC95144XL/MXSE_html/fit/topnav.htm new file mode 100644 index 0000000..ac2b452 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/topnav.htm @@ -0,0 +1,10 @@ + + + + + + + + +
    + diff --git a/cpld/XC95144XL/MXSE_html/fit/topnav.js b/cpld/XC95144XL/MXSE_html/fit/topnav.js new file mode 100644 index 0000000..9e3bb9a --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/topnav.js @@ -0,0 +1,30 @@ +function popWin(url) { + var win = window.open(url, 'win', + 'location=yes,directories=yes,menubar=yes,toolbar=yes,status=yes,scrollbars=yes,resizable=yes,width=800,height=600'); + win.focus(); +} + +function openTab(type, device) { + var url = rootURL; + switch (type) { + case 0: url = rootURL; break; + case 1: + if (device.indexOf('XC2') != -1) url += prodURL + xbrURL; + else if (device.indexOf('XA2') != -1) url += prodURL + acr2URL; + else if (device.indexOf('XCR3') != -1) url += prodURL + xpla3URL; + else if (device.indexOf('XV') != -1) url += prodURL + xc9500xvURL; + else if (device.indexOf('XL') != -1) url += prodURL + xc9500xlURL; + else if (device.indexOf('XA') != -1) url += prodURL + xa9500xlURL; + else url += prodURL + xc9500URL; + break; + case 2: url += marketURL; break; + case 3: url = supportURL; break; + case 4: url += educationURL; break; + case 5: url = buyURL; break; + case 6: url += contactURL; break; + case 7: url += searchURL; break; + default: url = rootURL; + } + + popWin(url); +} diff --git a/cpld/XC95144XL/MXSE_html/fit/unmapinputdoc.htm b/cpld/XC95144XL/MXSE_html/fit/unmapinputdoc.htm new file mode 100644 index 0000000..fe242d6 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/unmapinputdoc.htm @@ -0,0 +1,65 @@ + + + + + + + + + + +unmapinputdoc + + + + + + + + + + + + + + + + + + + + + + + + +

    Unmapped Inputs

    + +

    This page shows + input signals which were either not mapped or not + routed.

    + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/unmaplogicdoc.htm b/cpld/XC95144XL/MXSE_html/fit/unmaplogicdoc.htm new file mode 100644 index 0000000..6371313 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/unmaplogicdoc.htm @@ -0,0 +1,68 @@ + + + + + + + + + + +unmaplogicdoc + + + + + + + + + + + + + + + + + + + + + + + + +

    Unmapped Logic

    + +

    This page shows those equations whose + logic was either not placed or not completely + placed in the specified device.

    + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/verboseview.jpg b/cpld/XC95144XL/MXSE_html/fit/verboseview.jpg new file mode 100644 index 0000000000000000000000000000000000000000..819132e71c1438dd3a1040ff6ed6aa00b4c01894 GIT binary patch literal 1262 zcmex=s9iIEYA7@1%I!D0d#f@A`UGMI9L z^#214f*cH@47SXSN(@YbjLd?J|Bo<8F)%VP10fRN;N)UtWe3Wc3NSFRGBYu=F|)Hm zXCTgTa&J8D?l80!`UH3ye#G8~xc!>MATG>a*&$=&DWGS|rgr#Q3^JYr<{ zZ6beb*TH(hp88{YduP|l_?_6Z>5#?kIwdE89W%`njhbaQC7p2zopMCc%hD^iY8y*AxL7Gx&HO}Q(6LiW->I(9~U)SEVJ^b_K!*qnVCOpe=howVPNSXlM}hl z@U7+Z<+D|#wV6(_{5fzh>}YIAg`E28$emfry$)%UCC#MnL>+g2f27Ve_u2aF^JWVt zN4|DAE55(t%xTuhqJ^KVb=@mw_R*3x4;Bd09$ z`5G*+ByVucpjpf$tcC zzFhQp;_+0GZ+=9GV%G}Bt&Ufs1M{qdcZNmWd#)mP`@wcK=Uvvj`Dbh|d(=RI}x}lA&tJ((^p6z*fr%Esb>Kvqqpq5 zCU9A?J}as^+@x#EwV0||*C)3vta~jZEz}@&$f?D73d{V|04_E42k$v&bOp|y(e+8X z-(b$mul<(;EZR2L?@hD6xu<$pSetT&?iD+wvytMHEOsi~x$n@mb?dX0{Zq8G9ULsD zFi9K;d?4@Kw{7N{31!Xov1{Iccq#r{S&C<2;`7XUHG}2yx%(_{RlACC?A_OAVmR^E zuGp~f@Kf8qeOTms^6+wTp^1tb4Xp;8llA!+3jghz^v_V{#ivcOuet7Ly(!$69Fx!c+SRpV&k=$0tOe^A%n1)%8R!|6cF-bp%Emxe U5ypJ)hJVKd9{%2Pq5l6(0BBO*RR910 literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/view.gif b/cpld/XC95144XL/MXSE_html/fit/view.gif new file mode 100644 index 0000000000000000000000000000000000000000..616749722f9898c57a5a8e9325bba5006731f956 GIT binary patch literal 2913 zcmW+&3se)=7EQtc2~IFT(1@6Z5WYqY5K$o1fWuD*4U!^iRD`H#hZc3}uhVuC0#S&F zGE&r3Q;F73+D1v$;;0}4qDI7$wy5cQi19;>uWDoU`SKnwcCU5UzW3a<&e~_6d)GR7 z1-Y}+R%Oy=(q7Zv0snj9e-rLI;qL$dfDX__Vg{W~N7+ajEHhdx4yQvVmWpf+wMs1# ziQF!?3dM{D!e+5Va=Db%A_(QK}1GIA{grNxReltsS%iTps3Dh zHaZ**E(rSa5e5QQ!WOd`K@f;?!Vm=dWO2EK(P%Ijd@f=Ls#Gdvqytf_Ns@GVy&{aT zJM18rXD||^oswcZWRw#Cz(|bn>65{b!|7D3)grOTX0b(bBM}r;DOGNd7gZw;yTj}8 zasf~V`MM^vk-h|Wi^b;g-C{Zr09|euK^UE0ui0!d=nVFE9kJLQ4irJ97$KF)l&})T zP_xi%?e$_P=Jk3Z$mgWPLXsX|1k*`nG6SZg zNXl%nxZQ3D)2UF@NEkgHw-SPlW;0>i|)znqP3`bhh zutyjCRatp={m!ELe(m-<7W2a;GX}p|mvHuI<=JnEgUj!=L@6|#1|HQlFXndLbavf) zcRJYfHNzk7wP1aY10`z~9l6Gue7*H3ysU1DyxtwOhShaexNvh}Vs`FZ^Qn9CISFT~ zerg_Q?r0mk>)-L`&TIu9jciRVI*TYq+VR7cSB%J}QoAO(D~Rje>YdmaerNdp3iTf$ ztv5A0t!4gk8UDWx=(r`7_iK4;Dx(&@*Q)3TC&@H&=0=3p80ojChPl$^6mUW>2&^~4 zQQe~eNfHv>yJ=Nb@eNi9lA_-pIMcHrmOs0R3f|b%-c{U}pEQrZLN@3qCWphdQ-7nH zsC6n$%$mhFX!qx5T+TVag7M1vCoAQGTCzOgR@H+&Q~5{F6a_7`hlP&I!Q0r3<)-fN z#Yxx?;QP&3c}_^>i6x?gsj3!6Vu4{+!LC97xq12g|KjpE{s!xnJUmLU{^SuM-YeXh zKh|_?X=uq`*I0u;YdfVzLVG>+4pQ8kszwHX6EUT6BaGw)uPKqKAaLpF9Aot@%ed92 zo}G1We){a(Z>bJEG(s&bjP38F>shhTMj+{2D_+a*klwEeNF^oA=vZ}V{rL9$;_p&Q z)!N#WEwkIIyZSln6myp}m37Jf`SqC~-siG1eb7d!Ffb~X-P~(pno|lhq^b>9qjkRaPV67>GGV0BP(-uJ8O3l!I)u1a#;9KR{c*FF58 zJO_x*%_xya-|6?C31o+TS#_GBjNKMSaoQmH_^F?++9piPKhG#B*;zNKq%>#87+sfk z4=a3nU{l%YtE`(_p~2$9Z8e^VJ_&o@lwpBjoFoVP_v)X^>uMWgrUCz|9zxbID3$}M zxs_Th5L{vBJpM^JT`_u{F;knaZ{&?s$JDjz10Fm9(#OAl;@d&DcHWvBYLn`h98}XO zxq*hj;N`2eH11Kn?bPlI+^n?;J9=oqxH#^@{i|Ddy`GxBvd0R>M4f0ajJPK%f)f7o zahTmiRBBQ?U$B5T>%Vx?hsT&Chs*I@0(OFPv?Hv>QT2eHQ(4#Eq0kj=qZXXZrd^Yb zFcg!XM_0!8u#%(Bzq+_Cv}0st0te?kwho6i5BN;$ALn09zZ+6tZExdGrJ9q5a5nM=;Jp8WHNR8Hm*8~H_0xf+rP+Z8n=~_&Sq&?P zqas>xIrj+7e>EkXb6Zh2qm;v*U?1V9O`ZaT(X@HS3VuTM+>`^FAc?@l+18t+ip`szLGnqrs}XwY&~%gH_ObN_EFS{rsat zXS8imDyyB)A|M{R6Ov?dn8E;$3=e759_EgMB)F z>mGnD#6vS_z_aJc+M|0a;x7zoGVb2lRUpp&Sd|4ovL&(OF=1RxX4UBQ!LJ6;ybUCW zo@Uw8@Ki|i<7=`_Yj~HQ?iy`d60$xs_I5U_)^D8q)uSzMe%%M|$PQR9&`b6 zx3Xf=;)I-_A*(65wPbdDKe5L1>$%{U4El^-eN#qzEm#@N;%jmxon3}yE#;GL-Og?M zJ?odyIDFdS=QVrVD=ht(bZmXgFnv63%3oM|B@~*iiSdnu3)B^O2Sc0OKl=%iZ?b;4 z>b=@8UVSA}MDx1x=So_-0^=8d$DGp_%AWQta{i|5?b0QbcG0>=cw$9FU-AT7eOW^l zH~N!;RwyXh+VITN$@V@CMw{|2&+-Gqo8x;#7JvW1h!*tzo4Vw^DdQjWZlpE8>H7YR z24`V<#l0u79PQUr*9$g;qCs^p`vz+k!4LRjMy1p@tc;=|OUAwF7slS+xuBZCJ}dC6 z+jBG!Fu%>Xl`x@lEBiqBv4d+Dkw2flq@iWn(n^`txgo9o2kKVQ!(YogryNq$m-|P{ zsy=G}@%Cq~l=*_N{kiA$*Z{Jow|Son5>x(o@q4v2UrwWC{2zR17r+1j literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/wait.htm b/cpld/XC95144XL/MXSE_html/fit/wait.htm new file mode 100644 index 0000000..2b6486c --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/wait.htm @@ -0,0 +1,7 @@ + + + + + +

    Processing...

    + diff --git a/cpld/XC95144XL/MXSE_html/fit/xc9500_logo.gif b/cpld/XC95144XL/MXSE_html/fit/xc9500_logo.gif new file mode 100644 index 0000000000000000000000000000000000000000..ad995ff62dc5c12ec258aaa9d1db1bd1d142d55f GIT binary patch literal 8103 zcmWlcRb0~#7sh|OHt@l^9N3U;>$IO)hv!%dtlhXGGdcU5U|9E7+^1^BTrQ7Bk|E)K{ zD}zDXL*YBa5t~Edn{UInh9kCzqqc@3x8Fr?{a-|H4PD(DiQAscTOZ4vDFnEA(1mjG zU(f7*ce`)&`>wtW+!zSjejBzq7`ibKvh^x#`)$O=>#!Y8^w!&`m4Wcp!RYPb>uYag zx8EhMagw%2)7RdmZI5NGzt7$nFWC7|vNKh+yYOUpxp(*5t3N-6{_=PEe|A1+Be*%( zFQu|8UB2rtLwC82yR)tQrA|I?jK4a=Uz?lC#IAM)^M8H#m@2qX`QPuyUcWkmem@Uc zdz-sHQucR!>F?$b{>Jyoc)^)Mz3(lQo%zAPTffHX!apCmFOhMTjayT8Bv-QM81$&Cgd`<&%5ean-Z=l|nH?XTDE zD?`s#-*>Hz_pN_;wK2un{4%jM|KZR2@3-gW=j+lJ8gDMKa+X^Qzjc)JdRg0xpLf1} z+5Nfr)=6%}Pj@oj`BNJ8b8aZND0=n|{Y%A-xw|Plysv|2hmch zV2VL|dqI4)OVXHQ-Fl|H=Vbwp8}#sGP3Oy1&0_FrVc9_$*{T^Hs5K$g-=2 zvO#I=BLBOzRjW$>ZF$&oT~BY#ySrqm^&^^$v!rvj_k9y2J5K@X2SG@`aKHMA`$xz6 zP>eZcx?BIHveJ`cb3_FYSfS^ffa9m<^rL8S&PF=hX|kvc^Q!%qvHpeMaw!1N{Ln2^ z(+?M=@Kdn(ew(S(gGa5NN6e02+^w=MR(r>isOXoXC%I7?qk5*!Z(svdJwt!Js6JSD zXsYk^_L~DRRGCL(*@TsiOx@&Xb8&Uev1t3XpQiHsTU!Apb{fHxtS#8v{}w2d4|J|w z87rEoTHka>?}0o|+ULJ0Vt(p6v>GaL^>}yeDf-6|J8rzN8LPmMy5J>NLm)oF;a`vew((L_eW`sG;Z!v3Amd_miP&iJM*c zdz2ahohj53)#yTkW0{J+@5*3~qv*)FG&wV)%=9Cks`J-f*LjE|AUpZ=0;fqqr?lf= zI^F#~a9$|og%d{0g-_fXwn+^Bv{Wfc%&WD`ea%!^%T<$EtT4kws~$dY^rRb<#@6Nj>!=%F!OpiTHT-DxRre{HcU9WKIU;-Ub^ON z+e5hTr_pL+{JRH(d+Q(WjdDwrnsh0xd1w?IxuXk=YwLq=6Wco~`;2=->0LA{k zk2h64I3QRfdGKv@Rn}ymoyD|rn}uH+oQ;0sTy;3r?34KWU)#z{=}vwE<0Hlk?~V8N z*yN;*XLz`V2b^sW-v1$8;Kjv>Gq?Q}`JZB+ZiM4=Z{7cT?dq6^`Jger*qYvd)_K#_ z7x_mUf96R2>-#q=s#ZUMc4<8aK)yM_^Dfp0k4_zrEPvQuc_$%oVsW7T^4F>m{b2lx zjj#H5veq8Og%f03bVI=k|12~j?cw){TJvV)0_;CFIA!C2w@Iv4qV6tQct`vNk|5Nfv(IA?*_> zflf5~K}>oFqhovteTq~=Q4zE50RF+@ zyUOswOT+!IQlv*VO=Q$+Rbl5+B+_iF4g0kF-sILvf1#OcYsW%m)6;{i2N)X5HP*2W zGZBs@dyWl&1Wtd6McDCmy`+aH^c%i)Q)VAu(t+?a!ig1of)+ve#Q8@Kiw7nCtH8+K zhCYquv++#?Q)xP{KN2-0#PVgF0=7vS0!IJ`$8P;&lJ``DnzcT0sOPh5<&LR+uPk-< zISJ9lN%+h%zBee?G;gvwYlHG;yAm>&^+py!vJPg}`%N1Vbe73M0d4=~&m2*`3!Jls zg1TLY9_StQ^GueoH$_<Q;2Dy?wU%5F!9~SbdKu}jWzhE| zb@GA#c3keHa0}Y=l{^TX+(1RKP==g0fk_^VyG9Nf4-~(MZ893X75(Tsx^Cq+HGMn_ zd*dDYlPytB`~(fNlP(}#KuCz*HM>e_df&EcI`R&#iZ?I4)AxE{5am5OK3?ABaVR{$QWSNIMBysWeZGwPFNlJ&iLBL3N(UiI6G|XrbdK}ILcxG*&_l^e)Yt)?)eGt4j15lAZdPs~tz{h80m*=W62H3Th1CM!*-}v*N-I@L+R;k7YWK*DMSpI|*O_X3<){uO`w^ zP+=qPzs=d#ftd{uo1j>1B?w^G(Dc+;3%|j@bIse`xiLzdGCl=~ylu2k&kY;7X$;_L zYgf%AZxBv%M<8dnYn^0fuUXk8z*dYQMnjXIIzxn%i zM#UGa;@NZjqSuOBt(=Yy`kwuxTj_$#8zEy{g3aCaTy-W~d$D4`AI1ORX!`M#aOF)9$M?yT7 zLV?O!bjt76L%oWNBt-I(z8K&B?C!;c+CTxRd4ATa!A@GSt5qm~Gfc5zf<;OryT6xB zXGYnVBcde0`!)1|C}D`uWu)O5gLi}T4)Nq9pB)TTtJKIa#E-xbcJ#dl+dQ0%{q5CUS zoB#$UP}v#HLcAG`;2)Ev(AAL?GBwjqHr@exjeR-oAx4}DPWu9GWP8|nhP%>XPMk#E zG?=2}Y;8evCK2AT=wd_&pyM7a3Km-Q+A{MMrzh8DV<-TOOn{Z1fkn}-%oqSd4sIJn zumlZaByVz9*S$nEYG6~v-T-<_ETK{q9IA`mCA;MiLAQ0BF&y}tQJ9`d7%Leh$^hU0 zjj!ckI4s->6Tid7@38R8MD#F6(qiL$p%P7!4yKM?iB<-Q(ZKh0pyv_$3;_g`?AGiJ zxdj56c~RQhsLpWwe|eyzP=J#kI2ZJ`h2da!`FNoRX^31{=);__#X` z0b*XTL!_AU2S5TDSwPP&1yE!X^bQnKO7J%p2UAIeR$ce)aL`?1u!9-oRvE^;Jw%)g zl3h}G!Nr_Qapf{Wk_=EO&94H6mZO38kidd>Bd&x)OnH#k1vz`}URncCCm66o4Yf^z zzm;;7lq!~b)IF61k|Rb$FZkOK3H28A(nL_&Ft+Gnq*XphiI88^hS@6yRwf~afXo?P zur(WYjYq$<0PIk}1CiR}ywvP-0kRYbAmUqX5!$5d{MVFrk(gy94iTrNsk&W^5yX5{ z4lh!|&*p*(0nm4CFw`7k0~KgHN@H$;i!Dkl3P4%}1dGqQS^hpnmWY4^d7T?8K1oT+ z3Ih}nPNfzQQ%~ih|q)EK}DXQdzVJ=stL5Yb- zA=T5`fC75vnN8w-QaO%?bxSGDBUEoG0!#*IZ$3zwKp|?Qvm~6FEJfl-%wqE!w}`+P z4XI4Ix2pqEp$MQ^bP0MRs;F{MF&ALnvWWDMbi`HF=IDf{)fGwnWuaRQOaTTuO0vvE z46H=qqt5%dy&o+JW|biXvG|?a2kQ`mWOScMoh%)aL_?a~S%!jpBiktEQC0c4?Gr8>vw zM4}=37p5;4*YgmyOuPSn!C%ZAYUBjb;z9otPqjK$T-k>FM7SnF1YKui=9d6C2M&(} zOQ{l`G9qS`9zCHW+)&`XbkGh&%3T(xT95MtV1JYd;b~r1@<12an5)MsH7IlmGF-qm zy6^qJeL?LLiIr!7wS`mDppf@R-KSZu;soR{+2zGcw8t`lBE)8m)x!9)m^lEy1mLbt zUhT_Eztbk==ogJe!@z>rGhfq#zd~n^x$lslWGB(FotRVh={RPf1QBe_F(?(1^Y3js zUj+7JweJ>O#IQrMbfOVuh-0LG`2AxCqRoL#yp-xTXj0Xqnd)M7id@%}(xOSt+2@$A z)(CgDD}(GKZtSR-!jpQODlJh7RXzYx8cZhNfy)YKkP+TYM23Mk>lZ$-xco95q{YLd z3odT3i)Fc>F4_wTm!||bjD69?9Ue0~7w{14;N*(EOUU`@6d|UbRW*T!NrG*FZfUu$ z=m^je?!QhTk{l6ifRstkf_gzR2!dZYcN)k@e%2NL>?81 z4jT3e>*D*ieoSPBHV=7?n`R3jzIX{d`})j^<)zH?$zZ}QfPYRDSR(-)7+lvbTM__Y zWg{;Iqr@bW3Rpqsj@fq7UF{ZqBn**8bg&Q!BtrqeD#^J_2FcOEhU35%fM4kV-0pS@ z$$XQj&CrdT2Fc|nwrKCkTgtB{nhlW<5f#e8a6*^@1i86cc z%n08=l9}Copf~7^HsrD_VN~D!gpA-Lx`+?y%3&rXGyGj!7`k#k7oU|hh3#p0L=fJYgk;B|AADsUtEvV=ij(I1E&9iqd5S{tCAu0!=0pZUsD(X}gf zjm=oK+xuI{V-G}PRaq|~({l&xu@X$Mt{S%AAnYq0caZ^(W};P3x>P+yL~DaJfd$!M zm=goW6h~Cu{v4aH7ndVDXn=kxmt0jFx{viK&~~=`0p>CRQ$fT$XA9h4Mq4vL+5oT# z_d=1eK~muVHlS}`D_Vy*#QZq(HB>F1)P2Fy*HJlO?Eruz_kf8P`r2S!l*Q`D_#Q5N zuQGTq8Dhu${3}G^HfMRQ(}ww?S5KMtT|Lm9Zy8<`nUvpg6WHa?KUNNVxP7F!kof~U85iqn8*Y=LW7<@=mFNE zL+Yl^Zkm`ZQ-9{!Eq+u1JEoVFCQd+`s|e@|8|(+nC0LLQ^dKE#%>>)r1A8Y!^muvH zxuc^qXP(k%_l>YR+rV4q$ESnAgQg--SuC7?-Z>3ns}HuirZ=e#H6bsXQlQN7h4^lW z1H<7_+1mZ#cUB*-%CZN_AK?3vXCdks#_@bG4PWO9D)j{Gd2iGwY^>%;g@pZV%>|Y( zUp;g+$pcXgkHtM@;Cql zAo&WQjB}=q6aPvNpvXfrrs)z|m%lh>nU~njwq}g1pOiDXrFyQ3Ix#$VvOuj_M8R>< zD4Ad>Uad#wbV3ln&8VAuR%B&lWO5IA47d-}hbx>c*K~fre6GRi%FvO>I-^8_o8MLKVDr357o zx$2&hf8|mU-aaz-@mA`AEWSbvNa_4!=)l?S_VqJhZHQ#j-(=9Hf^0lO*?oS|)^#m2 z|BYCc1?qA$;zC5UL#gM~$9spOZ@`3$2y$H|w*=>zf+P8af*DZcYC*8#)tkqeRp5}R zsi(F+P#IkU2@ry_Og~`uymLb1iIF^GVcTkAljbVJGE-rFDuicvt3aZLewFQ3#Sq6~ zWSiIJR4SVO(iw6$El$bal{pcK{2}ikDy=GxbWa}=jUJuu$(B%S2jT_1X>#d$A2s8f z_C>eP+_bvTUtLloXWM-L<^|MuQ;GUea{gRsZS22SjWmmUHdXAds(KwsOSNDORhI<6 z8mg8#ZDK;gQ~UT?XtM(=S5MPRxT#FV$Ydn|D4M33xzXDN6)J+*yta4 zuzEy`_`arZFYX|$F!-bFOY7``AjM4OugjfyvSplE-bwddkpudfeKmbIMSnphBSdq3 z6|#1{-E3f0MIQFloPd5DS50Fj>A0vMOftAvcFiH+RqcHK3A?Jaq!Z4HD0`1Vt$bNS zHimn`TTDH{IijgYyYPai6C^{Hxf<_W+o!+r*=fw6ynJ6f$z3Rf*gmgc{EJxeO`nzN2cXD3Ww&I6f==c<64FI8LCIr+A% zV9lm{3Q|18^Wbx*41yk{c+R$bP2~AzXQRwS@}U!0&l9bMQAMubw0HHLnL}nj&mM}h zq`a|j%uxvRKf3#S-rr40+>Lm>-}ntZ`Nrq0x<{~(k&`a7qURgRXZ=nbs@`24@f$mX ze>A@xxKvng*%QvKr{*>Nl~Z4E{v7YX??i6DJHKjcS(o^Iu0HUsUp2oTD)lAiA%ExH z8{*z%tG>c0iKb46eJs7+i_qf29Ltz%+s%6_oirfHChJ*W?){CaZ{?fNS~+ zat&f_5~wh+NW?f;#Dezh2g#L3r2`hg~KsQ=+o@-~wE3DoC-A!g6j;2{yO?QfvM>Yyq55>D*niV-EC^7`K z%0!**vYYfyRrQG%yHd&hvn;;nsct3%b?Sz=)^M*~yM+|nVn$A=-7HhLM>zXi!h)L9 z35;hpx{5t(alN_ZH2*D5PT1puNsbke*eo9r1yj~Xo#ZuMqBLTuYOBd7c>+Q5F?=-- z%NNj7Yin8ZrM`leZHAWArhB-UD^%$s%RR?Ug|22qI+;0k<7&H&iobSI%?g}O#bmsT z#&%o86=`RO)`dh3j9A2hCx}t@KXD`e%B5Bl8I)a(J8d~tW^_i9cgGp2U(zbPfvBv4 z9&4!ye{bH6l&pe;0EG{9XVZAG{OBKFOWjwzd3%Pe)2N}Irw{n>B&YjQ8&X3jdGJrp zzQ@AtO?-GE=gb3(9v>0E6``<{ICtIom=J=YB}?&WC2L23xc_w_CfZ2eWWt!}T(TjR6}9=uX*nEVOh5LTERRszEYq zTo1GLq;BYSU-B$%IQ+6412uuVKcD^{*s{18r#Ei2{~0+jHm3@q24y0B+D$Yv9ZeC% zX$1DsI7$05Z1(C*frNeD;FKQ%*o;$nR-=$IsHGsg2m&IDD{InTUUAU^=%SxU9%%vT z4a{gz&kJU+1#@eb`U#IQ+(u}gN}+m~0w`C8I$&k*uA)4MJz<~@_!?HJ$*18QYcvj3 zt6Rn$65L_Yun7<>I0SbI3AR}9KnNCm7k60*9xMb{+%32) z0kT1VKN#Fj10GGW|cx{Wky^K0p-{g@wTiz$C-KBEz`v126*s z7&s5n{tNhj2?iz>_Cu8Tj|d1K8ZW$igZ zK+P_;&Vl-e_CLt}@4zDdzsUX@?0<7D0EnwXbvzlfZ_Q)cap+~tESq>J2q?uM~*<>q~6+#bVu^wyz4kh>*aY0$B zk#M|NT1iUX$DdQ$ZVkV+-5THjER-k`yWG1q^7X9)jZ|XkcqH*PnIdKYtg*nMm*RmnP(F2BT}iJJpDpIF?sq^ z;otHhMhH}r|kEg@fiD&O! zP8=CQX2;rY{4AkW+$H0RKq?G92|M-=q_Vk|$NzqUd!D4I3um>>Y?)DXUn* z_I5y7zHN#QpK3?%Wz1e|S?HU$!xZA#8j^WfM?vBm0K<*$*Gu3G$-M=g#BQVJtmMRU5! zDyq%7O`eW!5&cq08pcW1Ga&uRz!2>BW~0|QLaUvlg?g$ydnRO)lb+2t<6 z8`Jew27gW7FH~&rSXH3T@>nm0nBTs8*ZeaPzq+=6t<1`bMweN(>$e=kIH3};j+Ek` z1HU`_RHxNO#>S>nxz4P0lg|1C6jfj5ipEEhYf$~Wy|F;GZoOEUlfLJ2n`qf&I^#=dJJiS5@eggh;l81x7wScS3*O z`05}1?H)jN_r%|1wI8x{Ko8;Hin_BrFQ?xHbaes-XFZl#z$3+&Q#8EgmJN2Lmn6kBILSe zA9E5z55nqSGLsAXaNh$QPp}-o`!qFk7IRL_4o%SYNoiqsVeIf{>^AQgN=gXyEB1yC zKVPR0RB)!sM|@{vjgQ~x6hIqq3X*5Ld_ z&p)m~3C23iN`{ax;9Bvm&k1hnjOqiQoH_M-!Hw!p5@jEWoZ^uQ;Oy^(l4TYpfsqH) z_>ucuOfZBUOCeqv-?hYIA2%EC88$xhyyQEZ0AE-|(!(hRto zKG!mp2>k+Ilx~i3Sw%{AJ%!0c;L_OPtC;B`aaA^xLh)stEG^GNV>h0!WU!C)=MMFc zXvpCl#!4oSXN$E5l=ln?B6*&h*NED}CiAo{(i(rp*bHg{3mYDTRZI}hF^9i`)NOKo z65_!fpQ%rGYOWX2!xJO-PgkUw7OzDpR}X6ZrGB(I_5d25X)!?bj)@nJi=9Mr+hk2JK{-e zAOAU>Zs5;LHxBl3MP^OX$qv~K(<40h^?Lx_E3{Jg9ntiSd!-qsdtnV9Ii^gNF=H&_ z-7BmCua7d8@49Hk6rjF-LymSnMF;d=IGs~&F@j7Q7-^_hPkaz2{uWXEQq2V$iEjgW zw%cF{*iv?XwNW6_W>Xdhyy31`LR$=@Uznq#M6`v6HJH8J2u}Ng*JH3F<#@Z$>nMq^ z=s=R>uwt~EJEeuw?17`RowKxBs&M_WPT6+nE-luVW&bUINO{pFY)*P&Ps}tcm=2w^ zA^1e8QfDJ68q)l3V=99)p%FKghUKPbTdSW*!i8=i6uq|^eY$$2ROl=(RX>^6)Al7Z zy79@TRq4TG*Kz5m#{ljI96G!4AL>Mpuxe_i*_SgGqebK+Hz|Fqh0r@Fx2g3Uh;eae zbif9qpK02lO$nsdG}8@(J?eIB(OkMPX5)c<XA{&_wo6qrvc^4HOja*5uP;D&?QM30(h zBp}o^6CZ6$wMN`nO%*?RxBcg$IVFFTXF~xiFSG?k8}A zBiY(P(U*DmfDc-Csl&CA3y3%=!ez`74?9bKnw!ff3 z6pn(YS`tyWv)c-@p##=P0M&?U11UZ+M}>e!SG}Mo5Gg*9qT657jdRB=?1_QM+6(cu zPNCa^b6329j4QdyyvxKq$|yP2ea>Iq_X^d_nix6znr$&hQ5-q6SM4MR`uiEoYkq8ZMV?PofdQ zR@aoP=rA4h4v|cQh3y}cy6)mvT4#R^ALC1H;X3pJchI#fhW=wz=Zo0isy4c?M!}n$uJEpd;?leH?3B$ry+2y_ zfDncAgo@HWEd@IN>kZRhOPb!bu?6&-qO1_RfkXpPq%k=UuX879q!mu5zFH3s-DL^jow>jvU` zLqpdSI)Npzjsp;vA5)iCQ|H2*k!30Z%U;3BG1H#5%~&2>KiRzR0l~BIyZ(jD=#x;~ zy_p4eUUx4$P_2{4@yL@1Pg|~XqGJ5>YXmGCt+KYPwZRn+Qb8#V(u?=QJz;yQE42kVoqa>Up#vYbU z_#BZ7l0Q4ELgIQl6&U#&EFXq2L?~XQVn4g?Lg-5c)^Zd6S;U&@_-cZ_KD`<=Y^jS{ zwU{n!l64boI1uJ&Q1%(2#!h;RUlq@39y_qCALqNWjOk;gW1)SXxqQ3-uHH=YPW*J# z0^9w`qJ{Wz46Ep)r`oOhZ=V^yZ(+ihs^Xm8-09t0SU#=LOm9;=ja7=-e z{QTbBy;`-c`%NSF99vSar%ewB4eJe+n6yWNB>krd{T_K?ml?dE-N8t^T3b7>g0>2X zByd39I?2dh9sPkyK|M2h01{Q*b?rcP!prrq-s z@|NQvTl~%43tB!HO`<_MzC^}7Kp0s`;Jf21$IF@=Qx*DWpiR8_hRvnTxB6`^i!h-L z5#Tm&6#w~W{xgiO9D6j(g|5S-Q^QPGl43<01p;l ztf~jTw)U)Nn4J*R${ z6E<#l7n15oZ$y|%!^}BvaeGZ0cK=fYQtSwPPy~KAE*#PDy?r#;gi2_dTc^jP{$(az zId5UT``v|dkKPIYtCs;q*RX7;zg^sk0Iz@s?KXQT74kKPyUJ1-I zS>qt-s`qVA-5Rng?pToL)g&*T+=l*jam9)hsjH=v!v%0wy;9mfWT}H=@dm#C4)f+w z%`V&cYmvG4)*#Nev&gJ~n25VV-f4)QS|3^F)Mm8HGeMLtYEn4%oSFyuYNnPYh0|%+ z2*_hcRmYM+A?sv2ro_BlKG~!!qKpggBUVgz;#na${Gpu84FeNI@zhiKPN|A;J@cK8 zc~@owOC~tyuGG%$t9?_+p%_uBd0x&>WIOb=TtziqsA`u^xF@F}ZZe~dj4iX6|LJu@ zV*!f_7356Asv*O{b*nW)YCeV1j+hPOV}31wVk!l%Jf9SL4{(tZ8z;H3ei_2nCs;JN zF#@zkf%L14St$fKUdz^Ke-zT~o&OQqf0~h6ylX)})eu51rX%rVp0yGGIj+!GJhpN< zjbmNszEH*+dgP5p33|sIUH|$cI;sEg@=kL&l~I0PT^QSr!;?-wQICu>;Ct27rN>l9 zKt3Aa|8g)pEZO6$rmKq}^@g;`F)|Fs=1ktMHWEePdvuZ$NSifalxEnTco+KRs5Z^e z0MQJ(z9cm2I8}pQTsIQKE&Zdw0c;_cn7}2>u$2@gboON!DLSazL|$m-;tTbNwjP-| zmAQe#E3unMmRGiE-wEcvjpL<``6re?ENGiFJtqtYSA*S)I$SS*Ui?iMZL>Rv=GmpC zXgtXk4u7#0wHN+;f!HUkKB?z~9BpYjd*ioxP$m#;wt;(l*3+G_BDVrUZ3VD~ zJM;l{hAVOtXUs$B&*_;oWe&G;zLXb_?^`ylTvSK)kg)<4v>6CaI8OKtx8_CqAV-hh;} zvq^JRHY0UME&>Xy1|flk?aivm z2|0;Ampt8GO|J{J=0{*bp*x-t_5yl|3ZMBjH(Nw>|$V4bi)S|VaYzV z7yC*no^9u9Kib9*Tb;ei#yv{>%i*q!zZp9+E8f$8-8n;3CDt)AJPp; zNPn7mo1x>_q98K;ST}z$ysyxd_?P{JqR$D5h{ zT#>raaL-U;S2uo-ZDGox2Dx0!!`wspGYJwnqC!&j5=G(|%%5?wZ|(4D3~@Ggh!!q7 zgfi7kY?%1N3treb3cYlorF)9;Qca;aGJl$5Ou%<4{kUObcY3v~vucOm+oUNv{>J44 zWa$PpWt^>2JAGI=v09D~_6%*Q_MAE5l&F7y&g*4tQaIxx-_mxdYI$TBng8ny!4BGiFKX0~nh@AH0Vy z$9y)ug6Y2+c>mhw?h)Q@OsUgoI@vA|+Z`L?Y|yC-V`4@pF9i+U1HRA31@pC_iyhZm zSWer}cISBwI$NKSA4gigFV-i@UwT*^RKK_fIJ`hK9lBwY%b_de34Zc-h_0Vc9A&FT z+6LfdMR*>*IA<#9$Z3}>egDmt$P2m?L(&DOzNUM zx{7c6tT_H!Lh5_98panZ2h|j}F;yoWfy(xf0b`)9>4oLHzF?J!JVW&F^|GyLA7_nY z`;UAx%_>YdFXlEx<2ikQAN)4zq7fepGejr3ucLpVlp!FK!!wojL&EZ{aRUuz;O6Y! z-n@TrH*AC0Viufnd^WkU{HNc;UND`{e!j*dTaOB@auIai1^u-#v***IxLT3U-lw<1Zj-}b_Q?izVBzV1^ zor)(WtS^p2$o$RaV4KFa(Eg*2O7ZM-m;ei91pah z{thsCJF6z770oI)Xq0x&hQt*|XNtXQ(&~B{k7~UK#4-+cxZfoBm%eLMV4AxT4r1ie z{%JeqxAa$%LNqIm#^v-01H-2H@zr+T;$iNhuOudk+ySZDDV*LiGOVUDTj_C%8m=l% z-J!;=oQ_S}4yBO4nx=gHLr9dN{u4Aby-A*8Gt;c%ae9Giz&*Z3JsUw#9 zzN89wS}Z<8qQqJ#ZvLtGN&I;djROt6-woHFE1v^6q{lh=B%1WyuM*lLEZO>5LgabX z)kt^7j69VLkq&#toy{=yD+U^uk3x8K((vUc->7E-r<9yCsXCwgP z^{Bi7w|9u{FZlA|LobI-BU7GDBy_&MFuyUiTkpczyCQk-QDXKrtVrE9SL2D7`j!p* zE%#xoefAV6=RjgAhEnm50~ua z<&D1PM_#>~0&NP{(CG4yq^2y2#^-^@yk&MG^N|;f62DB6aq~PF`v{o;MDfL{!c#oJ z$}g|wIym!0boJIXhhV_P$}Dg%a?5E3(e`(LTpm49FkT!iL+|sew!%rl#`mL^&s(z+ zKbP%$03vaI&!W3vPOHSg;+X+&ZW=c*qiTHn&6f?GheFw=3+QICkWg3EU)+ zgDLf1);VS~R%LNaZ_hR3m^haQ52+7}T*ySLkj5IskeHd%m0zB7%~X)Y3T3B0)po~E-&?6(Ld%t%mQ)e+ zd;=@6zq+MB`7gxp9(Ov-=ftA?*sl4^iACSNk zvJb58(Sz}+n6mDvP)$5kB)?uaS^*0YGPo2RiN%y)i%R}PN0LG)Zyo!C<@xZ?j}yxg z2{!0{C`N+K)QiNXn4rA4;3o$3K=^j6vMX4e(nK3KJGQK_K8R9vn&RON@K3BMSpAy8LXUe7BR3zBpdg?yLN@p^QX2$k?F*%GRikeg8P(w-wwGDID$f;7zF$$ka2RhCn z7RsoQ&`=JgnnQ)uzVGk-)AbMB*Y&ubm&+b!E9>A%01Nsp1*B5x+Ut|g6b#yR_1lcL z3G|HwoAd=*Tb`*JJXbe-rl9v+QU9@`zCg+F3I0D}_*7x*zrSl=?gI0CK>GLh*9PFr z9bmo@n6C%sZUeKofiDf<`3CTpM(BK_%$GV~z6O}D1!il2nQGv36)=AX`K3Ym3t#hF zv%$Cf}>11Hx86W89ITOYcxK63GMIWT=4_|mBN?Y_<8Q#Q)`2#*9Xt64J568(tjKO z{{Hz`fDm8Ce!8x;+!6Jw`{ahWVPpE0fnzSLDTbVhl))az?Q?8;!{-?g7_6Ewy%t>^1vzTRVgdy*^8-7{Mq z{cmY*Fj{lujLA%S)bFXmKg-{yN{@ajiyRCmjwai$P7iG?ElAgYi-Ji*@jE}}hffwB z6&J^RZ?9W?)v`SBY~@|Y&k^CT4}HHUM5~|Pug!e;`)jE`NPFgX&TPY_dH&@u_pU5F zsgQK>*XKU|S@^W^W3E4d^fuaRH09uUcG$OqU!cEdhKy&Q`1fc1rHjr$q}yA@zM-@9;q$>G$sr>b!+L4t;pFgciq5M; z)c%k?LWgZVKD%Dn=nCDeyKJ|1IaqX<>%Fiw?bv3}VQT#E-#;VZzx)4Rpdh6H2&v)9 z=e4CE6}AKl`Q;tycx|^be;RjFmWo-_n6Rm`J1_bZROsU(=7%-yz8(1TZgp>N1Xx(e zP8FHPj`H50ec61g|EBt^P(zL9q7ysAFn$BOSNG-?#ZZ6j`t4Fs+A`|ehVSF8n2JFu5UR!W^z>A z?ew>F@9k|@%(_a=cDgS^?#>d62B*S){cn=k3KHlH_Kn`Tp?xEC?_}`pAin^}ut@Gr z07EC969

    *r+C&G+pj}a@PQNX`&}0JKm*L{rkXLU2%dQ<*QzZ=u+|u(}%t z_X;$d$*QLd4$A{$@l2-LVZI9+(_*5f0kOC&-fiM;g#cn|m+5wxd;Uncxg&*U6!z@Q z1fb3#cZHM)2XkydYN~Gf*NM%ZwX@?LXalh%v-_-4Kq^Gn#4qOQ#kIS2Sqq=P#v_%Vlx_%3cV{hRKvy8RMfZ zdOlUWfU3~NEE&(#d`?x+s}Mm-eaJ|=KHD@hh0xuTw?QQNqV6`Ftv+>RZ zTcmyJ=%u%zhN%-AIz$Ux-mz!*kw4N^0k~cq41ybOcB}Sc7p&!!=~vl{bXNL%h{jUA` zXNO`W=p)9abLYFgt+#vyhtt9H?Ovxb3y{}_Ss9>nRl0H(friOQ9W<_1g8mTpSy?9g zX0(tAfAaK}ex#%icUF>O<=ajW5?!pB!+8hXLCH<3Ia?(p4b&qBDVIAy3Ck~1I~A%b zz&lf^j++gENt}qTwL==Y*iNGAf`yr~_zRFHQP_?Q+Q}@<9Ii%lQb=mRG5Ab{(CH=s zH??h{#zw7XV@@sR7zeyKuh}d(kO9|kB5SM7wiN7i4>wM+=RoccVaLT#Y-h2w{%5~%EF#J(gaYvE*GObi&MmnDM>>7j-j6jrydI+@S4 z;lPe&;5&2SYD@EjHA-pZwgLF|9F*Kitb@{1CTI5(2Vhqf=&W9*MlaH$T-B;ksg~-c z#W06F3Gl(WC*F2S15%t~Le<}hyDTr{bNAO*1{QyL4WQqpvdEQA5NBB8e6M;KsGe)wqhzdRhfsBujM=MlBd;}Y+|C6RP1G109b1iRwGtOQ7`T`^^-0b z;d}gt&QdA*zzFH?#2!k`-QtJOC;C8`c4jz&guN))>#0ICwD*(CIfVDZUl||AizX5q<{;EbQP{i{saRmBmQV;vuy-?h^77LZ=Az?%q9AV<-P`)wEF28hF) zbE$!|iQ{F8*{~W5)b1^63>2#J}m;eq4zr`uga#X~TP=lm) z-e&Ez<-zW(w=c82l-G}K_ArJ7Go9$yl0jIZgqTojj}0M%<=p)}RU_LqhQzL?d-ppA z8@;f-KZ(gR_U#B#5CCK?eZ9r1tG}+~7H<&I-tKLaKcz3HJHNILKA@l0>wnona`!Gx z8s>9ej(k=Vk)auXCbyc2Tn?Y# zUf2LT$%}*+Kkkxj8*k>niz%aCh+5sK9e?Y>}DLA0}vE>4L3N0FKO0{67+S)*9C zV?}VdC1>CI(Bm8W$G9CcN4C2K`?wRUkesc@?7B-3%$8;mdhpD}E^JRKA^_55lX=m( z8s~&|<~o{UQ)G(GHfL4q^u!G1DSSQhF-;C>D=oh$K_|wilzc4VZMtoI`odJW`UNk$ z#W`j>u%Iya#`VEQ-CLUFKil#@vs#G^mrDbX0(;eBIcBGv{7aLh!X^S*sCJq{yD5h{ z;ix8;p}Ng!vtJukv4oXvg3K<_7;JJm4`V0i!+m`>e_cjrTr7ba#DI6EZ+*u zMj;izI{{#VOGMIlX{PVBEzC3*QkJW*(~fd?=uzO1U@pn<8PQsSuk*AB=%GGdAkaT9&{R3PCqlsK zom2IZfT<#sL>v@42)tt)h1tt^DCx=r=;9C{tpGHbh&kkE{2LERDhA~_;G^lGclAQf zI=e6)=H)0uw~G>J0IEUsUp#`H1isrvh=1fAV%>;5vpl2Aveg;EU7xixk3u3WFLf^^2=f&mEz7`W(BMT0R_a#D z1XUniY+cJlUzZ?@h-i^mc7Y~aB!bL2ZABIMzhI({TAt#gVVMXbBXa9qD^+~nZN6QLHGjT40YJ=GDuHQX4H&9 zD1i0Jh^RA~7`mml2pT9tRJ@G&LkAC7tBe5G>qZKP z`vLShB1DG_c4MNdO3Bq^qstDEYs1-yED(tSHfESq-v@Hz%fMXy6Z`^~rR%F5H)L8h zgNRsuD=9%iKf=MX;tg(+kHI|9S?y5klwc-!vWJ{xz;Q?Cq&$Px48-#hP>#4}E?;)$ zfo3QfiD40YNtA0m*)jh^Pkuoi#ak_CkR~=PKpfRhBF1Ervjvex+Bj7r=z;)a(n^Ah z;7O;zydC(bypZb80Gy9W5^pDpL7{Xla6VG$q?MFXw=oS@p+ThLE4zKTHrCkqEX`F# zaLOqD0hwzz6gr*{xN#2}60bYjAILEzRvGF5j0*8PoT(DnQ+%`KB+6+}aDI-)*f_u}4ywU3{w6nRNk9=I|HQ5A-?|Y%YTS)X$ICcUI`e+>i9SO)Mflj@EYe89!L31?0&EJO9u137vqb zmN<{noPA2rf2E_F{_>y;i?+~3+=ms^!e@{t8J@sH(3Rv)Gw=3g#FGXgcU_&EhvOem za9;(OVlo8r5M)l*~%%-QeWIe`K(Yk5&i8tip#{OXBKX-ctBX;jm z#!BzGj7JND%HiArNSqkGd{0IIWaVKA;kJY`ozzjrrYjo+lsmz0BPAfet$d7-%j`A{ zW_r%bP)mC}@{s|;ox_CANP}KVFv86Ub}_>vz*{D&zLzqY*pGl70NLid^m&@NPTxXZ zQnr2B+h^MZuh)aQ2v8pYTjAbUUX!k-AZP|2>-`S%X5rQLwhj;jsyvAaSY*vD5PZ{N zx{J6z^4UeKVj>X^t3mfryzH*_8YMO_n9KpxCrcukd;x20^m}m0WU3g z{B^tg%$G{z@)HCggny=8giRkFFg8I(7Y?-0WUfaJZY@VFq(ckj&re7x-Np^_k$m<7 z7I@B7z$U-ZjPvxN!ygGSBQ(`n>{~*9Dv0?iM}RGCYIl1o_w4lfYZ93sc<+7Va^wce zse#m{C+}49;cgnh-YRd6Z9{Te?!J>LNgx^Bh9P;A9*<-tHQixCdCvZ})S#VxN#poZ z3uPY(q?+$SULBUnhwqDF2Gz>E1vnW`@l!4YvhKbBt#|pkcw?+;TIYRU;d=~7C^3iC z)XN0RjKcEa1XpE|yXrIMD6%Ob(_Q(}#zQQ71}8;NQgdlbZU-(Vh-=8h=tr}^@!C4WEcZ_s$E zy{C^RtZjfV+}>C9ihAqs@WsW6g^ZSSE2Fj7OAHTu$lEl0G1sxrRsG!C!KB==-|FM_ z7`a4#;F|l;yxGXuEt&M||0Oem#Dey15k)ZX|I&&nEXTfy#h;?XPeb9b>;01v-l@C1 zYGd%HiHO0Mu;-(OIv%f*ODVQAl;tq?;yrYfxXWV`G>U?563dK=WdiDZSq;pSrlD^UO?5XiH6 zVP88jqLGM>1E3vym6VHG(sq0_Zi2THG0y}t8)9Htf>OMUR5`7QqBMxlx@Vk!bklf* zXCf;|d2h^;?Zf;}LA?Da4TB{Tam*uTys)Q^i00g7oQyP2MZV%@Z|xoob`@3BD=BVMdZP;SzYhy8U42kBQPF^!q<1 z%C$7udx?YJdj?c@%%y1`#y{X;r+BjXBQiiZzVI6HQam`CqKyNitLLD7YENZ>W7Vj= zv#}CM>gEGwDyX&xZxViZ(lg2P*~(H8y8B!ZjtyEs?=w@1j3-8elc;Yblg_}>w6zw z*hA`Ppu_f5Xo~$-(1-iQ;pWU7@S+a50+2V;Ev{1EXS7lVL;XrDlf|Qh#o{J%&g6Zl zjTwj|`Sut8=)3BT$qyt`%WJaD%d&WVRWV4>!zD#Ld&TOAtr)x=fF)Cu>ZT9&DOm)g zfIH&aSr+P-gPHGigMIIU-67*iQQV#P-qv4%@pes|wTtzQOgm8kl?+Q3p}GLv>z{zE z1ZjkC>Ai?-yrlV83b}TDyM6)#^?72`C2BxkLNj8^%|C~Yo&2Q%S)p}QZRv%OZ zt^xt~kALpjpH;Zo_TDp|4u9r-3bN2&#d{jhzMAA3LhEoo@@kjP=WttLRv5>|Ai_d$ zO{-J3utQQV(<+eRsC#Cv-8q9Rd;*L7?T(at|0P0HUgyjWt-dp~*)i}56EyuIn`pb_ zEB_gNMS62Hh@;VS-cQUv$s@F8=7Tyi@P?Z2%z03U3_XJ@4TTT!mG+2wMzJWR;MJ3& zQr8-P7<07emJ;b!?`_hUH&NA;~8xRD!ccKW6XHWaS%`W*B|pGK0H#o7o!sGSyO zR;AZpXaV2I(P+8FRdEyn5UeGI#nHQg6rha`GsCKrooT}6{gifD0!m|YFB1|*i^N{> zZU6osFXVO9U+rZnx(9XLTH1Txi$rvoi6X_ea0zFfSlNGx^tI z#LeWla!zWo_sY9>G*jIua2Z-{!ovylr~@10nG}m`YC(f#!G5OZP<0NNcdM(olrI0w zNXG(VQRUEIjWMnJ8OdSQmDj1?Y?771CfUwhJv?pw7$bnRmzsTk|;k<#1r`a4-LTRn?{ zSs~Vx1?*(_Vp*K;Jk(1--6e7=&GPXOvJe}U0VzJ>aMlcJ9pt9LEx?WL8nvCT?Bdi$A~oEvB2-ybV}F_T~l3RGv% zy|9`AwiPFp&@60W3~MWiq0~c9v;C}>@ePZW(`Q{JXrUqsI|GhY;cdiWK`B_k<;F*C z`4eo*^LcZuzDrVwVOD07Q|&Rw_xRfz zC#n?ImTSIwOgXg9U?PktnvVrg&0>Cf1h0Ctwn%{eS0mlQhdC?52m-KdBzTw3)P-jO zfF4<3;71T>b|Yb$u5^vEDkAp#^rW4iefB|$uHwUN*R|B6D0xjs%_Jd{8c9rdbk$Ws z(=})-c$Uvdl?|t@O-nWys$q_Wc?jAR=%P$jYlXDR!3dKPZsb4~rL zRiDjw@0Ojq)|E?y1&pAte}|^DIO%8@w^4{w`xGYm73UCpDAQCtdy|cm(r2cNjbIT= zvqI>rwzS=rUvqz1&rh|?$_eo!ZJ(3Qv7#;h^lDHb*lEQt+r7xIjy(v=WyHpvHq5^U z!HS<8p=zD<3O=I!g$dtfJ{2YUbZg(q)DnzHpxkHX3jTB#Z;h`=lxt0YAH%B?aUuTo z2AyK_itA}&W>Mf83sb>Fvz?&?MRK1qts*OSH1(2c@!=`4OuF4GbPE@KI7f5jk ztki@U=vw)+5ZFKpgeZn+hphW;-E~_J;yPr%9{em#1kxR$_m}_5ylGL&3bV;zW3q1L z@3W17?x4J&u+xeWRiRR7)}{{C9LBABe|x08uua=0IKxvzTYXjq9_Vpr^M2Q5Ck2B# z_jvp6j8AWMBWnjW?uEcqDD9iin*f@-udp=ATzA6{hA6nu*y@DxvY>n_ZcDIi!it-E zkHNQN4cRy23}Q(ASM2Fw1wmT&F6ON?7%(WgsyqkCH`IQ$_iU?`UP%}~FfktkcQJ>@F1{$mcF56D=eODE`$0Y`lc35QElh4-AX;p{)cP9+7)2?#j~Vx!T9P9{5Q5xkMMLJHLQB@-3JOflVg zaQM(9i{R)jJMURCSgdF1meE9G+Y)Ic@@I>nip$rLkoU`~t|k)ldpV<1mD=*{_zYl% zq!R2|I{vfq3?t2_MSJ84#ieQdZ$Dc{AnLM@@KEC`sc$Ii&dsISESUfh72f70%8XR= z=-)CzZ5)&dHIIq{&Tk-@=#=yw}o+Gu%{TiajQn z+@ZQ4fY%5oC(TZq<1P>v=~8yGvcBaJ)#1iHHSI!#y~xotK-XrDIkVSJ23I4=I`wI6 z%JzTvwt>F^??W&Zfd32InMw4HzER&Cw|BO7p$KDg(6@t=AjLqFys~}QM;-|eIYMd3 z*I|Ndv`m492>)e^92o09Ymcj8z-oRIaVKyOIG>Nt&m(!j8hI-Xz zo9M6QwdiJnp&|4-%uZ5+GUvvLpsb)mKO4Z>?%X8yv1Ju7s zQfDI?lft;#BMqmMm&@zm@s%}*T^SC#ZmgVmb7Z&Rw3CMWk?)$Tpg)6Y9HX*}7c@uP zFcI)}+!7z@O-a$19=X7O<(+$EWAe|;zmC=F=nE?tL45#3Cj)OxPVpck;u-1d7|TdH z{2b$4tjQ_nD$qiJgT-3PY`_kxaIRm@+&}Up9l~~E z!s%ZXPw-&4zP5T4=i4>-(Tub&1?YOKU5j_@V>95gZ|!xT$RA{KG%y_BmehWtARY7k z(`Dlm{50LM_ALP^heT-`JjiPZ*iJw(dIB?c@3`ReJbt-A>dG{pzs>QbIoO=nyYj(e zdmS`JU}9bGU>9@KCelH*lcV4c4_E?|!Of1ezDxV@kf3G-^$wHp)lOQVlgKK?{S(M560s-6+OOW}jwnT&VZPG@Hu<&a zFyL?q+f_Gr$$94v?-rB!C^((qeDoyRqPBG_8P;;y_;93i^jM}N(aCJ*!;{gr2cI}c zcXp~qKlaJ6nO{#^0$idsUc^?h5BTqjt+h&g;(S1(JJP)S@ER=nn#-a3lzYp#xBH%c zp6-s;>3YN4eL&z63sBf$T@fp|PuIE*$35W?oKM-xNQuIfNOF5xz3^y#cO%h3t*|jx zV1J3w<*4$6y8>D3pkB6pNq^$dsM4vB$|*^GdC(W4Ey>a>ZBr=YR103x!yF$Rf|@NT zMo}Ef@4Yae%hJq%Qga-(1@;^yK#TgaY;$@J*Kr)GdJgvOs{h2=(P`qa(sR&#PeWv* zo9I6(?$iu*;=grV&vpn*+Uw_=lG~Gegy)=z>Ac;lc z!8M{ebq;-Qj=j>_6n`uGGllLgeOb!2kV8nzBN^_m9383t&$VeO`)Tm358VSR9sls` z?_Ah?g>cBdvu1^T84r&t6`rVnWtRi!h}o{c0Z!(M$KEQ4Q4%h&6dnt3l*~Qt>LEHv zi<6O@ST1ZR^|iS>#1_m95OVxQuieIMLR>i#LO-n#Hsj#6R|gIa_1cR?0z3Z?MZyBJ literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.jpg b/cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ff4054a6ea969b3df28520da78e54f2c7b276e3f GIT binary patch literal 9908 zcma)ibzGCt_y0pT(jbikqy;3T5s?n*7^QR!7%3tOC?GMqQyNCYMyGU#^fpAKOA*1K ze)E&x-|O}L<9oI}cdvV&=XLMS&OPUz_xs!|->d^vT56hV01hqyIACAE%^^Uo>TmBJ z1mFPp002m1yN&_MhYnuP907-3Y!A*23U~_;5&RYGm57vxkcgC=goucQoRW-;oQ#Z; zn*1L^O-V&fO-)5fPtV9mPtWmJK>raOVq#)S3Q86l8WwgYIwto24E$fe-1Gv}#DE&^ z2_6nLfJ=>oM~!nc0Mr8jE-oGp4tAaY5?nk02cLkDh!_XJ_G9JW1K3}Ji}!bg3c$g` z!zIAQ!y_gjCc_Tn0JwP6_yjbBTw(^aL=W#gRiT55gTe|8pZRdp6DKwF%`)77WMuD) z;E^tF>X%UUlk}HjdVpO84lX_(AwB^CfcK9{aB!*dxWs7i9~uxmg@)Y`r%h`3O!uv@ zPla&S2Xvo4T$Sf$6(GmMPDhPL4JZL;@VZI2U`Iq5SG7Q5R^pV_tk8(tDtAMTwHQ~N z+Zwm%DnhkBz2Y$(ms3@l8c+=_A&=0YGj2#t!Ho$EHv~v^9O*f6GynlL5)FV~6sW{; z&VGb5N>0R&-2Bf~Fpc1dhq*DP>mFZOh31ymhfQE7} zmni;QoW~V__LESWd2*V0fTx0qr!vg)34yEfIE4-w`C~w;L5$MjrIAKq0M#PWgoL%Xh9rxeOf}kmnV}+GA7%3r4 z4FXKxQW@hAsN4bG;c^m({mR9&Oc|cFM4HI45;Ez;r~?@PXE10 zeGlHUP+u9iY7?(y5l?~_4?@G0za7%zCZNj1Rk0%>#(k&U#|043;=a?sDIzo=23#^nRdJqH zhC-gYr^!cOiW@_g9}!tNY!|^S>j-Z2A+gxtK4Ff zWX4>RWU5>+GF6RUhIbDmTppKkhQI%>CjY6|g-xHMmTIX1S%NH>rpaFKF&59`$#siGo?|sV5=pV z4rhFE^0__tg29%g%17#dX_tzK+T?eQzDINFfMkRrt-$wd6r0CIRV53n0ix?G6sN|8 zo;$g@h3KxTfXOVoMaa&@bCIoBj(5_g6B6;CPtUb`eJJPaD7Q|#Mp<_RwTj5hs z$hQ^GPj9X{;^J!{2emqRqeP>uA?a@A6aL;7HjOo(Et?Vok+57zQ|nJgtrax_g{+U~ zDcwS|ql}3|-;9(IBoj%5);xkMtCC0b!xIJGpOBi5Rn*Yf8HS}k0q8*l8o1a-2uHxY zmk(fl|1ZngB7c^PH5kL82&~2Mi(>aA$z~$f7RJ`Y=v+bt!-1mAG36Ky9R9d>xXhf& zsY4_>r2GH@9{#K-0bsxfXey5>)9;79UC?-BNrjiapu*l;Nh4Wf{1*G+nqZ~pqI5%W z!PYaQ8mu(!Gff+4+2;^gds^gf;);EqJdAXUw$DbY#$nCL4!aLc-_jH^(Efkhu;|f4 zUl_2VxrwV=8jBBOR~L1tW{8a2+*8m$th*I7Z`D~pp0#U^GP=!7R#BE$6BecFrIf%a z5s+Zov~gTD-n@}#bN8jSm^gQ5SKG@6^4ee)MCkIW5+zd<wo7J_N6p*2sBK?^m_@XkubaDv^0<2N@E4UDmC3F143=_UziXVw;B1`p|SQKgl1_ zv#M5>tmk=Z<(luUjP{?Ho()P>>0P>pp(vB?l8hN@J{qb%S}F?qr^gsDdF5_|5-t^^ zcxwE1ie)U={eD5e5xraw&XA_iFyRytZ^{CqO8wqMmrc$qhMjF2`FL3AGAhrZGl)YG z)oEcf$g|Lz2_E|>S-qOgQMk7IN$Y`Ws^FfDAYpJF{Ts!aRh9&zj&D%(#-nEr5D#Aq zZ^{;Lj?MAMgaxypFtVN6)wH%b^@(GTF?1y;Y3!FAZ3-Idu#vOZPzMdUN+BRaKbX6F ztCi!_@5iq|@EVdCDhoNgJ;ZEt?~Q`i*wo^OML`z690CM6bw3$lIDAr;pp?lXJQ)w-5114t1+_b?-pq|l&2KDx}Pv>Q#(3jV;UcvHZg^M zW!a7fb5M98_XE{-aEAn+>vG^PP-7H3SDE!2hG(K)@KAJTb=hRXrmFCg*P^5mEw!Vl zdMB?^cNQ1aD8)oir^ZDSCb%z||K1e4sg#WjEWFA(?pt96H8}+M;8LWU{LV zrl~m4=wmT6eMR|HSDSvnOJo02_Te7qY?RwpSxaznQo5Ol{j#>z+#M)B^#E1t(LHgA zboI$h*l?@u=eVnb?-}tO3H*4VHvfS#Q^dL3;)rzxrF@u8Y9WWefVY_Lo&xRf=ihaH zqh!us1#F#e9`={lw`Og9*Y(uzmX;aFkd8XUIJ#{Z_B}ct*$LxSz1gN+?oVN^Sd;@#WXD z7;u{{CtE*!F5rNhG^Oyzy1)yO*u^gaixt%dVi2vkqi=z~^+CU-z$m%4&#S=(tGAx1 z53_BZxtFdI-?G=Jc@TrMdp7PPumG3b&e)96Ydlo1zxQC7MoXE5AK=mG;{!+&@hh3I zr;b#hgc=9nSNt|@rgCmEM_%0A#9lroKHM053aqmpQ>F`}!-l0HElyG{fP^HJ zo0otp5m$u}hd-3J0?;Bf1WL$5c|+|;-r1`>V;z`@YK)nQtcR;G&kx9ShL>=`1mK)~ zy2QS$l_c>`EsM<0>ER9uPb~-19fnL#92$-UU6N%=-J+Qnim0AiV&BxR?>H;|M{p-8 ziy@w9dLa2`zM{=X)Fh=yK+MNaT{?NJdv}+p1(0XfB~i@oX?wZ-HCS*emiYRV6rBYQbwt#ThgU{ z$*i7hjel~hXF_xu^!yrE6=ZuDqGBuejB8ZHEpxuNJk~)SN+27JeOmYM_oCRpfD^v+ zKD=;R?7v0!6#cs+V~}V4=NxbL?bTbL@5s(5nl6)eSf(z|ZaK`ydJD4${h3>K;6^60 zrivQc820hZRWrM%u*k4FX5N^B(b&6<_A?IpNqg)*>QXL|HXgGHx*NLy&oV41e(!1Q z>@@!wzibonvF8u-Erw@>=%9|5YKG1s3=d?F$yE53M)uu;e$5atLfo^%{meMBZUDE@ zz*9Ndt{o>-u35IZ@!Gny$XeTXUL$`eFHkcg?2~rPyKt**b&cYqq24dMq;s1_9Ryn7 zsGKJ9J3Y2|DZa=Kwi3ug>AP{AQ0Mkx5DzSQ$Za@uJO6!5#G#6trl!Mmf@`@NP2t~@ z(tx*ZcK!;a=o#~vfQo%QjK~&q2A|_@+L;!;0U~=~Ex&B9D{p{=qmM0G!TvWu_4U-N z*u8eIw&KaX^%qxi=#L5IQD2fOPf~L#bKuL6hw#szewHbAz40=r>(SG_Jlh)d_e_-t znu2Y#9CbM_z-+knGoK<|qMhZskHi=|+(BMBz7}>DL5gs7?^z~Sk&qBF*NT^Bx{>o~ z_wQJ6NW8w6v8;Uyq)w@&U}V)N^dKi%p{oszL!?i|#{F=THN{~!>0P6s=Ept4&wnZUjNe9Z2ff7{+B3d=pVwKFN^h z0FyoybBww*XfyQ3Nm7%=-la06o*BL4N8OWgL|?A&Mc-?tuY7G=_pRlXOw$`$rpRDT z$Jo1UZeM)#iUkwI*+0z5hJ1QF+#Q!TY@YI{(YdAIc)}ksxL}VVMUQ~Qus2V_=rok+pM>%yhNWi4 zXpr)V@?Aa=hbq(g`zz!6`|IGywwD2U)`kG_>nCC1?lydYmYsp}7#x7VcW~7ucGt2K zD-|PLEyG(eQH4QFut$&*uE`pDE^>$w)lfLrW7rAIQEf}q81f|8S*j)-5ym=1v-X-M z(Td+T>D07eMXJKwW@$u)slrKT!b!rOiZNtjEfVO6eFx!8-{0EcHScTemV2^qQT>*@iOcYwP~-+ zLYfinX6(c}KKW0^ZL}fbtH0no$L1VzBCH&2Rn~*;Ka7keXzke>?9;p(TM{(h z7I39^pukS87wCK~IUYUzRW1PpsTLbKW*zVu4;B=zvG5#1C|j}qX6t(spFRUMUqc3L z7W2a&n~^S@JBDHE5nc470c@2ADK2xItjU3)GSdX`r1qo&EeLxW00Kyvd z2PQkmjyz7J=30g}WKx~fs8*i@GD-(q& zN-yd@!F|k#T&llujDAlz+4aJKh%2HvEH-Ki4>oNzaD2UkrfHvABsA`RQ2JRY>WAeb z&FuLlBiNut!SDma=cwT6p_qK0PpIGP`3yB1m*TdxuI!5#uo86HYWZ{NC{uMNil6zG zV+JLD>)2!0(#_-t{O2Wwx2^ix4oX>$<5^9KW6g7Z z{&g^&3EQ}4J4E6QrhAm^5wF~WpGxrJnbY5~=nvBO*>1jOZmnSZwK>eQzOjt6xgpz* zaNYWyQT4G}W%F{nKWi3&WJxJ0h`PTs!YuL?1exF9k`LAO=Pf5Z2y9p1tAYs{?RRIb z*Qev`Og9eMZ6eS-6)rB9`!DqB2M?o?j@h69tMS-@ZrAvKG zGWwH7cjPfK{l`pD?}umUVx3JO|JxhWTB>kYo}b1xq3L-ovf5-acbC963ry>HB$R<1K2M-&d6JrM zYTo2t9rl7 zJ1wBkyKkeJE~+JeNH;mGCkt8#mpM$^9WETgCMkv2pNF<@`H1ZMTih=Bk^ehqRSH%2 z^kH~UT>ZE`o&fl3jOh^O7H~P?eLc7{bR50lE9F-4`*aZH<#&{D*M11h5yVy|{2Pb+ zD$CmQkyDb1zw`YsI@-%dQ=-d8{`lzV`vLkICb~{s(%B!Vgd={cfb4>wn9uBh$uasch;rzhD`@4-te*97!3?2`nYrczjWR zq&g-3bByKLFpqz9@<$NV*X4*dM6w5plz)h?l(A`Z{^{2hD!mxm$|F=Iz@+V+QrO<> zDa3HlnEsPMCQ*5PJd@kiq2a+|*kPb0GrM-w>$zh{9xqII&2VI-y*+Y@5v^~7hWMh1 zXw_n}?n4C+ zutJ1KpyDq0?Qe0{=+5|%4Q)O&+1NWjn9;c2&dbPP2pja-^)ECb_|_E}PwegDn!4+V zTiQuG^Px;~a^BRtd*j6}tn?T!k6FMa*4sBZW}~kiloC4*Rx=MiY8;cLHr&gBm;y4% z>wmm$wQhhojJ~$_!nnccPi@5hp;yE2+>mZCwPkZSA(Xcs7bSYJW&nEUl}14;@C@$PhIn6kIYQT=?x zJ8tNER`nt+a)GB?_fD4O?1Qpe8Jhe0%FKwU&3x!wpw=vqyz!5-1Qw)bbS4%lLY5MV zZcD&+M}@q~&{hkPnz5sSgU}csC7-ew{HzY<#B+`&- z5w2ptjEENU#lm|svBta#RmPf$y~t>xV3k#FnA-x4WR)scm?hQ2|BY z=co7y+Z(Q6=l$(Y*O}S<9n(<$9L;Bb5QyH^@(??`K5C*i*i45_MiO0x?m3{u`8HZeHw6-zL>1j zH8{}Hrm2?_c(2>+!FLb)1bQtq5nV+Kp5&viqG(U+t)dvwt=|3f=BdVEv}R6QW8~WT z`o05oLviyh=GL&mou#6m=O`w))+<+`s{Z{Txyj2i1TWfb=ZEapZvNAMqlbS17bw{& z7HvW5JTk8&Neg-kNoo$MnTI6vTUwsWM}wJnM?3sIz8aeIAL(!9Fo zMW1&twnKV9C9oZK=r?C|@I$U1*lbcK@gvGKCYbS4j(NXJi~Gb+gXG~X=N&@R^dE-! z0_J`1S;KXR-`k~z(j<-<0(1ZY7J^O}B?XB1uwcIP{ceX=r?no($~)K?=T5w1_~F6J z7b3^mML($)(o0Y8?3Cs%#d`nBDPUffDNSmtZIdA~Ce>Q8;lR|X5uW~b-R)BBI=UDA;T3-*Qq%_mf8uH%p$UEAvtH%(ljDc*#dW8QV*+P- z0c3Avn}zZe!@|Ob1V^+W8bZ1{pRwN70@Gb4QWacM#e`u1!&FdUCqn!?#j}D{L;kc z)P63!*_U185ZOG}e8+~Pi0#ug(Vi_wq|zSaod09%cuXy+ZQ-Q*RWfbEu>F`^x||9{ zvCsWeO_;n#^5 zRNO8Q=JR8j7EF5MeI#XRHSV#}7;s{vPM%=TA$3czS zJ$=bMTXw(o$GeOB12qe=*lau5J1%4k)44=5!Xnu@m=IkJ8%oTknzRhTJTISXVSeGi zRQB(u7>mJGR6mEn_Y*PRpDlO|<&C}Dz7IycZ%?;872)X~`gU;cm!HzoLQ&j()dL-i zM*k?iQh%X4ttWAKUuwNB(I-^sqU_?U8eifNYH$e8s#Q$T>Vbn~P>D}CGR7J7X~zkb+*Vm~{T^>heI$Mmd8 zL}?Q(yR{vnwQQV3d@)t4tSr5YX|}HO)raL>Hvo58ZIHKxciCNnN~U)suFI6rbd*&arwQp4#q~}PgG#@SQ z4>^m`F5dv}L=HXC%S}S#(pD1bZ+ht2uegv4Hh!$rJm8j=yqp-%52+!{><_%3bA~4; zm({Lj1$s<+QrrNkAHdsQ)zz;eS}0qPxx+unJwCGeOJ>LJZxFHDRAo;bE$_{A9S!*| zE(-Q2hD2z}SVOC{-UQTcPb_Z)>WQ3h=%g-_5ZAZnW{e!^CMS*jSy*M`U{AZCo)1W` zo%Z6ZVZRc{AaDG&&QP{dI;>gz5?nOxs@{WY^}?=Mrt7DgV@(ZtyBzOYw(GYo+sEkI zmEdW_dEwEPWl&>{`>&9a2VKnj`<{W3zGAPhY1;;ECS!B>E?ylx_07hs9Z*TITsJT0n793CfL~$!BDuq?1O10| z4#7ci%5qSG&W{#g^{w(h_0G&krM!twK|WMw_K7YeM-1$djIqH-t^rgTm)x0f-{Y{{x5cbLq){c z5n!tQ-0={0b_(jXc%UW3w8S`muWB<8q^JD)#b?Y)hwnH;G5gDxa{6HVp2$_yM`m;e zyT(+k-4QC}17;H6NBz}M18nX)2nR-;;5=9y!|;JjSD={GAn=uw_xWP zjYovv3p!AzkPiK`&Od2Cf)%ox;inIz!SLT)d%v$JVS%~-q%i&+Ux}!Cq!b!g!ziAW z@H*U2Mre!UVu@uKmRN@Uuf%esO5%TsWjhiLyEH7Zj3c0oo7nr8SO!=VCxjw$?%Da> zJKM~4RDa@f8rws=g0|8x0_Ow=7lSK=%lx3)*RyZJE~|FAF5~xO;Bh65kZ19ME$Zqb zGmQzJ!!1HtKhDMK8ybcuh}iTb{oOWnH3i2K4BCFOU!3Y82NqD4e&3{+;*6Pct;@tk zt+DuJjI?6JswJO*GLDaD3r&}gQPAl89CcA)AqK3a&|li*HrHR-u1g$PNHi0H`uHOE zyr#9}U?Mg>b<&h<_zOj9lmP1R&1R^))a_dG#E#ZsHUEYWWnl}fLA9{2R%sV{s0c&> zJ+u75M5eice--WPdV+<^rCBrvtSxhCwmPw^9lFU@oJoIX=3F<$Pz?D%V=!*){wD_P zhjE)+TSYNlH9@$g#9aK2Df89Y^n+NDa-U7M{V9tB110%88?6|j$SN%|JUJfMv*zP) z#5^(g%jY86(h{25Nj@fOBA@ynh7C#I#_=t3|HQeZ;tq<%jNCG8m%do>tnElHwH80@ z%?X&Nr;bQRd2ii2{L1Uw*O)JtiJ($AQK*Bs-6BQ0Ble9NJiTLVH{&yGS-bD#mGTyF z-JXO`O#Wc3%MK2z!PE-II-i$5y)v7bD|BtxZ$hC`Y2u27=F<$Mg(_uJ<+-s#&fI6kAKi(ALW)wCzI7V`%wM7I$hC*~bFWKX_d47Y2yZ3eVC1`m?uZj}2j zc-nNaB$p>=8;(8!Ir1SVR_&lryOSpyknuRtcJ=!f_=^^7f^9gb^0koj@8F!;%}NU+ zkwN{LISi6sRSx8Smi`YtO8lw~miEJ{(a=P#cG$B&q5m~;XzLIMs4s|MA!%@Glc>_V zBfnT~>1xl&^tNMIt}x%QCd>=@9?{P#?>PMiyh)K98L95(&HU)O@d6~U$}0cMr#^l1 z4$&_Lf+Xttc%=PKV3Y&rD5g6&%i|$~*tB2)t0di}6uWk@@8XUR>a9I7{~rWgCNPDf zU9Yw_GTpjE3j7>xeOu&k&qdX&x$dQiN7yV(Ve!nfmTWG{02W_>kI+3B5-;wb?Ef5{ zg4lv?*UReYEj0FjajHkUUIu2}P1-Ta|ITm5(eNBRRp|@$w@PRBDWf}<6<#qgmL#%U zo%mYbn!NtyBGSl?_j$`b-ck&FuDoKS3yWDF2^8P*NnI+RJP`+*p!C$ffLaQB+8gs# zwqtJ>=My%D5~*&tg{R63%yeSv4D&Me1QO83{zr9ZlK-%nwoCb-5jHPxf#DeWmkhxa zm*-28!{W7;M#fGy;+S)$T_FyMC0xLArIrJo8k2JT`X8=5{=oaIm2VO3xe&E?WZEO% zs@z5-CoZK9uKTP3g?$PhSA+#bVhZ%mW^ zy#L0L^r(elf3~QDyCRQzYUg`WbcoduJDOV5WKRB%=!@j;*TMduI?7}~bE_*Q!4sX7 zxEdv%WFK+h?3`nPN(bc<7Xl-vMYr!xw)@H3VBYCJ5q-P<_5vwO~|{*lz;DUl0p-3*}qj3U{9s6--bC z6gSBmDn*6dc0)PXUhqacX}i_XD7^h){B~HPqo|OA07Fk~Pu4D=!a8Y9&vpNf><`O3 z7Kvj&{t|m8F43&3H3lG*37l%%1Y0hUV*=;)bwjE!F}zFqn=?Pbr~hZM47T0`kCBIG zBEYbH4kWRvmo9FQ|HJeqF#wb}i%esb%Wk;RsCg;^nXw4l2`z#TvPMZ$w p082_g-U-H*56zj&j(lCy@|1}A{&K4AMS1#v{_B71pXg@g{{eX>QNsWL literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/xc9500xv_logo.jpg b/cpld/XC95144XL/MXSE_html/fit/xc9500xv_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..90ddb3616f5fd1c5a93a4247b6649aa996dcb136 GIT binary patch literal 9336 zcma)icQ{;M*Y@b5ccS+a(Tx(lcM=hTAbJ^t(Q70mg6Q3-5iMGfF@r&(cY>&+lM!Wz zV6=#Le$V&3@AJLa_5Ja!v*%pr+UxAoX03g%bKmE7;dUKBW2kGO3&6t%09*NY>`HJhoyF~$B14xMeG2EJjjD(nkjN%Rn$sGzR za&iiCaw=Mie;F+m4J|D#4HY9JGczM2*FVPhKZZw2N=ijZ#YRWR#>v9K!udar|KE+< zuK-$7fG++q0Uj*?pB9gR7VowX&;kJ9;}hWF;jZ)FhED*%BP1dwA;kmW`f>K(4&0sK z6a3?$0pJl3;1lAuiAd@HapM8-31|t4=!kiw=t(pl@j6OtGC*FvXCyUu^5YjT1 zfgdK29wVdW9PqhUR@)*Fs1qc|EYG4qiyMj$AS5ItASNdG*O~C}X$g3w=m<3)(GxjB zc%?TOh=09GZ_<=`-#z8e#~7ofEpWR8pup{n+mRNa1~{wvH13a`o6cwLsUfqb*lwV| zA6H+K53H@M&SXW@@PlnMU5uUz)R4w#6RK;+q?jQ;jF44ah0&v3W`NoyF)e+4ReLVPIS(6H5|aOG5fsY9i#$s2mdj7H`~a z!n34bb9n7E$eRL!>ldp&$$(_`v2O^Oxs%2#>kRc7j8)6M=379;iE^Nu7@IZaQiK&2*G z3^yT$akY>K9r9>CI@1!;Q@qzzrB;SU*t3acf-4D_22s74 znN^u1iJmYRyX(xRYg)LqdUn0SdcBP&(|8AGMT_aG57{&dWI&Nupc~opMWcJ%*J#>C z|Ds0a$?>ykEN{SF9~zH;{nb>wwI|OyTVR#CjWsdZ_6{iL`jVg^KIcTa+|EO8U_E|$ zhUL<(T%m@uOnjK@c{FAnnREXI_lm9Lw9?zjDG7qjsp%+^Tt>`3Hh+-K>4lkQ*P;wN zIN|a^GGaLr?#z0CLE~XVgOLVEZ?t=wXpN=Tlw+W`>M_Yr;<(Hgb1;Olt(^Z624t}N z&TUPie|+r)4|mM(r{0q2z1fspV;)Q8!-alaAnjL= zI=U{r5x(6wYe^~f;Qa>UA_J0c_9!N=s=gpUYo8g`3wPS|h4mE}~Ig3iv4b5l75S5o)sOst2OTX5}Ci5@K3*p9MH{7VTcbF zHgFf#a-A!|#g*0)eI7q~t=;>Qi}add(PCy$`gECzni+m9w_q$w32%ZuPhlxIK<{ud zD;)Em+~Y8b`9JYX5MT3WUIGjjNw2$CTG1O~26hX$@N%jO*<*Lf81wU9_xDr!PYY9W${>`IVzi>#Vf=cBGHzj|S-=}DFi ziQv$|J5jbUc~0t(^{uwPxW1vK1$6T|htIlNTTUXST817z^CWl{9MWGRv%CvOI!)+_ zE*zMeLHaWjeRBpn`_Hk)3=)1@`h_ZAZvpQ{dly$eb>=lF+da4$IIA)~F1Zt)on2J_ zrp{9CksP@P3tt=)r5H#L03a`rk;xCsg)IdruhL$;YF=Kjt~(DV5eNfOn0hm(cgrRv zS6NoO-uIk23b%W7DLQ*l3BPhGH)tiZwdGN~w`l8*-w z*sywdgAFL8UGc7~KU(E=%Q&wPjRw00d8$R!!|CM`IVX9}jc7NS^G_r84-S>fDN=sS z)8*UDOx4=*1eYrOCJMK#h_i=%M9Rr=z0ZQ$``8+XU4?Hl`~sugn8g3yI7! zey%higMus-dO$KveaJ|TzmHpQ#&fU}hoQm6--Bbnr5_K5McM2I#}F(`i<^s2@}O9{U)DNf5@H>|_abYHY#a5&ChHto4{K~!j%ZOu$D%0wW04P3F z!UHyIO<+{!}X!ZP+6tthBSEVr%41~cLho>tJu zs1CfBVYi{&SnC|5xX$4C7>{5%V2>H`uIL@DbeZn_HYmee?c3F>p@q>kBeD)r!tx5s z&HElhTpKu&uWtd0Swn`}^K=HJ?L`ycLObhZH=|yWxqyUg$V?x| za=bW7w#+u}iaeq|m|p{5fBGx(_sdL`Y1ZlfE#S`e@*n1z2;YxWXHi|$4yaDkVm05l znC=XHGVGJ(x9 zEk6KxI71r&ZGT+H-`uWTFduMaxN8?Bn%AYiuu)0rzr>)^E|1ZF+t zy43#`aOKu}ntyX==oYY3g6fO5MMb>51$dyWBh6HwGj1iYJ3FO9g}%AveXRZC@v%yS8y!l`j)!ie&EUt zXu#&|@iD5Ab$KU@bZzuBaR!AB9ef22C)v2V1(1iJ3KXQI;@x36Pn#ig4f6bZ(yb>v z2V#7qE5Dvaoq^eeMCX%KWE+^?ivSqPzgxV7mIJ)f?$l)7pA`WFS;VY3$R;7YPRv~u z6pizJX3^y%Ktm0m8eQu$bFv^hNje(GY7ODEmo=}6=xDr*v(g@kyy5bqR45hRJ5j$g zi_ZJ^FAb0Sgj5nbp9nB?)FG}Zy;m*kX-Ybm)txMohO+2Bs40go)x;>)l%{|^aC5+{ zv{Y$LOlBTgYm8#D=u=#2NN!k;jn#o^nm!jb%xb>-r1P;*3+N88R^Q;2=Rd%%A=#KO z_HSsvBtK?a42YUQ!kdy*7V4gvwu|jlyqf8pxMCC5aLo+JjTPxc8s!P3X`DyBmR3kx zSh@x!@T6J%Vh}SC+-|@M9xW&(=ha0j0dv}vG<~)+K&?K9vXf=rc*J}K9)F;~>-tbNtqNn~64Va;`?H^iBJD@L#~`c1GR6wRm@35A3uwG+_qfs2$i24un5m8w~!+>M%c41bMUFDm2Ww@2# z{nY2qZ`EB_QIk0)8iP7B>_JM`?vMA>L)7BjzW_TzEPrHIJzh_MrWXEYZjI)DMO{H> z&dp2k_PqnNvq@Hv^7)fHxs)p^qfpd^*Jf$R{&0#>cYhzE%<~6U!U_d@?E3fP z&8knz%#&aTrjix(rN; zh>5dsQH%jWP%Gs*Cy2y@Gw6g+!3eU7DmvWlxCq&=1)+R%f>H$u^7+Lnc}z6qWhJvt zKxZcPkL}-rXAH-8N@B^;p^Elr*rgpNzw1ob#gWD1A~v7w5A2t$3m)JK4-fvlQ4cd! zd!rZgF9Mj3P4>5E4{PnFIuJfX%Tv$&0Uj_#4!O*q2&mHMIqC;0VhrM}U961ZXjpx1 zp2*mk^f_a3^qntWUQrPJy`0E>P=>s3bi+){V|EpNbVZdA@% z2pf_35dJ$~!3@HKB!rNIA8DZ#(uN>roBQ1s%jFish-!wCDa zLyD+5)+@HfWXV~J%$KCb zQD=gCw)=^e11r>kKgNDHKX&GQbxt_Jl`_;NN_Oyb(}lxeW!I+j^d+aYG&-}X>^Y2@ zhz$9{ujy$n4a0!-PO2?ea05j7_|L+$pulbc8_3}(66@Zo)IOlkm!`%MGqBRwG=+Ky zH{fD>69tC&PCIPq3?p4Yb>^RsbFz~rT*t68T&+mg718mIYqnu5_R;1VI-epn-s^11 zc&DYJ#iya*;@3x2a#QpysbZv>zL#5@ZG_^(E>yO*@6V)0IG-N z{<^k9z8xOQ)AD!`t9H4bVZic74%>W)2R;&YrF6EsdMaiTj(kh3npcz#Rq{(sa=Uet z!ugv!hyYsgn4O??K9qYfYQm=pUq&*6Y4%6yF1OT@X=x~pN5>*|r6ey|p&t$Dud3xx zF?Hhn?fubMy!9=gyRQ;-&VJdJ=_}A*029y}g%@slBaciG{4BM>v^k-a=ll7(gc{*C zsPn=l^T=Qk?C}#2VYFSDtFXseVkOzpHIMRb_no<+%^wAU6Sp=O&Gc*SHNp@LLX^DE zT#o|f`cn+|=>;lvH_=l|f|sBdAG|_iNTVe_My!0N#zM=2a63sq>1tEH1Rwtlnr-g7Y-JU?3+&K3u}V4P5EV9r7RbXyv4?6 zoQGE5vKjfa8$*^3^ABT4 z-xGxvt+_`rLv5rae^w5`T+TH_b<0-Ci-Sgf(^7mo*Rkjq)YafO*E=HP^^}C%yRo02wuQfKwk!Eey6vBu%Q(S@ z>fEfQ`#%^E*ZWa{I=VNRlz`(xbTue}w9n=*H{*$ck66FuvyTW7Mkv(A0N-2Cz7*7x zJsUe-i!RrF7#sw|LBOSohXdYqNn4^V1_c3#cY1W|LrpE+F#C*lU>i;UR+51*n z7ef>y5_O{V4ZX2;0G5SHndFe*HvxUQ4Wo$Xz{w$FVe$K>REGmLZ- z1gPW3w8|+m?Hk#3^y_IPCtEOGL!VIrU}4E$6u{n=NpG*sOCk}zav}RB4L!^E1e7lb z5K3&WW|F9d)(z;M498fr;z>u}A;i*rPx9i`xP=VtxECJ<=V)F!_xBlnMs6GA#1X{i zBt2en!1`8m?QvZDbtzS3hJ}$9{Ms6hFxkFFw&pFwZA>hyUae8>Qs|OfPBjf&JVl>z zRi>n+j~#0kb?so>`c~;}m)#*!<0>$4++F8@4Znoq1NU-UOT<@B1B$!1fF!Ki*rqrt zKB0LXI=2aH!+7(gO2d<>-anWqv$HAoU=iu8T`+DM-m;BPvPSc}EV2NHD-C$V(o@bm zWdl-?+7xnZw$2!E)@UAfLM2BGDHb%4t8(=Yk8<-|DW~jJ+zl~~p4j|XIbGudV$?AF zbYHAlZnC94xmicl$bRsp)++|?82Efx9hzK*J}=Dm=s9=sp|!U(dT#M>mIH~os(AV5 z!Bt)L@Ab~k7Z`=DXOrVUt(MVz$pLC=-QO_{D{hjl^Un`M$QzodgFpD70vM8g>3(h& z)cqb%zW(l8By3`_)?Sa9W$Z6RUPD*I+abOs>*%9f0Cn)m%BY;0Bp6)0H|TTyqUpIB z_2Zh6RB`f#qCZT6)x`J&bCF=(f5sflzcc;70k0zZ3Ym1!roxU!rB_-du@2X|* zZhl^AmkV`zRVeTwW144~jqsXk+ z_gs3_6$#6Eam+AbxtBTMApplyJ{H7(Ng_qT6g}sie5g(kL;kABnY>os1k)m9lOPSUs{LX$pK7S8vqzC-DdXo!Yb%;F3?=b1g zt2-%Xzm$pZ{Kz;+Jl`rs9u^$+&LG13H`7C@L$tPQ(#I>0*3OiZ=rNnPm;K>2Aln0o{lJYZ^EFJdDphlvp1vkO@mtP zN;yiJlppRdat!CqNgw6w7!|@yY?FEvvHmAp}(<{Z%MuB z>EIl_Zn+#h2|U<75kp3IfaPNArQkW#jk5t$tyvvo&vuYjhE>UEW@x?N736Y zTkbPGilNT$VxPcu6to>12VBr!v2m;5_VahcNw21rDIblwisiL*=vA4poiWB8uAOjV zrVyAEg_HOcuEbiOBE=XuW^Ql5U5b9;?>D=Jm9{1~>l~j}Pcahvdn#92pqh@Kip7S= zHq23#i+!L@EjG}Mlas!OhQCTxo~3dbD& z4li$ha_b+@iu&@Tv27f0-ib%Ak%r@ggOE5E2y zc6+ivL-JK6P0IObsX4|BJVQ$dklwHRAY&#G4~k?%?{HFb3W&V2l`ZP*aq*u&ITsD= zaT@u-x4U_t>lPp}aFU{`T#>t$c4P0wAw{jFQTE@8j>4PE&nVl6x=j`g&3A{N30mWU zEryfrfZEX6&PRM+)AYPH-C_^5>2LxNKSTO^ec_Lp=H?6*EQYv(UoxAUh?@>48$K7& z$1?|PC%;Mi?0P`;=C5*QeUl`2WK6(r|!v-{}6K+nJ+mn3_T!t88q0+9dHy{@g#C!8HORuiEu zMUa`9^#v!Xhfa{-yrJ&E;B>g~@)fhEN9jiZ(eO$L(@OJvad0850>a`EVmY1ax9hzG zYuxk6)Z|##_{9@^?c282k`L`Ojhy;yVOP@~{Kv65?T2ktT4WkYTraRYgE@%h=LB5A z;@g7{slch#>mP`{q5u;J{T5^2$t2XXOjL0!GrEyz_Rsv(@`|mI;tdGn7AWx5pK5B1 z?4ow&=)AIKA8I~jZ}(*KG8-A1KjDf***bHJfQyUC-i&> z_zgO=dG1fp*N}1x=tKm;gKh5?`d|2&c0%;~B%4t_>=idf+Y_O3j|T&oVn`Z@>hj{}+O^gGlqiRS!q$UZ8^lVcv)`ULXc<;5M$})2+oUtL zfG5Q6#x7QhN!=RphK&IoL%~ z=@8#yv@MNJQozX0Af=8Pj@1qfQ9Z|15!v-3%-8MC^uAC}RG+E4Ok9o~QqDGX+3v3R z!LEn475r1Axc956WEIOxgcZ^5<7LnX)GqB**#4HSQ)rYuSMNKKS-C~!t=RHDjO~3@ zIS{#J$$ZDKa_WP^^K`@38}gnbl^J&kT+a5b*?qsk;i*r?mXhB&VnH%p_M1krse5-# zUgmEucR+IkYYj`J`okYzrTWM)R((tgI=yk|&kAlj9?XQKqrR=JSex+#=p;=|5?HGf zlm$HNHsoaVu=fQWTFn^ENvW1Q1sqRawRBa(`Yzc@dIt+%&7I@dg?<=pa$g8J$H0T; zp>VHv0|L28h^V*+S4ptolNyyaIVJ+OL`Cg+t9dZf!5?XwF#Qah0u7MIz8 zdYd#t_B?v~r1Uy}$F!b$p~g24^<-;aLuYgz>H$Z}^yji4>NVE$NJo%OlcE{x__2)T zg4PtG;r}R+J*J*E-K^8F*LgUnqXpe8O-$+ktvqgr0RI+#5bNGxaDKa^oOzvPyZ?zK ze+AQjyuH~ZmvR_~<}gIMVupJw{z~v=rpCKxzDFGY61QHpv+GoFvmbar{hy;zalG)t z&aHZoNa3bTif!2IQJ#*RS!3wzl!ZaE?JS)n?DbGinvo?fA#O|p5%*jC=NB^sN8h$xbSow2*T@n#oX^op+MTYU0%SS?RBrs05{c5PqDGY=d z3zzcZrxEnyDU=e<0t7$iQS;gB6Uw}=JKe4Ax7aT2eIiJ2F(z0|2Gs8XZRc5W@dK{X zK&+YMtQ~38DP0CVt{F?UuZw#kvo#FH#eZAq#vBP~JCk>0Yp{>o8a}dW+dy3yZtb zuDX)!Q`ToXa~L=$s+f1_>8emV8(c9v{B7Nh{NomZ3@;R=@7>xyy-6Hz;+*OK?5>ir nUO>v^FG*XCuT}VKeI6v<(5cx{Z^ieM1OLBq3Wt?yw~PM;IC;g| literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/xcenter.gif b/cpld/XC95144XL/MXSE_html/fit/xcenter.gif new file mode 100644 index 0000000000000000000000000000000000000000..dcff6a3f26778f1d9115dc1e535c7740814603bb GIT binary patch literal 868 zcmV-q1DpIuNk%w1Vc-D;0OkMy|NsB~{{Hjx^Uu%EmX?`}_L( z`uF$u{O*?h?U(lU_R!GK)6>)W`T6|({QK>c{OyzC;^LT?nAg|W@$vE5+1dQDt=b=H}-6 z?3B2;xYgCwva+(3m6guU&e+)4(b3VDmzSZTp~c0;zrVly?UKH}zUAfRsi~>!>+7ed zr^(65-{0TL%F3&&tFyDSpP!$eo}QhZo%`&T@#T`avyP>tpv%IJwY9akx3}l#=bM|G z;o;%T%*?v8jjyk-!NI}H%ggEAj^5thq@<*on3AHRqPn`e-qMZO&Wx+5jr{DEyu7@w zuCCkL+qSm0z`Bv8qnE_Q#I38GpPi4Ys;aQCu+PSd$H&K|rKP5(rl6pp>gwv`)#Xjw5a1eKPTn3xW;bj> zRZ%hnG!P#g7a1!kDL^0^v7^V2AVZ2ANwTELlPFWFT*#TA-}ivu6dWT`SOm zTek(l;~G3j_h3SW3i9erz_)J#34kRa7)-cu#>0pcJ7lo4Cxskcq?GY7M8}OF;|6Hn z%(=7Y&!9t#9!C>oFt6t5zwd>cgW6L)EptfxqByvO8&HIDz7cV#nzi?s3j10(E ztPq2OU5YF2KtQPgPedGfEIQOv0p}jR5c>G}`&YIt!MOImQ u9ts#xAULuA{{RLk;D7`cXyAbeCaB#_vdT()=K~H zl!O2f|M#W;_pSf$rT_1o|L?8;_q6~300000000000000000000000000000000000 z000000000000000A^8LV00000EC2ui0H6Ry000I5U?PrWX(Xqi8VHNLFcQo(Vc&$J z?+?@W3Q#WDX6wx>|+}jFV4@!o`utRf`ZuguuW=x|&GPL7jrtL%3+AXQ@6HA43isDGZ;Yj{W-oIorjh2(OIS4w{ka$&(%h3rU<9(Wcd_ zICEy5C09|6UMkN&t(5X>T zL7qQdePj~iD^Wg>B%$VwXE&M3ltq|X-L&-*AR%41nG$P41&TKhf5s3>(T3X{=)86s ztVy3TNly2Q#z#6Ozm7+EZPJ?Ya6y_s_%P0 zHd`57lk;5yIb9XQ`lr={@d9>b`f=Hkx-ncdM9D zGR=g=u1fn^782!F3wY>cR)%4OVZqP;FTs4Y-g*t9_ntGSDF_X8)~NGIO>o(i#%wA0 z_W~S1O;nj>JRmfNb3?4wOgs%Xa@-raX-!NU9!koPVuc7!3lZTK)T6fWfzTJ9czKnlpDlsul@Lk-%#D6dBN%-G0TL!+ zGkvAsheZ14P^&<#xmF!*?LdJ4Vy%5KCqKhEi06Y(-Pm5RTN1+JTs|U;n2KfKM5L;= zLG;kP^v-+790Dj1fB^gUp;3#{oMsQWSJIOVt}7L>qiU_eRO(C`qQT!PX-4!=0sr8?!mV`>e$mk4$pM z0u%tU&_i!*vauZbX=jWq?@CNE_mHa~7q)&0Q!YP%vQ4wj9{I|}2_T(x$VC^BG{*xx zsfjKE*D2L!Pw!dWBQV<)>>x3P%3@5M_%`dhJgaelPCf&TvDrhVE{&J zTXk^Zu7&ulERY$&>=xjb^WCmv3arWcLgv2%ZsKw616c|2x57!Bkc9UWo&XB~Ecq4S zfDLrtE*{Xo12Uih5CmcYlvSN~9jRUi$QbU1X164}zW}-q0Q^H6{qpxe z82&F3@>`({1t@_38`%(tH@sgJQ#b@5LazWKR94TzhQWCmAY(oHPkVHVk>YKy&5&P53bF8 zMB&CIs3@oxjk`ayU;v?_3JQPmSfbIhs0wn-PApo&?LrlyWKuIyk zL`F?Ac!(19cndw0!9Mg61TAanKL(01k&B!}E^E0<_wmA)@)O`M-AA228m$1Q^V&`J zz|3Zf0~Rw#%M7HM$5V0x4eJ8aju!aJ_ocA_Sp=so7rBUW0#KbPbY&VPnL__9Es)Cc zkQwUvx>3siL0SR0SU-~nPgUXqa9SZ~A_b_;g)Wqlw0!9M)M-3)S~7mPz5JUU1~*;sS|(0+#w}{X{-+QfqV4iXk?J&iUR}yqdUHQ!xCIYINU8ykB_%;Tr6L30<(>CTQ*k=~@qXJ5-YKP`Mm~2gEgg{$4u^87D zUY4%^DU{_F)3^)C-cJnK`0J_AfJ7v^Kuu#Mt76ScGB^>+HIVHrL~H6&xr!6B*_CZ9 zxjRVNwogoI<(gOZP+rsC=CF3TQlJ+CM=5G-+}Q{Puz{asg~bxmgiCo0JjDpE`c z>7-X502wruxL&v+s)CnGGC!t+6s{#!H3&HX4|@}lwnYSPQ%KPiI#9!k^zeJV*3)Gb zc$C~h@L0>LM?Yo;piC1&Tcr!jkmadicVa$Hz2%2jiarp9Jx5o;;Hs2?kpI%phQ zoW}CbUaqnj>l(>A! zG}pV1whdOg3#PGD;m>ZjvqR-&b%T!)kU&7KZA~VlusY6fo}{t%@doqK7r*$08HsJ-5mo;JtI1ZRBIl~7{ z=!9u#h-?@hbQfpTkr6J!ejbs0b!dQ>@+S!xVKSC30LE1m6oI{%eZOdkq}Yb!@f+As zd9LHN@(~i^9?6)8fdU*DvK6+5AAr@1d6W@0rZUbBIfh9iJG9 z7F^W$91~KI%XgD9LXa;)jwnboV4#%`BV0trgxM&AD|wXOC>|%Zka4LXmg0|k5s=Gw zhqKt02_rg#qAo006WExN5Gjg!8EbAheQ+2kmiQSWp&kU&6jW0h@F6VJU@HyCZgN+b zyf~C-cz;lM2V$8JWQk2fkTBfxnR+6XBVjjw;doXlAG}~Qu4QYJM<#>#kdeuameG>` lv<@8D8OP`hji4*0*O_`Em@krt&IdP|7?amz5WNus06W;n7d`+0 literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/xml5.jpg b/cpld/XC95144XL/MXSE_html/fit/xml5.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8e7a8d708d20bf951763ec5e437910c643b8ca4a GIT binary patch literal 117992 zcmd?R2UJtt`ZpK^K}A48P(eYYH<8|nh%}KR5;{acY6PSkS}d=iARr*UN$*5@C!*2` zy|*Zkgd!jb#Xv~r=)M2@-FIgG-#0UB-8Hk;Y}P6J?6c2)vd`Yn^Zb7MIZ&sm-$3W? zYU^o(Xim_8bb&t*b@SXKV^=RfFJD)$C)Z?d+yp7!)-#~p1pQ9ue%}G20sj7Z{8L8! zQ+Ddl9w_~Njqc2!91ZACZJ~32a%X^g|41kP)Z6=$(FI95I|C(;fj#}7D>VPSl9QVD zuPf3(2@S{!*#Fl(a(}K!{EsVt+PwheK_I%{+n@Z|-&vLrVE4Q2XTW9%oB~IG;FRSd z=n{yA`hV676p)a%+heC|fj(}2eomg(9N^b99(g%9UHezx6kn4CI)}OiV*XPAdIUTa zc-S21FVNXjr%s(db@ue>v*#JlFrH^;IeV6c{Sq4+I~&`j^Jo90e;)pk`QPtpm>3zE zn3>KoGoQP_%*=e@_m26(KdPMnzw!X;C(!v*z%PA9OLHA`;yexQc^c{h&?~2?UunRA zlqYHaBtYQbi57VKbSLQsU4ebfK6DJwyPo1PaD+3gs zr={a%l{%?$-=6Kd_j76b3voGBxB2+lO*$N8WPM(YXfg=IKlu55$I(}gBe(jc;GG21 zM_Qlr{Nyi=LYABeHGh2>^Is-v3%$>ORh#&Ad}X(wu5$vrXXYFbo>W-hHHq6-&@p!j zj7TnO=$^tKoClpa0Z2>tMw9=q&A@HqV2=pgq%f zl#y6UVm6+xeMIL_8!}j>!=f~>_ zT<>kXAM5n>nV6gRjg+x&SKmb1i62}a92M*9r!Pr*D`yuR^DID%ww#B4)gc68t0SsW z`QK^halTa0Y3Q05rG+DK+DDU9`jKqgho;n2wk`Fn2-wx#a^Dm*W)(G(Utm7@`1<1` zVY+vtk_g<_=*lkg!X9Go^{*z9lHg@qX3(UKrEi8NH{-Fc;tw(ghZ;q66pxLS2Va>_ zw)m`jZ6eXPk~e!VrgG7X{D{cu40#xecV7{cNbqQh>lu6TV7uEcJ$<9jx+K`t*hiTsPoY!e6Sic zhYGUM)8$F`*Qf|RQ2&HityMXz`1^qX>_x z>3p7_cF&HK{3{m^s?k)?I3+g=Y_e)Le;9htxh*MtbaeeWe8&2+(>1j@DriduywoNT zV*mZ+^~erpj0)H8U%|f)?IsKEh){<&2p@eagR>3&r=K4&Ps*w?rN>q^Y&oi#Ki&&a z*nR6#KshgH@5E9Q;WEEJytF|-N$VOrGUZXhT{%lh9y@lSOazsw{c4y=j6Y0G-fSD3 zi%x;r2i7Z$*)62cZSDS`f+pVf5xsrZePR7|6*Qk)YV@Pts%IPQF6_=?@KjJT6~tqk zSjH2`p5M)G%k~zreh2z8_{ZhtF{brExpMIv^rczQ2GXaa8?Yb8_KOFbfvZ$dTHaU* z8zY;1R3LO*yx)x`C$=>0+v{UKXiVP%Y7J3#4&k~7+OJxWp7z~OmhU>(L36Y@tkha@ zKJOVGa`wCM#AM5pJ{cUnA5w-m@iI2VjJKyL;5_TJvXKa0WtT? z?IQUq9h+L_ss`{+s`7QZaXDF!pX4Y#nZ2Vot*j?rM)~Nt+*HwA6iuvsW+VHgQY|_V zuO!<3acR!h>?5cjKPvge-tTJrrU11e}u7Uf~$N0YxbbD}Gu<(OxW3VK&`WQxcI;}KOXZ4ZxsR-O)U z(jB@y$l{y%nrqYdC0&crd=$9k2hhSVIe%x$Y!SSYlY98IeO1n2lhn=rzuo2xg(?5al`Jeul?DF;)8J#w8ccVGoM)I@M zpi`{}i>J24Sgb`)m{#J<+NR4o1-S;+ZDW6Qg_3Rib|DrLz;>wC8O{GXaP@#CU;&d> zyR)@d;!)M$xAya9_LW}*x6|I|kt1FVr%H1k9XqW{Qb$JD{p@WM152R#P21IzQVp}@ zV7=%{$2SstnJsJWs9)gSyZ0{LE2M&S{krHCLhHO*+; zC``PsG^g}}ChY=wpY1W1&5rd|L(TGCO26Sj8>OhorLcQujql<8g!sT3VdcWcixUA{ zFyFM}q-K$tbny!yH(r;Vrt`1kL3sy5T8^TaQFKp>$ zxSVf5yjZ8&PZ7jRBximx@DIZRHeb!XK416M)AJbBTH=Il8)Z2k&77Is_h)6Q_qKsc zWUd%@jq=8DK=xs37cVw8hu#d;4p!JE_m@*a3|5QH{UyHjj1v6u0new+7AIO3wzVpd zzP*e4@m(prHIp~3?x=raO-`4rQLl>ju8mwiv_T$REnDjW`lh$fahJS9?jc@2J zTJ5wr!UBf82;lS*zpILBjSx91=-!E|IiA&l!B=m1?I)$?De(YWKxaI-*%8Y zahYzYX*PR9r9&?w=~BLKoU&Q*`(Mpq>gcMEa8Kyenap&{&@P^6fBTn~{sk?ewm-^) zvcmhJYeD{0ko>C$o-Sn%Hr8)=wCC9#24!2P*{(&U*{xe|SX;LlB-K#nE3$Hj8*+Bb zkV-6hyMrHX@DUvGJKW0+hi}dM-^hs0;2f^g>2PFK@^;)o1o$pl8yX7Tt@^bYOl*D? zpvcj9<&7T$D`r#<)j|bnQs%ARf1I4%ko14`jO9MgW|fT3UNp=^>4rc;Qk|rqwk!nb zUPvqA_=am#abxCJJRLhS`RqskwJv|K7Jj-rVe?ur!X_A@v#TCUj2u+CLcUioyLkf+ z`eoqHf)(bl`cY&bTC}?uSA{pzi&NiVRJWgvxY20IB$cl zKjB_UdqtQ2DRAFQJ>4z|(`vEO$^KMuzEA`U*WI%Hh|t2xD^a>miM6I;eht1~LKSA# zRmS%e9`Aua)r{3yR31u~rComT;bSukSLQCykA2kKwgYm#)@l0lK~GgQ`z@x&t-)e} zMa_MfmR-zGc|=Fi&wB|E;}y0FiI!W4YD~cq71ZlR7S+fu2&~5BVupx;WKs5dPMhtC zP?LL|j(#99uPuZG``dZKcYV6L!n3arZQEo!c~8teNx>by=ng+`FUX&C)Fw1zO$Al` zxLvc!)M(-(&JqZccYGpYFS!>$1u1P&zRXZTr`|ve5k|#QZ|!|8Iau;f^VzmX1O4?O zoE)6)TI62{_qCQ_6ZcwElUxierGk#xV-LB`wmafX`+v-QZDh;5| zOse_gNc?!2!sGt^ps>2^9h45GF+S6y@~4P`IZam{B=Jq`C_Ti5N0NV<`|3qVYVFGw zM{$4r$TS8~uucVKlb2@LO;26NB`7mh>gg@qDl2;Q3_opm1<&K^ee?3>^z1YS#;)Kc z?i=XT=n?jp>Euv$RV5V^1&jyt%aHQ5gBocn$iyWyC;!&$@MeWGW+N=x^vRd`&8@!O zef2;Eg*V4HHn(SvjmRv!ZX!3?uZVsp(r6cC#Ye5NM!lvR2rUBY0?f8M0b z1RMk(n|UQ+xDFbAP_pocJVS__V;*Am&l2OG54_vM@f$j$KY5xlvJpA!Dpn3$(>i!k zZum-21Z>*MsNL#Ouyuo1o6Ov!8F?lPLC!}kVR`fMX^$`1zW4;SHh5l*i)+=7z;8ED zDwqe{Pk#PrgHyTvbEnqxWHw%^1yI%<;LP2L*;LnVSN{*0i+H8V%(-KX9(sTKP&kl2 zw5dHWqJ;Lb{B-8x^eh#mLjjyWI&G*FQPlo{)Xtam_7)mny4KoEt3Fi15uj))E0;MA zHQgTT=XvqyVYJN9CEK}^%{yjGy-)2`?$xU&WQ>c8R?Rqqn(F6ICv$9i;~*hTK419x z&N7Je>u_r*ewnR7=0X)%_Dnz(24L#U9~}M<)Xwk+z0=TusQ(Y}^(_FZ{sUj1_*bF@ z&S>d?J%9)4ey5Z4C;udRdIpA53=H(APoFsh;PAgE8d_S~lXNFf)6<`3I&=CA6U%RW z%>tlwApP@j_8+;w*ZWuQ55A^}KJl8C1^}f017F_<@O3UACd0qOYY^Q@+7t9Npnu|P zK-PaR{X4!s0f+|RYkCG+V0Xfo<^=6|I?zb~U~Al`XR`+Y_66y<90vA(;M?yq91cJE zeO~McXv#i_&#iX!{VaD!C?T&#{-Wt4zb~UprvzV~K6B~vU+=ZF^J~qe8#bc4T1EothVStE%m-?efNicBkQ?wegd z{0APVJqdItJ>4lRgg-FbP@Gyz~AE?pLs*Wwg<N{r^f9MIHWsS+-wC6rX+~%OJN|I~9}>L=Z~y zw?>Mrp)z?=o4ww*F5qFx5}k!vJNi%d&1$6M=nim_S^^Ad%v$Qo(xu%9)jAewiYdiD zOrsO)r9uk#U>vmbY96b@h%UM(EQrm{z#VPcb}2P}o`U&f9c%<2td(*lhFP?m3K9VP zZ~=eh0794q>svG=ENqUgVv^J$L~y1YN{aMs9`?kFlx{d-$CXmaG01~7a6b%Dug!44 zO|jFQ_4BtZB7fJx7i{B&unLJ4b!rB3+p=(@UW%oc+WNs5nxjm;s?4o79x! zgGz<+@$6~rH4p#dW&WfjGLS2J#76)+Oyxd#khq6WRxKcT2M1zfCGFOly)r|3CH69; z*L>ZZ>6G{CzF75M?-gg*IB(5-#xmdei6ZYxDc1m$_)fX4A&Ux9Mu}{zzV%yx_HbK8 zpgFk*Yv_ zHx)$j*#WD!4mn{rB>VA%QTHs9;gF5|#d&ulrN+@ZMdY>dRL8^jx75>1XG3pmIdkWD zLBh^|E&U7_ar`w^{0a}L*JzOE#=1NKUe=QU#}FEN)3h@Mmb(R`6*BxtjtU$f4_U=> zns*l;+Pc;`Ex1A+Hcyf92Pu1!{VcMi^gc`yN054(BPp;5K6Nkt$O6`L-NOhywP<2t z$=bz=6RJM^BuDe|$u;klzBZo&icbQ1mI22A*yTikBoKC6jCkKlBKeX$u&2tAPKnm0 z#IN$w>19n;v(gTKx%0-J6TN=^)yaXBGMaHP{u^rt?87<-IPt{By~dI9IxlHkbj2m7 zI%)Wx>Z`z$wLHe&;3B_!J2iTjo_2jV6g}qYgi=TT6H^k;lVSI8BBY3rP(`3zmD(@*z*b-x$xeCL;WdT#j< zQIq1s(2q!*vNIS%s@CC{5GF)iG;$*hT08cw;jcq(o3ZdB_|;GCjrC6pT$%VxB9?za zBWxfq+@Qo%M56LRN^29gO~{ZaFb8iiCxl1mY`DQ(#a9vr51FP?YSSazrr&Gr=_~A= zj*V}Eocfe>rX5BG*prMgfY`xqONTwS?L=V9V*5&3hl*#`0&aHudsiCZdqc{KI%y<0 zpWk$K%)4o)lX}gZJ;*2#m;=(+3D|60S(xke;^MlUIT3?1sDD%7_Rd=TC)}KH*f&W9 z8E2WX=KHkXpBF7)5#hc=CyeVW8|DYvoF*4Vpf^@}{k&wli+gc;sx>ETRY~Km`p#NPG}7K8m0bQiX%@Na^9ks31{FT66eU zw{FPT?j>?JzvzygzSM(9E8$W!1KwNAqTxgg85^6>`i2x$La~}B$)K$!k$EsX!{Is! zeWO@|!;}`{+=6CqzJWL5Z1iBTS#)po?aDpq)7gdfNjvM!-QMz>{Q?@N;zi>+fyy%^tDUfi^JpxO zlGQB5mIOm{yP@D7eoF`SVTP015oHy*g&#gO+cdvtm1cNg`?^r{0NI~Cm@OXW;)Y3? zO-|lzb3R1{iHCFsVlx9~*JtIkmIz};HRGw}OZ901iQHVPpUtC^N`J0F?C4R%G>XLp zGNddY?$R@RyjX=KQ9=FOI^*Ix}se$GhI!(dA??{x&l5N%+f?alQ};e$?6b~kdN5fv-+yv`5;tl6SG@56|IC!s}uN8!@?@E>&TyI__AKKJDuW9Bq-}XYDgz!_f6f@ zldn;V43%Dlu;BPz_riFGcP|o)ar}SfO zYHMRIcNV-AoE;VYz@>3oN@u}1k%xJ@Ok_Ny1Y2RZPB}0gF861wFu$8{d%UReIltR( zZ)%#p5+iM&ptzo9Ri)^c-Pm4mpONIop-!5tWPclj_SEjx8&h{i_Qb@5Kg45ndeto(Grd3$smIT)s`%%FZ8hd*nA~x#=;g5Hm0^C3w;a^p|uC z_1M)WQwzIyW;44ObTI;YV&6= zdqdep5dp%bE`cN_l31}8>?9S0HBUt5R*y;4T07xDw!To(vfTXdSw2& z5*FNR)Q=K}2eDjpwaTiHi%67Bw`J6>O15flY96UgSqTq@3EN`buD?CDlG^cHjeKi) z0aR*^Wg!96yw9tj3X*Z9xD(_vOW>NuqqU8@!BJ{F4_a!fP_-}iJ#N#r)r4z>d)*Cw za~Bd|4!pdUU*4u(I2Q7<3)To1hQu2d9TGv<1Azl30LDmEH6;d2uXfbn^>) zeMQ$dLtFcn$P4CgbdCNx_aSnr6p@a3M+|cX)B+(G8tPWNEfEG?qdXoZcQ{>%FWAF2 zq}CRUoqB;aaC~H}=V-QA3VG#i%8?4p*j`{eg5!?C=vn40OhHIoC>B$)c4$}^kR*SR zVlq}Kix%#6@p^KdwZrl0@J?Ld+sIgUlOr(c%QmT7e$-2~rfAjN$J!F^-I^_a8tE>B zc6oT%{VP)LZ@xl2wivX}vnYNo$$ZTdq_R{=k-Kts_3!}Zpf6^w&VDA zj!rwlw8kK(hd0JQTB|jgRpDH#Oosz*o;t+)P#-J?={}w2y&vN zSGpUc)neL8>Z^(^?e5-SoYxQ_UjD4BYjz}isgwzUXQ6_4lO$zst0F^s0l!a@l-$qx zEx~-;iPf#$a~yoveW$edNe3tFqX6><>2r$b=tT!x(@AWk;1wfL(snP!u6}}V6eV3* ziEPEHO_qfQ!u&9gt0o3!uQq zt%-K}xKZ$zi)Fa|wm7>*BRL*9ceMMVE8Xb%{7Vda?22W!% z#n8&;sjXv9*x12278z0?x?Uz!(E!@8S>Alnn}DnG4=uTvWGiVn`C&2yS~R8|cjxDrZ;wx#Y*B)by0;tKO~or1cC z6&ba0-ew|z4-tirm4bT_xwJ+BCRt9kgA|&(Zl||5XEIWLW2<79F-5h*UiNTeq?#GM zzV>r|wzT=#g(kXi??`0BFcqXy5t2vR!Q0+GAR3tvxhRhoR{{9Yd@}Jz;Q8K_jW!pZ zruvqKkEx47Z|oxN8U+K(LxaWxN!iH?vs0Nr5ORFvEON(qNDcP8 z_nK-mC7bjT=7mk4xu6uCr+<0*b!&LIXn65@*{~m&7crT*QVLEWwz?6^c1GUPsLOVB#y@B>h zQ$6?dItezJ9EeFD{|_niiELsd6j0l#sB~q+0wn3cs(wt)Z5P)-WWjcfRF)%K8<2DB z=0E4PZwMWa4cPZ zOjl>uy%g4zTfsTIr?2lCm-`kBlz(7(4Jz(%EEL^G_G5;429eTX0sYwCZF9&-Eii_V zG9)f2VL)E$)b6%~CH!u`MMHD^IzOFSdye$yt8)i04ApdV%Nx+yX{am?6!BdRTugtg zsDY6DYwXsr?61+UdRMY6#zXQ-*V77HT^`vFPC@Ep&Oi7Xy#yg1ycZ`ENeC)P z{Zk#0;r*030fP%YNG|tM9V)h7t85;R@joRl$^A5qPezYDl6~(C@!RuKHyeltG7BZ& z3WOynS(B2>;LK{~17X(W&qP%6%0@`KK^1Bc>Jtm#{RqcEixS z9T6#a;%+zirsPOlr}Bws2uMF)*Jc(?bp>Z+XJ6zFX(AY+@WAD~uNCAq z(#v~U_&dkvSJ#6!3yxqMZ*(0j=~%757`vNRA*~#cw4-1Z6rN8NMH0y$qR%OZsl(VP zf$rQ+6Oy$r1;)7_5{}V(Q}1`dcW%=}{>r}3uJg6{Bi~70Df9KMm;NPot3h&GH4d_E z4qIJ|9_IJVa1vXO-dMS6df74Gotk4e@cui6Nl!e$u>h7`QueQLxnr~0&&$RN=Uh2_ zt61RvUT$g|ot(nr^Vb^U&6ij%XnRhVeL{$W@uK89JeutmQ6beU1hsN3Ghk;#h)t33 zix_Y7Fk{@7?f1Q6mN56kitp0$xkhlS!_v!e(Y=1ekWn9u3c5K*(lH|962Ph3UJ|u) zYQjAo=;Qqif0&9(X^gay^V!v+fLm_u(`ADw5uhosrJ+ZgX6zqC=@ku;YuFmWd&2UGhF5V}sKoh})x(dHdu|Z6_RSi72Up~k? zev7eCtFbw<=BJ6h{KmS8#;sBB0=-TP)XEeYTZApbxg_{Y1lmmb4GF>)ts~6aiO}R5 zm4Q`bwdjB&&6uAprSvgw;-!j{uO^h^AP>Ok*ukNGDBv>pfz<%*aCfcVOx-J+04!dQ z*Y<2x+-0n9s%eE;?j~ow%3Ti15YQY+s^vcmNq}1ur+O7?rr}U@m>Ej9^}f>5BqM2RCu5$zHxVSrW}9FeOK>Q6fKU#trC zPY6~JuTMprTv zc_sy%a}RvjdzbBG=72df%Jt6rit$p(5S9wU6=5;Bg2JLu5*#0__Dc@SXG(v4z(*r-Ek{o;+JS^8|x z8##fYR_hh)&BYF(w{x|flQAh+Qg6dy5Nf_R)26fR>rPDYoJx`@4Y+JwD~dLLVu zIY*lLnwxWjdIg`h?(EI#b6v(|-OCRmix7#~+3hCe>CrmLn{Jaeu8XFe6}VU|=B9gm z#}(zT^~%wU$xl4SmF&BMO@zO(A}=53M79}_zhh;an?8EUxIv*cF?yBd90ZOm*+|pp zGr4DNPA1)XySblgUP8<67BYinZ>+90l3qKpVJ;<~`MBX-P_p0($6sG_)+rxMOd|<} z)1~^3q_~x<`u*xIMCSw?vs2F1&8B*sQBuicpzU=rq5F|-oS1<{ z^Om;8k99=)WdGu|9RrDl_vTMjhqV}A<6N{HdWv_=_>Hf|h<3Y>$I0!HRFKA~J<&5U zxD5UQgG{d72yV2~uPMCa)bd@s;QEELMz{M|Y#`7+O2BAHZw|@u=s~!o#D<;wn8%() zNCCpz^WdHAoAs2r(Lf#7zBp5U*8G=MotE^;IItwl*T#Cac|Wsk$n}lDxV-s&8&y4C zCvE@elwyasG-|FPQl=Y!U#M!;AqEosD9$iw61U4oA{*RyfG6>=Jr7Z6BiGpcA~@7h zw{-+*AJ50{=cWC#Sy4s$`CX}8WXfYO9#pHSS|Rkl4x!eNDx2CGfd%vFUzl~75WGW( z!sxpcTg`v*d(_axX7}d)WGkoC{zQsH1xbP8?Tc1`rniTV1I*t7GEr7iJq!x(?^CyL zv{-4K+G@>N>5&DSc`~bAo9Y|Yzi)QxL<}ndV(Nzif*6m5^vS6syyQjeOc{b1Sh)UK zH7Q`hB@N#3Bvoi!s4z8+VY# zg$~3dPc@h|yvegQaPwkc@07@h|J=2{uH)Gqtp5<1sJep{Ry_(X zoQ1#aYj+_8CT(lw4hd!#!E4YSxc>cAPE)p?nkO%0Ah|+(W>T+=|A>-#Lp_}%SNqb(B z2Bf-RYm6AiRXHGsBkqh^#X@%$&rrY=nG^dp>(!dYfOh0+OJZeTdo*!-b{7xM0a8c$_4vt`bm~xm{x!b>cr^ z0dS}cbHPt@@wgeOP6{(A9&pWJcjFG57)rSn0M{AsA>Y!b~>1ke3Y|cp5^@3rVO5} zGg7{1D=*k4;cj{3j>3*o$rD?yr6VDBXTYwbh*69FWWsps7#thwawl?bkS8%M0=rTl zw3Z&88K_?6SlUrM_c|vn=T!}VZ63t_daWu%{|6Y)76J@Fr`Sy7c59h$(Og*A1~@$y z_8Bh38Bl^v@so1R*!*?4^z_aqicL$kiAKwUB^iuA-HOM~vGhmFn8x06XK97yx%I_Yrsf^ z^rdey>n)A8J@6ByOjbBVI!2*J8x&x{NEY3)>b%HLHVGv^A zYvhg`v1+f?qm#BKrEP6(O?WNclw<=nS-duMj0{mRc0i`> z8Id|r11>xXAJ?p=5=Oy%VKx+V5_n)#f3LA011QXAFmna742f8|HnT@%I}gLAY#^*C z;tNU$LMjaA9!IL_&w@q~Z+sz!ckCI6cxsW|NYTY}c5Ce=yL_ySa?kRkhTJLJ-Rwci zz`K_r5r_jkabZ5q!(d6-=-{3-p7dHT@U&a*H!HxyO)wmjNPf^Dh&GZ-HuAauK17-& zvbD;L1z4;73{{8UqnLjwhL0IE0Us4ug+Z9i4kwBPXQ&{F!U=3+UDY0+*{;9Q=qaR~ z>;v#M_nbr*9%3X9@y((qFl57swuN=&?pfB^Mn?UOK0F?-CtcsH648m}*Vd z>SL&hMXBCHlb7EMMUOClw_fdcfoHrY>SU7J@EB5O3k$z{l%qcmHNbT&`Jk$?F^Q3D znjvY=`hEEJsNSVq^a;INb*0gru*h~>0uLD{lv1~?)``y^KKQwoowjI5&Zr|`-g#E0 z{_qQR8RDem<wC*7&xN)k2@LFE&;F0GB~a?* ze?ozMM-UUj`~hYIMPA0>g|f*-0ZHmkguwTE5>U;Sc)3JY+$epL^hl%V=dwn%Dsyx* zo{TNbp(v7(gwLJ6SjK3!p)%~yU@+5dvEamXgC>GjciLuUML|9M+1VHJyhZ{}exf?8 z5Eo@hG7kA}?-+dN_$s!-g{+60At&>^S4GNLbxZuP3mfyS^We}<8L)qyZq1b-S~J0U zy=*9+19FlS3ScsUQebYrg9272k>b*jHH-U2k^(RtLbB4@sD5I11<;~5kQH1X4ul0c z-bM3sI7wq4?ue`;4nD+!5vh~DETF<6pg?(EuNC&f$CLR&!y`v z!D;H#u6JG3dy(Zjkg?>t5@#ls2h11;xcy_qjXa`xve#~#%K*iOAYX?Y()f@xcQ;{Z zs_5RRS+2>bc(U-oyq3ug@o!S1>_M0`gsLAxBn-9+es2|#xYy*5k|zZ%+Yyqgc*Y+X zjV}%!PWEjWHN?XjR}KeG5JWO9PaNvJ^U=6e_BkX95Z5cmrrR5PWGglZkWhB8Cjn_VA<~cy*FvKS^5agg5PXv&7exM@vY*zlU@osZ;-nN%s~$)!S}M{z6Dj35mvS zf_IUL6v!V9981_etP;v^ni7=Jiip7Si z+`{Km?8SsKUkwnA)C(($z7+73&#R<9a&$YPJ!qXi-1B3!rbUzpaB+NK-jEzgY+_bP z;f2OA%pC$MzN-Kc=r6F_ZWo*h)vC+H#m#=3ZuK={koh?rTYX{)`FJuU6`Oq%wrEUL zugcd5M#7ob*NJ^W8Bs@bB3>Q>)s0fwr+E_-BYO5|+2P!oz%ObdN#?@RBvV6B*iD`O zW?Y1K`4D|kAuO$~b*v~wQoEpJ&Q`Y}r07NPN5S2U_vS}5?i!Gc*)SuDwFM~&tS}2V z>|>GYz^QD@Ym|PterHlnO-eR+t{|sKWb?dZSXbgkyJ)X!I`%40PnP9qNC7}kR8k^F zuDd=)=wfW$&221aHP>wy`(+87RXKsOTujd{l?ncRto{39(4U9`*Hp_O)l`DFMVwIF9q`>||{5vu#5a#WRAib)fyc}sS zk*Hm}QsyBy)~}=&O9u(h>;*`KRgF5{4GZJ{+mWW9!0O!v3M)x@cPWwN!BIhEM1@na z)l;4Wis%9Pzg6%p|MIpv54XtxcSK%hN!r^C?zcjw?^*02B;3t|43TH;pc93SJlvi~abnM|%Nb%CvQvfm7vM7=#Ec+#)!KG}XLsiq zM$Sxc4EchSBz0|*dU;4+5k0V>A;vPOf;+HEC8k4Uxlhz8D!>A9369=ZwCNDLYN?Oh z0uEMzN8X;@#&sX7BCMz&A?Gcgn}>mvNPs+6ETMuP#MCuFNt1QRBfjoqMi?sncU!I$ zBlNtwJ?c;xMPB-_fxqgC_*Mr7h7`m z#Fl@w!Yvf1XNkl_^u$XY9|yh9bj>k>UN5xdPNbGk?R$ov{mU10_EqGy&7a=Hhgp0k z!5j}||Et%jBZvOa&qQ6QRN^;WE`aFqgp1B;N;4a=mBy5tK94MUX7S3{GUgLgZ>RMm zKULei3RX9B$lhkNO6TaG&Oq9GuRRO1C>;P^?nBmFC-Q&Li#ClcU(UELpg>@Gp!f9cvz`2^o{DLL zap*)?BHUh%pRo9>89jrV=*sjsH2Ia=<1oCZnq$d8ZT3}dKkkudKHsUJzZ{RE(kl-0j&&)?vpy6PIP~qk zw2*6!jQcv;gTdiygY5LD3n_MR@IZ3Vex9#W=^7%L``#p%29YIYSBwGG+B`n0h)+5- zaDPDJ$2ZH1lTG$JQoCb6T0~-KWIi$}N1LgsJ}qQ|-ZC0&qPMT47y>fgT(b&q!IC}@ z?FPQ%uC1H6;Zc#&RUP!^nnQVH%q2Blwczuq&0$?bX;+@SihbF)k8C)Onwib+ zcb86Cne$}RCf#2l%x#mpHo5w^{i+C_1$Y@a;4;B3d!j_hlaDVxNH{**4fl&y92ftT z{hT{N)r7ChQZeE(GD)57jwg2w$31wi&>5+BRX9dY@;te*hMY63+ETK5SR3ZtHZG8? z*IJ!@FT%*W(J>r%2(e09uF&e{?ncUpE8XC6N)7sffoY6 zsjPK2uv!AR(r9b6CM0=)Qvr+agWu-z&^P`VrQ0%kZM?F*<;2Xw=lEWg`R@LS=x65& z#7v~jV-bU5tLnC+2s{@`7>wulKh_`cB_;GVo2S;HRBW|EOV(>PCaZeO-O4-!G7h|$ z95k=Q9eVpnvNEqMA@5ZI6DXN5gfmLrW9fk^gh4mB^@utd0BG@49V@cXFP&TPsm?Zx zCwXiMj$-x7Jx?}YJ|ksrMN?%T+3pBb<^{I28UlDFJKh5!w=#ttYh5F~DO)u|r3JNh zPl{J;XAO?RthHrShD5m~(8EvuswNygg``sSN%j4|gUf*sZC_`w3_R&bkK9OZ8woGm zPkXhA-7HKCCk$FH3ghD)3bM>Vk^xJ#To27hq48j15;Pf=x#*bQr|gk9l+@boo!^b) zk#I`}7*vmvHEG#rgM}mW8sZM>CK?h~OFe{=#N|u$kzzGFbXg3&msf3sPk|jp32C0ytNEa z=uu0AY#d$Of^~Oj&fWb3n0&T`QqbpB5fO2QhZ&{$Z$*#56z?g*XD?p3-MY?%oVnM4 z{u~f)gUz(sspI*1Fj>JHyZiWJg}0AO^cez6k6D|_H*;V~DmEwsOiVt+;4nCIoM1^M zl*HFZ@oXhvuqZ4*e7FU0`loex@HRIl-_2TtMZGyE+90A>g8Rfu1)V`Ynjn7x0(}Ps z;Cw_!A{)_~$Y)Mmd|3{UQNal6lqgh`HK<9?PLAEV&CV-LU-RzG1<{m_q+2{Goi+d| znwWMqhrBXe7t0S_1E(V5tvKOD1E?f)$+VH${MDvLoOxEJ$d$Gg4_|V?kP&o!nPo)%OSc=@48#jb2a}f zv?@poDEoafFv2nlrw}*6vM4<7P^xO4WCBV8EV%q}w6#AJUS?@2Unxsh8FSi0=KNSviQ&Z_OPC_GvNj0YAcOR1p%L5c!z+23C7Ec)J;cO!( z6)O2Nsw6l+bRB6*$#RZ9**(270A4VPtU~l#_6~aNeX{vxaEW9k-zjcZM-9%Z0s}~>5=n|wC4}cmVo3i+@E=N&Rp}Am z@?+B4<5Dx3yC1o(;Km{HP+s2o`;DD;HQt9_Bi>k43F5Wh{oJd%ed3+H z_G_uf=SH6}_0hXQy5^TOQS zFzHZYOhTAFDX*6kolaVt(yt|awmW{P4=intyIDD%Iwzr=mM|v3x%$#;%qHRD|I)Vn z>tmu)+j6`1^nCZUx8CK|Zu`FT-E6GvX~Hv~P#{+=l5d#cUb%-$$g=XX- z^`Ov5F@PJ9LkudD0t}Ry9CfB77E~8#T(@d%Z!_IKA?7ub#>_;~mlxdy?*L9A_USZY zP>uAMW*8Cm=RuI$cp@Lgr+So47DbE=vlYF3PL$MqLSMJz!kJI>*k>D&#t1?k0xyc% zzbeZ^76)RmaBn#{-uq#OyauC;z+tv=o;w}OmC5#W z>z>6nCiDvAdaKL!*Up<)73s_g@%%-v`{qQ@P9WkAPn~!ZL_Wv^9qD$yskthm2a)U4 zl6ASYGVXoL%0Y2_UAalzp1ije!D1$F+TU*Q*YbU1p@?6otJ}{J9Z@9d(75i>^Ou_* zey`zcFm`=yE^^*xEgW97y9~xM6(q|O6v!2A^mv1MUSYXYNjuwFUnMTh<=&JrDURp< zn(<{n@6%-}$bS#P2AIN6k|aCZ_lDKv69|7l7Pb8kw<_-t?;`M0(WNA=J_!UD+JnNI zQR`jn{n4w$XiY&R{?1aYwtLAhjqP?{I`t(q3O}Y5i`BtZ1(^Hd6-mvZ@d&M1)5rj| znh%@VJ03h_{!r}DMCc_{>9IAM_OE{e6C`!)x2Y8*Zxg)I0rwFhp*pd`A_OdHJzDf1 z^YT-Jnf!0my?0cT;hQ!HqJoMdpwbBf(yR0ur6ba%m#B1*E+tZ8r9y&SQGdnvwbG|)$X8-UUIHo*2?{l~7zV7RpOy3(>=p;{A@}`eJ zJCZ6@Or!g4QoE1=kUH*w@BDoaa4K%I;lU<^&a@}K1?v_~F3A8pr<7yG{TBL@t-YOY zfTF$cecrpa6-Dbq#)hKnF)d8^QL;}xfw~)#0ZK<^GA)GTI~%cdf={UH9X3me&j0_B9=g=w!r2@kbD521HoQH}FzP_8&6{fOj zI`iGvvjVb-xaDgzXZ1tZ!?o;fVj&N5(YIXFEvD)WV1Uc@pCGY|q&05sL_||?N5!7$Hk!=YDtrIl6A%ifiYb680PAD_ z@`nNpV5zr8c_zN0AGh z@}=kNTz`3A8>~ChqP8t{u1>k-8?;mW!A43)G^vJ#`{WEo_B16%-kD|4%2Ukt{EF*+p zmJZ5VEv3r|)_*jD$EFJ%?DE0}c_C1nK^P&K4@e5qn~E_mq_uaL@!iZC`SLtbPt7&! z*FCQFS1q5$JC5@v>bz{+FEBaRl4=$M4I=yU6Zm_PDV&a^#F5YrqMIr_z~PIVDumF{ zh4={Xzw0(LtIrZ?)EupFF+wRa&7SY+UxQk7az7%xd)unV_XNj|ViZ{=xv_q*cI!=7f#F zBt#+#9fydxejckTd|l#^pPQlxeP?(2gdZeD2`{FHxLNSN)TE(wBVL%SnB_w1_zu+F zOq6Sha1>BnM&tvQZVKz^?A3FHQs;v4?y$kTe<+s1z-J?3`2H}k)=&)UbYAB36B& zW@69J{F-x&v!>~I%#P$E_<%*Y-`Br?A!&#-0)$a46V5w*ZB)6Z$n{pZDM541utMu3 zD6??%%NM{;Jr_JtoB36sp(iNJcJa$G(DuV|wscj9W5u&cC1EARLpo8t_fzgKZbcHy zdX;mng z+@Blj@^Y*jA$7sr++%4WmqmPje)_CP8Pi$~;26OxK%C#CJBf{?)CspH1Fhsi19xDU zr7Z+MnT#R5BtVcngz=w&;W~tCuY%l%+~wll_qnatJRBOJFE< z(cYFE0GuT|cg4rUwZgd$<_M#a^XmZzR*UMHAKpyHokxs5waAK*5t3C92sq|KY2qiY z{of;&L?Q{m2Pfi4$3QGP?MEJ2G!I73fOdU=DoygNV{qcknEU~zx9^Iav(Eaxf86N5 zBA`h*yBLa_C zD6bWlquEE+g+JEjtvdMlR%@MFvXuT&<5^JL*3Q{hkM zS1r`q+FqHybAjv)mAP}49`8DI!HeNU* zf@E8tczA|Lu!j9^iNB>(6#UES-6iSr3rZ^$1z-i3P%YiSV&AJZy1fouDmMM}K^uy| zk(*ZDPf$$>xVi5z++ZH9K6jt3miqqBXTFcXNhra9Fvk8;oOeICUwC%_A`c|j>v@*r zyU#@SyK73>s@di>y*-{rGbu$z(y2t#rIuZ;j zut`v;lbhqjs7H`!NYG``g3ncKnT|<%UR1un3U4|u1WrnOix9mC{hUw6MW5R->SPUW znvQ!rSw4;s*eMVh+_}d|5y1bX@tXX9WU6@Ps}qF4}2o zXisUafQIco%Al(AKY8$fjrKg?L$ty}DTE}8Bf{$_QRR77Mxg;lbYW~pI?e6oWW89!ij&_O zw;SWntQ;)6pJ?%3r>N}Fx$rg|2p!ttM&X`B?S2@*KhJ=q+B=U)zu}ERTwnZk)z>q` zGk`M>!7BRmMT+Ry@44QjBv&Vl-S-EH+F2LT=Y~i`9H@U+aJ}sj0g>uLsK{w~-@^}l zj%-m&EhYeSgK6SATz-0LL3usEL6OqS@k2}9lOJWhC=DQ#j?p-fzK~|(i==A812o(c zI(paBU)GO4{H5eVg6IOg2oTgi0HP(nfifc?eRBV$T=_HpKg$3M{XH*WT04ry^i*oc zys!3O6RKc)T~?;_`sy{IWNV^fpSkSBp}F;)qTJjM9yiNB&_}Jt-!L|#cctK@c>F$F z=nuv6JR`aM>si;y>iuWUB&7n_cpaz#5NLE2MZhAT8=lm)AAvUGFH{x!V+=*@)$i0| zqg|k$qAE5XQR$WoZza~?X3|{r#*?g9oPbYD^CSW&kcrrQ)0n--n_pA3k)0Wl$#c};Bj{Xn7l0#7Xp5o+qgl@t47vFi<)mcX14M(mcM@_wCw8ps0apzNpfd}lp^_{ z&Kq0PdTVSwDO$C<{De}LTMKTN;xlG5M#PN$_S+Nzfn2krn<-G)nJ&%hvGUMnXo+jx z9`EE=x5KX;2eoEW1D?$HZd;`3QPg}~U@qk#>@Qe&^LvyO*tnTb*8A$+nH+l@!NNb4 z)vWc|c5E_KPz#1Gxd0YH$zPx;&eQ6uAo+Xx!)C$%Hine^V+@%%(fhKQWs&||-=RNi zdueoE|6FgFwk66Ddj3{jWlgn?iA<1}z=z>SDO1h{vD(=-NKWMW;3~(&IISNT9^+fC zSNKaP-+th}r2OU^O!zM(APSZxeSc5%zBi&gpT7vL8adqUl?#6|+>x7CCcVA0TGbe_ zg5eE*BqgWGu7g3x)cbCWlW0#(ze-OBu;AYC`t(Y6OFFLRi8KCE;1T%tQ)h@n|BbDz zmMn+k-9!p*q9yjkVK~FT%s@qa7Z_VST5MO|O;91t)xTh*G`;fDB2n3JdXMN0XAk+& zJd}+r`h_Zaa1v@L6g>Jx*|)Uv!SZ?B2eCkYCl$(kaJaJX?iB+a{v@dOmG0uly&vE$ zPhIHy-xbq1Kfe5P>(UC1iDsy3aOTedix-Uk`>jj|f)K-Kj3H}4SAtAXRmoaf*}reT zfr?;VrVj!4)c{^wSyE1>Kl($lH#7#u3V9Rdr*%E)xCq5$eM4d=ghU6RflZnVR`605 z@ICy0=F$Jb1peEt!{5~eDstE+ke#@c1LjCz>sQqy;L<|t^P#8#>%n?YZ_Z+6f8DER z`;!tcmTNLU^(Iq1QlL0K7%VmYyw&=+OQfpz4!dvU2d!3~{@Kc&6hp+@?(XXpGffic z6QEWiVFv&}w08zS?nLS6JyJFPvYZl}FIQw}+xh#HjYuRLoq2{TLU4v_HzG-=4rG6w z2M8*HVQ-%=!wciNtNK4@Wu?)}`{ourGLT12Dqk(>4$;)G)Sl|K}}05~`sNel`F-sB5~?OuZa+m~GX zLs8%h8}x!<=J89#XXQXUzlBc~8PV^^ERjJf+KwQ9`DKl-2x?RlElfU>-x_oQ`VL2=bA~#6nkU* zB<^Bi2@%naN^8?6jpL6n7fXpw*pLtu7x6?~tLx$Tfw0~*Pv!8(7W(`+`#!JA-WHcc z7FHvkyDnoKB{Lkck+(R!-EhN>lj>G4<7OQEdt%w&UwgP0Nx$;DFtL|9_J;d8rrXr| zG>*KT=hT$>9fq=}Lc@iSh!YUjJpXSAdTG5Ll$IAdoPw|JudgEqOa$n2yNcfQ3YZc< zGf{6Txvb8OPhOEvP5gf4w_oM|$2O7Eq5e+YcqlZIYDm4Kz|#lfivZBmkw)=B8*BQ+`v(9-OH{dL!a8p21#`TKD_d%#+ znpro+jPJuIJ=f{R1ibrtaO5ChbaYghSS6xdNPHLiJW3f^3cVBKVR7dGQfg&0kG}L2 z3w<2d!x7HIkRbe1Y<8jZu%b#_eZ^&@D{kWnB2==>)-;tz%$7c1R4!!d;P4aUKL*>C5K4u={EF6q?5~c_I5i3hwHzK1v(wc1ooh1>_fkM z=fqaIrXy*K)0H1j(zL;NPLgfFcc2@q=tD5!-DJI|IN~{|UkR?facBQb*|HAJJ-}>EBV`hj$_44${VHX0d6}Hu6 zQ4NnGVa;|kWtuB_tX)s+(23q+Mf5znw{;#5<<7nqbbdc|&Y)e%Gcd(8|EIru$VK_e z&M&43P4u^1ZHqopSaDrF;>!u{)*0$^AuW#WixVx{fV!yf6bC5>8oUKFMPclTv%r7u=7D!WK--GsB1yIfUOgPsj)+6H1 z;2S}t(nTd0=8VvF)=onHp@_qR`({8Vd~T>wAi``Fg$lxy0ddbW10aPB!FiKVZNYyi z8ejlax0lmJ3<2OajRConFvwYI#XE5v@(^4S2hHm>#QzMrhXWG%(UrNETV?nET;s(EJ^AjT@|$!d6L;jK3hv=?={1Bvrup$iXDa@GXv6)F z%fS9)8%6yYKHMd((UEmvgGdtPU$WoxbwbG@6WQ+?`VU2Z4tRe=Ottv5Bm_Q3Y6gn& zFv7KB45SydC-$m@tYzszw#R$t$Z&9acm$*n)Y!5;>>gNtNEwe`^%BXCa)0AiN&PX5 zXM6C?t>!wIcpgWQh?NYh>w_MSEC<1-=Pz@sb$*LcRoyAn=V1?|6`?u{N5TDN2-?7T zUo(#cz#tq^i8u(BpRscxT(M!5a=T81>BcMwJZT(fu=29jadyKd&UW7DIC%cZUNu|c zE*4oGmT>4{x-E;U0^hdWpCTFXWD6$o$w$Sx8()99n#3J0Qp6Mbl zKTcQz+<}B8^^g|v)WBFjjQ;>M7y{w4bkFjt#oFl+`b>xRe{Ff~+)h~0k=DDSR3*9` z!N~4Q3SyjNO0z2B0$9e=AeUyQ+7NL$_thZ|jeKRx!VeL)5kAq93}cQtDteEK)266v@B`?o0>v8BMdB(R?_0Xl+a-?yV$gCGQ7ghcAXw# z?cU?*>+OB5ES*4ehlX5OV#%)|7T@vmm??i802Gg=7y2VT4U7~s)_E>{qm5wf=wNwZ z`LM8q?Z#C!`v)F@Io*Q?pEmI5cJ_ukU?vd^Aknj=4bmqy#Mn43w~r)in_qpiwOBPDIorgptzY<7CX*H) zY*qBBi#Fr_ayg$b57qZ|Wzz(Qj*b;IddU*!0mO~?z7g3diQ6KfnY>^5$39McF;{^YjXl%fq<8Z7^vZiv%p+9z7D{={mUbv-uaZ3( z80sSUMB(6Wx;1~?llbM1SpEob2%Hxk&0^H)#3<0&s&=Lk>N;4TUysUE)gGFY^RZU8PuHbJGK z#I|bVwY$?vp=`zN)8x$BQaYep`z^e%51^d3eUf7)h0 z5otoRSqNxLS2;50CrJJNd7GB~Mbz(MGU2>0RZup&yv?BQ%DHoDGMtaZhzGN4-rXO` zYQRZ}1OB}vbrbkWtKR8A&p_f?Xl(#7C4bWh8fmJ?QM7L|Z)N8mxCc>bbY&aAe`)$~HwXkgvP7gkOQ2tFQ~9u zu104Br-{Emx^_Z(_M@d8D6%dPQ|Pi$(xjsg==@)*u8@SvmB)5PB2)alz6i z>0o->xhb8y-cP7YdN4+QDD+a7Z~h@u6{c|bS5;i51kf^dmt^h}v!|kkWiOx2xv=}D ztwu||<>B^1f3~>f?$RN6nffKCdIep_FQ2~vB^d^l8w4Rr%b~Lm37tsdDe$tCu?iA%52bx)4VSj)bqKAZnRgfgBm!;?@rew1RZ@Gi0A*A7al z1}$Yvc7=}Eh=Yj_7Ij7nP@~T#&8xmfOyG6WqV%GmeT*U!-3q8Kt|f;VV1V;l2;DW+ zDakG33ah?+I(sCaDQ(K{D#z5NJ2pRTB{HOXsM6mUvZs1eklcCza>Ym?7elO}V zNE{kF2FeQ814K9$k+Y+Ko`yzycUFCR*>lgJ?wP~n5ku!g*-3M~Yxy41LEMrzMr`|s zBMi%DKdqtrPc^p;9r~&QSQGk2y+8g?cEzMWW1VAhmtwg?8)50EW_jGO%pUx+!D-1U zDW9#<-7om%LxPeSbJ7tU*#ds(k8^qUPoTl0aG-+X(3c`VT>?_k*q3-6?M0xVRyP8` ziW1b?s?(hs&qwcmcV>F13~H-2b_Ihc0uuV>M@p1K}tWw=~lZKN6hO<<4<5XT-Vyik!C zGmO~uIL!+o1BlHZijqEn+|j^vmWQJUnh|0UJRDH>0v%9y8#hn>ggU`O9wvIqV1wWw22s^O?Zh3&LJs|<_I&3C|5CPP{G#yxhkNBxd z7umoTg#nS%VO>oByqMBe0uDQ!EJ5}ixy)p=^q-HA{;|ZRBZ$B zl^cf==Z=9dMYt{gm6|E9m|P~9r?}D9Ga0u(JCXa`ooL&4IU>>S{Zowq{wShzd!0(N z3bL&4<8S#EDT^+PugVQq{dYwb!~0l#J44OFA+?Nv0vfi4LV6*1__n#UDPPnL#wFoU zDO>PT3QwOt8BLa-C&hu0hv5?iqz=+}&euq^B6~;B<1B%vs?Xcxk;lCK{-ZFUEuJ8b zmmtHYPt8zW$ZXU<>=_6U-UW>J55;~pSsvBhPW(gRvK@3xAOw+%MM2~x$YBVal+y_7 z1)l+)?_WRW(Invt!ES!lQ0do~JyxCAf^&~fUxn2%0@~swbi}KF7xu58WgmJ#K_&ZhI`aE!K4WOWfrcJ}5)&{0c-O}Rl&V6w(T2$v!vF4R z4p{ud>g52s1|9AUS-ZHpIDkIMsezrof=%y$|KmM4BKZGjdtmqP4)W?hp5xemek_wP zI7|+_;WrGFeHVb81rYU~KE&U~>InK6X#M{4YOaJ35yXn(S*=}cuF#4{-=?sziydgx zGQNM4tyz2`qxD7>g+=&TwcGTKHlbC{EjpleX)uBh;3bI@BYPsd5F%a3}nw4 z?tSuLf(= zl~M})Sqbv^AJ0Yx>>tn1^nd5c|G#k#jp`wp$gIPv^;?jYTp_(&fSmSj^4AMq-*yj? zwHFZUJDHgj6%rI%{vK~;-yqbpEtV$V31~;cku0TcS4-!-dkVrbc+%yM+uj?B?Z*87 z(SH65yQpqYb_(}!%L*?oK?6p%qatV6+I(yH7dblU^@1)B`@mQ#`fyF)d?YUq4OPn4;^c;8s z+=ue$RRw^V{B^f-uzxn~pnr2x-uz!e%YWtc|9=+e{ws@dzhXifAWe=)mz$bq53riy z#`(p^&TO2Mlo_<3s z-%#PB5JWOY<4^+~&XB#lgy3Z1lPm3HxW5s2DU(|qzxX#At--kAf1P#3zlq^=|KQJg z{_FnC{fa56lQdH`BGZf`L}dNw(nB@gzZh4k!y7SS#p47ObG~Ob28w3pOdUWth6IMF zTfhyMeD<>1l**$q-^39i=7m+ABF>=}_K?~`(KxtFT27Um;GNfUNp&}!jdW*K=tsH# zsoIACvkFq!W_<$yyP7GANGkZ1_p})myD^YYjB(={*?FJ&+E(-Vur)-|JgUC^m%M|f zyCAPiptG%Itwf$}rlc0%@gAi}QsM$11^RlM&|(6gaz~OD?rB$dAVv#BeKnD#zSO_y^FP`aC3M#JmkftXPdGdh22TC+xZ z-u9`xF}HDItBZU$6=VN(nmPG)+Q{GPdt`SP-?d%v{I3%iggE#P>W)}TYr#V=X^6OC z$)c`Ew(SS@p5PU-D5o+f-t zOhrcw{#*_3a|Nw8R?{j-9u={ANQ8#5{GLOn4o;)p{L(GTFXk){6z&{o@h=#X9`xl> z5!CQU_s4d@f++qk2jW899T+>UFc0J8RXvT%^de5)!ic)d^!Mm*o_q7{N!ORHvS9pb zL_LJrSuUC9mL&Vz`J9*T8;;X$!q|`@hr9Qe6XxobLRtl`y7YvH5ID;(qOjsU;2v=a zkHbC&8;_>Eq7Y~Z>o=%Ii9a~aUXwm|?BcQELzM2!8M5$)kK3twjqi$_&)eHHoZ_EX zIV_{wn!U#vx)j(XUI8uZ<4r)Pd&}~G*`gbqR(h*a`iS)>Af(k`)A+?A4sR=d?4Dfn zSzzlxMAjYKlJ|p;nxHuQ@*KFa;}`vj2JA;GH~7Z|%3}kl&F5H0!AE8*f(@BKiY_2$(CEdoJ_a}QN1SgMFrz)PI0=R zXkwcpzUj#_Ix_P0&H2%@UO-5q51DyVi_I?CK&HY{nm*(=*1ddr&iLI^z$1 z3z_V(k}3v{F59^mgV!SLhqqa}?)XNlF))6nisP_ie1E~$&gjd1D)x7eZr868KaUXA z3FjQJjB;zJwX&{(EjV_SuOzg~+;vTg$NeV0@}$R#PQnSJbsTZJ#xv8J3%Xo;_FfsZ z^M@jcZr*ZP6?6>Q*-_%WJnN;qlt<3+M*E{sBX7Y70Ii?AN~9yQ@K2Q89@%*XJWcZ- zvm^UJN*rJq!`w>KpcQ^$DV6H=$nb2Fz~l*LM(u;MisX!6n;`smYq6N1uil2TN%e-T zvLNg(2u?7=;o(>hmH7EcOc3VQyYgRI9&W1U1l8Qq`7WUI|51=TUb+W^Pc4{#YGw2K zf@DmhQHhg8r2C;I!`p+btqi;&oKImjx+}~4lEPD&q}98U>?Un0?{u!y1oMwbp7)b$ zIC=r$cSRDSz=T8}XdfQePj)8+VY)J;QGF_&q>g;3jdpZPHI+N9=UflZdYj#4XlpL& zlQo~S&hfVjME>3nKFf1KhrV{&j$a2?b>?H$&o?*>r zwP2uwk%#ezS7TUvw`$E-yf;!BEzyTtnA#a(!z=U7Zu+8 z5z-~ACc>3UtS%QZGXF%tfsde8OFqK-Z9#ZnA5L8wfU$l2%N<0xDM!kA z_Mt8^5jy&Zf)9}06(>^zKh1ORigb;~A>TtCsGn9KV8D6DKY{X)r>s#VWc45;nFh9( z1zt}2L*XyyF%4P-`JT3)YiO*iflo9y#*A>@4|AJ$FwpvN?cu$WeDuw@hw+r&I{HeR zvU97koAfWLs>_TC_6EbOIycNelz^^tC@n3k^tn&nocf%4blpbFxiL@4_0h}G2OCkB zq$#Se%gJl#O3T?;yh@v4(z=#lmNYhY-Sz(Y0BVu;vo%b_pmktA9O)^&N7{Ir(t z1l}<4hoTO&S6MD^~&y9Y0%yrjco+!`I&E1Vz`9Oy{}x}+Lv9?`xZ|)C`*%ANW>A*4#WTeYPyMmDx(Xh ziN)QEhy^o3UN$b5O(*MzVF@w!aRT;-l9l()nPA~b*Z1dlc#TH5I_$)@cDjc3Oh0zt z=Aq4Wp}M4_7aC+z$vib1Y(X5aEETH|Ki?5c0ZiaQ`XT7WL2xfz6f)q3g$a-!FOY4C z;Clp6*7JC<`j~3dL{r@FpIsJ>*(SGUY-rXV#iZEH*-9R~YjNuqeZko=yjk_~iLwJP zjyXkO{3qx=&7R|&)hzpC6=lcqX@ptIb?hYyPVMbQ&sJQ|FI37`%VH7+m>M|4B8z6% zbpeT|Zq`~%YmFPTN9mc$j!U{)Ov_rbw#be)|5M8yf2!JtsATEa8hI?3bEkzuRCCm~ zhpY7=8Qt1GVOcL+?ucnlMFLt!mvIZdB&$uUxxSm8F zXwUT<1)qq=+ne)?|j~M#(DX9E(4?Bz`Hk!U=Z~^M$TS@a_W>l`n>;xk3gR{nV1dm zTBQMAE2GIDich-!#fMU4S>X2x@DA_tA^f=IZ1Dr%9xQxZz9gV`-EtpRuBr0itFps)4c|Qf-V$vZ}GUTS+>(R zQdHjj=$BF8c;U)4nlF`SOq%#OeM3}j!p8C{f1_@p>(H@O#QV*;wSL~K*FA61KYmSl z{<`LU4jP3Ex-CHUA<&ft+l~a78Ds2?!>2re^79OA6LfUfR|fEAF~W6=&oNoy zI&i`$USGXGh?r`T7y!BwQ0_Y{?vm$dzTVHdf1V>s<-Y3}gQQNv>JeDN3nd4WTqOG* zf_p9ywpIxSKgLNIC^kSbqfyn6(+(mJbYY5w`{%MFW$l88&Yyxf!$7gqUj3W^S|XAw zLCPswqJ=dtlNJ-6YXmE|s8X&5{h?qQUk{*GCV{S<+3vzVHZ&gXhf$Wm2F=5t{I&L8 zUrpkprk!{BWC+m@xNe28m{E%yZZ~Vq)~;=8(7U_W?d8P%UQz&Rw1^ng5fkY+nN!=AuM4|v7qp=a3Z?e}cRcFkM)b#`C7~(Z z`X7L+h4MT&4Qlaa7JV}BI!=Y_`A{n=@~iYLv220+G~wbw`8z!l>! zD$>B>5KF>Qp7Nx4idSfH&9LO;~*fz%O6^tlT`X zthN4fRhE`YzK40wAQ;mDX>N^T#{CrAX$XGhi*~hr>cGZ34kcgdK`8-TDPb8p2`Gf1 z*GGPe=WAZug!fi}-CocRLqi52g#m)jd7|!ej0@JYcAA}1PJ6sg!x<5D8aFpo;(>vK zbQQh|ZKPpISB{5t<6Sx?!xe{f{FLkxIr+k>Vj~FJ0!nt(!bcl25ypvJ2`rSAg_8%W zkw60$Cm1^5j{~a`VSrRb@+N_)51Ddg?Uf6tmKTu%o8m0wf=sOYv%=zHdpAcUaH6ffO$ivH&4!y5PD5k~^lyx34w)oLZ_ z{0}X@s8lb$I-aLWQB#rfY`5UO4F5vg{B-ueGet!VB2)k=EmJah`yD`i={Z$i1}_1< zdX_>m3+PBHur=vy?+DZaRt4ikTPnX0;T9xh3qB#Yhu8rvDbgLo7Om1IT$i!V$ zonRAI(UM6p`mM74A?WkOr@d;BiB>{c4lm&kg>wM&p1ORmfxO{sP7!^*8_}tSec_jw zPr7*$TjS$DHt}X8X_fuXEA%*yh4Lr0pn=(L0*LGrX-cXE+_nys8of$TH^wg>CyBcd zF1{&wVPP>d()EdLK`PpdUlzAX|KK|}mM<_RW7-uIpl37NfRJ2Sy~oZ{+~<0OK{V9(@>^sz-JLl#^#n*eO3>R^Jqs zhmcDNb1Ouln$BS+Sl$}msMKaWaW8O6n(h}A8#?sXy@ZPZUC)vFsi@QKl;2KYx3a~c znbLmm8u#+V8aJQW2E!i;hsnL&r(_?}5^$dZ7*h}MKrm>mZ01KILKoIu16+v_nTb?W zlq(rDd*u{s(A3zHp}i@QJ_CKrXd;uUaZBS__sF3+2Vb#1l2Q_ZRTk8ajV&5Zq-U~u zz!Rf1dGj?Zt|VQ@;BJAu2>1LeI|lWh!e&2C%Fla~Tpa;&klxvJ zU@)o?G!F8=jZgJcedGO|Wj)}NMHD|7yd(8w8=&vo%YY~UP=wt2f(Bx5Wb=MO%lG$g zZH~hM5Yf$vQCm0G`O@i)^rSgks~!=C7{yE5juEcJtI^t~`lV|A>TjgK_B*~h(3qOy zIQw}${C01u#w+Tir&&xG2nLrAjag(7oc&?tvM_xM_g>B#69Wyh5QdwHC&8g|IpP*5`-B64mHj>MuwVGIi!+8aC{T zK3=7E0*Uhws6oqgmD(hnQ zx6Ewul#~oXeDAqM4rE-CrsJMZedBHLSGyyF@(a2cMt*n~URlD$2LT1me$d`-snZnWYHs1yFXL zCw?c^ABbRWReD7JkKx|3`9!Dkg^fJ>yhSz6vSDa1c3S3AwoUNkWSxR|E^`q_8Z)LP z6Y9!S-8|n>Od0N~b0=jZknw8#PPoFw=(p2KY}rYs7*tIVj@}{rE(UmWBZ=LH-Ig#M zJq!l|LGW`ka4cUob`~tbVX&}jqA0aa-}hN#$X?T@V0EKz()VZGMl8MSInf^-;w2!d zGP2>eRQaUOU}cV@G5zYwC$?xd-v_*VVfLlVD>wXokEcSF&E-(;D?O8t+*_k%S2b~lpO}+cg?R5Wscl%PCuJ`5x=FgZ z6!pr-9nklY%$TDt7qT8Ks&p4>)wxfurT$#4RgYXm&FwD^KmdV;LHM1&sl|gs)?$Pg ze9a{{6j}VMtu9jF1e=XT8TELoh?7}7&vcIah0&w#oo&V6E4CJX47*=UiFz1(jAz`y zhy*n3b@8MMF1M38asCj@&EPXbe%u-C#T@TGCbVK?a+S_QvLeSEG4#*$oG ze7qFA!t2dP%~TcfB(dAGS9~Az**og+t$aNEAi5m!>DaShp?|&YyIh{ zHxT+v$-Ghy01m!71z!FNQ0Q1|LGyZZ;W(^V1!jO1P`)ShJOf5)vs|zQcQ65-ZZU&I z6xR<$Dy&YBKWD`al($Ie@)~QUFE_HByQz76V-&S50tkSZo)RKzt10G)#>zbHRg}Da zO-I-bpKt7m`@Z2@L7_*^oSNB$6uf#Lgb;-y#Ep1Zn<4U)iQd>w0$p|mS4zL+df*I4 zO;Z``bJ;%>Ry9^JclyoeCc^2XN6Nd+t*mwg9jC(l-ac$?{#OT`Q81( zrff6p=X;#t0KXY4hf*wGhp$5jX`meI#gusBDX-aO9n%l>KeZY`aM-P*h^%e`!8j~_J@ zj=Jw!xf5ueAIb8(z`+u{tE8L7l`ZXm1unqGYCKIXIiHT(|FFAuX?uIQ(o?cOa8T@$ zOEOE?qXXH4>pZFPnT6I8edn7Q&3!J>>@Bx(Us|bdo2MzXyBe!J5E)BgKK{I6+lp2n zRG9kQ?tNjda>njH$9VZHjaa$!eO|u~@o#%g61US*6Yt;Pad_GN({k!v=@g0!b_b}& z4=Pl`Eq_g@-Xj%^kebVWL2~P7vdPf0EHR|$$CM&>6Si!cJZi;;b9cEdSKoFuu-(x) zxHhpZ?^b(Pfxx0=?V~Ji`^6+-MDDaLWLj?Td9Vb_PoK^x#CW|h@hpzKOE4vX@G}Gg z&I<2YoEn+I2+TA;1X2h`q(18PRoMPdO`gV_>{C>UE;BrqnJ0h?Pwxl1bt6Phzce9F z;o&h%$c1C&p}gVkb@+Ag2+v7B3A%cB`P}g@!XjdY+%*LTP|=JwcS0?2opL&9sg8*i zh2hWJZTS$;Dob7a`b6H?Sy%N7{(*x4C~{W0q&L={2eBQ0O;p;)vE!*iW%RJDwm}F4 zCfcZURm{zC1+^@Flrz#OD4vPJFcS>VDrLk^-XauX4&YS>!!rl+iUA1dzsI8IPt^R+ zDRqF(yA+59zYcMck=jr_lJI-9zean#^ZVekt9y7{e37%jBl-v5?*7~=&cCel{fRJ* z(1Wi++D3u$MiYS7_G6kqp^uNdQ0A)U{dWu0>(s?aCW=%9eSVaXH4dHtGYiqPU{dpk;wNmfveg^!zuf%*fv{lpXw)Lw(? z;r_(Sr-Uz81&HRWy|Cnk2Y8F@GLhoZFAmKip3kjskEmZkm{al#AEZC-`>ykjSkGFM z8(A>cMTr1$KFj3Nk7grgv6D;0B)1}Wdv$_6{L8xaLT$n3$+Hu!@{dHn>0KFJ(b@|qWhnCqnLv?J!KcA_ zT(`L2$db{Rfyf#O?04m0yhBEgQ@R@S&&rc7_ULob@n*3~0q(t&uP%10%q`04VbFaF zh0Q=Q36PT%)$GaEnnZmGPTSVmKA*3SS8V+CVqQLsC?#vXpjA?@efm_ZqWVm+y&TjQ zdHfxS985>BVcZ!%(`(QGaq)R|rB9^i+SAa& zpg=eGI8HN{&Kc3iHE65Ex7I%-m5`!GmEU|J+f}S};W)^-SW#n{W*}i-goYSr+v-0M zit^gtefxkrS;F#MCCN5BBYZBfa0ccob(o+6!|l`O39vt6|P~E}e86^72 z=XWF3wcAvjEZ(id&6)#5DADW_RDFQ+0f7l{O1Rs~xFTp}`G@9a!p-#BPj)jZg-p>~ zS(@}3-=leJ9_qWgH#-?^lP5dM+sj5bl!VX!-lLGzyC&bQ^C;pT-Hmt88RGrfc92(C zqN5q*7G2@DiA;DT9yfRh&Iq?7)sYAd1kHrllM8^1Ig`m4gN^q?&B6O3wr2*HDONV# z92(J9)SY_QDLLF1y;x{5T}WFMoJQNQmn7T-RF=;UE^3#>taicK8{WDE*v3c^OnXu3 zs2olwJTALslo&=_{|H=4lCJ+Ge}mJ0sA7LIhCdC%pZHoE*Q<4tR(4kC(4|(%bb4FN zPAuDOy1FCF)-=KPYxgAzZN&AP_nzIKSIDQWVvx*DSW>eqAyVOsE%E4d<>pUh2szBw zo+x{`a?}UpTUsBL2Rskz0<$PT(?DxPY=@cS^Od zIFM=@JR7z3PbjNyW0=J&elkL&?I#LaV@{%F$~Ty%oexWWRnuOLm!F z$^8Idr;=Z+Ben*y8xV5xN!c)(7tZh^XWS~dsz_-Dh4)v7$D25S@~6>>%cQI0E?w>bIbAn1yelB8Ed0Z6&RFM3ZzAP_HUz+w+XK`Ose@jg z%#y&#Lo55r*4K|YSnqYvZY``|z8i<8TO})m;9ebOoz@Klr8Pu0-sz8IwwM-&;_RMS zjrShcsZE&n^t1m+Li;CWd7pz_ogYZ!R)@}Dt(}4z%mU1TjzhNVM_Y1jTX0Ic3UX1N zY1xmDZ>ArSa`199y@ON5op03_9fl>LU6G83g4@2%!M6|7nr5SR&R8sG#c)8pF-0ex zo?wNL)hp6(f4|-6i(z~x2XCsk8>q+_PM3BJaHPMePlA`{Q-^c(mgCktS0+B*a^v08 z!QD>z-zt;;Te}Z5YP^?_VW|!*8)@o*Lb!+f4D5tK1P9oK9dvnY@l5R;u^fJM3>7Du zLPT+QEEG1zEKMwYaIYdU6ri^nwKcWXdZ+uVe;1ekjFEpAE1hSZVB}4u010YqJS7Bb zEz&oAi}PK3T=j%Z+}}nq!d%U^`EZm}hJGzU+h+SKU`%wrc|x0~GPmC=xL+;M%eTML zMCfjB>X_xXoi2)5eEsr?fYJcLsjZ~?&bOX=;E>Z2)u+!v z5bMMK-eb6g_%0ovodOwlR(PO)LNpDrn0#M+TGcXy2f4<_qmPU16oW6m(Pebz*N&U_ zGBb_s4F2_tv192j|IJR-oDP1+W+~radUQqWn$Ux_rrJYT^oY3#DkylTn1rhjQIM+6 zq#BipKB&A32&1@i0F9pt9ETvM>|7Vg-7YkT?;r7 z(m@!!uUwC(JGK=rro;2ELokidYU4L{Qz^zBuzQ`5pC}-)K{6nHIUCX}Rh~J@y?Uan zc+=c>LgAa;kcVC(=Om4r9|>iB=Le-HfGpgW=%a6HW(j;6ehUj_jO-G>748L~h{LhO zqpQ00WVsl)6E+9+McaYVe55=T6U- zCh`t-Z@>c5uNH;7i&GIkujKj$=9t}1G8Cr{}e2hj&EhnubOBrFPoUW zzNIH&Lnd7{9iWh+Ani!+xE7oYV2@CD+G6+xNAHo$ag2xw&WF;ZBxFcYHqDz(1U_Xwj0XKza0W%zi$-J z>To+J3tmLp3sa5G?=h?7efgTjlf%=DJO%~GCe%N zYzMs}O(@}=#rX621G}lrft6(140t=dyQ4lWGDu<{z8q=NBxw8g1`p4xD}Cq(PateKw2r_TldqDeaOM0FGb~op&2!Wi4SP0=hTkt}q%!L5gfe6UeyD$6UJRd#6e7Lc|F(bG~JBWm6c zt*H}VExKv!ojCGF_oep}Fg>TnFlBk~t(?T$OnDDyCnCs?Hgc7qZ_D!pvXk$fd)6=O zu8ilAjVP&jNc+B)LjVg^EzF?^G`0)5X~t|d`p``ay_~$FMzJ=-C2E{~CFQ7THghwp zY~CjMQ|y_duE)dqxxa`t+aZ;}T0^N8IC=DX{OKA;Z#Mn*@#ryC@6HwR8Av8vk*F1J zw zmMuDdeo9Vq;`7jB!SW~fMV#w5CD{TGl^`iZZzNWEoLbWxV}-mBH>q{o;}gFtlTdYc z!FqbOB&o+NzMR6}0Ga5!a&T()`ed}gVSR~x3DUe6ak z%gYbpXaNik8=G)ib-6W!0=GPu#!n+F;sI@)iO5R{(Wj~BLS6e5V`CjzYQ8dZJ5Il} z6{%D@-@W5gyO;S_vYB%I@`Jc{%r0FL^)eEBw7yArq#ps zX8H}wO+g$u<7-VrGUjbQfEl4iUzR&=tqZ?wSzoM)Qx&N zye%jqm!z_Cgc9D^-RFiD;3f!br$jjVa0E13Cklx&@BE6?A|P~d=W+^1EGw;g8*X^j9Ja8Ljy$49vOMzn%dVqLd4<}tnVbG_4UHS;tr!YYc38V1p| zzr}jSZO5YMrOAfjObse;|CakD_3$dZq+XyK{UFc!ajR2y8Y~4C4xIy5hY@IFM`y$Qi*#W8r8!(R|8SCZAhm6AR`d zqz97MGIFv&=CAti0)N}i;{)6O<@5y2B-#RQf;luj1SE}2Y`8 z)4RxW$5j>JZ3 zf#(Igia=)RY=s?WiFMyOL%eeZZV7Bv40mRsou$OI>Koh4$Cs6esAAo0N7$bnQwzrf zY$g-E&|`8}x8x^+_#cOaRqBbaeY*2VZut!*B@1Yl!d4eV6q_<6$xxTpD{(h%(R0+v zk5QHj_j+~b&a39j!XNy^T7mGIZEsXm2_paS`A#UL_$;dF^rk_@j5l$2Aaku8x50hT zRyU4!M>_Iod}4Z(8{DRPbuI#oC`1!H501?*gMdPpEO1ZqBp)hwM}~CrE=hC6d`tZa z`001|yK;IM%xVk_NZ%ZAE}1@y4CA_Z2cYt=NGWx_6IrLw%?SzGZ-j@AS@cMr6@&0L>*2IO01L89v<@Vo>{YhN@}VJENym3{JD9#YgZ z>rV>v4;a{V=19vDe?KMS^@2g+mJubkwAen<^HIzOsj#d&9hRva7KSoY`}mNW;rD^@ zK^gF0ALE6qogU+jOt9c>i-)ckr@TnB6(i#;$iX)wb<_0&%?*DbczaZLm{*NaREE8i zMsj0+%tJ1ZQ$)Y=iz+J#WO8kdcJ`xVlGu-J?<5uXLvYPMTEp+gtB}WozhxGGPx!jK zHE3{-vq!zjd?eP;IJEiVYh%6bT#UGM%hV9EUc4A5?G0)=cgH#p@xFttaO=O%@v0V( z*DAWu89;e5ZSF~*M76h?6t*|D8Br~(9+|T`#$2eE7~fT@jf}D>rkZ`^qnq|fiOd=V z?@hNZTIILR0otauUV=QRcvU$7QF7DLBo=Uu=l`C#_znDO3}^;Zq87pH zxbyJDiz}k9>8pAyYLljz(OjVyvz6W?Z#scI5B^LWc&S>(jI{dADM9^fruj!p+%pgz zds0KX%Vx+ksYVyuZ6e0!juNM;E=Qyht4lRX`>M1%eCi`==cdQTM9QyTVUI2x-XCuZ z)Ibf)EEbV{0v;On&*uWM;mt-F2I~qSpKhrw>En8d%U$Sw3JDWQ-n-1##N#>q_ zQf6Z4HcX*q;woPoMm!r7{fK$bd6!&EIX3<8(Go0mf=T3c^p~%<5<_=0x2#+j<#52; zcy0-@LSQ(|gdw42S{%eje7UP&2pcs;Csc_{_?O@-_09ZSTeF^mEsVF+Sc!k>(C@g9 zaC1)AnSGUrGGQc}yo#e@|J!uo?!@reyO(AN&&s;tnp7?!+a|KzNn(Cu7L0(VO*{$X zov=#ksA(y}Jn05>>D$19@*{!2d8YnrY*=)RX()E;2$J|PMJl<4x7rhh6RG{jO`^l_+h z#xfh-Aw{7hm2D6X{tVUYDuR&-j%G;-NmktDVM!LAjG>qWLA@}wrED_2N zV1HtAQ>79$l{KS=k|GH5toMcu-@3mnS4CcU(MD zPC)r7`ptjX)rA?=V)IoHI8CDOQ$lv#KrzH|Xde!;W%hH^_E>RuKd^mn)2CN4f-oREhLB;p)$e{(;?rKxW_><6T99@x#BHfN%KPS?XV{qm5BMAMwW$ zj&+isS#Y3k9UL{bY6z9J7*?AJ^!XHwJ4mC;b(hhhv#04RZ=zI8OnqA`-;lzvO%U^Z zR4C>O+~oTYMsx3I=&S6_*1&Sm(%k4+q;x>y_h?)`dMmZ-3LOA&hQ+9B@rKZc^@rLo(2f1M`d`siPrdNEa9v zm!Ei4+ZBO5<*^dmjwym9TDV-!EiCw56n{0YDV3zf(imrvjfTy{KPu;B!tLMbIwGF` zlhZB#q2Jo@f+IKkaAywx#%zDoNc3_wC9udDh;)2d^X=dKp>y%SRny+a8F#xp*YpH3 zH+y|$@jfK)*h3U-{2XhmRh_;Z*KGjj=1;3EZrKX)tLN%T@5mpYB*8p-O<*whm4o7;smTM ze-3QIHk#DP?_R+wJ#Zi*pcghh@&gM^TDmJ%kr*8T=vOh-`Wfzav)C6}#tR?$cqlzN z?a97*E6t+BFTj6|mhjfe;Y{qp_D7BbQi#a#-1DVRW%Tzfk0mYWnLXIdaJ0iV04iV= zt|AXlY9=Ef$~7JFU$~ZK$m_Rf)NS*I=cNleRQQgE$fFf+RMN3r=n(FQeWRY;kSgRV zr4nubX!AZ}~5w9e-l_>=RxsKu=`3; zU*&bU&OuFK17}mRhU)qgBGM>c6!Z?TSJGbjxhQp|VEl48oyj}Z?xDbqaYvqKgR&;_ z>$TJ38FA!?);Pl`qE7_YL5BlUgd^P;r46=B+eG%y5D-N!GclKFxX$ur3kAiWhUJmT>r@9xX<55ICd1Com@g=Yd5l>(y-4Xc;7?3T+xsf zE=2~0Q6p@gfZ=&29SK$=yC@zCpZN!4;x*z@hqoJEBSkgUOl3iz4V+(7=Yl<;KXz$t zub%l|CaN~bz{oR3b*Q4KZbr7q`fr8ysmhxS=YI|p4{fyrOsz5M!M?cZmP!lF`n7*+p|yE; z|EJt$np)sSzaW3Ew0G_Au!8?cNTE(*QqA-}HXj*+j^C(p+6yqOug5_WZ?7!Oyy&o} z2zdVJhAf^7U?EF40t49D{ISJw-7d|1e0AH$OmfuHU31Lm#=C9}<< zcQ@nby7TilHSc)7Hme%^9=n4A9e&JI=X~dm(}fZ5$~PvP(nTws9;bf499$Qor({`$ zY2Z)}eN}@}y+soTL1zG)PCCXKyoEsDMZ?mYTw}WOw|1FD-_6Pm$fu$#5?uo%sf}OW z5`V#t<}X4D@yryL2N;ewY5`wDXwn(f0$?V6aGV+Oin~?xLymq>n(MjmdO13djS!fi zyhCx!NbuWipAG(urltO~y^^WUywcOHaz;_D;1K7^Kzf0YVWjH&x z{W}W%oHDJwc?!i}Z0SaMqC#T4u}_b^Jwrv7BD)o>X!go#a-?cGreFO>l8f9xP0{0l zrKq%jB}5RXgS$DDrIX_3zY9k4n;{ns8;dJu?H5jctEV+HPl{VUY3ggLTNuYi-pbQ2 zANnVs5m}@G6KkN$DYOIW+zOJu_h%tUNzlzRI3CsSOi&c`Y4;vy6;? z9fuafV3k)Dj`nzlx+ska->?f9J1D&4thX8;tJOLyu)_T}9SU>vzo=s9DaqTuTn4EwBYIbNn=&|3?udALSV`#O>+xFX z{PD0mNTj9vtavwAt$wy(ZkpoGshg>0mF>t%iDRUP679w0ece{^?VJUNvpma*As-tm z5ss)?LEDM)t)cez?+Bp^3kYlIHJ%s94|ct#G@Wm@5_Yz`ZAbV zF|cj9xFY*gOpkA=k&2;}U7eM|?!=-dTZ3MSl>2caocv4wYp@36CtlQWf`yomuB5G9 zlnGFn-3fJbd~*3^+sC_>+AOMAG%8h$5hdWl@9N{nc=Fu8Y+3GjImR}DyGa0R2TWHMb3liiO0pk81>hpSRegh)Q!cATk;LVg9=ekgU@m<6T zv*t*!YO8BCy9+;h-yqi9nR;}7G}-K-+J}?Aa-I!o&r6Ourj*P_az50oh#3USJJK_F z_(@0xQH}XV&#(3qJbQKT7F{oY(=M3H)OaV{y$L=P%)gL!5@yKkIMbbvY)!dWGUb6$ zr_KGwTKW0jiwd#yCU)wxsCS}?NjKNk-y|@9{!uF==p48bv7A)&p*H0H)=YaY51Kal zJps{1m}_q7ZNwSur{(6AJ)vzV`Lf~s9qDT8>+5c{s^#e^msr4$hzM05e>zBhr6WB} zG){bfkHflOs(k3?W)vE!t_4*)LyrMjt-4(#+xI=?5#F6)@S`7ji{c7MmgfkB++5$_r*zVp+~aPQb&B)CA5y%Q}h4^NP1Me==C zU!#91CwJ%kXpj&Zs$k&Eumb$D+2xR2;#g+uCNX)%X+)xCU#1VDfUlwu)# zkrFO#wxj#vD~=%Ue45EQQ$TfzVl0Q9H`UcpQxs*y=zJFwnzjDrv)Oh0xoA6Km90(} zz151-3DtMOW{j9~OsmW0NFr+JL_`4`b zSg%8m$Ce7hqcTHJtMIS20tuRx5K+AaLoGqwIr1tm-0A>DwTR}pzLEXo7s+>KmxLRx zG%AJ#6v%Bt<=B-J$_Fcp>^`O* z!l|XP&b~3_uBhIA`K9D^rLNRYpt<7Si{~8vyQW@eXH^fWzRD?NWT+bK#&M0at{8Pa z9x(-Uezds@S}DzhBrH1~Ii}zXD(TM)>X3ou$dz}*#T4wAMwp3bTbY}(8i_QCh$M1R z<*8iy7d(aXZXH?2&is2yZ&WMXBk(AsZiMhB+>}(+;#x*%0swl(`GDQ6qZ#(B*|7@W za~)b!V1YM!HR;c9Eg<%r&>hN2%OI=7pRC&AslR8pHM6lK(s-p-Id)Gm`r-3?$sBqc zOl5)QR`;>42~kX1v1lvG6jLL@Is)Ai6NdLL-!?tWbvpn*KhRo8nsv=94yZP;{yWUy z`6=HpoghVdpfPljgwliof;{18C~Ly2Dw7 zLDn7WH92#3$EdkP+e?+P1KEEM4vqgE_y6v$?W)UV?E*$usS>;un!cB}X!6ub@(1|2 z|BA91mP4Zo!PW#f6{1jGfz7GOhUBZ`lzR_3iykT`EHqvh&p9rr#VMc94A5Ufj?}&K zp!%M+n-YJ@1QH(gJLRh8_=k6_m53S?^4< z#!1U15-(^z>u#N8yz;jHe9^y1V@&HQ*==QU-|xG&CHw9H8Xv6bQ&?@dT47|#au+-= zYRjth>ofoM-xZPFz0kP&5xRgs#7|Tc{eer#^>S;4dX#oiej+)v0v!(>W5`S6%yM0Z z)EUkXM#wigti%|*8_wuDvpFyg4CGWw)#9(?|GeEhm_KrSq_QQ|4>GWglT!@b72xv= z_S%d!EPCtfeDSf8BrmVf^_0-J>p9jt;qHkAY(5Tw1>t$BnCq_9$l*wA?`W8fMflmp z-D$DTq_Ur7f5g~L7<@QvECW~x;x1U8%)N0$WaksW)1B1^Ll;E`(vPA_t^ERdH8xf* zRr2!@C3#Tm0i#?I(T5g?G$j1MN@fw#HtPfzx6>EENile2`EEx`L5ORZqpR1E_-6*l z%>DVDyB==#*3^$~T(~?$e!pU2Fh3g0Qb{U5A|ZLC-oqgvxTav%dlknxmQ)Uc^SM5*iId?x-mx>t55#nop=Z^c#A+|*2&CBKaSvnZ%~#86exONm+w z*aw_>veZI+wN-^>Vm6rM#2Gk`HKSqbOHKz33rse`q!VOTaO%n4w|;%N$FVgqpfD*NQ#P=6CecAd`OsVm!J)^FNcy)V?d>AWa)CSu z_lJxu?qajw60Qle=^G|<&}ZUSBKR@@astFm!aGKAYG2yQ*o-A>ZEihs3D!DX^$ zq}uvkqDcR-Fmntu%gYp|;dH)ZgplHzoQfw)h;C7TM3pqV&8wS?_pAsTh^@mcxz^2I zq$hW{wKGldSW|v-ASlxml+jexndyITugO-by><50&avcUvL2fFuMzV_eEZ`A1KASh zZJahxAz`{ish7FBFv_FYoem50A}V^=TBj-=^gmgwZC2#bRpjdPwto3|S`D#mTUK=@O&+KySZN89Y)ir^HZEdJ3kaAfjuN6rY zIWVJ8Q78|g9^dV}c_BAd1&Pwq;c}UT#3Hv|_G(hIRJj%Hv?f^IYu9i$Jn6VGR>@G9 zQybMR@{cQVgG%mw|M7#9Ja3Ey+{(+h;cvoQfislHi6;hu)&Ue5GIH7en;;qUonwgY z+qTxWIexL&=EmgRom#H1&x@T(s%;E3q&`rJDVvf~gk>+9gn)&{qSfL^?>_42j$w@7 z_4IxYAeBXZyk%)SR=5~YI>RO?QwS0!QP@QnKZ;&gj z5fYloiw_SS!{|sn$VX~kg;^nkzeJWj?e5!Y`C4_SC}8kUf9t)0==V=u4v!9=e<|E| z>(HU@i{pJJoG8rcmFLa451N&9LzX4HZU_TILudgDTfwYe3Aw%k2%`y>7JdpIVGPq$ z!qF69kezdvCxOA1#jSx^!M`JRq7U2)PiWM?CfZ#r%}``A!>b1h5PXxvY#A{sDioEv z<;d8yLA9^20N_1hqksg{nK-v%ci|IuV`Zi^~ovtKVLhb&rk7F`O0dCZ8z~@a3u%~ZuiOU|Ldl2cz)4x=hf0DL1D%iJt zni%dV$*m5LuStueIs1+cTzjIY65X}w5K#Ex#i;QqXD-fj&o8c1Nd+g>kblNi)^ywE z=B4fxN({gBrr8reZZ%wbD)>||^h=#9ZF)>tKzakp*{93!U3cXut3wRkhx>1dleVe< z8bF(T%PqrXSXw<=Hvf>T>tQ75sQfAh&-oqS10BjAf#QcK4dOe74 zi&bzI3i=(30};?P6=)Q9xQ?p`Vl#V14IsNPXb5Md~y^FEcHQmi9|ddfK`jp8tQ4vwa|b9soD~ch!IM=M$L0G+PWM5(LKk>f-L? zqRp&C|IuDP$33hOG5fQqP;_$qmgg9$hCZHK zH&Qo+hu%bgpj6Mx_LUXGkiKQjs@I7vB}5a7Lavn!VYmqFA92@-;UFkK$Lzy8*D?S8 zdJF#3Mq;YkodzrA4@_+VwfNnmv!xbQDH|DPt6L$8I~SqSMxPvfDZo>s_6j_-0)qTG zl*ortTVkfL#+1{ zGkQi$jVG-?rO)7Pd+@eB?N9jc&8dk&`S?od$U;caXCM9g%@LoY5s?@s-Nzi$?Dyir z^z8f;?i-jhkTnP~AvAJH!ZAHDX9hG$B;YiKP<8Ppc1_bS(e5zRR!| z8T$#T8H!FY|0%9(>gZU#Pl?uXXzp0iaokGv+4Y|IQGt*o4<$RkAD<<(&ghj@)ZBIq zyW=z{%BXTUQyE#XsYQ+T#nBSIsfY6n0G-=GS;cl1aF%qj%8+>Xdu4mkVjn$MIf&(W zGgQz1qxf>P`J(e3-_IqJ>%zV9<#`uBdh2`Z2(q=r93Q*S9I_ca$>z;&SaxzgS z6_l_>WD)-6=7b330gf6OZbP^tl+@!uIrcMK^$T*n{J9PEe1O^h^5;gBhFk$sFQViI zs#Le^Y}cY_pF*HW=TFDB%@1yJkr<@&N6-q{#-n&6%g|)MsZ>t#4ad%tgK=P09U4&z zam01LCZKU;gv~NED%+#FE0;2@tiGvtW-lX{rhZYywghpLfzvkNVyVYj7TPMZ8*(Qs zsc0|Pk`DRS_vW*4sD2zeJbRrJ%aSzJ2$+EtaW<&zTTs`Hdvf4u0l-XW2$hj;m6!K& zYG1AhU1O%$HhmzT{hTZJ^qHrP31Bf6tuOgLG4Mi5l=2VDpM^7!or@p!-o8FMTSD%W zGSiP}1fP#E>)_r+NXQ>Y1bODIw)JOJ6FsZWMd3;i)tTX5Ttbgl3eiUtyIo93#dU9G z2_8Z<7o$B3yL*~C9{nm^FCXC_;%ccFhZ*hnG;((~``b1&``6B>k+Cq<-g%TGRkFRz zB3sZiraPmeO0@wiMu8fu|5W%9RRKwB0F{RR~SnaziG*J#*3T^ar~ z#-4C6;_MJVW}By96uy^O+UqLMxOlJ%Z|ts*Fo88Ty7&^+Z9gB!WFWpesM52utIg46 zvvlxyXmgWW3W!n*Qw@X=qo!8f2p=Z>wKQ>OU_R)$F44-F#gK58H~k-p;dEVX({5~W zM{}>)Nqm`E{wJ=ak^nOvQXcH=bh?sMHC(pfq8%IJ;Wh^Nb7AuhN5KX<78E%{$ zwT@i9TDdX*pZb`uZzhrd%Jt%w72k`2?ST0IKQa6Da91o#$`-THu<2k) zrK=&W<;%H*)`C|hR*dSF$KOKq7Q8=unrL;+DEB8FX}%!NugaIsPw0>*M#s=|{Bkp? z>h5eMxmuUa`xNwfi2H1X6ITXY5fp}8A*2;&8Fi@&8qKBEoa|bxVEa7bSvcnJUG}>A z!_&M~%@+H_jCr@xUenBwV7oZLB5e*?Z;noxZyJIp@gc@}}DZ+)7u?uPp zJ>?;GHF!P0aPQQ6!Y??cfSn$+(xfkJ%L=yVzJDlC4~sy&QpWK zmEn}$O`R0VjMIFvSJjZ(5x`(k6=eqo^#E8O_2IE7q1b$Q$P^xNc=y)5}E?xWcf4P7iZE7aj zhU>BUX{x?p;$QvtIxiA_&d7ucvHp*Qks*KgKa%d)SU?lMesmrVAMA0Ol*0k9NYmnN z@$W!ey%?z}x&KJeg5tZEbIURfKRJzBd|sbf8N}Q0K6!h`;N&OUudlh|j?Rtm;g0sR zL@Qbv>5b@<)xoy9u;IgPchR^(r@jRJmwZTo7vWQe>`l(X6m7=qsO66>0JdX2@ESbI zykl-pEH?9fr_*1x`sOzBg>wO3v7|x0TwauLh~%HH+@d&#xX*VqMubVZl?I803ehLG zmgFvfufgxpDw_JCa7n>vpa+4n0bxl2{&_6~X@NaU1N33;bEQ>|o~~iVyLp){ZE5J} zYdvj|D!S~8*cVPy(a6S)n4EHg}ppmGfngD z0iTz3ddGO%IQthd_4lyip@$cq@XAYZge8|{O84mwn2AWXn~xs_^OT_x=qSwX)-+Fp zUa`=h{^)FeN&TJ(t?x79jCY(4;BV(yq~9k9Kf6brO8Pqe;;CsG;*T5R9sEYd^{mP; z$%tNDyVtLu+^y_qxE3`l7P66^BFn?r9T5LcR%9!BpVoM;tJfE;^-jMba_cR2Y%@lD z;PW)AV{5wl4>hOZ0{bWOs=is(-U#VmBGe>_oDz?57wsA7{u`Ce9e2-V5ZA5Ebywxf zj#~R=1Q$DEE%=Q)tV{yW7Tb;E8DqhjOJrttJut z2E&{Cp?G06rhbjMpj&Zjbdkg)fgMht&2T=|bW(Grb4j#Y;A>!3aV2z55z_Fnc&KwV zq(?`9=xU`^0omAPSQ_^C4TLlkD!|3}8PPWcoWMcfC>jWWuiiZbsU~&BC+f_-^%QEUQb8|D;P`^vR@IsW3dRsChR=C)&gHN z%5XQW=ET(%%;#c?oG3Hrfv+0PP%JBC!JTLvVv`$a;$Da=4)rZE>yx8zkc~K~45=1N z?S73vt=jF`mXUM#*zt6seLvhbAelmVC02HK(M*=5IJ<-sWQzg(T&{0ZP?%_IfssATmI zKex1EUn2KdU||v0Axw66&&n-1)~?20EV?U!s+KSBAAe-%vZjqEyP(GVs%1C% zY^FcgxA7+7_$3vdVsnmycC`!l(Zx_q(8{UJB5Y%H$y$;$3ja^DK{=E$O>yO#oKT`;SJ_6gTGXS-9iOZn3>f_1TbK-q3~GQeS*Y z1b3xbIYxhvJiG!}J+$XQH1mbEyeBten9i261OWm@jwzvb+6G%K5!mB$ZxhA0lczOB zo`n>?zFB?m%Xq%<2^8|^bZLgstMIf`nWxFLA~Tt7H^(6ij9{psIFt26b;Q#Mo1ZI6=KJU4lY;C_%mY!DQIT9V z50}Qi&x!J(cKsyw3du=3YN}q-wlUvjjD9L>10O8}YnX|aMRD|Dz_R8Lo3Q&Qgf(lE=d_Pd5VrqJz`3-<)F`LiQwVq+iu1 z!02v0DCEt4F-1r!3O6I_uR=h`R+;$02z0N2i(#9;j653~3wo$Y6=(^)y5 zX~)DxJ*pqNTFzH zn=E`}ERj_dm8bjv3Fa3rDe>{O+u?>-${fO6jZ+c?rirbM52>+qddKJ1s!{f9K>>c4 zta2;kj7y7xLSn4-y;H+(rydMZDD92Cui z8O+94I2YivJn;j+v)e3xZA-|pE^a_< z(pU-6vRJA%O^8d(-LUeOP&oTDU$u*fZWB+Q%cF8lzHD zuDW}2ug6S=@#5taA`~(@$ZJJcIHh}`vdf|v%CZd>B~@c6vf328!^4$OEzPgiFNB7< zuWzKktb|40$W##e8`B}$TgV+x|5hyID~uewhFXrxLSh?`1})f?F?e$I>Gc~`x2|?E zQ+=azcD-MsPqS}`eH=;bdOIJPE0W=`@0OumC^D=0L;&;f9od3x#>rr{MQ*jJ3(5S# zO5>0}bPP1iEQ<314XcOdf3t#&ZW{%cEHTQnua0wgI&v*b*cjbv9z~M|+v}Gohbp`6 zob-&Hop}t(fA>Wvqcr4oP=pSG^!4D?%;3(0D z*@OsCe%IJ0B@fZuMEs;hq_5okpJYpY!&Bqo*>5T>=;xfb>i8S)wl*;r{kbmmlW{i; z({EF$6`r`qxOG)Qom@0;@TOk-aTFCPSg<<>>5Nyq-2w}dFH3sgfTOMsH}6iDXJebS z7$R%Y6MEofoJbN~FI|#g`8%~iNn|$DX7xQ&@y5-}A?Y6vyY&AUp8*9%y+%}xP(MF? zphc;M^=N1QjF~2CNi4kSG7I_g)X71JBYN|uPrT~c$SFh5+zegZA91?Ts7D*=>fUPj zsde@ZjD&g|B`f7Hb_=7n)tX9(#TQ`mN5%lGs{!CU8I7=5T8X*JMCNoDRH~KM6bHho z=}H@XXr3hAxrmXE4AyBR4!Fv`Yw$YD^O%=$azOs=*BMBXmWtzGj2pypxVP+>zv;;M zcK*pt(v4&{c9zb3we0Ov7iGSO%T%DuOqf{T6KHOqg>SQRFlkjeGAbyCOUI9AD# zRFh_XExF+1`ZHA%V6r-r+fNvoI*Tmh?on|nqsxd$IsatKRKyx|&5~2Nu2TL<3MOVd zw~R3ZA`8U(g{`^vrqsF;aksX)n(*cfwO9-3z8mds!Yos5V_rC)rHhHz@+0aWifRJ z4?n;-Sh}K%9;S-3_rT|0@LgQa0^RIP0WokE*tc=k{u7_TuNFb9fP^Z9f~}@bgCW%uHtt>G$fHR;LKi@%~Stn8~LoWTO z`bKf%w}+ULxtDd8y^E9%A+`;Ex%qiA)$QoIK_8_@(n0ID*hCo~CuLS=C*CGYL8dG4 z{oZ<>@JLF_a@8}m2e*}Y(pVP%ZX(Zgdc5BADJD_SKbz4v(B0cgH3VvCe`=c&^LN|8 zg@O9by3iSqljHhP)1R^*Z|wULeEz0}myZ+%*)z8lIwcegOS4KR^=8<+RmTnO=uW2j zSu2tK{zR!HYDmW3C*JlyY1{voIaaNBG#^b*5Z3+_y;2jOBO_5p4wnpkv{Y8XH(&CE z-U9NN!?xL3>&g+d-x8r)GZ`1e>L?(U^>pZ-XLn$f?Vn6*7}wBb(ItyBkrjJ*{iZ|m zy5AkVQw!0#q~7<$tL0yT<_~+p5%>)tK`8>+dn)n|bxsA8`AWc&vp``UXsN)hS%2+{ zCx9kEuD$&%K!dG-12(vA@nzQri0gZ2t%Rb9YuK*U#9w02mBs)0HsF6c&An(A=pNLR z2)&C#0O3}5;m{rXsonz)fP`t_{U3=^7=WET1LP#OmkYQHaGt`Pde=|%44)z-MUAuL z!<`~04bBr1&2*cycg-s6+Ijk9L{DgUT=VZ|mx#q=C)4zl((M@Aa@SykwEgb6^Lc*m zS$-N7L5OU*{izEj&7CN3lqAbci0qb*x(na! zQJnOj7_Z|Z`hmulTp!eNYzHz(WTU0>)k37*4kN<+Iokq6=WTvqDfG*JzRoG4v^{H) zr_tis9HwShHR^_ydAwNK-x)DE(tI|&?z4SyPcR2?BOs(7dM97yc*H6lj?4X>7XE{4}!~P4+rCajHX77w#-8+v4RMnP;l;S~w{+%v?-Ib}ez8 zx7ehGLCD9Xn&NngOR|B_lnF>0z=JtS3CiKq738@N~Woaw}W0Lqt8gf7M9*>X~;(eF4Z_=dElAGvn@VRqwbw`Rc=AzJI z)|6%>-b<7Mfsj>lCJoZ1*1{z9Lt1PnVSO@#(ciImbxhqEdj|Z>evLm_l`s&h8CuBX z8>eRpsrU0)liE7T;}F#X`rvM9ntfn9WjI#T#F1L=UL9r|a`-5TZ+uw$`W@$@rFcdr zntbzK=>+Zj=-w;ReB14N(MH#XE}hF<){vXzvK0z?<$Qw)sMgl~`?eSe`L}%mq}~6o z+Fm2|W+OGFQ#zW}z_8y`jrvcOjwjMa%ETbPg$2nimbbW%f*Hwge;K$oLC!Kd`P#qH z*A)=Qucp0J3%p`n+Hu>FM-6K6&qId)-#g@Sc(f9r>|-pFa7^dJVQ^52__xCkgZfI{ z-h2OKcjlxHR%?OGlq&SefW6~t;9kYAzAtA?EY9=gMw~=ThDc5%j&zi^$^T4>_q~@| z_eJY6Y=_aJ_Oo;1JuQoK8;-W-qyC;?Y!>6|y_N#YS&Nmu?>18^`L4b4ya^_w#kZ#~ z={D81WUVV5{Gy2ee3LJfa%26o1RwVk|H(e_j*Cu0C-wzV3(O*b3OY6)KCL-SD z+njn)Y0N}K?c86VSfsr=w4xaiX7=qk)Q*OM=nl@*Lf)W{46W->p;dt2nAEe(oUC-|H}X5A)-QZVd1)|Q+ahvsK-L;@sI7^ljV>im z4jIy&v}@iuoXfGPdwMd};b^yf{9`RlO%oyns9cipuA2j;%mAVZo< z^wD?eCSgU3q0Ne=+NHX!xyfoDZAVwf!;=YJ<+?Zn8+cs)OngFBA~|zV4Te_!L$~cT9KoPbY@J75?Y` zaQ&+j(;)gkWB(?V9+vz#WN81Hil)LDYn}J*4tIk@%L|inBLt~O+84N9KfB(v=P@xb zIQ%S~v%&j;SbPGo(tLsYpC|imug|}6yrF&M)v`19n+P~LWJXr%J=faiUfFAZLZ8Rq z_mM<_m=X$-6i0?&v$(R|*~eP&%C7oDLKjPF_GM)rb}&3{FgcSOQGCNy^;dc|Q(T>Y zaQx7G7eYl|YhP3HEW~r~RK9*trehLkw`ek407GP{X#TTkX@;Pu5XQ8rN?jq3rvNg9K3dnhKYr9^$)@TxaH<`rG$ z&-kDtbxq&tzDI~aZ*On6-`>e467+;^gS#}H@UUrSO1rY88MUC{dl{HtI_v>h%)PS2 zVp_2cR?<}z=DePYD2S~vy5GYqZptSx-NZDshnZN0i#T;uBx-xSJ4OH7FZwK7qR1uQ zP|c3)_|xk7&GjWWUy5!#Pw-A1ANUavMO|5W-QnC^{S$vsMl(I?b~H^J|I}S#Yy%3M??7&9LXl5Wo{qD zA;YT+hum$1N=nye-#jIgf@aC5n7INSBf{-r7=tltCS|T@m28ei{&LJR6~l}YVviWK zx@#iu(P_NpweQ(;t+z>|BJ<^TKMktsy$B+$@W$&BGf$1ki+#fAmN@s++QV+b zyE_=$XlU~6B|O2( zobQrlL$J(wnrx5_?!X7+XufW!bWq9_7=lDHP*|Bm=6R3SGRudn+SpEglh*RG6xH0! zR;ugYDvl@pezCKb%L*9g*Hcx}%H@;11|@8Q#lwqx{h}Qsy#*CQWtu+=QxOIWHFzuiP?Qv2^tU$}*4XJQXEXf6Zn?l%FZf zgu?m=g0U7}tTDIQ5=ta;wB|EpxrrPm=$z{7-YN8(JA8-}C#q#T+J;gAH9 z8J<>!KQiv0gw@(@9d&e%rRqX?pD#cK>#d5eXPyu`L<*bs>{ZsQ3}DqJw>rQVT}K_U zoW2W3RL7s3HBNlI=QEt>9+Uum)Xqd^i?S$AzSr3ItNi|&S^|KzUeuq1)Ln|ShitN0 zw?ZY}H6x(hn9NMB!?I14YHnY+m5-*7s=mMztn=F9tMJMjSOG7w|AMDz8Nuk)z2VM z5Qg6YDPLbG*wOEW`e=q)$Tg8PgXDC>l&m?ePsO#K?$Swm5dUP`j*C|QLpB3IK`&## z&22;jN;g7g<)Z-dgEp~PX#x-CcMpHvAC-Ni3^B}`ZVbj<6l;_8(Rr1BFwK0?JfX`K zuxH4`j6l?GJLv1(PiVzo^)+Fs`Gakr4%O_UL{Kc+1M)W{=W*v>2m<}j)OFggEF_^W zb=}g!a^YX8rZs#Vc(@p^#pnA2p}0Zy4Nsvw9U>Z#h{!V0Cqn+EQ;Z_LlVqKtNzJO- zbhb-;F9r+w`@}!qy$+8qx;Cd0y3X(sTa1-^U>uL;;a6BplHhv~P|q1jD*s$j_w3`f zi%O|EZB77Jt^^EZArn7j0(zF-@Sct@Fh1T*Kz90F5xnow9LeWX$|Scc(SVwTBFAHX zgA4#E>bT}=kf}uYb9_8kY^y79g9Jn+QOE5GhwImsIs}rJW^9$OV9UA_irx1QKBU?g zLbtn@*EnWvr`w)>5?hGWH_%}44lvNDv&)1d=a8+o~p{J`=R+5=hkYrAh7T1dn(@PkSHr%x6R3igia9_3Y8A3U9XlXLB}^tF3X zaPBc(k|iFUBU)4baB^d=d(BaxMl&kBQYpBsTJ?kW2hBbET`5e;%+O$&`nUOri`Op* zn`qbq_M>A(QC0f+biTf-0prPY;d(Qsh|5x09kN>6e85&?qs=X+^*P!0%xy1P(zN{% z;SObyqTWL%vLY8Kr9Ap4s}8<2s=#Kjz00Xf#{{2y4w#e zj(%JB$R+(%n`{~DsKlKEJCfCdp$)ijXp3}bwucf<;H|C2O-+YzjL%4t{!PK`kz+d5 zWz?=~6(Efg1TYqI3^%_A3m#egg0FCcDd=mVVU$!#3xW9#r5-oDoM%qT2c$&d4@q@M zqMpd1LAs5VX==Zyd$KlqR>S8iOPtqkyAXeU2Ile!{d=$N9@Ab9f>&`s&Q@z1050W9 zF@OCrlexD$1_#wYfS%DxaI*d#fDGKrbyuf>lrS>R?pa0xGVMLR!1p@pxorKBa{dRy zsT7?N@L%6?D{xu)3axApyn_Hyhhv*|xMKjKRtV?-f}lY=Yz~;!kLgO45l6gTfRx5U z#nHL<@X@?3S~B1oX8D+N0)RQpZb)N8T}Urk8AH zDg|P;Hi-^*p#=fR!#D}lYnRq)L7LK_pk!i-C@5pHNH*UGocg%#!eF^WZNtm7HtDk1 zuT!xmRtwV(Wu1(0@?G*3E1=UNUM86WFNA@+^Xywm{YR)Qe=If^VvZT&-`Q-xGBG{X zVShb|%`q@`>6or5)_9-aM1Z`BlJW#70ZEiDKz$*LIRntq|B`i%@HE4TX_9Mr`5^Lr zl|_MrM&2ijs}fQ*Mu&_8MWriqf3X6cT^kOqIGvFK#DnTYt#uc-C39YevWrQo7pmBp z`69U}dil`HfFQJuj!2p-Ukc1a2g>KhE7U%zsm)ieEoZm``pfJ7hgPieHu)*?1B8-G z(K!hnD?UBsp=oY;qq3vqj)UpgGIEa@ky8WTA$7&DP&az%s3p5Om)CTY7tU1 zu+6^Kd(pPnKmrt?E{kZf;0#0X& zEBjp}g1b_CK~o~3`#5Iik^=fgVL74*?{%}bjgZQJZ^1d$et+L3 z1XNCzDbkZ-Oo@_i&GtCm^LEZVUCzkdRN(9@I?b*VTEYBvQSY;XO58ZnjZ~WQ;udIW z{yyg4zcsemkLG*Ds+C$zO$MZKx=1cj_z7y;5=hjR5<50*Sq1%tj?;;svA(zB#ozqA zFj7|i@s8P@cCj-LDpjZh)GjY{ zeWe-U75x9$HoODP?|$ol8rnIqMc|L_Qt z7&@PdJ$SC|`1)GZ2IrG|ryt+uoXrFZmAe#yi!j3tvvBH69&i!S-+z*# zGQ67m%U4yb0lJr~KwmL7in^-Otr(m~%R{>~h%?^_|)r1;VF())}|xGK%}meYpA zj*-^YNw03oee-#PUZLv}UR2DDuRB}lP+rOJoh=3C!euBW6H$NGVD=#-egM1wm<|;V z2bu>3Nay~NDxyvh-PkqiUT+BS=)~~E`y|SE{xHpcw4R5U;)+`bJFYmFjy-#d{dQC^{f|bPa%E-h>Gr z`K}z(t!AhGWTL=7fXnAdMN(b|z!fL~-fAL)j*yGASOApoRY|2a_#e~l>V=+|6W!_B zZK9c+1z4g6UIKYTHE!3#C1aJObwO$_9d)3!buSJ_V}+kbUO<<*-s{cp2W3&D+49$T;kvO z*?edQ`Zv4e=`~M6*e)k0(?zE^2HxSQ&A7*Xg*R*(vRg#Ca33)I@1!N$r$DJOiXfZO z+@Rb(mh2uw1j|^RlE#2clogwboH=2Pz2EnG_6YU0Ay*#xH?DK1vKXF@*U#1wF6^GZ zd27>VEm5q8`&O?y+)@|!&m$axzi$Q_up$bM=_+i%N5)UK&V^!zfy@cz5xeY>{xMx9 z=(i08LBj&Kp$3pI!GpAq>8u;!2OnDLHjxL82Qxq{^Abp!6wi@)kLfO8#EkF19~-EDx7?_! zz>S0!OwGEpzmicM6%Fv10y3}qPX~0z1HJf$E?P!3vETYbhR!9*tRx*R^r=@Y2C1bf z_fzK7F#QQO(riSvYo>5Ag1&MxlOQPw@Q#fR%%}lUI7%GQmtN#l&rJ~gn2w84jphJs z-_(RR>EB7r3h*Vs`^f=lA72jOhXpqHjDxCFK!p48FB6ghb;&EO79+db7@mzUi)V-p+-F3b-OwQ_j&UW0$?sap~%L?fHbJQOs!90xO z4$vN4G90qXZGi!J*Ehhovq?D1CbAH6Pl6J@2ubZbsUC8*ez)LrmZyW&?S-7F9(y4H zZQjq1$G*I~-9iOmft-XeS@L7qRDkThD=G#XC&NkmztX)4&mgb;WI-9-L zZ)RRYeosjciF2+-tbU(RP9C2f^pO6NRr)OK<`ql*(rdt1v%@8KF+C`8nBYLLS(@-o z1ypK47&FON+0kf>T0?>vKa6|ST=mjuq&&O|4b95x;L4FX?XHNL1-j5hTnhk7N`#bn9hR99rC|w4mSnS>aC(u*4loGKNX4)GP!gxXLWuI)T z{qREqM`;XHpwN$oUM`GBkkAw?IGoiJBytb#*v z->BjIb}s)QxHp%QJZOefDC&8o9-tFzX&>OEj(WcXa=L%^9<|k>lpk&4>uF~v4XAn_ z1GIw2uBwDn4@q7G<{SiG@HeyeV1PKD8N9*`C0`no)~=bA3HB=P5kBJJm@|d!>YegF znHxA^Hc$s5$J=G9Xd!#iy@2e1vmS^Hc`#nVVKFXHKlCWolfS4@XfUuovESahwy6HjxxW2D}e9r+Q~uTZ)kQTRE|;=aZYR%P*t7F+|6zgA*+o96t`HldnoFu ztV`eGeUs^EB%SYlgq8Q2OEZFJ+Nx4VMnN>62`Tv+jPf+i3N|p+rxH#UX$h6m$u4?7 zEb8CmR9E|P_~}h3$Ii>%EBo%s()y50f0LcMJLzlS?e0-HfeB8L`2o0!925MFYY*E3NV0F*sE1NYBE>&I254vw!GBi z#gJdV@IJUC8>8290*u~12wlM@?v%@7YiOW25X)~NAMbHi#?7`68{d}lvujViPEq4Y zU4$;A7qtsa03(&^(R!6?XZC6dAzZ#h>(Si=m$DRXAHFOXBx6gN*y+Ss`b8e;tLM5( z_wsEfvN9aml`{Em=sKQvOcV;*CZD|FguSi*utDE9t-I!Ne8=_)Om0fJ>dsT20ktZ# zjPQVCx}^dtfJoJy*`#L4{h>A_44?IY|87TZUwZw=@6rwokyj~~FxO|$jp~PEH+e0g z$Z$6@F};%7@S&nAMEG@%n#t=j{O9x_&k7*H-aB-M9wB3xlZuo!d1V`}wFb&$!JtSq z7i}+m6As6GYlT}wCfuf3w%9)Z$4dP}#e;FvkC`IIMq{<7iC=iXay13}G$c6`2WBf( zQvgm@13tl(gaE)T6>U;is?r2h06}E#^E}ljJ6=!zfr?zPVq_v}zZQ0Gx~J}7MH&0? zS$Mb7AU?LW3h4XWoJt1n5B^SIam0hYjv%TL1+s+R;{XA4F%C|rH<8cv?az9Wg?G^eAX1yL zf>#4(o zfCiXL4f%CP$5{2XX-lU%wg% zmnmzueNd-XIT<&R#V8~6sjV!Xm)YQ|4@7o4A6W{hRRVn4?fFO@XCruhV zjii8qAwFCr8I$g>4FKZxo}@0kOcT-5bR775wr*q7=kYRA*0|L%jEzx%52y8cXQN9@ z?wE_58b{^7FivXqA({$$0t}7Q!J)1Og*lF#S3U+2=MQe)wr$Tmb*Xi{J=&#bUM*H# zJ=J%$$%r~hJwlS|NeT;xIBu6gA!&HuS^{s+Y;YpM%G0=y+m7)mPqrZe`mCt$es*+F z_jv}sYhTfORuez=>fJioL*@Ay+48Ifc+9t4cddQK_nn@9?ov#g7U0)^(tL3`F74C^ zLS@g1=0=_PGzun)!i9tZQo4Vq4l46bxGYZQoxKNNqtoSx zoDZ>5kvt~{PZtmhp1C?MwfzVy6v1|+SjHPmw#7xBbh@X@w%8-iNk)`Z4Ls~oY(mm8 z=D<#1nIvu{;4sa;hbRsB0C%{P`)mj(BP+au=8(1DThC(3o;%u=Vbz~!nRjrjjQ`WKDtIC+M4>4*&$sY;#e!_oN{-SYXsTI1m7ZdGot22PpM(D5jB!-G#jQa4~ zG4)%QglhcP`7^!W@sbN?_s9#NQwKt=<2Y`=nSbf*}Pzc}XV3}Q_5#Z386jCH|GCw%&@!LlwBkM2f4b<^);o8yDqJ+(ytCzLu zpr?G@^(VdjTBYiMU}gZ2@3rJu=b(~NVdtXGpDwjuCE(}Jk&#Ee;7yJM;o^GyKm$k~K^V`Teq9!;nI!+Zc%dEo zc6f#2sPlxC)YWq^*0hwsYxKtb;I z3BKxo{tDqaQU!1eQJenMacF=XE&3GJ!+RTmat6{S1xv$&_7ghO{|qyTfT#-=LlixH z1D};;w+f_|<^n#l2ypUYHjIwxf~KLKl@v2}V2rlR^2|O5y#E;E8EO+LvbtiKHS0Bo zAes@{a=~Nr*X=?m^aJF{3&w{8k;giYyy>Y?vMvr5G0IyfK54tc|Mo%NL&5}_*QgYT z$2|$k?Lyrrj}}f%@$cmeSLB{Ksz`I>ZH9)G%}gv;e=VGBh_#hvtz=Nw5NFnMzTNQa zn9jAfk?JZye^T_7_2PM_B)SZTc>HyjjL*~J=lguVcu&s<;G`bA9nHZ5J61q{`)NNt zz4Ufkp(9P!02@F(BJ1YCJXs9HWz!cGjHe-m9X?#ejmX3dQ)#HPiM|tSzBj|A-#`@1 z?+zEF%%1w`6CWDJ&@C~~MSNvZIzJWrIp2Hx94oVBoyFhhQ|xx`N016k$9xEqx|mI7 z?o()UpLH7+dY5-3)xh1|{3<)nR_2e=TPL6Ah+X?(7J98w)%EWS2h7vo|Mb7GmjC>! z8fjvZG*(Bav=}ZOIsv~{V<40+NsHV0@aSRZZDnO;j=1M)9naDCnSRqxs5)Sfwp!x> zUN>NZj|}<%Nt2b^Ma!09z0hZuR>Uo*&U+5Fi)s4r-DNk8z2hb}G$r}Zmj6GyiM+sM z(3{#g14WvR8;%l1;ON7x0hm>#g)FuZv8MZMmy9p=C9 zPAJPiZ1kB9pf8X);Ut46r)ss@nFI!g8 z9<99&c#}$B3D+!yZ|W(UAav4yNR1n5etM%r-{aP^RLk#vM)k{m)!-A1qh=R zPyOR8`j1xhKRAM)@RCJ)*gKJwKw|EFB3ZQWV47u;>HQGYY%V{%QcPw@m~ZEaLrO$e zqKNFNx*zmfqT$2v#asaH=##SBK9S;(0JyD9*Wky)2arm&3TvpD5zNc@$UoZy=Qj}-u{^K zs5)=sSw#)W^KXO@UGQ*;=9gQsDN1R@PrzHH{oA9@SZxGKX^m9?^22M!WJiP?-9Dy^ zg|VW*L~dZJFycUIqZQ?KS@;u}%eXnUaD)ksZ}MOkwB;`#Wxmact4;0mZK}K*06O)q zFmP+N>B>}V->-zT)v9qC8H;0(7cQ0=*VP~8FZ1eMWYj&nZ^P~jMW+}gCw(SO(UkU> zzr*uD6rbi8AU$$R6PSerQ(sihFAzloz)HqV!H0Za^H}OU4G6zGq@=tCh{6Vah?`aA z?0MQFi8O%3QtL=*{RSdkF+QfVF$W-|WKMZ_4tNOl8_mc;ZdfzuL*2x1B`Bp9vxmTY zO%Q}bdU&^F?r(-mAQIvKL_}2{2 zrcl>tJnAjX@ti~Dtx+&30Hz2;(_DeHe5q+JH%Ii! zuEf03eTDA06Wzshe!DN3-dnf#o~Frp{Tr7J_-75s^~liu4|*jO-_-@JauHjs@$lxa zikklWohz64#cWshx2UbiXl!M8lJ);sD-xW|Makho+G8;5p!M5C>=c0EmJCv~{wH!g@4)cds$ z`Rdb=ElYNv{7JV55`TK|UJk!wy61wK;Eh^Xgs*mR#Z{F*Ner3P8EWM)Pct=}jLX}x z;|nreKPtas`%+^;_hW1DC79MkZS_Ju1@{g4--!Pw>nO59P zpKdiqWJJaEC}xC?IQ5Vs)9t>4)|W~*9}BNu-kz9N z`2Ms?tIf&%*`y5%EJU=ZPrQ4Py-cMTa6V)U? zD-Liiq1n6Z6~Msy)x%!9w=2CasnysE32*#y#<(Z*chNPz@0=lbKT7AK5i)v8s)_X5 zO9I{z@i3bKqn157i`F=$s^@gOOE1Z?L|MSaepA~+Z7H>LPhDlXaAhrZ#ge54v%w^Ul$@)t)u7j(X5F4 zL4JLaIko*32XPZW8xqy>Yg^~)Hbm*N(D%M~^O^L{0rn=&_Eo2!(hoc}66d?5E_)*Y z^?S)3Lp}$lW=4V}i&1x~2opny|tYCQX5euU9!Fw2u zcLbMl6mxdOZ=_ZjpiON;ts#%h6-Z^!DshH2^Y1{GGvW1ToFBa1b^P5bT~eH5M@|Q) zvIZvqSPA6z9ml7t4L0`*4u(b%j!b9#5n@&xEfTvMLjJJ#=I8|5_`X|%SPq|{0uz%R zZe$5Gi;#)-uoTJnD07?aD3fi}FL#!(wz9FZzL9eMXO=_{pD*uO(}$|3FG6FRyZV8! zN(#7;;Nw7{$wuC90An8)d-tP+Wp59sSKTxc!13At2y76klYMxY$#Uy)B)=$Miq_Jd zJGb~VvQ9MgEOaPUUyP7=6HRx*DfJ^AlhY2pK!$7zMhYmsf9@CNjK{9chuJd(K7=0B zr4=oYU$NAE&=KOvg!XjbXBm=6s{Y0 zrehhuF_#*>b37%yvSk@o^yJwcGBeO|@(n5jv-hP1NI5`&B&|l}VZYZg9a}1mN9>*5 zHG52kEgS$Ryi%3EQl1laN}HJPz$~ZM8fovG(X*>5R#tb;WsmN!IRjWLDrN9r0)TLv3v99YG}s5Q>A~ z_wt?dJyOj;p}bYa(wQz_p~MM3X_DI6qJW6Q?&zdDgMh) zPIPaq`5t-w~&1rb~ zh{$~L-NnUZmDWvq5hlkJ}O~8nK!6tdB4BV#8ciLXkJAG#+;6e-3K5@Zc~|Q|_4V z*;(;)xqLPVoRr)n@5z=;)D#+yckyYrW1&*_OAnJ#D7!qB05SlSEX+0#0IfTFN}$n@ zXY-Ut!P?{;GS%e!Zs5n3IMNh$S?^fQrXu2b7!HA}xoN=3N8)3UTGDsVh}eYr&v53pKmrhRsJ;9QG{}uxeh) zJ#nwZdt-PkCbf8W!|Yu4qMo`b3K}HJwev^byOek`FixZZ8`TztNK(71j;8n7);9#I zT_)bUzP#Rc_0yHmL!1#PVs2Y?14T}>>`Dvmz$4@+QTNDC(}=l&He}EBeFAHy|84F? zoiGOvE6vz}-lYPg=?;5zn0}9ItkmTLm4fKhnqGOYC;kVyGWkrLpV5&QJJ=RpeYvhA ze%qSJA#fRs?C7=U6=70g>t4JlJn2i%$06GiNc*a~Bj77?KWA0{k)l zsB|C%8Ue4WEM83QU;Pba_~th$-ChHT-lk0@x4Gn5A98ClK0#D_6!1&JAFoU%^a|vc zWygJ<(j4^9l?D%QWLaS}VHKWVv`ocbFX1ILOE)E4fRLO#~ak}Kao!Lnz%a$q0$Q4P6~|r ze$iJ_f=JPe(bF#+DWT1D>Rx`b-<&`Ga9n!xsx+2|auVzYtIMYVakzX~SdpDA5M;@> z`$vn4@aJ*e!I7raWX6hl)6H+n${P276AP*==53){>T}m8GS_lr7hgGqutrCmyEN*& zkQSgM9{+@_Bp%&*k1=b2p&clBqqR#Y>RFM!w8)yTDfU1#2I#4oxO2lp=v@$4WS&l* zaG>D}wjVvuJnhL()ZWA~B=&P&V7nRnzGy*=1U;0L$qQb7=29Xj7rl7>YsX3b!370l zY&0Feq=*?$pcBK_OOgqP^*|Eo04v9OdkK>@H%qgE|MUb180}N%9z~Vgt1V$DSfIA@ zPDKnw1MnK9J@&G=P+36sSSmq$a$)r@)bc`xNcjf)mD`0zE*#%q^O*tB)NjzjNX}&Q z5An>LU?}#nlZb#s!Z-}k73>qB{zz4+JG9md=#NH0kfQoPnSquDZ+1ahltz?Nd5b}N8UyLkBQ8H#?x@RaB zk2t^khs?qZTc)Qvb6P8k1R}@+^%|mJ$! z))P8~_fLfEy%AI8`k10By7AzkMt4EctZFy6o=YZ->9$K3ql%E<8wi?nyDQT1Ha?mO zpjA75U2A2W&TvXpye#zu6}BH(g&Dx~W3XTm7_&CDVEn27T=%+Uf1df#Z9+fD%7cS> zFwd9?3;$p-Vbv6e#s1DuAG}e;KhHEoPE>uG>T)OvfU<7x0oCga_bq!Iux;nnVnc&t zcbsyT&P5@v#`|6;6b-zRbH0-FP5#ptNW{StuZ@j;fWM2LWH+y7f0`qOzl)A@(}>Nx z7ahc`%ME9pK-*CL`m48prz{?n&9&|?5ttqXy5H&NGdwv4O&P#SU;#j13-C?u!d2dqy9mg%X0&f;G6nXb z@Iz_Ir7=_ogWu8L zUWb~HAyk5G=?6E!vxo(elV#Z}-g%)_11RuH=-9Azca;z_>-C$uXU~?+V|$dyd`3kx zxoH~OY^9xGsTw^9&G)1zr8i{rCVl7{)Rm$L0;U$P^3Fra=&L{#A?|uWzsm)vj%I2{ zpxeReVnvp?J^Lh3*~yYH${J;uVno4G6e$T5ZHgoDh+_bh6%PMi;SUlGx3aLVT$wMj zK^Hu383rYcCfD&Dd8`?A?HX1*RTg2^u=DG+Q66v2wMh<=>(3K*#%O3=--!H{H{2p2w=2}$z}*A!GW#a?Kbu$Sz(!)=J% z4Z0-AtQ*;3A-ZG*Auy|ihtpi#Qa+%Uz%XaTik7*1pj zI5facJn@q1QTo*CLLzH=iq4uf#*KRhO*0#P@G}y;Y4<9jczjh_Y~)$=x>9ac^y;g8 z@RcvPP(!+VTM6KE1)7T9gXLdmy6B?48C;_pyVe|4Y^Y6xfF}+M?E6!KAO|uo)#BJ| zX)+Ln$1ag=V{X<5}YS$SeasjU!45EYr$fdyG5HKgx_!8ZCz!rKm}Q_#;1J8PHL z`zX>sc8T>j7Z(r=d}6CSH<=0XKEv^$g1_^>KAehXKhO3h2JspY+^v`$r9kk?tUW4P zH9&}o1kPO%Ko6-~R0NIa8CQ5^nUkzEa6xZ3!%Osg4Aii-YH>JrXFzQ-Zv;1KNpZ!M zus3A12faVXc7rj$?UsW|p4nFn%;9R=cvpb{& zW8lA;rDC=&jl)+Z^}dcOb636wKuk~x1!%f_dGXN*dJrQ=&HmsFB!+N(mMLbx3byqIo)FteTv6twt6~E*bqkHoEZ%4>Qk7(ZLt>*zFk@SMza)s7q zWT<#gh*l`jU6dq~N=Ypg`2ZH9ZoZ@R6UAsTo*Y=R+x=-#@S_L(qtc>Qxn>D+KJN~# z>&Bmb$X7*1k%C-fE8`G1u%RWT+#>9=w9?kJ=C^z*@0q`0v|T5PpBQH!X2M6jMq|v< z|3g0Af19uW1YNZSHss3@YIX=3h9VI{as@R~nYj99`^*Ge1q_lt2G9-nv=O1oA>Gf^a(Wx~mFmCb}&y zG3a^cfP*R`XnG&A9YEX;Q_E3Iq1fRLP^qCE#C7KGbV;ZJOL75>c%RHnKxb&b=$nDP z_&%xk7HZgU_*DA*2Jc6SV#r;$YuEFko|M3_)F_h=g9auy4P5Gli4yAfG3j%^IiTQenXjWa*USyc za3wcPR%7AJD-;rw!EHwhcq7)hYTAfPM~^$@J^Yg2C1lx}#q&q)nFqDOBmVt37xxg^ zt4`7x63)qrY|d;ET&m|-toDEGOZVv>@iD)qC4B>b1CvwcfLJo&m@e-Ch-KMxCIFD^ z**WSvS0L>H5O^mfnHVqwAkoRqEd+I`o@U3)3n#V$6y;7d2+#Bdz)*oOdv_RgC}8_P z_S{}M)0}zj;10m)Ts55>XgX5^EnOXZmf+~+<6Uw(rNf;(U2~`Zv)bKZH1D{4`J-(E zp~6Uki+o${c@pRDIU6nTVj^XyIr0NA(g**}vvbVTeaT1#2xC1a6aXoU7)U#&GfbXW z8x;SON(nH~5dRqxs6)8x8BSIoDG#kIomf_RoF$@QS_OBSOsj3K1##BJ__z(lKJvLD zh?DU5YhxHp54yg1Zj=rCLOt+28!l?@TUd7zpJ&zMaD(q)`I>bnYYLGpiB<9V9$v}A z#j8!q?M(1?b!g2&St6}RSYspHThn(B?`N-vK+GmAe7sluCwvCFN-QE(Z6Ne*2*0_G zdLNHhEAmi6@hG;_UXb=4j%ARCW9Nt^ZK2 z|9>f?|Do%^^ym1e{_FSn*ufP_9HCeVkQOB(6xy7!;%5!NOLMsht3bpH)*U_hzr^I6 zd?fzh^4W>HUrc>m=t+gJ>qz?kbhh6St=#hTGXbS_Wz|2QBfaZ;fC!wui#VR=4={)a z7(K{-n&YAM#L+PqG6bJjcgS$}R_#ode1Pij8 zg#=Jso?!IdpNVw|7h6pT=QCK#ZoJ4&yOxrZ;bRvQY48#A6H>XJz>;bfXA~(u>f^1c z9us`J-z2y);z(9)o98c76nAq6P9*gF8{06n8Z`<<8I+kxr3Yb`RMGOXL6 z2DlRH>API;%H@vpP0o{#HX6KKfFG$ejuJr{3uT3wUUv^Y|4kA}pPR8Y;B%UM^0$al zOP7>^C4Ex<6;l})5)T{~b{R`lJSkMggW5GB0>1;s8)LF+r*d6}h9&|`+@RXG@RmwgJ1@G47rE2q7WXybS5)y9LevsSb z$~pzw;$IiRbu2(cgucn&jJp+e?Pt2$MTTD&q9s@y1NwWqDqi&)ahSK3t&xn$d*kGx zfpH7c9G;k2<0XVWgPo?JO%vJ59um&C@B=0LyA8<^LbK8KY?JrTUoYJ2`T;u>PcaW* zFO%$J@ZdZzDW0^jm{d8_?#t=#Iv{i6$?$5Zy#pW9ek{!ypy8v*7ihj=a3VMOZZp}7 zV&ROR6QbpeD0K^)?uD#Wl-sBC`KR1I`CeNIs!_z>?{-V{4vsr!<#htt)2r~b8ae649KWH} zZ5*Fq>?2=qb#4Zym#f7P{_*pjf>x0*X<7{13;rusJNrjH=aRuh9_f%dAn+TIv!W~x zsJM_CDfy%^12IaII%arhc_(h((WA8HpaVbe|M|6xm1tQf58`>e=Ch@df%VGA9~Zyx zmDQMw;kRmEvdDMBn9O~!%uMw6ozwimeH^*>aU>khvcIvdkn&>Dpux&CcJL)D!^Ri7pGMvBgFhIS z4jpM{l=CMhbtfXNEj~eC@uQd|^sO)Ta4Vwj)3F3;?@V_Uuuy}S_3s(ckpz<;1C0`i z82rpW(jP246K)5?>5|gR0kNz8%}ji4tPaQBs;iO_WKF!YjATcOdPx)2ra0 zHz&<&<)xBGp~O74W}|qmm;u>a>z74%qv^MM-|H5EbhEmk_nwPfF*DuqFrf4zL{{fA z^1P|dr2XXPHp1OG9{2Anwho^^d8h?ih_DP<#VOy$TTOlbnK9TWUbP506k>Aw^MJ$| zqkm1aQx9^?^NXJ6oc{dz8Gx0es$g4@_B$&2UzAJ*Ojs>y9@7siSW z5mAsLsDL0^RHQfQN{a$XC<2;Ld?952 z%k$lP$2t4#TmF0QaOgmN^Jdk#=6dEcpP7%}ej-tNEceQ*Pb06!c;km;Pdixrry6yB zzS&#dv=*qC$aJ@ER?jL8g*0!B)EPnWx4iLAS?S2I6A~)rEjqxzg^5R4`P~Ck9?)Xhq z{QmnQdeeH{24pP=EMyn>pLHhrtuF7POsYk91uqR(r6gFQKt*ug$!ocn@trZy*Xlk# z1(U{t!t_`f#xf=aj*^ajFKko8EjQ~^tn>}Of7ds3vACw}ZkUg#W=r58{IxaL2$TjR zx^*mo4`(=$t1+vF|Cb#A?i|quwXiho^t%V(!XXj(Cj)QlCfBT#1OR^F7WHe7&47z2 z>{<~yRA$pVTppSgrQdNO`pqHTsDsP=(y{;A>GtMpR9})UA%nI|>X8L5wZfZ4tkUN% z>P&6Hl_-MNSmA_2$T{twO|~Z>KGW<)p|kcvC{D>sK0%Sw89}Gh9B31br^2t^ZT}#d z*xR*HouGf)BQ1J+hQTHd^uUHhtZ0 zj?La7ym)hdOZVCd_;X63U&N@KUwvCgCkyMSd*P)cIm(i?V?Vy&$Y$=zDMvYD$y#gr zK->LuDtt7MSOpBlb+pB*9i~Apfk3}c51+^%zuMdo&9^&d0!w|EB6k4h(^maesI~ii zuk-ZVjO%>{k)~5;-ueB}u~!?Vc{}Hd3C1L+%wDw1aA!0rNNS7k?MRVUi(_2bw6#!`qD6M-JEorZ zZwsVBM(aPXD=@_WuV(*WmTy=KZAgBiQ4UV2qAj>?b>}cw*tnkUI%W4tWYGL-M5I-< z%F$m;BY$+@C16qxBY`1{Z^fDUp%#lU!%yGE^2W>SP*YPx`^MX>x2#^|KkIS3!L3vw z&HJy7@A59j^nQUsp2{0Q0@)Q`sZ^mvH|qiPU@tOeFW6w;Yu5Fzz0*J0Zx<9n9mJ<* zEasR{RgyAp?`A!6sdY6uIX&v-aOvutfz{4u325ln?g zohhL;Q)`bz-+Yj7psqHC40~!^_1De)e`9L?t#$u4s?X3)&@Ra9lQ0`4Q&Q7u_%i`| zVPo|w!Y;3PLdJ|Am6VDvdTAVb{D@2Y)GxY+rz_+|j_?7KR0A?=!=9SJ|92MW0X!X+ zhA+ZM1pX`phc#`oaQ}(gnE7UCZeh=nIvYfRTb~H0kj$$c08jGXa>f4~90ei}oD#qO z=#l*I89S2z5X8j_PD5I7BUs;II|F=O5Ul?ed1CWnL))JWnl9K+M{n|PDVX{aN>(#f zJGv@TYFR!#_hv~wCtR+}^ID6&c(grWM|M#X)Gx)JK*J$cy4O$-k0S+L5d;q9lNd6y zINdV)E?$I|8i4R}JsRb09cyPiDOWApsQ#qKM!3bZJ=#Haboy=MnuM$Clld^muA{c* zVNg_clB4L{IX{P`bjhwo2PeM!eq|1}k!%;VS(R9tFHR&|qVHh_#iraQe2mek89Vgd zi}&Q$9*_8&C)dIVd3wBKw24(9v&b3t@&~ zcN-$Lb4;r_gF3R`xqSX1mClrQG}pCztLW!}_7rL>@8P4$_33I3q-@lB%-a1Wo=M`CshcpR#P0wXEnf3ukHP0>EG`eNhMmpyf@V0b>K#{~hbV8r9wGqtB)+>XgyeC6A` zjNr_A#1bD>o^jrGd^ab#2yH{Zy_&Mq=0L^J7VU~rBqM3M&1dMw+|ZrZzCi~CtDj%{ zCdMtf?>s#JGcTT(=lhH=*_-E6fLdYF(_O>f7hd+`eTtH4<%@=zQgd4E3!A;Sz2G+` z+%-jw=S*fg-}Y>z;6(x2TZiz~8LO`vtfSh+J1lBZ*m8+Ib*s4Bt#$FX20cxYi66h> z1@06aJi3TT3(8*;toP4W{`n0=ml*l$`Aj&%J2hF)FMpE}xLA^)`SE-2g+~XEXGavH z*t=ozJX)Bt4zQL4K;gQ*Ao;tab!!(Ov(72Mkge8<;U4k46oj{Ah!a0eckt$~Bt&pS zi|^FwQEsgoeW~;h#@JZJL+>w39p#gZQP$+kWube-tj4klVR+`g?To+0QIz`eaep$z z6H;#=iG$fthdW8hK#oFLlXcJJ!dY_9>8zZWRcU#l# zqwvka{;uPe_h2NhQrUX_GiN^H` zNN`Gr8nK32bFjv!+UY+CI}<0>({Zb;+0=Y03nfA!)4hs&(GMw3#ieMpE!5SEPoDJu z?Vy>*ZE-2)HVlhKGXby z*4+%Lqn7zGSI@t{_Ne_O=jV)YNs`}->iKq#gUFx2{HX?ts>eq@ZmdegZ%d%lixTOlRj&5*<(K-wO zY|eE}EO(LTDF6ileLw+RI_3IuOo61>REb z@gjoblxSI*FE#ZZ2c`DkI2}?nW2?!*@%8x%{hE`ha+KS9wDA3voN%XHs0kl`gOO~W zVw4!zPJ&>UXz9gh`<09VQiZ!LA#HQtGsrN=aEm`ZNnKRhb+YnPvWBwheGPTa=PyP; zfKf@Yb&G@-0Ul)yRRfzE7)BnDpzICM1Mx8;dJ3{Cfv#~&Lx@w+tF~Ic-eu|>lGipD zT-JHwb;Hi-(`}*K;3We#biO_#h6_Mc-ab3MPR!<18w%KV!Byi?)gb zfZAMp|A>xwVF028)oAhh)B5_Q)aTQ7UuN{5FT7M+=C~r65chIC2n$^XfzkFp%<2m7 z7O&S!9nw}HV66Y(B>`CzFnux`@^mixyV1w#i z3POnKf3$jW#8~_q_K}e_-68!0J?+I`#S@v{fQxQoKX%8e^_?RBTl0S;DF2R2{5?Aa z4)tGDr!wnMfJg$Fn3`1&809IeLm5t44b3i%2`XkHFDnJyPrf8auLxd0Hio-qJkwZw z7S|oP2aSUU_YeI>GL)tu#z9}SA*FB~M+7LP)Kv;?n_53kNV9KES~Ag57PsGI>(#yH#Nzjwno6 zn$ZnOXPG}Jy?h8hcitk5G?xh?(@#LjZ>$t5j2h72jLiy+&9|~zX^u_y5L*~s_s;R$ zvD!(?uz1L)(-3{TktOe=nM|BWZTBC-dq{)yb(z(Z;o_H@69QZc0&tIR8?)Wi>tr%w ztI%o?E-VM~HhiW4ic~!6#J`C;X)2iJUu_t)K|67*kA{q%cWig~q zZ~INb+4&vSdIO?bcP0~!kj}m;75VU)_EQPgpYzKRG7$yk4`xD)KN^?2C@ua3a_VQ5 zFJ%wixVVRGOgKqGLS1V{gN>Y3>EQe2wh#e^v@a=B7u9WPt#4au-wca>CuiJ6`sUV` z-%PX1$)sl7sthsQ%bA{<;nZH}5+4dxboJ;|yqWa4_vUFK(md?WZiwckkDtAMa9A$1 ziLYZB$1K|w#XIaCK$BqYC4z#apV{#gm#^2D(_vkzeiYLx8p*KUm8@da?@x*(?X!d1 zPr{ttq{{KSw@Q{&@!(v8ys0wvQ{tBsEvaWU_;mQZo&gCdC;QO5M2x`doX>b5847TO zs>v8W$eQl8Le-$*Kb;N>a+}Jj>?3A#S!5bdNNiMyPtBX#>MA6gnumukijq7f%euS? z@=%o-r7IJ5$uqD6Rf@_XQ_T%%xueP}yes}Wxw*DWoXE`j$T#AbzNYMK&%vAC#wdO| zAn@_Ha9&-Wfa%4Ps9NgDUUU#`Z49Oy_#QGv5)n@ZIXxrumxreeh_g39o#M{i{KAQ1 zSFzd|xc|`o9qEt^;ry3oIoOikLVW7fP&!7X;8Sb5Gep3tV^GOp@mLWF5>61YQAi4JKMQYt#?RYV)9;1N?#} z+MCl@3{mOA(ds8e6~lmwlAEQ7BVcMRvaY4v`t-+$Zjf4MTb${4Y$B(5X6r`f9NK>c zo0j7c*~$yn_-*~5qh+l+|Hy3gEqwX1(lJ7sDPDLJ$1f6sSh#l*&L%1Ha=eZFve z6RS6f4`t=@)QmkUoB{`nDyg|E2PPjlNz!4bqKSb$-ire__yS5%R`Z0rWA|SNDT-C{ zs3R{XxnByK7ZSLX5h2`vnL^I?hWFtIkb}%J=m)El{?0kHma;j>oKjBsOjycL%|;zm zX=1gW${$cTW`~pJ51hLG@C5hs_4-fwVf}BcXuv(_c1$T-O&!Ir$7k-O!%CfA3#G5;Z5U5js zY(`2X0n1Akz{BuzG>C-1)&)5eqm>M44b<}uAy8sTSLIB41)E;v%c}`J7fT=AvC_TA z6wThHB0oj=#S@_P`64##o_BhoirKY??^z>cg`*r<;$rh?+Hjx!K^`VMn_9_lJc(Mq zBRQ6|4O%_5sHGHw_rlZtozrL?`CVRe`T3t`b@+QO=0D0#c_sRc8Lr5s9My2Or%hsS#FGO%9u;vL2>o9#XM(i@t`D$8Mc>^6-~D^2X(vGaxxs7TO6 z97@C!QMme#earBsBM7^rY6@||cBW?-PIxMb}so8%b8%~fz&oT2ZDVug2)``^u$MPt|>bt?yuwNW{)myu^SA!#sz5RZDV?Dr~ zX?`YdQ8D=KBTB;ZRt~?wHN*$sb^p(xR&qH~pbv_`W^g{t(RdC$eM1{e?BD)5_gVlk zYkDf;`+-a6W<2EvE%unF7HpK1mq@Z#l^rgg=3^!^{nEbZvIfcuEBx~N)v%%8S`BqU zL1bIF*(c?ED83L1v;361+gVloWsc%<`CyUpKnKS;&;IgTv2~gb+o?6SgwhFyy49Ilt&(iQ^ZbThV^eDygZz{y>>f)%7Nal0_ZIXq^p>QYm#c zji;QN9`zO`Tz^q*cyIcn8GU$Lu)am<18pM4kLG$zJpx3x%};6WvD!bw{W_;^664!0 zQi)Wc0t%tv;!q;MGsqWVL)&fM*VVbFFsYl7r5fW)0aay5S_xO;uZ!?KlQ`SQb^h=j zvf5|D55cv;Pm@|Yi3Xx<+DT-V_7kxePb$@^lWcE@WbOf#BfknH!#8gaPF=}OM_s1vG+`H~2*dj7{JLj7(rkFL%Pn+Ow9?Ix0Gf_rEZK zfc<|a!bRmJhb4%^uD5nM5^*Bkn-zve!geb>))SR?b0&sthPNuX%!iBKnDS-0205^{ zdh_IoR6V}Ak`tu`0tAWd1Zo`TQGD47+6m+g3CJ4t4+$7S0Z=2cJFl*}skv@+acp7$ z;hEi3AmUXr)3c&k*U}h&Z}(_nM@4S?M?vBEG9(7pkVcfQxWGLe zC|CsRZV+)l%;LslZ)>_LU9tFRF6bz zIgx`P!n^md!uWW+V1;b9Z$CCw^(NJJh~$YU$4VQp8emF|N^RXToYEcmFQ2?1VPRwQ z#_EhhHu)EqRnSRDbQuA;5jm0*KjrvDmO^+ z8y>}`cRh4Y{K5G*Au5X82-nTVi8TzdNaB|)y=iK+tY|73g^x5ZpB1<-DN_z(AeuR4?e`P(-B z>ACvif9_R^t{RfL_!oI()Qfi?my)Jw?mozSdpN+0i&RL*) z!L_RsZ({iA_azc1HE17$hZ3$VH%#W#nMw9A#l)RTs5&M#pL5TQm%YR8^VF#91YWSU z2U+Q!ib>(kszqC%o(!^6-P(|p8BW`r0!MtygRSWnuFUZB75rJIX(5mJ_lY-35w6G_ zz)q3HNI~{}6ha?wMpq9Z*#zZpB#J8THFo{vHtC-n(%Z`ZbX>f6>sx{~ic|Hzq}i_> zB?ot-SLK@7#g?F=$s|ifeH6>|bZFe!vjWHJWeE?WD}>zH%?1iqo_Sv3pE6`Pjo)b4 zd1u&jN}ZBtQ30X@KzihBQ~doLUa@6YnX@^kqZ+E8msi|6rlQvFZgg{Zwu)8<0KTL6 zNiGegRUbTMl%2*2=#~gq!aohGyWu}SXoWJ$yvR=*q7`MxomKao8g5Eg<&TmL{=+u3 z&*XKbd^1pv{pVMm`bTx@OC^W*PVl{gQ~}G_ht9ZTC0}-Q)d-K9+&>hNEpe`h$%|gUTpsbu~|>S%v-J6 z_g_+d9XJk{niVAY9|*t3EHd&Z!=+i;EQufqg#nE#f&^-adQy|t(L&J~U8{Iyoz19k z3vzW6p2qcDxw&oS*zKUcYKh|wHMc>W>s9^&Js?>I4wOU16dd&-+H4ML)AnrLa@sX5 zYIHBPo3d7SMEF{!i(l%L#GDkin9NY(-q#SG;#Xs|6G*OhPBBr3tN4PoPE=ILdqHQF>)nYD zAQ31AoS**KRORXdNPK%*_3y$+FRs&VpfZYqrctj#U8Y5a7*gN`f8zAq@u-(0eU^m>ZndC$ zb2KuoP+OCLR|O##F#|oGf!lMaCfa*yzp7{BGnplixex9*+&w?OGdh=(O)u%Po&Tky z;w5nDs@3G8M22c{6-ucGlU)pUEss5WJREJ;ll#R@>@a7(wl`OR9^@2b;gCB1I5>Q> z@9gn&2kMN2%F%i&oVMRNLA`rY7_BT@b3y<0NMNVqD58EkNSEpAEW$$|fh*nqoAOQ30w*6|?LBn{H+8>mMUE8(uZNv~byCMKFSI>y}I zeVHw&5PmiPefa0GA-?O!gqjlKcF6z@{2Rjh@NNL7a?Dv7SME)X!-)pFr=kiNYHN5o z&?W$xkw)#^1dd8peI4~-uqIgWs`b|4%GG7d#+i}00PA#FxBjK`L5eI$hfAyzZ5*pP zpiS@DYwPcP*ICx$-cx1a;FE0U(B)$;;8MOT$o))ZeD3Rm5kpl$wi#z+<5p`I&K_7uHweQF&kB48@!?SJNSlfP-~3Dy}mf z>-X|El&-r4QeSiZsOD$7I(3xKT!9;sxcPj3xl+^>(+(DH`xe2m1Zs5KecB@U6+^wa zk-8>}?TZzRjKuUa-JqC-x+03Rltd**6LVCKDRFmhbJ34kA)NzQ>z@AT6LOCw`vA02xL?;{lL&3EeWt?fqsIxEaY*rD24s@{{lHUvnDh zsGHR<5Rp>W`>7!l@+(J|;gob87#{c6)!SK0TA{c6vsBp%%-KU=TQ=t6XZd}yuJCm% z%h$#{Rn%9wamZn)yA?}+%uI0@#HPaHFc~~rhK(-;IS<>=n=HJ`8smE7Uwrzm2^h3lkB(L3$$m>IssGWFW-W&Emhd!)y6 zP4`xLOv85e$=^#!X$ZWrIJ5PW4DThB?;t#-!R{Vqzbk1zDP7Up%HJ2^ajVXzqe;3{ z*3*MskqJpV*CJB0X%!@%*)it$zC6V>>LX$$`<;=O@PaDGq0tXw-7yhQ;^SYPaz{Ro zO~#N}V2YJhPeq30meF>UR2mN1XJFl9d2=vcmd&!FQ!+Aman`Nd||8o2ZrHY?Yy62gQtyr)=ADWkv;$+p$M0zSc<5 zO-l{G8-mE_TLg7-mSkICVg}B{w7{+s1C{!))H#T48&!eGd)pKt@dN~~mzU>TPuj8$mqsQd)cj@OKZp#|GpBb85R$RO>A6hv_icH~1Qg^^lCJb_Ph4Kn|e!Pqv8mIR7h z`~;eQ|J=U_ppNygpD~;Lw^mXKfGG*TvgFW{va6LUR(^T1b-ZwS_oEjFLsLCUPe$UW zXF*BHMsS(hUq_Ga2QxUAXm4oYpJ>G-kb=)&L0c_crQ6qk@>JWn!im!$R^)C5PyW5OeDG;>3rc9dcs!X|I@(pHGlo7KHd#@( zr<0)BnlNLkEpbLF)+kx{o3*w#R)www1yDvQ`9uDM#kcF3RcMca0`Y7p;8BfMsq2pw ztK`s3FR!5fl4#L_u~%o;>gY+Zb9zE zRU>h0wY=3QD+w#RZXAcrnGp~8Zaw7Q`D&omb{ZD|il+Q?cRu`ef!6mx5|AyINY~;t zzqhQpiO{9JGrx{8+Bev#Lk{wbjpU=H z;K;8CoZQ+i7wOzJyd%X&PMNjWp({h`DqoH?$AvT?S)){XDA7=vepz;S75kTs_hfD2 zuiND$5bAbVgoy$vaDv?@<$6S+JEv!OkU(hufq2J|{?j3GR2dnEwu7%~^)Nli-pS`j zkykBJT*j(Zix!{J?atT#b#PPB-jFkeK#8OP2qJwOn>1nP$#ZMOX;NbIaI2hzY;xKb zl0z_Y?9TAJsw<`Ns1n^6(-YHYsjq026!FqUC0-e{?GmVXf)`oM@uQ6fWQMO_8Gb4u z@#S6P0K$u%#Y@ybG}rkIH-leP&583TOdC{W2U1fn{if3cf7Gg;B0+q34r@jYhC)TA z_oX_G51X6s-n)A(N|VE&w_s=~<{^i|dPN(Ei2m=kHGsQhVPeXWL@b}%Zq74eUy#Th zDa)z-$Fl{NK*h1iA^8CLwIe^T#}PGuL0T!icW5`ETs0P~;eVU-e_L{|G5tfmLw#$9 zC>ujJI%A3MdQ660YGZaI6xG0dr-u0B=k4Xq_zv8$gNpvPF2=|%Ba}3EC%D_rV=QpL zke)&D2`?JLLBR$ryA=X$8F*{YwfX<&%*;`|OlvK`N+elI1&h-x6`rqhHFOfeV$gJUg_jd+)*|K6D@EvbtaPo!&FL z-GF#NYb(;cUV2)I8-CA^fme;rH=M`v{#x3xb)J&nCJh`xKg(L^X3IiR+U5_l4r<*w zo;s}TOA24r6J{8K-78(~#d;QJf$yP_kOb@p!OX((G zWM+?xh{{rF+kSp@p`wpDPyb`8cER~fBm9gDtxX8d$d3(=$ zx{I#)a1Ulow`QlRV>Tu532?}x4*81%zf#Hk|G!~_t+++}HHfE|Y(NQp25j2NzF==} zcNcEH!MhGpJRY5`ceREy>_*puoLv_=WKoU@ch8-|J$N|r&Rd}7!oq>O=Xz>w27c?k z{WphBYZ?A?Y!pTkXNDA-nE77-0wV3HEHf05NjH=;wpQ;}UIyT?3Ec|-v4_Bq>+!xR z4lA8TpOmYEtIa#X#3W_d<(JMZk1VH{toZU+j(E@rUPYE4sk5_|kkO#3C6#HDEl#t1 zWJU*3+#9E^!S!JCiUGj5rXa1~=NQWLnf~N@J3@?G@5T zi$Z!@n|(8TCgDTfAId;<65veVl02rj|gPgoXiABam=XB*v*F__xFKDDK zs>+ZOos+w3%mu_aFaz>8XsIR!=Y)pamujYOb||#8o>=2Dcz-^q>VHB&5hU#pcSvmc zWZpq$;iWn4u`Qg@kQlPNxhRaRq>k9-)d}m9`YgM$LeW!x^6|T9R_Eo=J2MG|ZZ5cu z*8hc$i_$-?5kNZ&BMYLf4S=39t@9^Cc*E&ZW~&cjeRygTr`_9%DZ0V(E{wQc1wC_M zBx%N$KsBTK5rY;Ln~_g*lp0&~T3#N~?17yvyYRa5Gma#J>BSCIU0s2vT7^~clTSyV0Hpj~QHYjnaC$@-h{ht_mI&gZx0t6tSv|H+_2*Kot6 z@mSUX-75*w3spaAXe|IdMNo--756g&5mhtk^y0F5;+WLbzMYxyh!*#^e4dg!gys{i z1S*y8HA;;foZ`tPi-}OLu1mn62qZ{Lvb#6D`Bt24RA(z=nKWgz>yLiTP=V=c^ZVJ4 z&cD>W{OS+EGj%szbVU8D+**9KrmUE_A1$2eVLsP?-0&gCq7>U>) zh@4!d?NBN8#pCRV)^;kOfC&haB=%oRU>1jgOhmjpoeE~C6Np5-j1n^MusZ}oz9t~h z2?y5V5$!u=D2Y~Ig2MODAt-1ZasTAak$vWJ18>~)+b9F;@cU6(T>AWRsU;frxfv0r zsH!x)Fl`(fcLi^e^)C5rONYk}VEblyDLXw4{!<#t+uhBGt^Lm2E7Sd}ydu%QD+wPe z?0hudG}k>f)dDKy@HALXjvCcyF%hkW>gvAHg?+kQ$K*bk(K9MQSx>8pHKeR?;Q}V# zwA{Y4=BZl2lJ$dW>9N51plm=CLJ5*%>3Y%Dbo))hZcH$c!J7jDB|sk!IS8XA!_u(7 zmS%7`ZTcy%@R%y)AZ~NOa>20VY~@I)Lk> z%amnNhV}6jg@xz?PEPg=4EM5@gCYk`skG$wB;1mW$!FouU^xCULFY4rV#09A;rY0W zUF&FVR53+_D#Z+S;Cl=-Bw&)Vvzkb0T?v?AaU+;pjc89<*k;Mot+4TDSwi*$)mv%w zPeY|j^3#TW*!t|;s4krtzGD^INw=vy+;-uPQs2_pG?;+o7%an9bd&nyQjiwfc4k+F zmFnBan@I*G7o;tyIMl52yJlkY4v`V7oSnPEx#*8hL_Se&sJ7ZSG9E4eD%{GZk->2R zFJG2mXTV(ZHvcoen^WHk*2itfonP9H6<5BBa=Z|p{&RjH#mD302QO}A$vnnWVne5L zM58J<><=gfzPpdjnc~IDV6S5a?*YL1hGA7PJ&gheYXK>3VDBNe4gy^+PD{5xu{SWU z@Q37wnw#dDI+<2_zKxjhK?lJcTwfb;H%YA+g$mB=D*W>1=DuZm$_};;e#M4v`B?(kPoV6WFnwoiS~qqi<=Wz# zrkHuQq<5c$6@?gnv4$5juhDBYgHro~Rc%GL_$*;Z6>i_IUifJc%yL1OmF4GA^-Buj zs-<2hdqz6#u3yqVeQ~1=Tl|I^+4$eJ1^x+|`NzTjpGh#oH_YO*%?>QRI@AEDHn?WIQzXDb=I{rc#Rw6rCp-6G*InIiS9v0l*vJ~F3+7Y zq&FAtWVTYDdCIo0i9vwU?Cdn9O(R7qGMFDH;~jH;(c**qED#9in=~fl*N;2_ido#QKE6w_gP7gy)(Vj6A%flOUeK%Qc}f@*a!7D( z-6Cq|hU@i-EcptTl?hvhkGU{hG?Zyke$vh38BArgYo#*AVWeAwtK8chh8O*mAUSsxwDijvT$jUrQL~1svrqC6qP&t2t~ZzB9fSe;W;2 zKek3h?me^llVMJa(>5&zN5aZ3**Se`O|GE(plw{}kEyp>Am?85iRT29F*8-0slh=5 zQ>QEmR^td)^>5MQ{VkhXWZ1spoEb>zJ3q9)=vDZI@V^|hL*D;2X7}-_KCpCl|09cY zmQ?1{O$6WOc5}SN?6R-?6aUgOoAMDEyj>Kp@y(%|uf+VKLmA|BoZ)|YbLii8BYT&R zilrALMKCib$aIRr$oGxEc0bh_{gMV)0;SWvgq?$cfZ7@(({f!x)e`MsjS22-A@NGA zB+j6)`jNN%WLh$x*0;JcbA{i7OD3DT|1fp)&p*4PpUS@xu(fC2WGu3fwBS&^f1Z6)uIOjxzXs;>cL|MidC1!cIIDwpW zrQQpj)piCresK%FGl!7shG`?;2l7TS3PmxBxzx$ztD%m}=Sp*DYHD7WIP%@iJ^U1m zXd$YQjwmb~UE%Sf%yvA#y%vN#9qGkgb(m#b=Qn8e2N&8xNyE<-=zma`Bx!~L#y+2wqSV2({&7i+5 zA6Krw`KGH>=0uo$YhRw56%(1n6JKoY7Vj?&ciBbf7ZGj?Gm8YY*cu3gH|%M@BN@h; zh>5!pUq(e4j4f~g5f7`gN2PdfOU9i&N5S&ZEkKep-FM7m(+KM3BN@prrJR`RO57T7 zx1Ka8tp9nGD>7Pq!zM{TwNMzL=c{dWfJ0W4h(mTrbkr9XW`l~1F+t#G;S(pXix zEZ1y0P%z2z(kdSKdUlDUIAajxwYsx@;P{C-YpO(M;An|^24gZF7)kNg_m!wyx9h2P zAw`z^kEyz8t?|c@ufj}Gm(Y*2lj5!|#@r~)E%4~5!YG*NP=*p{3&4>Y)Btd;c^^D& z>Y;yEe7({2X>_Qqai_(DCd-P%JL6)rf5gmReb9*;KrsNTDK%i--{vzM7+r#PB%s|P zA?T#RFh5mbD5K)3HLg#+pv%*9V9^LsqPME~fP1WyD`CC9q%-v)-io?jMa0W2qcy4Q z!V7 z@-(y$ilQQgp;!=;(kL@Yg4`6XT*Gpf$d#l!qmO=yE;zM$?xXXKvNB> zu(FvOiZ*ClOQ0HNZil}ACH)8?{(h*UY}#9)30KACRUB8yH!fVgiQ}d0-)3t=3L4xP zm@}XTyAm?yZqoW+QwDS0i=aq7O81sy^;laYX9v?_N^=s4Ek?~O`gwIO*Zs?v*SfT6 zq|)N-)+$57`q4$q?8k2f9+Urekp1mBVMMaagmM{)Dim85+PKl%W)CSTn;PIU#}fm`yv$c#J}+=d|b26 zdi-KrY3*{;Tu12H!_m=b<+%X*LE6U(%+me9FD8Ud`6SH7kxT-y4PYpFPRx*H87Ns0 zia(7Rf(g-!nJ=U5nZiJ6vrNYQH?07Z@)O0R?8(!^(_lm=9KDyl9ZMbRg_VY&0gHGL zwt~+LJ`-4@! z@?jtJF{hW8^kVOd6$tG*=iDVOPNwQV?g};G4}_V>QL2a_#k;c2rW!tIB(*}fql~dN z_|R=yM+2MU2ArMGwx4gUonDr-8GX)R>1eKkG7lW}!6IF@ia|W*awx~+bnQXuF^jC8 z__(NAUG5&4`woj=P8mo0hac)j7neIMh+FbMto1n@f>|h z5OObjfzvBM;Rff-KY6>H@8Fcn=%o97e5s!6>C(GW4pa^u;8=J%+MD*e5nPEH4fp`v zKC^&sL)S++3=&erR4OAb4{w#OE4M#PIU_rp7``y(G`yC(xqhnVNqWlB=#1-y$CQi) zVh5(Hu7f}#g+PUCPz8xY!0;%Cfe;TL%3W>5qcgJ%!VLXHsU6<&Pt-xFbd6WgK8lMu z)~B4=IjF<=#c+nhT24HZKbPObCUTDgJs_v4l8nv@^{5vC#=ss5YjTuv4p0ngCl*E z4a(3UCT;g>Jh;rupzp8HttV(3#OVQP__z#?NxFB3$1zk)Q|GCzv?2Rr9R|yLu5lxS zx36{Di%Xg(qD@i3Ish5W!hqj_pumIoKC3O2Jr%FIv8m`ykkGDi1r-QspemrlEzer{grut zC2p%+C@>7XG@6(RS48Piu)U2=3ZwnJ88?o+kbitzkZpA6{Jh%Z>V(#e<0pFa<7PD% zDup2@rYAtPmF`P>Mh(l{tk4~V_kmB#_pn&G&Fg$#1vPfZ1t*XxI`Jx834AeJFMp-- zGRu8FWx9n~oZ+#^nWR6yu|efw64%=^>X(pVRvaSn2aiYgbz5&DCkH;4eW6F?hK=nL zUb*306mUnVjd6jwi?Mx1fRQkW@7<7c^M@tjuLQ<>BY_aKY1!w_r*Azc?_Bo+^)ts2 ziKgsUvH3?Ta#=+RI{$cQ06yi=%nZfDy z^TrdHg?M0$`nf^s1>!Z2&fln`-u}nv0wp?_Jjm-ArRDy~00$UDbHLY?oLHL=VfN*G zRB0Qb+QtovU>T&5FOsK=~nq4Zw_TmDV zr6E|)6uMUBdDTbi=Vf#D#udNj&daC*vk1lOxtr|7nDnAqGy;5DPp!&gdS+D)1Curoiux*<4oh_VzlI)RJ@tHw+Vdsv_MFPsC)$ zxwqXJ4%{K1#NI$ZoJO_x+1YzP+nC-Q*mafjuWz@u9XO$v$E5PWIi$N*ZjDpMF5C10 zoEkaV49`#=enoIYo77U;QiC972!(<)7FS!AWvhm#L`U76b}y>#ZqLnG_I{9wt*X&d zlNg`S^jGIAOQCzAo^;E>QW^kIzda?M7*LKGREMng>rY0Cv_v0$$FoE*1qc8*n>~9dw6-&hWhiqK0C|c-YNDJke^(^TfB#Ma9wkGmRBnI(=R(IY)M?`_wAoV$ihIv7)jU3S{~Fd;r5g#YLGuJ^OwifR8v;rOQjz`vjf|7qSS88$F&B)VefS@((dtxm77TOdyzKWCEjQ0<(@WzC5= z|FA?r6zBAQP!(-X_lgnIM&V2&ZK(>)w7LZN$c+M+7&$c-~LBegp<_-@YnRBw>nabhzY8mDtHdu(E*qpVu{ zXK+Uv+eF+Aet3ea6(zJ9!Vn4F?rV(QIS-_>F+CX=;{JF;vcG4Zg|oceU5j$5-T1tG zib>B+M!@+MW`#rplbs>=WW>=|w0J<57giRt0iZ59ikSq>Fv`c4Fa71g=wI ztVgCsbfoU_t*Mb9=mGSs5DMtIVHmY5m|h=NodNOQ9SOvj*?#G^+V)XRT1Iqym<$)G zSIbrLI>Ijvw1KR&_I2%i|77@J4FHFlK*YJf`8U^4w_Pj|mZm)V3L@Lm(kHyJH&B+k zDCdF3IgPhXV|7IPj}?V8DG1R^smRyur9V3-(y_FP3O;(Fgwmf3-^6g3FRF6Cm5BcO zZLVEZcWnmjkJuc!KN;}Ogcy?Z<@<0#2H2&XSF85nu<^QA&K-r}(b~mYY<4=Yc4FGX^_-hJ? zogiF!x2~Z8GJ70hP4D?xUvz*>fVuj2Qv&<)pi~1}_WoT*T4ad}XLifX;kc6LQe!kkg55+DrkdMyT__Ft+wiY zWNV7-8UT(wC$c=n+Nz!CNzb20C8>hNU|HS)@sZ^JKi=Lus>yBL7sg%?QAAJ_kluS0 zfq--nY0@E4kS-w73<6m;P246;BmS(diMXttrI!#LdNXz1`Z;Kl$d~Z;^ceZPb{Yqqo z6y#sV+ua4wL2?QfXKgLD@SgIWJSzsr^}X=z#@7t{3)FOkV@_$DoJ@H~0Z2Rcgw(kBIWbh5`a9^x_H0KfmL7onwZZNR^_j6)8+iHpQWSOPVQ7{h(r!>2L)@Bt(9`dX3(HPWS_zs-@(xK{iUl|QnoyfxB2p~Td! zF+VEGprY@3u)k@^!1)NxCteZu7r%&M9Jvm2We6-@D&0pCC;F)$53v6-Z!23J836Wj z(+1r;J;0>+q34R*H;AkdkH%DC=)^D(xAk+8vD{J{Yx+Y1s$%vg!rg_Qa1O#7IFk#1frh$JA}8AV@HqZ92Dtg%3Ce4Kf2YFs z^5Do;%TAeiZeD)sN&YieKe@1co-{iq~Z95}`k4i0lIMawEJ;cV{5Z&y@! zK1x@W>1L8h5ge4)YIZ6(1<3Ns;eTDxy`z7#SN^k=fyKDXSy5U^!$^9h;lJ_MO~yNU+SsI(-WuOExs=KXnX?a^SAwqssAS>%Akw^B?Ah6+eac$xQgxPfD`sv5=#$66AR3H4|?SN1`iWUw>UdgJgY0+Hhzc|$8? zsJtr9+O5zI>5jM(?j*6G{@anGkTnXh6z9|40fQ}2V1yNpG~wK+2avn zWP6MiEJX$#B+F_rIbA7J<`(Xxpplv3I?^j$JPX-H7)lKcYeCPaLT9@Q(KClZe!>!+ z!YPu=;xAL)+FdKSPR|7A>kf1|7x2SXqWE>UgQKK6MyG{lcQa^m{vZ%bB=fU2tY*3QYL9BEmNi3-jAwc$+!?J^maY``kLv?%8Xdp^@dL(}&I3ddI z7MHt%i>R80m(S=$CNuMkBpVC=4$KQVy8D?5B|qSNNRF=1i#oboq7QGmLa$$!yUukp zxcvDWEK}f*?nlu^;b{_Q-dN;3j(WY^XdpFDFR?-SoL=;P5gtD@g(JmgS`%Nm$!@K< zI}tZ+r_5ENhRl2?r5CKV8krdurCJq|t5S@mEq4Z~ABjYobM+xPFhM#Y}?DI;{&ZFuM`DC;gtsba8K(t;$9!&>Trzof(4eh19`87JATn}xM6HAT#f6h5mz32 zh{EZiX^>)400MKyr|fo~sW@DF^J8bE+y3Wg4*ry08y~T8hTCYM#MCv8K9^HZ2~aXn zX{LOn%uTedSFJ-*K>5&-wStn_=rA9lR1{da$TgTp=h|FjTQ!R}s>vicG{x#j%nrF4 zbFGI;27`m%s>!*Po$aQj^e9%iDJRJ!p+?haN9$4)N0ir_=Vx{vM_D6_`$9)%*o5E2 zxJLS{<9-SdsNR%Mfaxw9i?2e9$+*tuQ+<8`yLP1L)ldQ|L3;DXYx@EX9*oJN%c!ei zK|~;QawW!q7QKFvsR3zR+upER`{IOZ6*}Jf)#YnW$xGv((E=A0->COqzbN^PweaL~ zo@+aq!p+qx>JF7~hfAFBmye@+f@RMX)qUj)+vpu6(1x_WS~`mlS)UNnjmk-!xm!Sc z!dN~!1}lrcaxOVm{Ys-h64s76&+;GaydV5+P)o8wDnw>a4CDFzD=s4%SU9|g1L#b5 zn&^+2m5E?EUAqz~20g??<3%)f_nJEf*()2`#`v?4pSRmBk*(FZhHR2$-X>yv2~aEZldl| zY_#WF-)kODasuC|2didxw4>C<1v~-lpq_N(|XLpR$ zpR*5J(q|PtDfBc*Hp$fd%X+zM$16%9>08mNHM^V()ULL^ffS7|O3o)D(<`M%mhZK7 zgbZR0^;%D*WI8Wp&K@#L=vwB)v?=FrvS0;El|BE~JH>d&ZMSbN$eMCzPl7;Sf;~LD zpsDWUIR$_E2B@It!j$t|7?rh7EW( zvcWX{RPkAI(Crgi&`G5<5Z`R-zjKoel&ey6h zxokbjQj5{iLQR*r6^)cR`{m}gh%mLFps>WTK#$fOY1r&+Z~`**drN=WX8C^> zfJoBcI{>wUG|;mFGFw}84)w7cBB6yHueBBq7o}9Y<=Jkqv@cdYZY1cvuV0XR@QVMD z*5z^|m7Ddpg@Wp?Wn7eOl4tTZz_N!RXqdEX6(K{57;gsbkQKKT4XqY78fFXAJWDsW@bw619#;j(iIQ`{1Xg~_^0z)vhc#cCFD;3KdL%x zQ3`>XeGY8K)5knMrVYua9bE&dos&xHRNtSyj68=O)%nf=_e(0=0umb%^PKnN7dH+I zCdwF@rW$tYfCWO--CRxqM>}VlO538&cx8*Fo+|?V?|N@pCcMw4+0glPl7Xg%lJv_K zEIqJ+bdU%{%fE=#w|Vl(NaCR9fS6pc<=P=3IcY!D<9+@DI;X3!)4S}1zb9{zOksxC z<`8#v_twqhceGhl_zt#LzRe|ti#dP!x^6!a>A`w0kJCZ1nN#X!h;upMQ%e zJXz!A*w6KRFYx(~Hy6G>js1qVKG^!n?iQ>8&+E^0BxPj;cAi;nj6;EHSu(IQ-^DMr zO)|YVX>03C%wgWC1Vxusvr2%zuykh+TbHqElPzvdqD8nb@(y; zRnF_nZ)Io{_d5f$n5a-D9oF!NwLSG<{`nFEyxn}lU=`N(NUi)kL z)he;gEK=;E4yCb)w3;X!7)?gwSv~Yzfc3R@&tA`m@yG6W$9IF!ofY$0X3idlA#dNP zaGeXfGh<_0LMM9RQ!7L^`w?1r7T)zv#X<2h@|`^2(nr0MO5#bJg7(o9^5V70UY8tC zg>j+LLCxgcdV2B?Ps)-<8X~Ajj~wprE%LUzVa#U6Sz&0QF7ir(*r{$NPsZx%tLB=5 zzrWQvBh&?4SRgKvze}+^e|d}UX7Vye&`v_<`|Xp*FZS@k-moDasa36p0%LrLd|)EE z)gCOjJ7j;S>{<9-5_*)(u$L45AjU0z7TOkPt5>2vMJ!J;L$3`uS_%lt^?vS=`igzx z@>5LC30>=ZhGXbv=B?UeU(Tr67LE}45%_>q8K*CoeR1wHfIp)DoQk*ffQ8O2gXQV+C&TOs5Udz)u;BvP zAF~hjdNn_fAOIuc7#Ob8-6;K`i><=*u@$mK0 zD`YLK)HZaDPxek+JM_3K?TOf;Pe0X1S@sJ%yaMNI3#g z8p2F0>W|&b=9$h`^1v)Yop^L$p}~W#FXXcbtzst1BDcHNPhS;?6~IGU5_fbZ6mJ}B zIuiuA>pnsu4?PjJX(Jv8SqT5GzBLRnh$CYlXm)P0=g?u~a5X`M-Iz&Xa-Jn}r($a= z(a+aS{bd37AQOSMc*^$o09dm^vLCaHQ%^K$A--fNo>85Hf5-0q8|8QS(6>???1ej6 z#t7LCh_zG_P3FztPVA694?lqeg94x!`Q^$W4;&g;^#p5<2?q|XBmxuQ_33)&z|I#z z*+L7|ZhA8^)|R*0A<)cP?B1IagZa_3ft5pJe&5dDZWU83h=vd(o~nF_cy<_EeBl{G z&(Ed+jxSkd)9vquEusY?dv4%7vmj~P5FuA6Y=Tms?aF2`xmAx6wO!vQItTQW4=Bo+ z6^e7F-nRc?Yw4^e+NW4%8!juNsqOSWM3t+Dfxp+h>DD*?UGH4g>YpP-~h znjXkXGoyNsiv$9rD*-oUvnHZVs(ReQ0^PHXLE;i#MzvTo_9{}Z?sLfKTA4U^icyZ~ z>rM6qFuq>YC*%W?R6i~qYC^;}X8L5DP!FOvBRWh~$P{G$=DG5wU><5;t(Bxxe<#Gz zS$=018TxUEv&xw$7Uv^|KDxAasD8iy}i+f>d)C>$-HXZ`cAlrUo^N6RH> z#RTG+GkrG^B4mZ1TCLS2-c~Q~tL1(T$XJ=Sxa)*+uNdx6A2{ni5zy5kMWm+tNoRN; z$q!zp=^r#wR56?o=~=JI~a-nRmN+&<|QDn85Txqajuq%1&_vHad z3NF2cJq;yT&&?G4XjHf@f7T3D=CTv3_hP|T=6%J3`M0z_`z?VtI}v5!Rz-zn>;HDB zg|+_q+_J0UrECMgNKxO1p(%%pX*J&vi))JD2UmEjRzE#^@O$W6au$HR4dIQ}Bov-G zYZt-k7VH%^22q@ahpNV7{Ft#tJ!`Wzos2An@iJ}eS2^u?ol|IzM&fF&f=(?qhCU8t zg|;j(kuIG+`3Ftt_96R^oL`xGKlT6CqG06zNzp~v9=?$!U_ov`o0E1Ao|44|soEs^ zWZy3?GAmE6=qC*wsj`Nb*GlF!sT=l$8$GDLrck)=pV0PtMM)3+z6_2=cMZ&btmrMm z6G)~-R^v}-vgmo3``a)iO2hUU(GmxK71)ckh47mJD#d^SLeF> z7Q|q~&hdGy8J&V)RLACt%UTeD^N9`};oim5Hsx>0wgyjvlHV zeF=};AzaySn#v%uU4#&#W1yV52vLZ@x21_|^ZMQwqlONRqLcUdtj+GLw%hM5aS3%# zCkm*A$Sm-9P@>e)j<3)&sc#?K?lxyjz5S|dDoWjj z!_N}PTkR;4$qxA(I~tQ)dn#MYI5qbLe){?vg(r#e^Ns`S#<>^ z51tV5#BI!BA2P7WHy@Bj$=}9pTJ*%k<~N5JTtywDDRV=g)IAT87E0B$G#2++X8Rpc%o$LmiU)^QVvs}9x)IVtU zoB#1jfHDEc4z(eLQ{Sp9XJOC{$<4#Bbn=tF*Hpsh9<;n-v{-6ZH;m0Krt!H(Go#~# z`0G1=AF<|tL&+lE)MdCMVk`}-39oehe17`_bIOUZlQSn)nBM_9UZTc|C)r^V_3chM zV#bmF3_D4yU+l6!rBsXyfffa5lh=Yh6)o}o5oMG}+ijTjj}ICB-(R0NXf1pKi%VKG z8aWfcwVS55somB|2$IsD>|3|hz%i8~H>o83t{qfY6?l-DpYjt7+BtEY)ex6E536*9wNZO*RZ$ zyGtX6?JpQTs5R1f#?W`&n})*tE*l{Q7<+nT1VL;tOK4r$lq5&stsvJITg|$h!-IN% z;aYixrPfK56t#$QVm8a5fL%$ohpVwe#XGmsaXY}i6avm7a>XW2x zWW533x^ghFafP11Tfg$NO0<(8;t!ipoMc8;)FnH<-ZWsvq!L;mSFNdPr7Vfvbc(z4 z{_-U3A4C5F8w=oOgjgGCNM`YcRLVO?s}LCn@LOV&W!BWn}!*= zscCY3T9yG}`h8`!q=OndJyEV5r2g3gO1# zT+CVen@}Vlg>=Kt6Zy{5m)8UE^D*x;QtbRyD*HDIOT7`5`}TT;qiD;bNxPwIn7erw zVz^@r@>lwfiC)%JVNj9a_L#g$l>Bll`rXl~Z|r~Fx}@Z6+}|D*7Fq;zd{#WA0vIg9 z#Mfl~rxbb8{)HMr zo^GC5S_jFgN`z1aLP)V|)mChPrJ7V3USb8;M~TSj`1uRc@ee3&>~P&PZO#a+oF{oX;0L&x%J9G`=;NRrZvRTSD;v6-pW zjeME>+6x#N>_d_ivXGU&DL$KMeJko6gZqxmvvmSI%}uS^X4*5a|DXv-&k^DP|Jf^> zo*N!bx-m@EA4l;9E_mZ%9B7_~Dsq7vLCQaWz?bzylWfxd{%jj^Y&ieWlc96yMzoCK znx5*D4|5q#Xy!ww)ZJLJ6JdKWS{?*OvowTzR&I>j486#KZivswe6n`G`_<|W^Z7^3 zyOm5upKn^f@QISXH&*&ge?3!#QJdZ-C|1@JG&9 zn9~r%*Zc;`6i%cb75~ zjIHNzp9WmGD~8S9+ck;^a(+?6-awDJ%r>rS5saxSR67?`@?q#|BYrj6$E|2gM#Ram zW^|bi8b73_(`w$NdLoj~tRbWPla`{0`kZ36ekmYQv$5)%iI9{XU*0+j-<0iOFPQ~-r#^hoKVPBSwyRHVmS^6`^ zw2bIRHJr<$oKqb5gT}WE1KMSiPjmjkQiJM|D~`7Djnvb#1{D1dzS;p(jLOE~SS_z> zngspMfeKCtpWEWI@k)qfoeO2iE!PF95T=^X?SE+z?dP5tVe~ZfO`JliPY+B~bp?jm z7Tjb{JVd2astN3JuEA!M0U|b0x^;^kC_H5|&4}I1JMCXX7H=^PyM<50$sr$rDkw&4N356kXQ=EqUuimPP3Y%lN6Z>VEUm3)W_vjr>fUoSzB3DpEjBfR(Fla)$YlLkaYd2N zKqzTX&cvzxUuDGtGl2K-6Y5jonJ$TvL5R0?7TH(?Id0$vsrO#(i|H;Yap@R4_$+^K zV>W-Lqj*opL!@1~f4$Y(G@R|VUS1c6;2BX}*^BqmL!T$y;yQQHOo8Om$KWKu{`5ep z5dffeRpOLQFikpSa6Uev#gV0-GKThV=fi7<*_LwH?@&n*{SRJ*t_$y&_8J2R_+qcU*|rYL`ke+ZTJI7RW>;?@21)5*zDxDiT)rE9A$Bp2A zUf+sj81)UO_tqeX%k}FAy_>0Jk6`8~)rJ_4>2$xlvkr74ahmNSaLXiCF&j=eoQ|EG(g%Yw`U)>V4~7r6v~jZ)m=x*3UYw@unK zb?K-~Li^fpRGmF#ITP`i!H?z#V1h?@6Bp-(z2L@+VrU^0dUWNJXFdP!?zm?Dl#V3q zzUbIotYEds#~9AOpY@CuzeVu(A{~9#sLug_<`joov8BooTJMZfcEFjB*Go7S2MQT;@BJ9)4(>x?k~lhrIitg`jj9@7zeH3f80$Uw%71#H?Avl3i%4Z9vPo(A$u;_5Q7Zw+0HQ#$FVa)wi-TFIEG{xx}PQO!o5?v{8vi%%L78dsEB)_Cn>{7)izH-xg>Y#M^$d&j8@2L?k35~ zl4pE=62E0D=A@|n6jWJErkUYMKm7Oa8PYad+s=+C;D*{C7eY14@Qn)^4CZ8PN1Y*!{0&DK>IT8Y_oQdbmN2wSkRwy{aM zss8F(fv^9{3jY$_{RJ;D%F~%oC|%?6TJeUYDtyM9;719n**adnUJN+ho(DW7{%mCo zA88V0xca~hr11T9rG>VJlM<}vGc-2`>5ATEZ7wZ{wcDS$vJnv2!Di#u+iG5UT-NBG zHE|0eizm{@<&VGy{Q|gCSzkNvBN5P~r91W>A&f~HG*WM}?M|=KU7bn(5AzZUul(;u z{;yl%A=HjN<^8HBx@qqOKBWyUe3(o;!a!`S);% zld%}R8ck_{3sE(2V9aX_2y|xvhOHo)2f*xil=kf4*zmCp?l0&QkuTFk9A%hcxN}1*HyGsa!!kJ2NTV8yZ>5d zYoJwi@2yX&eiJ!aS!rPHpRopX~Hv;xOh^srSF=HgM*>vC;pQ87=>Sh2ZMm7gOmuKSPl*u z;?Jy1CpS;N1i9gsgm5R>Y_pk|M8vK&oTpe?F#p%fFLq&-{U>FRL_!MrCE2o=9CDjC zMF0HMp-UB$UNE%ghEGgCi!xu#vT07$J#geXbo|p?{bl<)o*<_~q#hB?D)>^dNuEA$ z|}z7s+A8AIzl9ZpHGZ!4?NiV*{*h&4t{mqkhuwEcBF!i z+6QDUt5nvq_z-~=nM*oErWmmptzJ~dSgzhx(1&>(r9pQy z42ieYF1{7{j_a1{*+*F^64WPEKy+!4EE-tB8zIfAwYm8a`D&$VGJknJMfp6jz-_ka ztai|uf4YTn_xyh%i}C-{=TvvTcR+_~sy%2@_T9%GdH+FkSzb$;Iq&hO)~kUo;MQY= zfIG-$xHm z9+Yy5J7gW;g?QVbfNVZ=sFf%!Hpo7J6^1VpOJ{9GhunA3g-B>!kZ=fpZNc(dtj0Cz z$1Y91=gy1gXwnZuhTjKHlS2tbB&S4B7Yer}k~>#WTyRg-(efkj@-^xU1HnEZ^$u_K zl8uGG70q)Nq;X){d`C za}tO8Qrvw&Mug7b!dMW?6raUQ^A|BiOZ?}{m8VI|q`^MoPwZhNq0yzhG)mu*=@$F-o#tG26)$IjUGrH+c>hFH9g;T)o~y2g%MeiIaDa1yww5* z8t_(IHBffVv_z4tf}^J#>c)4mqVw>G8$a)OFvVi!8^xvV(jWRH1U`{4j|n!wt*0VZ zm?_K1%)n;l5b7hqDqGbozzgsazlQTBB(XV>3Ui8FWyR0V7Ea8~mpAki!nJt{Ouft( z5r41rOTlIAoB1o?D0qY9Z|)pTK-o?iC7Yi~s9)zYZy#G~Nz*?3-D3XI<3`IMa{`wG z-9VXDy6K_)pHdxS)j$(hBo5XiCRV98=N6Zw6(|%o=fHqK!(0G7K!u`160@;!6 zoaETFF#It`_0}2)fMqF`kyY_Wcc*45>)D4`Pmk+N6ur~lH#h0=<7kSQ5Z}e0T@kuy zh~ZYh~-(gWEtKjx`Q`;f70NIUPw569<>uR{2N`0CuY)EC-liLy|eNZcC#sQVlw~UAIYR2|rz*y0Nen<@K@5O!nd2CBHeT z7k5nPWXqUb`ohH1AKO#DFr2$_K(v?WVYq_>INh*<%Pc;Nu$uN2*PrSiR~OAs7SbY4 zwep6)aQAmYRLwzc24s5rChgy!8dn)@4O!VJzxs)_b|k2JC?qH)1gcnTAnLLbebeE( z8e`riE;ddBn#7l;45|`WTi+L*iP(BT^P}T4R>Ir%e`1^j1G1W7dkc#V2q_Fgiv8fq z^c2>~j>jf{vkzI4No0BfNVcC7gkGKK6BNqxH9Hpa*{_uli0E3d>!{DQDwI$gF2`yvQdj@p+2MqJ|51Tdg3by*qqp~M4`KF{Q|7bD;8q$J z3<~L$rb!e*419s&wO=Ub7qwL^c~A)e9w?r@Otlc9QB!S#$u`kCRYFMZ{b0(T)66tHgj6*qI7&9X9PnJE?Q63%8;QBfTavj$W1h5U=4=R`g> zH8N3C;`AKZicgGN>eX=^V{~^p;|q+DyRFgg0fUG^zr(DQy>NYmZEZAqw%f?Y)sI$T z)|N5qs^NE$u6I9vV>C|qoNjI9;0Pi2PLl#NgX4)?1X4t5LO?(1WTxdr=JUO?6@)tL zP5f^#jlq}XCAeOjyhd(sA-u`LhYzB$; zVVsc@CnJrZlvHDPH5h<&s%5YP*#ym|Gv*_5*H)K6GDsrxrFQ8|cvPTezHX^!-m#0; z9ZBRi%e!Q(rU-hxlPl5qX~efvrHC2XUuv?-DsF^mE3hOIF`*6sTC4ZWd{E>GfxvbOBht+YSClgBF9lw27$9UuUexYpLt|!?Rs!g@^r+&67I*Pad zQ=0To9|06{f4<@c^|QjCuef)ENFoUhc36yfN=ItyYrbfz-|}-|>(iYO{c?QyL34`9 zWY|JXP3Za0S9)HuemGI1?F1pVw8c_dwvRs68~o(`Q>C;))FN)84vtSkzNX!<`q!U< z82oQuQFv+lz@<3>0^=y$1NBVY^&_S&oMlcTCmUt6O9}E~aBf$N2EC4zw>E zP|eNbAV^z){xX3^?&DAHj33)b2893=gYG%kEzTBD#`Xv#j`6w5yQ&V zM+?q!9X>?cZBX@9--=gDT1Fp!*p;to(&awSD){>IQ}a3R$O@(F2nEH6u;SRwuu>XR zmW#zSC$dj$e3~75iz6Jw*V9_3(K<|ZR0my|n>$PuEnx9Z)D`1<@w8OXUs#1zr|`sp ziX94xNL@4-ReZft!Lp%mMp)Gak@TXX!n;AbOACuPd^DXMtvy8Fgq6{~!_iep0kh%% z+4}e|R?x@59y0K#1>V9St2gAj%(bu50(vH^B<9U!Tp) zxVl{`uPEj>WqkIf8RW7_N=Bwvr20jjbgz?FB-o@_7Q3H#d5(R;u*kFy0?$>HJf67W zLcQNYi9n#~xm+6Jj*K_&wX{!$-8-KUF~^&Dr8=(MO7oekT!nYg*2!I~c#(U)%`(?l zG~Pdw-``$ZzGXIfNomO9P00K$`k?l?zpS>I!MUJ2fB82M26Ud%Pr(qYz^zA&vYzdN zEwNs74G{TiXaiffdRtIq%w#u)6#=x6W8Cq?lI)MVDvp-`Pn+k~+w+@m%3aT~FcoWd zRUbCo&=3S*?LY4qyG(x)khv4Il4J~c$gAkXqLj9>inB`iVp>7H;!!#ZB>Th+@wXV_;7 zPRrKqqyF2~^bsM2l2oi9Ab4D7&9X%E+#w|{&&F}<0dMnZS=%R!+Z~eT&&Sl6-l+a` zK}Y)nh3Z4T#cY|W~mqo6?T zTw`9RjMeX+hNLywoU7k4RP3<$iqy_U$0G1lmAo0}PM|`8v6gx{ygq zYb61kj8v`#{#zgCss$|T0frWyIaPett zt^~4&O`Yn7si|)yl?gMZ=271p&M&Q9Zjw^neAF3DS}%W@7UZE(+Wx2KyH1$gpT?v> zD{orX`NBJY!|%|e@Q^o8DSz~nn}ix&*X+H1b?7NsU*$ z6Y(MPKk^PeIX<&KDANSx*au3(ltF?#88NJ22S;O>QApWZm`lT>CxLwrIizM`XJyXK zXz-TS3Gpkkqb?1W&441%n0)@HMLTf>g-)9%9%4uxwsqTAYy5W%N=uY6KC4DG?y- zQ$A}Z+EevgED}6ZY4%*Uw6Oxk+OtcG{3)n~m(D#8Q2hu}5)AlbT7j663_yLN5!uL<;xg}2dhP?b)Lf@76*h5kPG%u7{%i8%gj#?H!(wawP*^d-(Y*4Jp z1`AZg>W@y)BPnCm#eU(9(wRlo_^&N0Audn+s-BxcOCKgig_CCw0A(zR&1J0O7HWDK zBu~fU^=@?BopSetPD|_G(AF!A2(|X>Xn%7Ly(1hrqk8MOLQ(E(>N6KeJR6iUG14~Y zwiN$C;xlfss*w|t!@fu}Fy7i-N6UzgO9<@YBdf<#~EKg;0p_@W>O|)K^&bQR-yw;m(Yg;*P&kJs@ zb7yAM#b7y0q5)BzGq8%lLAL1{>~I=Il76+86Th+>HPjjvY(kBWcnTq>9Ex@o#ns!C76hXO*;53wN_*b_*~5FX3BCY#EegWs0el-)NoQ+B8&36EKW z{h`0om^;ri2l}Gzan{$=W9$3hTIHDSf?OjMx=IGlr%!?;RLEpWx~6_ZdE@o(3>;n` z+Y>R_t*A(usLYFU7Q>J89=87`_r$*SsUaiOgt~h5xzkE0fjPqmhu$7QV2)m353yN3 z^caZr2G}5ro=9sQ&P=$dBDTx|{=%&BLmWR{I>9XDM)IrfvU7PF!)GT=gM9xJ*Xe0O zBLPQ%h7y4GN8ewSq%+pmOy(#fOX(uGL?RQWQV+?6dfb`Tn?*oafV51016fBmW-aSk_NvonR2}?``t|B^TB$lC*K2V*gu4|Aa>JtzZ zZAdDZ{Q7h~c0ChLvTZ{WHqrYvt}zdKnTF37AZdSVq#>T{^)g=z4iDlj%5zaj7%ew( zWiqruGfahz^;SMG4>;~+w)g)Bu-uK3Z<{0F1U69dTxev2AF$>L{DbBZShljAN~j9O zDRaNZ`%H^>=j}MP6RHJu*dJE~r_C&4$K5106`kXS1K^L}vK%)`&?@%*l)6}zQAbG6 zwYSx0qD&HSvg?q{tb|~ry7pR7W_78P6c2l|_5v2#_mhO73)Bx7l?aipi-OtH&$%0m-+sw4_?mP&qEh*!GTk?F3hpOz7x@l9KMX7%go= zUG!J6tFfjA%80?Qz^UFd1#-KslROQ{`WhH-FWO3gp)I9ZIlFXQ8X~+~>#Lg^8=1d< zH~xL5pedo`+-3ldnFsa=w7j4?zmUhcWi!yFYnP+uWdm>R#<%pRC||;QZQTalOV)Q> z_Z5Pp3GVmS&3W3-Io8yMXg8O}TCt4mSI+Qjg{k-_D8AMn+wx6Jx+8Lhxbb3>e{0Tc zJh!eb&P}fQtteNNk2SI1O7242Z>2F^_E~b92z+kcp-!yw5ZavM`t$5_-}}3hshdGP zEG>8LyxUhr?nEQEOs7y{qVDT_-vYNA&Lvs2-Nn)g;>LqL@X6&&%;}d)YY$!0i8^`HU~zkFS5a z|3uomN4O1|7o&agtRCMO$Bhn_)hSA<_x_`<6UstWVzY-xrO7GCA5(|srF|uO1sg`r z{wG3;?!akz9?ys`$Rol-si ztGl0uz_W8h&6bVXEZS{7w(n<|2Hig#^5C5$G`yQX$HrziTUzIEv=rI{Q=mEpo5Nod zL$SE{SeHhB=o2CnfY@&{WTgcZG-Tj|oQx*x5}Gep=7}uq1JfD(I(>utVr9ZZgV{}5 zJaZ4oKvEjX%`eF9IX%VBNPnxijh0uO25vG74Mm=`cOwe& z1kN|jR!;QTu0)f&5z-)>U{Q^90hO%4R8~M1#SU4S9(AoD?P1d*f%A^mb3-P^K{{jZ zs`d$j`fG1WUyX{-UDa>~c@A00nKt97Rd#88DU!k6mQlzmYg?}`PS9e54VsbqSjXXU zn2Y<~S=LnbjCM2bDAy34NO)Ok3f!9NWo<#MN>xO9yREj;X7N%j$v;rt>+lG!}2phBRJg%c=DDb1UNh~2vHoAY7%S@SQ#IX zyL20Bel3TbiRz5?PI`1XWp0wU;H^C4t+-N+vS3Xh&u(N-S@Z^8ub|6JG9l3p zc$2T(Lq*?fVS|oHm&FR3v*-DctMILn-x!?YJa;?9l-Q$La!gld4=77~>49kS3$hy7 zza-cm9y~=qsK+WgtxAeY$zGTtzrNo*F2?!?jkXKRE7!m+LQ~yk4Z(Z7p%W4MH? z;p1Y0n3|B)^DRQMf_pG|#4sOxdMG%6GE4HLlrOMhbt%|MN?yqV++O!EEU0| z0Ig&;F{rd$uuhWmsw#x~hG}E8Lu5?}9ln&biNM!nd-4!jiC}#LhskiFJ~PL#*^^K) z-`bG)vY+~Q?vm`aP7M{*?|nwK9A>mG_Bkg3d-yR}IeLxw*!1xF%C^uaGW(#i84;S| zB}2%-i*TYfYucNaH?gb{=Z+6aXqZasbfv|dvWO3>QT($)uq$4WG2LTTy7^42<*lv3 zQ=8-BY&tg=VdN?>5DsJxvJfF9u!Nkpsw6d#+V;+)Ttt-8T0C@f3iGx2`}~~CxT=W! zXp^>XM)HXUwP#p|SzN~S5cDZnJ?4|ULdbCRq7$iT`k{cmY{G%rs&?CRmKT;^8Z$I0 zi4GB$t;TsyDQ0EEe-R^vgM;8w#-J7at7H~gGqF}9JRr6Yx8ubs`$uOw>hV}{XDdP? z>t=79d_x?um$7MgIx8RhF?8uyu(t_`nTQyIWgXII>|q7SmD|K9&tXsW3_=7VRTnM3 z#<^)y6Rm@^w8*PD{pF$Vf@`CM&7g8MYY*y7O{%0ZKJ1b|f)oYIs96X1s^^r?#L6PX zDg=Y#34R0|aIz9B_4^<^eR=stP%Wo2t4?mDJAOe4|D5aXF}b_)v5((amu7)pt!E|& z2^A}IhkmGMOz|z*lN?WA$GD#u+;0(S#X+%z&WfSZ*$wjp1BIIRd(F9xvfsOYpILxS zH0@T}neqU9jj~jf;;#6X5XlTB^}du#+iUj*9ytw2b>hw#rB)P$57O{`w`YBH*^^t+ z#?{|B&&ZkYjo$xi>^h^G+O{i?CNP!{(sEb|GzL zRBo>Ps_!G2!-%cpd|Gz2l01&YCJ02Hp^0e&#P894xQ0R4iq;%&I$`w~s9YpD;{k_Y zVsMX^57n(FcTw)3Tn8%WDAREeDDTbid{z5=TQsF*yzvn)}-28+}U0dYR4Ks2Fq$sjaYt3t2Nz~dpY3+b8tM5;tpx#9*61ImJZ!s9QPG) zk`iakI#rN5H5#TaQGF7MC6j5H?u!N^7Q_wT?&XUPD)yfBS9OAxa3i=X|8uV1UM@KD^U`(~FF zEbSR2bXnpVk5Si&;JD2238hxw16H8_jQ3K4h*BX}X}olK;T;yX2QHJyCC3;$M4WPq&|NWICO@To>`|UeKtyc_Vf{qsV;GTssw=I87X63r9e7Zs2{pA&}K~TDF70pf8#Xjog~l?Q*;=L-K=MhKQ=(=!k>BQqq%+~#{rq+XMAeETP4CRF>Y`GY7 z3~x^UVp*ae*n4vI+4b+vJ+PhSCEN~oXghP6?DihkklYZt1bKoL?}ZT*P7@U7F>;~j zyUg)ZQGF4q-euEMPABO}Wh7tX5BeXo(y&n33?DzXB1?AoM(=R)SNVdj`?v1|40);C z-qTFTYdagaH8;4U+%BBeuPSRliah=_B;rDlmPYdoOTeDB8s~+hxlm^qQ^%leH7(K* z@1(~V@6*7QIW7?=zSvGXdK3g#5#96b!zgcR-;3ng6Xcs^vF^95@z>hc)*N%kuZTgc zG{!XQSe`v4agvC=@~*9)I7C#%BwV?tJ>rhN?NrgY9~#F>N#S{?(w#TrQl6rl?zl{b zKhh^PVh#ABwat~;IJbL2l>Y&WL83!wa=%!N{M8(bcRx3YhlIyOJP+__GT}iUKf5s%g!D5@^6RfL6T-k@5sIQ8l@a;zpD$LYnp` zN(VtEsG+*vi^7%%f7sOa-fZ(13tcdmXFz;rgMNG(?>n&2yZNN=7mI<1vjhEEI+5O6 zM28G+Hkv%nSEGz!Tb%+x5H$+UbY3;11@xk*`E*=bL<_rVuhwG>t+p%d?uw2yNf_HW zl;OYEuRg6tv&v$Q0QNO33x#|qq*bxFK_kFaXyQgxPN_?S5zPxG@}4Qqdv#KF?d&T! zhwbDlBG}iof$_&jGp8u}%1_XSA++8|xU=M_*Zvn%p;(O>lH|ZCTpG+$L83u0Djwg@ zxU4)8zX5l_I3zIrtPEz8JP?bgKsD@NOb(&N%6~FBz>C;@I9n}YOBOy)ihyPCgh4D^ z-)aCA4mKO~g+`i~4l@V`+saDuQuS$aqF2Z4 z0Zm^UirrNDB%d`>l8aj;_wc425Sys!>{)Azkf)Zv#c3;veGwX}+xU9LYD_nwxZZIk zr(`05pI7_Hqu$e1EUY{E^>BMRW>}Bj zNL1m!ci=|m4eeXL(NCP<@c1h+#<`~!06tSKFl-hNtpz>pXrtck z8|0Fjl}5D5e-wvu@x&Pk)Q3qqQ(iJa4Tpl(Er3~?4tlNL;yn~VliEl+f`rDScFeq; zf7mAOt?jiMdmUX7l~YIQoey5r%c(IIwjB&d{~dlaOy=pNTk<_`Ty{*MwiGREB}@ zcHns9YLh+%v{0iMND`0cQX1{8sBllcBnson=SWMiF^t9zRu5X9FGLYZ+hg4%8DNsr8 z&_ye~mBysxj*T7!bZJbr_SF(>R*nbi`DSclV(DUHPi9!(neE(lA2se=0|BFyq8oB> zzWl)5jMhIcx&JSrx@Pfpaw|2fIkV3i>;Em(iKKkh4I}756ABR`$-Exga*2Xju+B?f z3>$-`GO#6~NghFmYr~*z4F@|1vEykRRH+H%gR2@gBvO5+M6euWdDncLh6WX=8*rNM7e3USuPdi&F?+5!0u z1(Jo6L#QH@wjk2lXu`t5E80|@2Ei23Yv*&Ol!6c{%ft`-Pt!js7t<>8wQ@(F-6FaU zxewiF7I}I3lO+w}(R3MBhT4qW6 ze5IE!#Jj-wJ(Yi>A`vd!@hXy&&{U_85Rer(J8d^}#jHhMt7Ad4C_(4I`;xg!x01Bm zX8?)>SxoxCqv9j3Ex0p`k6)FGtIEfi^q+S28;Z7||EP~KN;^+$nfK6Gt(1KD!g~yp z^U6nlm~J1Q@Qi3$LVHdBy3f$PSYDpBME|wa=$(KTq$0`|jlb9OIm1OI?%tkbX&Oey z=BiS@bO&LbxLh6j*g8j!VGgt&c`2C)zbI+sBuiOVqIQT|L9KzC<0u0uWg z>>LE@`Qry0a}oY#`0ClHXH=j3dkh7vH23-EM^jH6xdL=&A)wF+ru?KDcK(>UH?X;( z5$Y!~h)opx2^bjKOSxCo*EuZe`!|YmSL`Y$>tq7lPaNd$c~x^_hr51)*<1uaiE3}5 zseG>TiU%wyRZ?y}u~i?$!q#m4cfL0#^YHMaXD#uAmyQ*sS?M$HhStn?f4cPCU^^i!x`Z{B(rGMcvri1Rs7sXKeRII2 zV0q33TRQ(T<_{m%kC*i2+ju&sr8IayIM7n6+e(sm((P6*0SVyG@p<9?>lnRwUm2!- zlnrf9&-61onHo72JFm%oow~2e`{sgzonf6q&3JHK<_Uu!&eRufPood4>|gol3)Vr( z6z%cVzSj*+O@55WjBgaH)CC?T52jr*z8;ygnUJgQJ$augs@l<$&3QW(fg`m*3Pgp4p!C^jqFTgno?R+)q=M900SIW!V~BCd_@@qMipf%3H^L@c>`l| zW*W&DIdzr3Q0aHNTi~fvPGR`Va}s^!YrDsk#^qZs`$;vNKq9q4+;p90QbtQQ0UYka z__LvJL12}t(2+o}BQ+Kd-Kor)+K=5W=mcIEFQEs+uT5!XZ??LM6li}hOnEHX^pj#Iv<3>rUns-Ox zrMkzqvth0Ec~=PaU*AVMj~sQjv9Cg`7ScZ+`EI>`&@*yY>$-DrYwf^f5 z@g$*;bjTi?T7 zUhimDSx1O{sc7Q4V>!+7PL?!dHP?p2!lTqGn#3II29{sS7C{DSDEt0JV;Z>>0HN7&9z5@a;ddXofmA{{CxZLMA*B)k; zvE6F_&(Q|L989z{5MDLtf%sBH5u#Q6`}^{FI_Bgu-Qo8Gg_y_6PlJk+;UUor_sOmH zAPrvWbWXz)o-@$)fvE<6*ARl?@++LXma%RGuYKqkUn64@1AbdJ{1wCeT?GE;CCnXg z$%|Yx2e%k5fKHP?Sr#o5FZ!W>mS=q5MHPz<;bK#kdtwUCjlC~lZAB1?-r}BfYU=j53pU0SiERHTo|$BKiUV literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/xml6.jpg b/cpld/XC95144XL/MXSE_html/fit/xml6.jpg new file mode 100644 index 0000000000000000000000000000000000000000..a2e04201656416ae186e85d3213dabb802015ed7 GIT binary patch literal 88780 zcmd42cUV)++AkagM5GA{0t!(;kS-l*QIRGp(mPQ)L_m5cR#1>4y$F$BLWp#c5*4Wd zLPxq%f;7>D;tC<0_4w?w-*>;)xA%3f^L>AOlWWadGqYyqUQ_SiZ|)p^Is6JaaYNTY z7eaT04x$hKAcvbA&+oYV1o-&7`#d*@-o+z0)me`4tV5tE;l{m&SA zFoh0c1N#5_9i=}p(*G6nr`}Uw7y@AcuRnD#u>KWfe*=1d)%_5>G{8sj{#Q}#Pa(Vz zy2JmcR-i$|bUp67oD25z2ncZTKIa&E?y9qoqszH}woTbNMX+%We?Zv(BtV?OLczkO zAx|N!$BrFiKE}$-%*x4foQ0E{gO!zooA=~N?vp2ZIa&X>f872K{7;{bjfI7co$UlW z`-xNR?Chuh^4L%PorUxNq=3T@5YA)Zmp-JY6M`J!q@(AgJDdeu<=Ek8Iv6M=Bi$bd z3jCL&2Ya7^@hH5mBK<+vC?34Dc4GRi-Cb?Pq(KAH^uR1k6e=Jz;R+mzeM zU-8$4qkjp&B&zgj7!;!Lw6l)6a)8UiKSlWW5{Uhm2!~S;R{B46azbE`4H9MkPG?Q0 za$F6)t##$__`BBeu<0zcZSBxDOn+;?I-x*~7TV=fcv^C_hF~-t=;b}>Z@V@_njadf zrj?dc<4&MP6{fw$YD?hZlC@4!)W&5w5bK%<<&Q8jQ_AYV{n;&AL4ExQgijzjI$tdnZ;@XtJZo^3{D!SrZCEFhARd)W>2+tgb@1yvaCyzxv6TlEPGf z!vw`>82NOY%Y-Lz8bd>rxZbpK96x>t$?*th0ENE2OEDJ-+uXRT643I|wX<~t^Z3Js zf#SaTrsg?3U-RT4#ADw65Hecp>TPau8QWgHk;F@RJwa#;PnZa^<(=R#n#pR_6Pl<` zyJt^bJag}@(xb`{`Ru%lAUn_67p!YiDr7KqT4paB!-p^8g_-F|vw_ zEG9_xa<>KJwj1Q;`?sjO6Z@#5JHbX>jc!w6f#&s!6dOLW+9`$Q3d;En4=ecf9cyY4W@*!m4`5|OgSuri3SKcpD>NVZ-u6IoK z4ptWiro6-5-G;(7jfTQ`3EQZz6WaFoD;mr`vSRWv3@H%zp{BWIe0653NxQkGJbp@| zI)CDL-=w+n8HpWP?6!9#aN6AMprawSEf-Pnp?BW`R<`cZ@Ik-&%MT7`g4Tq(_m1uB zlS1+}cNM>h!d12&5JY{l0!(MdTd|l=HdKUF;FBM!o4d{SRTER$m5A?k%_IHc?QsXn z&Prn{{-_3=!T3Zys`x;_R@u_BkoL@Ab8a(7d+*M#x;55jUa!sVq-n!nTjw+D+rC14 zT{b<=>{*%y%}iMTz~CsiOzc{%(p7jTDdc!rU$*z3)^AVUFQ3+&%UjnBkCa9g?i`%a zH2Udr2ytDC%=|JfKkeMqmP4|0wvn9MZ4S?Q|NOvQ!B@cHOycA%Z)~`&b|phnq&ojc z#OrZd9tGj#1y0WcHdK*`7i*yRT`xWHY3U%jaJZ5;52ZP{(Mi*OAG)oX1Jh5=QbXHJ zIkw>KuW;PuF>jjsvF#_YM$7TpNs75qJ&NyHlVhvQSmAYD>>nNpCcCWrIMJf{BPqBvns`!m7Q`DR|T8}w$zK_e`i*4)3HmsZi{|zPH znNAxMssFNXH=e;m*|~WLc>?W6KHb|NHi0*~Jf0u(j?t(Is?}eZh(ZCSXx)G7@Uyr-ALA3)y|$egy^l>wiP=jfgPI>9$f+p z#JxXq19>0S3y;j}vLOB_uiVaB!_DIW!DM#LcV3}y=O6NZ zB`tpcGLfYHdV(!T?j>?nv(G~QzRP863)@%j_owKg3F|>?gh&gg1^d8&i8j=b+Wg2N z1a=_UTX}tTGGxNmt!!^enLxDNHRKxs*vU1UOBp>-oAhmO=#4|_uf5Qk?USBfQ_9hV4yx=)+Jw{{_k>ixtp5RDpsVLQ`AYn~a_ZwHO*Q^d9 z`~KhMu19_Hl744K(0PV&dSZaEvza$S*fu*gXy)zcC-p*gEX-HuR@qb^UW)Pds%)vJ z<+SR;Z$*`sQVGA+U+L_&C7($huMt2bPP!D-@Dfj)@i@5PkaGwzq}-yFl0C$my;>z~ zUFJ~VABCS?U{&PspZ;;s89sPF_{x12$;#8JHHLvqHVzJ3ec(>yYN{eI~t>%J+} z)b?(NOzcLW+543DK@@PY)r3=?j?a8*_ph0l}hiGlfSVa!eUR@B4 zgjw2b-RPBcLL-=GW}9u)Ascn*z?hA2YaU%lFz3_!^q!V_tfV$#}6TL6#hfV za8Xh8Aq4$n@*X#>ioMJ0QfH$}%6rX9n#=s|)Hyf3O4zp2TaEDchwkU1o98ADAr~qs zo;jo-<>}knXQvtOHCI2aCUBd!O;G9^dN+f?VW~q)+4jUM{G9qE&+lD3j<9zt;#X8E5)6%YLEvdWbOZDweZL z=DhJYoc}hirBSy{=6rDj3w$@m>gy(fO+=f|Vu;l#h?Y1;felQ1sv>mb=?XtUU_t?D z2EVKqeop%U=qC!dngv3I@0Qi)KN>(bjeeZcO2O%D^S+s|Tk)#cn3}r2|9!@}MZs3; z9)&F!Kowc?HEy&=+>iF2{p@}J2ddvp@*rn7%xPk~fM68#ofbG(vembv3D2jU9Jyr* zt8?fJZ*^OWvmu{;8656x)jR)|Ez!jx1MT)(b~q{p=n%7s>aT8>Bg_|n%3yk8h1TcX zXgmAjtN`28I(a5b9>{OjY$V22) zgB#UALUDuqObPv|*1RVwTW^&x-BZ?$E)FI8$dBPC;cKmf(Coo!_WruM_9rv*rZe+_ zQS(FKhQzp9FuxkMxuZ3)y*4daTHHK}bt55PPp|tNLXJN3Dc*JMnr>Bf-q?ZV%mf<| zHz%n?!Z5{FS){}}a@=8|J;U^Q6^=M%!#-iV9v(fLNb_8#TXUZ`RSe5-w3s&x>fGGc z6n34Bk2-{mY=))HB^FcQ4Mr8p2b%i@1S0NLZPeDS+XP*oov5Kkr^c|H23=Gi1y{JE zf>Z%k#aVLQEAWvwzjY%R8Cp&&BDN!^gOH}%aXMcznt_%K3+59n{QBOrRc`O1{9D)M zwy9wl$rVX zaS*HitD~c*r)OkfWIlS7ne909aW;;>FenFzWI^|j8|&Ypf6e#L(BBx8cH}HQ9SGX~ z3xi$;5UK+nkM5J6Pln zR-^^)cS`*5psw$|G@ls(CboFdO{HY)oSdb<(F(l9v5JTqz=d?!|G&yszoz%6g1JiM zRtH$$R84)zTTgy|{=prZYQ={67j(6yV^xQJY%_O|#Q%pua90_m1cjmU7?l2F(WbL> zFN67?R#Z607`;p8`d&q!pr~QRX-w+wNp;X!#sWQCc&G}^13-}w__@%LK&TdQ`&5SU zhzX0&4Y#vx)r>_msz%Znrm7e3EpdFR+F=dhnu#>upoMUZEIA=O%f&aqyC>1e{3g zq!h9O3D!Susb-WDvG21i9W_9{nc%i4-{%&)aHe~FVSqTh8LN1|qCIO#+0J}Nuof5r zEDLB(*kzZkoJ~y2ymTKA!m&rewmmp3rQglPKjOr+w!g1HUE(P&{Tfx9itLx>Nx(Eg z%beOoIv`|Gm(eBhMYedhn#%^FdEq%Rob6s-UdLNT`h`#Ms19ZRcEqM1LRN%eD^is( zS(uPUJAeXg-U5kz{FYfvsovZDwnn%Yb&&=(`ae#Z)dfCA801UvaV-foy`lS02Ao20Y0cdWNW6kL1rr>@bzePQwq%GI?bXs9u!rIYM#hr#n3(eQ9ZLG%SuNK5^ zcQ}{=+ACWG9|8=YPF*AIHZP;ZS1G@6K6s~drYx}D%zP|49Ri35sOMbUR!VfHC z-^2e*ue&OE0T(@Q z&id+ejdXvVY>!u&t82*f7q8Z1Tm4O_J5=-#b+!mNX-2;B1wdOF%Ie)rAO9Zd5P6%g z^leRR#_;y0%#Y+bBi*SW13N7DwNYL)-7wfCm%vLPFjTs*k-R^ZMQw+siKW~b4m3Pf zH%4UW@Cw^guEt=sWVlNtii#fN=#9+LtCgl{BxM&o(pM@o84b(0yFi+{vRzraJ6Ng2XOPIO=Yw5Bc=XrZMW+IGOrMc#;Bd|-kdW7ua4HFyMixF>W zX@`q5=B1%B%P&GO;yUH!8!8{Hbr!>d(*tvbjT^?c6M;|7kz$rLsRS3aRoAjDqRi#o z6A}NXPKrl!}0lh~3 zl2#FWz!R^sbq5Qwhz)zb+r4s+BcX0}JAzYFhKAu~Ui_TC$Fkmax5ufySCDu)3|sjM zC%>2)N4w-tMY;ALtu2p=R&&jYe6t>8I)lv=JT)T7&{X}hJLAZL3Tr(bul}e}9Cui5 z40UOKDZPAU3$WPL@;@2+VrLpFX*+vxV<#Z1ew~+#xS}6p<<9stfB^$WK*uJsU&Y}@1GUc{)^8JqK^Azv7sM8?Wt)v#W}DY{%#vo~*7X^feYh)2 z3`EYK!g9@&$ys8f%xM8MIL-fy{aVzOwo&Yc^ttM0%0PaRr_BulqWrpBZBxU6u$Rwz zyQ1ffp3@ihsw6%}`~s(m7r+Sk62l1+p?CsY;oNFiTh1FqSow$&UtPSq+1R3j_2j(g z;Iw919rnb|alCx0QT*?!-)L@7vtLoet?*K@=%~ByT6f8tKT;}b7WUc*a9Ll(Qx@!ZLkC39bI$q%-ucmdRDj(}5Nl6XgajZnse8~i8CMp+3eld52JT}nk zRZMdWUGLcphGs6-J-7W>-diK3kYkbvWqeSCT_WnoSNR9eFPT%I&=v0a%)ovH zvgA$bd_Cz9vUEBLDO)$M6y@Q`;ZZtgWACsgzJo^ujB=^m1+nC^bf51}=M{HHi=8iw zrn}(Q2R7L2fVkV_ABCaBP0O1|{22iiGxB&ma=A5V!hB?V(@NL|u9c(o*uJ${s=D^Z zD|uZ3mNa6$c`TMn)uZ_`w&e@a5DHdnr)^wb+6)fv)RuMyR@%m)RmEB;iTSMYoKkq< z@+(-v3*7>&JXl#8c#V@b8<$X-)DeIMXed!MH*9n@CwMvPz^mfT?qCez>?QA@i zjXf+amHTCnR2zsKQE>^>lPY3#7y+sJ0YBt`a;Tm;c`LnTWTkmlp%0hl`%#R@o=S6= zU>%n;5_wQO!T46xFetQ8t1=?x<*P;+nW74CUqKGIA_r8WEM~u0ht`q>VYYWj%eb5c zFR0O|QrY5Q)pG0^FWpc@JR&ukFVFq6*LerKjQFd(XkjQh1)d6Dkd+0luVoJd8GRNM zubHs(q#yM=amSQ$zLk2AJj-_^R`I?MuH`pxuN_0a)po>2{rjclaQGhz$W^dGI;iQ? zF6seEC4>5G$%@+Q;pK$24vo7rq11YVIF@e6{qDJ~o|n(Pz&z5JG2OO zi`q(^r4A0^q>D&9UFp<%WQpf69!Ogb{ZX7ItBCIIJ8fa1wq_+gdgB*Y4Z~wuvrDWW zbT3PQy4oJ?0iF!&$%auEH`4}Mm(ge}(0K^aK+t@LZmL*%w%xPEW7LSfQx?i;BlrUg zomU^;ZPU2W7xc|dkaEa@6H%_vUVDz7IomO6V=ysZkL&>N_fcyrEVH+@ni@DC9uk0O z78)TY2VOjcoEjDhaG6q5;`K~iK0&5^^)hx5^G&c5=95+C^YSc6Mf_T59uyIdKZGb-0XY01 zT+UE^b(0r8HQRsIuf^&%zvmyI+5 z4!w8pw62qHV*>+=J+tjGJAHdxSCLL$ZvH%P?uLe4>5DJ6MRr!y-v z$xUzTYj;eDvrTel+2*gVvaD@v3Sqfgz)2uZ-EE^bkx!>CwUEqgs!9O@2eCefmW2z^ zJX+uM7{LeKzERU%`RiBGc~%y4%jc}4~~eDy1$xkGq4E z_S_45dBIK6Z+JDOwNk=FPI|IZ*rB~Jr6_|aJA2?v-<*?|=Kxz6 zZL8{#7cYch)|>z3tR(t^bmLziE z+EggK+omx&B4?@Jw7an9Ju0jI3W%hwR-D^DA3t>; z`^#Z`$SdH*HnE1M+X4}0;MXX{7i?wL$ZfugdvypQ$3nB(490LPvP#%Km{gPX3CJN9?2t$&6Xs@FDvYCO%4 zKzk5QCe!>_`jJ^i#i1iTt4p~~cLp`O0RK{lGZ=Y8Hq%=#Fg^>o=-ytJCY3uq#-Sp~ z`UQ&5ub(2BTXmseD@SoFLbyw%U^|YszW2c&9Q6Tu5lE??eA_PUT5w#^3=nQw`(Dnj zY4Ga~mwQ2SLY(I9ZR=eCGPm0Je8(D|Hi`?J;0a?uadwq7)-7e(Xl7 z7&Sef>pUjAy`CvmkRI?Z0sn;AQJV!;!SFGX3%vyeI+4AtNRl2&fwV#@?0df%leuFg z;(;jD!jw!KdN4e%9H`*(aCH^OzX-#s`F%}W;Eq@g1nan5vW6rl!N^ItESq#pF&+p6 zR+bq@P(4u7w50~JKu6J3-~dK^$J`E6H}Y%23^(q~6a)EzmZD<7PrXNmEoV>{Wby&N z5}?qqiP}G=(d6qCx?+E$U+LE6o%8n_(p@%7pD`raPPemiqB}c`dGfn|r$uNWS3opG zPSK`W%Ai9rz{x&AH|jpMYJ{4$qIqKvK6Ynn-6GjKF4x#uO|gWi{>t&f_NVsMx{?cw zj_hl#a56pZK{Gj}51NW1$Iy&O#1tSDq%BDt<|JA*5LeNIc9`zM7%p20Ro5g)KCjbD zhdUfwA8;0o+4B^E@<@=3`nG^QaH)X5Rzv~4K2q+WB9zfnf*iO)^Xveg6(Ll@=1NaZ z1=iP(=d0NeJhP=9Ed{nhYVbOe`{o_iF(~8;6FBdLaB>lBre!^<_{iO>R9lLLt+jVn zPoK9gskYLy0%sxjZ^AJ-2i? z`v0pB|Ie%+*iU17s1=UvmZ7CYJWLH}>~R1$AfC{|t0Pk@`hy|e3zqB^KYC7guCuxn zJDadDN*u%JUEL^k^-wpe51`n)!kO3&MoZsf;#t(`h3_zIuvW#J-VW_OA^*GvDjQ{u z9IzDv^i0W#D@>VGRGGV0NsL#?nuE4&Q0 z{UL<9gk083ccG3vu^dx6=1k2>?}WmRZHS}{M&1Z)Y&dZO8QH4~87Z|}sDu54ZAQ8e z8J;?)`|jqqrbnOU62yIxD);Kiel8VVf|4*JSR8j8&HpsbmtwnrOCz*~a@l)F((58Y zCL{RlC8J<|LwY;)bK8YUmydt;HvWFGzW+7u>#fbRzId@mTnrb~_=Ij29=ZNhhf*Z@ z;4-sbc-kfE?iuv{Nogd_uaTtPOG8Xh)3BkjhmhN3ru4uvDz5U%lnefI#&Bg{MNMaA zC9dh7LwzybTqXtjn|F7&*&xpP$tjmO8HIGQgman4aRvn(WzfJ$=JeWA&W^XgPKbc` zbHI+KYxw4S*iKb*1-L>!--*L88Ilf4UIl7ZO+CvlG-9zT$Y8u)y^Wo=Z&a*1gnYm@ zb{2{cp)7`xWP< zo(TDuFCd9GE5B5671}=^r&61YZ4iL=v~K!WM8DQ$N@N}o6$^>roh5Ws3BGRW3UL8iB2;EP-_JK~ z3DuoVd-a^5@VI=+WQ?Qw%Z0NU|H^-xZE5d^VGn9$kc)ONo8)63uF02tHli+IslGT> zB-tH-+F^6bNVw&evu1bkKXK-5uo$v7d7@t{kV+V&W~nUaWfjuk>y0*Ml0@ski`bIK z!{ft5L_xzw&uXWvlSSl15sNf#69R5U0H>&g>nDh>X?c$-%}W3&`YCssY6g{%7rP=F z6ymkk7CKiyXM9$CIAi-xSLb*y{gIgl^JKt@?2WgXfGH~GhU*}OC!?%^XjvJpX7s!j zrlDA);lx_pg~n2KdD$`Vd&$Yqe4fXe^T+a9t}s!T*fNq&l2&ezq-f@3%N&@p&ggu` zPlVvzCkDgyyk1_a!=oM%2{NJSw@gNKC$=%RW~6P9 z10ZYVl)|ru%H)Je2u`iu3zE|>)?fH4kO1N&dv&rCdA>gkMZB43+d{1zq~exfmk?^U z$QN#-Z(4Y4w9AqyzATD}6KuL+&lvbePPN+7eD}#>w$yQIYa@BCup%@VUOm2)2bcEL zvWnhiQIFFXO`phAd&HGIa`ghU`Pq}O%9?g5fq^h>d z19KT&`8&vy?cR=}+fv=ba~HL;q__oof7&d>6a#Q_Te}&GEVI~8;iWc^8kego6>SL` zi1%&jip|Yc5!S-l>gn6D{?9L^inE=&_2ts>R+&A5;Ej>nuiq!WRx~_1*3s-C>0f4h z-TT>8?`|i%ABX9&K6HAc=j$sDyQtOui2;efheOfE!YtZT&c?w| z35#V>IR7ey%3&fN+xiM7hm)@`_Hf;hH z{koyUd_!YrDc(ZU#!GfUO|Y2fNtsMal_8?c_GW|SrqJhxj0++{;xAvG(B1aFe%x%K zye$s%ea>lkqn;q^xofi!n?EGp#Jl|E%=E?hHIWxk)I}||KPS2` zzE=Fbqj6d|PW+|sfKvOp+uFSI6^nf@%--EHz3ur`sGH33upZit>ovOM0n0=#BoeTf z*0p@8AI1W59ekA9m%OfhV)3X;OB03`dTl)Q>36*w(sDOKG)K_kTj}mZLqJv?E`4L> z&c~8yeO5Pf<}B7aqb-+|=f=;`FDYB^FdidLNojq_`twh>NkwE6YB}}oc-=ff(%y%> z2p?C16XXiC8nJeOu$cj-bm&L&W-+Jj)W=hKwZ*CxohP7ELZuu=n=sGSn%#i6yWSVI zYpD*$*euji{XT!HGEFV~z#;GU8JRPz$9gQcFGYfOa!6zxCWI3U3{RB1eF(|To`Ef} zA@DZ%(%+J{NPWP2cwtDW{JG!GvyYC`4~j2NW)*=SQTMBZbMiMKCQ%Fat5Tij=$oan z`|WE5O_Ioqzx8sy^2b$*(e?cSXzF|l&6`}B*Rli-vakV^Y%Ucj0@my=Yh+=%8-_iu zZA-sN`c(WGW$!cR%NTNyV)tnS<*AOinm^)k^vT(1HsxEe)5He}=QEy6*y*C%1#?u8 zcS=k@CYZ=CsCNtM71QcjV7*AZz3k1Vk!>W1QIt*`-2)1ms(v<1_WNLETGhC zJTQUXw5IRBLt2VrEU}($o7kO4cm#%pewXVatIB;7BdZ#5M7xH3$B%U^Kn>I_M~&l* z61ZM0XeAp7kK;`F8WVf9+`z`FA8VN|0~aC(Yif4w=;?m7qU`i%0lR91Y{hpgb#-m^ zvC_&02jZU#Ty^QSC!^*5kNB9d&Td6D4e<9kv`^! zkOmh574a%V)cB5ouGyCtpp;-_g31a9&5O;SmS5@jtEZA2Y543v9-yq?hNn9FWjR>4eoFyQVas5AJjdc=@jOoOgK?LAPr3R6_pj1C+(qQ2 zh-KoY=b{z$^$7K2K9sN}6S}Vdu5Gk#GGkjXC*RtA&+;*!fyB;R;(6FfsfU54&)5f% zGKUbA`&0s;ga%J%l_z){49SNOqA0rTT41h>_PrtiYm&WqD;d(S{ar8ABL1S~P{DY4 zZ0$VIwbkpR0m$~fzc7(S#UYm0VdtaZ)1h?ryfRY8Py)+ujTZ?Qa(v;9;GDU+*YKqQXio36F zZlF%kKUX@R#Fa7YU@?f%Xmx$>kdZ$H_-Kz{F!uvAxq6%u=BB2`VYgKa63%gQ>KrLM z!+I^F50(WbC#ZFyke871JD2NuM|$VHNtkx`9-$(XIa!esGJO8MzI6h&_kyVb0oc=rZJplph{ho6_K31Iz zFKSen`82a>qH`r#(Sw_Z?k1^F7!rR1W`rRJz5K9(9qJqm{ALf09(!<8`#Q z@I#WP!=0wl((!|fS!vck-i+RQo3i`C+wDb%Ne;XRt{!C0a5F=5|-C$gfi)ar(>dadH;U#=;fY5O7?3HjP9qF5?L5Xh~_& zMKV)=Kf|2cI29+8qx{6rdBoOe#H+G&xN7H2NaU#oX(={;k>{kW>DU>eXI5CyTyKS* zn&LqOfqfzj7@s#L^As;jGhIvUbGSkQGJ2;nvbI@{)lCaTZV)~BFq=uAV=Z6&wtM)o zDb8?f#vrhsUIf<`6D@YE5vg7bdYNb1K&H=kdPa`AvAL9^bw zQ5Qjy?+_wZ#{qQOGxbuiuW_;kLoj)zruu$0;yip@aZ`4UNB*PNsr>V)vp2o-mPb!i z->FQNPnDnu<59_pUcgofFb?av+b3P+v7sb3OUzfIoCK@@1MAt zBd7>vle3KWM3NJbS!$@%Z2?(JYVL6T;?h0`K2Z{%Z&dD4B8{L5REZXsBfh=I-Ce?(kcM*tSGIBV_CVtotq&tX zdtR$`{CTo0;z=3IyEb#q}-KUm=aR4rJjgB zdW)aFAoPsRm}A?p?9TOUZSF(JM3!)pY(bBeeOYhVW6%^Hgs99UBWnSut2_B#+OkI2 z%Xcs3+c}@NMDfbM(75LCR$23yjEwXH&V|>Hmb(S8t(%vS17i6*y z*vWf2#rg~H>!G;H5G;WesxwxR6H|Y5!s?^zf_rHaipsjL%MTmKT5UNaC;!Xwy0eh8 z)7u?=OE#xP(Qw^8kZT^$j*AK3i_#j4JW2CIlj8cc+4^pl&Te~;^6tDcTb@>tqra9O6pQixXAGJ1`ny^%3HF$ zc_!Swm7a$3^(Qu54k1U_XZYI-zJ2hAI6KN8xej6Id#L)<+xX$T$*i)^aVZ>x#cvC9 zt%RGSFhwN+pWa`%WH0P?qAKG3hM@VNR0P3AN@$AqE%m_9T{!r(#j9&KH+F~BGHEi` z;%^5t)rQnf)hIl*AM-w6$knv6GkQjQ_J-)8K)1xV6HgU10F-&`b~p~#?M<*JmKf3{Z}9T8l=GGJayarSS~`2ksLqiUHl! z(xSO2PX~KXnip9TVN;b-7z)*W-@@~rJuOrz>0R@|I@{c^$K^uquM#sJZE=mbuR7^A zJ?*u^ymvdkT{9VVd8t~v{M7G3`@Inn;ZIjI?5cJfd0HMMX!f*ezX^*>q%M~(?PALS zAA><>G8CkCRlXyzKv?^Yp_2FM3rF824}LPYDVM*+f+iOsKBtKBpB!)n>6c(Hk6$kM z*Nz>mmo+YDEpu{a97FLehVb@^D)}ozo^4Diz3YKkS4Sk-Fj0wOONm)B5m|xZzHZ(brO}iT79XYF+D^W{ic{zPTkX!x%S<3Ypc?BQ^ow_K{`7rW^V07# zp&Gk+Vgk?|wV6 z3(~F|6ZKi;5QW;$Csn$G6`GL%p3uWG3+sOWEE~PwHJ&ML zetfPk4mu?YyYq{&{EELp3XRdeHBq$m?6(A1I;TQvjqW9_bMKDbFk{Y;wPfG7y*s{e z?!;}{4Vz#pXr-|)6O&8&E&Ol$!U-KKaA}nUtm_ul2uIa^tL-KT=ai9zA9Ek(BQk+%kZTAylVD3 z-}TIH!KbH1<+*rH-t2S+wY7@i{DW3Y+GGaoSCy_^md=$xK2t|Any)2Ib^bLBs8b?P zqO0*;qP6{*w7bXw1KQ0f%g`rO*_A}){vyPq?cec5Hn-6cZEcmqRVbfzYa64}7{9wo zI;Ulgf9FX&$iGBNq%JWnL-Shjg`qIKAOf)^ANu5a6PYKm_*Ur}kB?TaOUP8@Xnqx@ z;LhMop^k`ijP5BHUi9QY`Q>Z#4p&R99XwC#bYNa`+1X_-hQ8M0%J7!^T=~OY*_5LIQeMKV9QNC>-`1F23R^ zP;Kc>a~a%O#{_lV80A{q$E$SRo~Vp%@wb_wct}*f>aG|KnYHI-mno7@R_VCY=1Wd^ zv;>t|6GV`8I{HhV6*D6C(YBJU*YwA}h{3M)@)0GN(U)#qL@S*-8Cwp(30kJ)(v*P2 zKBzPzosyWMGKZels=7v2fN<%e+CmvY0w~4Pf=R?U;+BMG(t4f^ znY$=>O0?PsKit%i>X%@JhunBO!|yF;b_n@{c4KTn8!N4Sf(>E0xTNvyTnLGl;!(BE z4@tQ5b9HYR&(=b`_E<>Zo3D=Fr<{k!Dk{6U4K%ulnkRd0H0N-{GutBpXkq?-zuq5) z{X*@m#$EiiJt8$~MZN{;!RO6*&N*U2am&YdFJ@3m&dcY&q;KE)*UMskRnnV z(<;f{Eqe@MvsJj$Y2GV5!TlxLRRa}6tI2D4@B6|{7set*U&G{2B)BC=Y{TTiCV};; z%_mcvh??G-3O3Zwqb|b{Id^~cZbpVOwq*ES$MDOS@;bVr)6SUGpL49tFdhv!fEu-p z)g#s;6>bb~E;ozyHJ-E}S(;fqh~lzSx>C(c=}yLQfgyY#q~)5Qlj{LWea%tJA~EudK?670jt}R%B+6)|DWSonV3H}GGWSA`T#BlPUjQV?mZLb3S(NpT$iZS7 zVj?RUcHB*taX0ung)~X zQ7y@HnS0r*?KdAdzBtqSY_PDN$_GoQ<^nFui9MQPq}w9{T5h8O7)kRCvy?kyNSXS$ zeZ-I=F}R_5q)Kr`Hvv*brPa&pSOyx-1h3*;gDVS%E!lqfj0ikdSL(Ohf=bP*h!Yf1e?Ou2`}1kIQ% zq3si1QS=}zy-Z5vQl-U$)#r#Tt3HxSS7+wj`1-!>)Y&FK!qJ>lHC<;#oXSR0E;@>u zD=e@Ji~lr?EpU|Uq#wEeR+sl7&n>w2#8+}St{Vk(#dT0Oca)%tU1BRDt4g)D0JJat z7Z7n_vX$A5RoUY#e(kB^1DrGa)0L}n(>@+@AnX{u^eUg>%$UcL|4fAX>tcDw5T8{s zRFbD!gjga9O%d~{K2t$6p_#$IJLr=-GKfY*_7}?paljeyF(WNECM%T(%v$|Qjn_3i z6ECBGzFGd}uwuSIPrjw@*_vFHdQEJcaoH%rptvVP*@s6#tx{N22L7H;IQ6#GJ4c~& zHyxQ&yTeSSRJ-Y8uh78_3Rleg1psZp4Y&ndU4dQz@VCfaxk_l%1;A#ncYIexP?yZW z?908mJiB=NN4ku8>TJz3$YY37Z)C-8VuR+JAy36DMM{K|mOD|z^R52pPbVHeXFB&b z;*yVdM9LF(4HGVgZ0>E;XtMhuBn6tP1_QyKY)dhe$dp^!0NP@G$RHmX*lc-$Mcdom zkiJ?Mz;j)QqXOH>td5FNP>Pz^9VoP}7!#0rEpR*4<2iMXCsC;>V^D3JpXzhoT>YW!2F^XZM*v&(69ZPzCjPJ3ZGR@J)k&K(Te z53Xpmz75)|pRJvucsVey2K?eLTX-RC`S9m&(T|X&`8dp2Xq6Q2ugpB*RopktC=TcZ zPFAB8(1R{(%%@w-gXZgF)Odf)K%Nt5LYVGdxBq-$>{?Mn&GecLqQFo0L2W*=POs$n zlU#?G#vRSJz{0xQF-B}xKC8;FBHtN7=#K&beo4G~X>~-y&ZncJ*@h2wfN27_%ov5=7jUGG5`cun% z$1HW{FYcyHy#_I(0%Bi{xAw}*D>prV-COwi`Dy;e-_e)l1hq^%MMPxencE+p6;gN` zOydfrD$5Qh_TufKw?Uhas<=06*#k|*Kv0ijP9(gKXCf#&{$t%)K4L6|SM8L92F1O{yg4a1gV#OzBjnK1f|!+&K5(X_JZ^on(fXVgGfz;!}`x4Kr^25 zxtQG@_`WN6y!yxdhnsHH<<@f)&;kFw81(>Thz2KMYy4fZXR`?^pe@!wKu4qsc=&ey zXx8$kKRm~fx=Aw1RC3>zhTa)lY8>81biQkSpBu0rI?2V`@A<*9V07~t$1zY{?iz~t z2JQs|SL{3m^=rrnd8KcV0~)}t0KEe#ItSfxHqRw33Rk$gVph{2A(CzjH`7fKRxi+9 zdZBrlI~1EOi(3%~)o$X}1y80vP(v{9L<2rRWcX5U2&nnIa3t&052o}zFf8_XJH6W0 z`uP{H=q)dk^CzQkXtzmhM>64YIcoh~)D^+TWsXZxUelqtp0EKNI1E+S^Vfp;XWP;) zw+@QcLGL75zq}fH5?&X7)@4pL@1pZFCyS#x4z1ve3MDjGTU(&Zgq)E@?Wu4NYlvHR zttCxldbRYPxiNmPI$6^;ej@w#9R5Q4v8Al@aC9Lus3Yq;dMVNt{6lH3jn9hG$eJ?h zb+%Dz%O|9gBCOAg(~CM=*>d*^w0G}CWa%^ui@&cQ6Udoq7aVhPkiSVZkh9RAgHuU= zooT?q%bVtnaESD*yc1^DVskk6Y%}V50M%SW-#(< zugy;#%BKUzD|D|Sb%nV@VyIu|N`=;-c_wmhtMc>qdiThvlfbj@A?&P%Ro);$s4l<9t?Ikun{#`$hY@tzU?%>C;i#VtpE)s z-%<+{V!zO?fca2r?GGZF%hoJn3`3-QFZ9m{4TxJi1pP0{-Yc%DsM{CCh7}Q15Tr;~ zARxUHl-`TdOBAF8q&I^=tn?zi6Dgqwq=XJpks1Qh1q2jGLKR6UE{yRkzjI&i-uwRU zIS;%M!kTNYF~|6~<uss_}6b|So@!kNEDJ`R*Yc?bJ`gxp; zgC~qqzk`8X*D@>}&s4#?3fZnSwL066bP#c)!U!;GijzkJt&e(|RGaLN z2IX9*9aE=O5}ojP1@)&B597SOo%3~%AkW{F2HMZ-LCS1}7!|~Zne0ub{kVMrIzbz!@>U3k!OdZ=}1lN>aGk$10_QQ`4q2*Ws9EH!Q|#;WB;Z@3%~B z)6wVbP0M9ASVStNZddG~({(t$hzW}x<zq#zSv4nF2#R8nnqM&bBz^+5FGo>*6EvV=F_xeQ6qOJ^@jSQuY%bLWZv}f zeRSkQk4#*alMn6JRMV&e-4N&*wgV+bK!f4aWLe|V(mAG1^g}&apztYN*oKddB3M4o z$Ey9RY8N`}t3;3VS~R8+CY!)W4pjl_VGdFR3K5#PZZfXA{y>*>HF46Aq}>#E-s3^D zzb}4TFXKk!TN&p%a~gp*KpiDcKL>iyKs5FGsJa!crIEHq5iTMYxDzy;CaybC`bx@l zD>jZ?aoKE>j<)f$+hrFZ72ZpS{5Sanj#t129YdI^=czGqiFfYTY5E((DTr&g@BH{XegFw$rZz1rA?M6-I4* zy;vf_(_}=QZccYzKboVvZy?h%3w=;lt&IurQ5Sc)u9)Yw-}z;NV*O9ZK17^)FoNKo z3eN#BB;?3Hr;giT37eKv&%=!6I}0tz)Df!M!5V59V3u(M9$Ck2e6RXicuRQi^QXARI-tiGIy{Y|rqJ(GnRA0AhfOEKz&MuXjAHr& z05!rHnp2tpg5UPJRLe&8qff>c99%><-n7r>(pT^2TgGp68&W$%aJi^-@<5?GQW+?r z2TJn}JDxkUXMAUGomMAYQVx@-JaW9D9H4dM^_S?@3#ERp%s-dwFi5i4KGQt|+5))r zj<_b6dQ0Z=jNF&M3^K{--n7ABw|1t!G+BnF{-V-Y{HBHWx(u_W{c^&yYp-GXbSLC! z2rYeF7O7rNcF)eEvQu=al6ZR9cyI)i5K2YHL3UPs#ceyie8%68a#i=;Gj&q%D9hB# zn$WhDsD218+38}sBOszrxtdIB&L9~}QoJaA6O`*l4e`Tf_8-V+-ECry8L=)^vgn*GpWqv!E!vIIGiwwui#KRC;ey^s(QA78F{N>S0H4bZwk zTrOV%+$0&{EkGlYU~ND_76icvPq__vWlV{yGxmmTN1TJc;S=!Yv9gklVukW64$+mJ z!b%)gQWsFvlyXWVm3;#POzbxTr^5S=_xpH+icA_H|J7*Xz6c zkv!L`H%AAUhf&Z-C&VVTVRWS3oitk58DDV+c=#0X7cMH=XgRcS+-$$k*8QMeU*g*{ ztrVxh>kArawsU&;;}%AiNA~|!<%jz_c8~=MKT))Pz!8ukqtp?4^T|?cEVkT|oBn6N zlRF*DCvYLMfnifyNUcbS|zxtV*Af%RTyv*forSH<`%Hy)% z{dfvW7NhOR7XimgJu=%JIHOu>;ByMJ)st>sPsw9-wZS6ei=TSW2ef4BHn!&6vg0#O z8eV)^24b{HFBE^9O2Cc!d6Y(Vn~Ih{D)v20T^02|A*{&bR3z!wt32z#u;J-sKeHxj z^chN)1!dX2B&N%Eu}##71a&tYNL96wj5T$hMo1iy=Bw@~Ww%KfJUe$BJu`XctUx{p z)J8W4<%Z`F#aR_gI7V28gi=|uY;~%=2lst@BzPoRbYV$aOoyvpu5>%_=8n>esM`H$ zMNKVKpMukc#I9z_L1o3-4pVxTl^mq_fu%QaHO|#P$tUVh~NF%qvgRK zDd)RagdFI#9EPucp7nk#_l2af6lrxC3X13L=_Wu6;WS=exw*Q$*H4c5Cs@z+#n_w2 zg458bJ@kYGAXSil|Mj=?7SGs3G0AhVpO9?~Bj~1mC8&FvN72Yoo|k=X_o3!99_vpU zq>z)bn)XQ+)#~)9jzR+{`8H}~DF@UoCm-<=6PruCSCPYsp%&wvgP|lQ9z*xeIKnrr zJmWTrv4#M|)wp`shq{(?U3b8{tg*E?w5_^O`XHFo!7QIq{KZ{HXRUs5k4qj}@mKD& z4%tuf7Ov!!j9y+US-fXp&U)6eN| zT%a5nMgad?YO6WE>FEhU&D5?J9{Hs{HyheIB}Z-lcO1r?kz9r`<8-s@+*{9h>LvA zRxdTF>V2`b&SG5SU5fZ;Io(f~ubloj$hWp7-?h`<_j9!+iMJAOX^dXz)9Vq8 ztO=A=bYIoIs}$$cQ<-${?g#3;&tdaXGHv@Xf2CFPO;f6|zTmHX(dmEi_5V45Z#wdq zA$!pi%$by7j?A zrxaUNIrQP)Ysl}+!=|(jYu-b~cl7M>Iamca90-5BDHKl?u$bcXs($JgHK9FmzOQkp zzG-Kp(`6z?L7@opiAR*64S|&L{=YvyKcc#i0p-+W&i3xgl zth8Zb$5&7f!}fcsHSR%U$L_r=7G^I;W6*Z?VN#T2(v6cSLiMxL_l`Jwj$90V*_&un z<8^Wvfjh}m>iwvl;jmOVk7ZXo#k;q*pvFm$J5x=}qHs`r=egc2FmK53$5SrB%O=}A zjJzGpD?_(KMDj`_lBw3#StcmU@x4c!$SjCNzQe}hyD#<}iWjB&gbEM?L`&VU&up2X zcFxIttn(1mK;f%L362iH%aH@S0(v57b7_*l%Pn}<=VXi48BoC=+39k!?W*iKu|!B? z^3Q0J2;H$d`S(j3q!HZvyE2)~mPOo-+{7zKlTCBUQ`>TB#A#y%%8K>mw=64rSS>cO zYbq&A_407@{+m(@sdLv*4U=$h_tn}KH2sk$Zw~uX1}bN6nAdU|GEX?n>b|e6Wu2Qa zU1|HhaZ=!UkDo^Bzzq|hGW4uhROVs{^Xg*#IUt9*u%r#9^!0k3JcJbO5+cWO!RyRX z|8#_jM}2Xz?g5L&eaq`EhVb6HESz+$ubiPZt$(s+Wdfa~=vzJ(gwxs5XEk`#^6dxB ztX_vyfw=WnOou;ng89E(o#c3vFUSE0m(>+VhDg+xZm`5YmQ#U98W%2ljOr%Y0AkF3 z{^+J28Xo}aji_eh23jWDJb}=qGTm{b&9xrW*8juw z@N>kq@%e!osC3XDL$mq`432z5VhYPFtP8yMt#m+GVw%Q?mG_l5q&;Qk(4~f&7ImWS z^zj6^H`zKeh}KS9qLoq=3RS^P0QyVL4;)VDlWt)#ES}9uV z-!ut1S-QQt-;}aq__)P}R!G4SQE59(j@F|yE80}667S79Zc*y6GN}Z4))ta`R&&X7 z!qAhCwQo#Gevl*Of)|IYY;y@vKvLrwCtFf@Ns^?f`l@w52Ox~eNyYRQw_Wc(x94t_ z;eU*Bx zi*jz zW{FF=F>wInV+G+ME#l1$vn3wJ8NxBO62);gZuc{;eMVbWjL%DADP)o{p|AV|-PKbb zUj9}5($~@A^}>R=CKe;NmoGc~?(rp1&wU;1=25lHwbw!M<}~z zD%Nc^5#*oPeoHCJu+jr=-Qt(NT|0b61>6q_0NEULJMH5#YlC+P-gbJl8IrNsP`mYH zcnds$?Jt8(tG$<7>m#R2THM{Y1Rr!=v7BYewRe?91X6|M#2i&4T|K2W1HILHHmZx) zQC6>uo>)FitraBSOmFbN+oZcX^dt)I?L8e&zJ9$+`r{!L^LPhaS=usILmJ=vLhQ)B z>9nl(O{XX&^XB5+E38*-+fO9^_Zo@&2s+S#kXq;uriXu|&@KOE-QW>f;A845G+B}c zmf(pQ({}mKguvqUaG$L4BvU75;GUE4jEpe>jSO!Y)aUX-gGjaDxVJT4n_)XTFdA$ z=(M~m+}*>~=*5gZ<5-nFxof%DF}7Xq$;A;gHnAqT2IX$x#|F&|f#i&DlS+lpa<`+JfaAMPdQ>N-u?GSf)48*loo~-?zLX z+t{~U^jfV~f7K+BXYr|JoApi1Ar^HYB{u97Qm}*h`XMe5zN5Zu4YBpl-b+e<8C-+g7e9`B zHU;#QOgc~b?e+^-pSgN|ej*F=CvkR#t0AFQqrY8bUkWJ)j=z$G678wF@IOF{H}LXd zv8TH23{;w*qTb+(Env6Uf0bz+vW-4E$0F=8RdTF#f62dLYh-E0L)FM^e9a`&mM{0( z#cF0ot}nm51cjD&Q;oD@QUv0&0?#fdRD5l%i2ttg^5+vM2qvTkfgPb|yCkh*XDFEv z-(e5M&x7`%>jW?&p=KrX{HIpyxFd+6-o6LLfkQ|Nx^u^sCo^Pm=wQUyhYN*QUO1DE zHJh~3U&p2+3S=EGfb@!Hw`F^!|IkAHpA`?(@n){JZJxk)RfC4-(33M$hFDHpV( zZd~VpIKDDi=t1#rNmu>o%^ydi+y5dOw(k9K>OA$CCa1AEl~vm^hkE?mz;1YKYY-kK zRmHJOR8HX1>N~-~cpBnof*wJCM82XFa;qxpaxXEl=yv}`8Aa+LeDU4J({G#qGI0D0 zrr6WtDx=?7fks(D-8Ttls;5SJlMhFYoOlmYN+)Dz~{9VOeAA z-$caIeg;0orwQv9tm@@Aq&zwjSpPZ@cinaU+YQ7(q5TU6UeO54!bc>P|LsTkKkw%G zrjYxX@T|WK*RV^!i`Rd>7MG!#QsSwXhf&$QIZlq0IpcDK>HP)}amgaa&xf?Xn4x)< ze~E{PO&2QW2nKb(5Nm1i@z63G?0Z{zQ`@W1n)(>}=G{iiqSLg%3{r+hR>-6Me(28A zEHvRAd`D&uL(eM8-bWbS)^$HQJ?+CS0e!OdSyxiXi5UniLmZAuA)+>^Y-DO`j~S($ z$W>y1eQ7{-i+5$umc`iH*n1@^-+H|Aw7R!G^K7dhpY2n`t}*jjZ~f*%x) zv|UeBZvoJyn~RTVwbb-N1T{Ku?@vIz=Uil?b32!{0%wg?bEZWeU-+A`V#L)+`hm_f z>6#9_FCXO~u0_Fa@wp%>AC{H2j!Rem+P*c8@G|k*Pf~J54L2)CmIUM`4qMBFjq;XT z-sY=ej_Y2b`eq7HkwkY=bQ-Oy(bfrQG^Wa@`5e9Pdd*l;0U2i1Gai@ieqMNYV5~BE zqUhC?mAH435uVEcL9hR)U` zSk#G!f>R4QZdLZm252a9{?O&902etgBl9We_b?`Q!*+ z#G`k*K_ah%Dn#B4K03$mZ&<4UV-!7NA8MD(Uk0bI6k7N6zT_>$Z;MGjumBWMNjSeW zUWm;cY$1>`yxaA)@{~yiQ>Vt4C^P+}hx@PJh}JcKiUh%WjvS`Nmwy>Vse8d}qZ@~? zGMpauw+_;NgPzPgyVquYP;Z?P8A9+Y1OqJd{n(Dw8>@usNM;?AKC)e+zs;hCW;<~; zU(Ux5Vs2!rcyvAeV%9lay~|d2HK3{+PJLz9odSFZTFBc_ZCWqq>try^Mr>PFVSEoN zWsDvz3utbkUY#_Xvy)}75?61$GR|x1a!k?hO{J@C3vI~LDSXRKmO73btSI!V_)YWmD{ccj;uExuYSr5r6iR+_5uDTTkPW6%Qe zd!!vjTPf{!9>Yo3$*HLr)EkGIOg1e^A$-K{u%RpL7aa8~SiC%4?Vpq=SRKW&7qa*~ z9<3Hkutbpd>veMdJ>7MnmF&fjw0Vjq`ctsd&^A$l>1QN8RbZn1z%HQ1T8 zhpwI)&6Gt+^te9tE?E*+c>HcnAA{$e63DdoyL1|r`f^~o4uuov2jN88cN}fCEWgl_ z%Gl*qVGH!=QzUASPLY41>@yF|rrsJF#^1zP9S||Zg40!>kL?aR@hdD>gLD|5Y6q!K z3PI;p0z&#n0+yU2?aoVr&LIp|Z+knO>>nZY(Xg`rq~;#-8XZHx^6JdKwup2S)u|F2 z4h!kO zLpyZ5?zP|*UOyTEVLqin(m+!(-_rV=PEpB+2vVN6$;_ra+w(FiOZ2dvRhf%Taq5A- zKjeKlB54u2Zy3IBA|glB z1cp=WoMw%QhF9zjz3-XEP1~zzO=5nqPH(V#d6a(_nz;!}17l67L;b@FyjeUZ*p~Vk z)ZKW;F(lCg-Y&DSu+fiS!|bnpUJtJ;_oJgED_@HFSXJY%f=nq;R1CyC zIC*S2D7jXV=&TR-*-{+{`i&IX=ytHF>#p2?YO}pu|3~;Zdd{2o)Dx1W(CqzFEksGu zLS0(;zQ1Sq=3B2wt=rqfA%gr+rIR z5zGA*JdegC7W9Q^1G+X;b~0NF5TO=bkY}dQ9@0T1sCz6rs(as(JN@i@p0;(|xB2+> zox>C^^g%`j>%o!FHYVpe-U4Q=pI3ki)z% zIvn)fcTr;JVkMwfLXhTAddzfV(jdh`PS_g z_G^^i&jhIysAW1z_mOV?aC>on(s|pQb@!Lroej}XJDo+`ha_CaV+qv)5LSTR1RXKn z9e{~-GgbDajhB-Fv)p@Jt9+#(Q?0IKwP0hI`Tb7@+M<0MU0?{*ydiZVs}LeQBTk$0 ztw4!-!U1wH=0=$+Umv(zT8FgG)n^fY-S4~5@^sv-^!Em~e0Q7yYO5M{L|-UZzM3`vt>44)qUJJ*s!a@Q%`>U}($Gy!IG2S#q5Plmjo7 z$QDG}5+{qFWA)}_0%q=gHQ|_yUXeM9J7as5>x!8L&!7L8>~Mc44t!~=(S@`@Q1d26 z#;GFi+T5TDzrLC>?%MWRf}i;xm(_B!^pstt*fFQ*@Ga;!#B5o?)|6uAr2vV>&umKO;&d%;uT8Ze;K~H&;IP4Lp1tl_j6G^n)4a;bgvPXZRgCB zW0N^9llcS|8TqD-vX42u&&u3~xlA;{0D|Puw%2ejb5?R@=X!}Iso?I45rv$MRTEWt zdLAF6ERI}YM8UH&VYeNAoU?i8&gPSfyF_^JsUiI&cU@6ZF=d?$x&5mA+N!YgWup4!OInCO4#Ex~r8W1D(rQ)# z_*DzQikkyilOehkdLM+Dun23S4Hi-+@ZArlg9f(umN2$TNj8G*Nz0aktms^IkLNR! zkA78NoCY}|5wC*~wfiGR971T8^zTV-wu)}zdK!JgZJ)C)pg%r2+1$d@!8IHr5a0PY z2e?NM_)U3A==S8X!{*scKqPfa!?9#0odNAqFI|I0Vh~_qD;#p}tl2J~Z-Y92mH%&3 z(Bgo7J09t*$wlO0zI;Up;r9!7XXp&e@dII*EM}SYlP{S;6Q8+m&dO!0z7PeCX}&zHM%; z54%6}%|AU!a286>K6*xyXy;s8s2CMT+&C=|`qOX^3J_pJU5^2OTu*o4I3#?&cw=rR zD;T1yp9ISHiZMNoc0JNxl?QLKZMR$9(6dUhdkX`tLeEcot#`r}*U92aQY-l`Z*nyI zzJJRv`FJL|=CNnc*@QF2F5Hna_S_#-I|@p`1@fd@dj4g2>)6>rR^y)`a57>@%#xm* zNoid2GnK{Yo`ty&H(Om(%^-!Z^dZ zBWm5XC0DLPWI6-G?Q8=?33=ij-!&lL_cZw%+DN;8xVF~d+@Gmq!P5DaOFH)35x?{a z841o3jRwvyJe;Q|&+?v5rQ0A!eBg)RRC%BtPMf6sImoI2(nit{Uii#*<5DyDxJb!` z`1M8Dg7ziDNMv^^JpILThNX|1X%q4%*I+yrUA#41pDzXPv&|X6-&oXq;_?a|Shvu3 zNnW6fRU%*Q?FGd$rCi<@zhOg8uBHkeR&&c9bLClo5biIG#cZEHXiwZGdSuhLiE%bx zFcvnYPZmP0l~xuiXUTUe6ixIVy=7*T>17p^tVk%~8#2pxQ7k}TB7Y3OyV13kCbzZl zA5#DS#+K|%hqqF|oXyqDg13mJp#Z0o$X$_4)!)J;N9r>=U1M9`32$1^ssRHt=cU=K zVOmvV@d_VeERMFZ7;d2_yjG32CGAN=dPciZ_Br=u{jL(t1#VS1l?Gii1D-m8mGP~z z6P7Iaj*^szB=X+c99*qb9StO@cqwHOLKSj@6ALiJ*p`&H4Y`hY%Qh5p#vW#4yDU#s z9U=vQzMWxZ;T)0NrfroW^}xchIyt29{KmmtJvK&fAWdjkjJNtiY@asLIOM@KvHQ+7 z-J=#0*b{!TzkhqJz;DE@*$<^2ncP>j{$|Q*`MhZBPOnWjHt;P__I_<7S0sm4UyTng zLtsR@?xuXo9PcRZtK`Su2sRsVl8&=8u{05{#>=7xl7ap%&Zo@MHfznk(3E|tN^Oke zX6Ov+Ue|N;60aN|Um>Qo7d&#=83~Qbr`(-~y82+ZJ>(CX*&8=QF3oP#rmTksIDD#i z=q#>y*Y<$x-P)DhNKl>}fi(EIrf4%$~SC`?7K=6nv4D?l zsj|xcQ*INB-z_a>ebg%q4NS|p41cCWMrpauH6QVIWBa$z%@bHJmEZ9}`tC0lpAdue zH6FR$ch-1t=j8siD);}Y5BeWs_CE&s;_E~Z$Px9&)Pt0gKlcdTh@^2zIq(#)X??SR zesOL4rM4i3^~gZa>5MY!a$MtMOA-p4Aa_S7C_1B)<6nmM1jTcj<3InCe)f0rke!^B z86>F;63H(I>8_?y@`)rR2;@g8rMW=9vQp3w>)X~h>7uZCS#I%FoF|Qdsg7Zc?jNZ6Y8}jR^FSZ_}H+Gu>lGG%OZ!S-{ zs3&~7EPc^nCGkxEuP53pCQ|*!^(%e8E=?4_!PZX7Hon9hEav zhuBCvHs+c)j~^rN5s(5P+RQ(p`g-;JD1lir(#IC)r;s+CaFoM0Zz%`mfh9uQYf!5; zX6}-o?vG;HYtmIuOYE;jG#t|cIT=xE? zv)jL~nV9ZGfp!$@)x^h(9+|v(>%`!~1A8Q2OX^N{B`Zuk?sNQyvWTGKu<}H=x;qZU z4*R+8>1|am4)ojIsv9KQu;SqBuvS&rxzRH?ZG=J(qat@}bvw=#9cc`L=M5AqEZ|x_ z>p&0P@Q<|Em}##|+cW&jaBCyLR&)O!pTSaFh`v97j=#0~=|>1h+8lj0qz?XI+Bth` zjr)m1uCk_8zY9FMoBxv~E1)3%$H7(qoP1F6|3dH??!7)&rND z>%EhLk+WBmpX(pYZ9z+W{ye(O5n(UvS^T3@Z>cu0o3PoT`K~E9QGzS?mae&YKuTu$ z)t525x={_saQ43x+!CY*^kTgum|-smf>DFMH)at6gYDK?K{!H}rZugULeAX-Su=YF z0BWu^DG~mGdt$c>Sy5pogo?`$W%%u+GzC|y+vdvsqvNQxCl%EwQE00-xuVYHckO(i zs%B)iE#u+p7)14?2AB0EFPE8by+|RPAg#lj$cr}|B`itOeeonyku|#?vGh|gNzVy* zh*-_&leDg;ceahUQqMo@wfsd@ZJ&{kocZliS=eZOaR~qRTBB=kTHkK{g~p#QCs-7R zf}XgaYrOvb>R=R;;`iih8PBxMF*}26-MX|~S_?%X8!8^&b83_v0xF8r2P^Iny(RBa z3d;^+eJjKI^t9mRb!A26DfHuKUGC*xoIU7h`fX}l^MP*`6t6114=~_NaCWfSK)drT z%Uw&hz9H}3|KgIAdSeeByqfa2bQ8p7JdgcUcvkTS=#QvgEzmhyK3E#Gi%zZGhX{XN zC`~cK-ESXXaCN!D)aU~%r_aHbtN|pFWx>9m)YFH53wVSjM#kh_&U&FXm0sP*Y%efn%u(s2Aa=f zGl6M}P#Cb2B%RIM9l{5%&mP^QUN?S;$B9Fm0_-*2d=S3V}bWep5| zqk9s4ejhbR2=iv9#tq`g>@eFdstDO9nrtXvmwskiR*c)Nk3wuSt*Tu8Jo}aY;~O8; z_QV1CTa|<<&`2{A|<_kPqxB1wQJ#?1EWchehuI~?FTkoy0vfKt% z_mI82jS5vpH>(-CXnE8PU2?<;JS;VBjYqp3OOcz3N*3(4>rS=Z`>pb6nzQfYj(P6K zh&zv3pL6f?tqlpJnwl@%5U;Xc9Ub%~z#q_(>p@|N+TKxe%6^277shvZrrWN40#cJk zFa;gNHR3+CJVPE^wmj3uV!?&7%^7TCUUA)Tz@Z_Z;#5tpMh>Z*_rjnj-LEsFYI#)RN`lz_(t6S!IG{<6_vv*ejMz9^!0_o@7^OvBeLtmjnU`kgDM0?5%wmsZ*$ zjer^ev(STKQgm1k_!mX#4o;5i_dE|-*LNzv#*zv_JE1bKlcVNDqI%PN(ZH0?^@)}b zoIqu7z7OnHq$Z$gK&i`crIe!}!C>$+9*psy+-C`pz}DRo&Tp`dZ~Y<3_sS&KFVRUp z$U@V9L&~}m2xA^bD$#w{x)D?0_{TDT zi}_=BEU2MroNx7~AFH3x)$kT~AmVg3lzaoKE<{mCg~)j=h)`kpqnYf^ZP#kME@D?H zkIgt~swp&%w5+r}ZwBYN*~RLd@<*%9E9muk(LCTh-Tx4zZotc>t@L)0SCu{Q0V12c zloqIHgyT7?*|dl}yOn$>D;^OkyZOOa_M^uiuc2O!Qcte1C)LAE%!7o7Q0aXb->ipn zXf~+9Y?2h9oAi^AP~Do+v^CDCl+dS;{jsF=>EO8KkzoxXtW|bnALde&t&pWHDj!F_ z9*&PFM~-N{r8QC&@>G||i87-<;e}v0=4E*EoKKo^}afW;VAj2ayXIg#KRQMKV?SH(vfw-H$fY(e!R=zd**gX`EHHy3%94!nV1iS!l;3YZvrju?tkf!m1u-7s8AdV;i-#_maDts$r#rK63 zFDr^`3j`EH{{vY5k%+gxEN*+D^_j5Xq!3@Hp1_kN?Y^niOjV0?MDjF19f46(c>*cA z`A9OC;N(s>7QDj>bZbXohALo)ZD>+ZXkiOeBmB-oaNqxA`0-}NT36ESLWn7ic~1>p zyltK&hq~CT9L_48bwNP2zEAO4Hw4|rZ?Q?}8>~tfI=|}TPV_aRMAL|Np z^Df%JshVsyD>*XJ95E+foPu#hDD)}TRyK2f8Gd$*P28Rs4<^B*2vo3|{|RvK9>)>G zNB!g+MOW#`7wA@OtQ(Y#7w_%=IK+H!c^Y|oW%Wb%)RiMQ(_dE)6pjSAq)-$OE>>Q! z0pVD=#>jg)K6&a^zXvd{|*x_S2csobSJ1l zMF)hygVBjiobV1@^J}6!IN%hrXISZ<5f&8tUeEDi4f;(Nv#rko$8tW|9TkaA7_k`q za8^$@K1!NJSm4(xbL7D)9(FD8G`cVb2PPkRf?)z-o1?cx(p6U~;mE99Y!qk$m$J3_ zcpxQF`iSk&Sil6$k`Q#}o8Hu~`(u?>FG1|JygDj7;poZey`;eYvqrI~i>*ZtDk)Fj z7@hKk^yYYdzy4(_Gz2uFC{+Uf#NQ}Yak?2b@gM_uT06T|=t|`-NRYPa*(YT@XoFw) zR;3iPt8-juD=JY}^ItmA#8kg&56YBd!yhlKXz_77iSJr$0X_RK;iRM81)T` znjOk1nSqq9`O9!qe81XMif#`in~Vj+)EwYuj%!i2x#n_JO^X#)E|*Wj1)LnN6|Fm! ze=+@aMfYCyxGB~(VR_2AQ13g35Z4svcT{=Q`(>`X(yFSOF@YYFn^n@^I%1D+y4QoY zh)6hMl#)fcE2#qTnnxQ^FMBC#=Q$F*PmPBu_#06r(aOr<+KhV@+xaNk`H0-l2Zz4g zJk5+YovNut0+;=7=stN9(tYs92kzY4%M-#=4io`xL=^b`56#K_Uy|4eYXbYhoNhX; zy7ZIqWU%91Vu}`9eIx(}E|6i!q!P@M?BAywO3fYdjsS5i9n22Ck#?~!wFg@VHaF7HMUmt%fctWse+jH zi|tpKt_xRVI)7V&Hy1n6IYt`AC#no7fJql}>9`zLK$4IfSzZJ`)HU~Tnp6!?J%mGE zJurCRE@mL=nPGPE5ad^nZ?!{s>V&Hlv8G-|H3(lICEhcnt>+E3t01yBBA;|MVp~ih5^?dTx?aZ_BO)sF ztFOB0u?8)_2?%VxFT!c>B0_D%Z_i}4rdp~^anvN@j{E(2H zOo}wf|H}~47c`cw%DRfilFJ|x@0z$$;X(YdF-EY3W%tbi) z_l|TV4749%yQras*aESUqy4(9N7Sn?fFS$z&@4(Ro7)eunBszQI8Vk4CB@H~Z~xf` zR2L1XOij{X9U^@Zap#|~lolfR$1Pc|SmtEUGB6x3&4+t`JAmabI(C2|$i+}n3rRh{ z+keV=tTUr_3Gh_$mb7ax5X|H&U(!d%xLL=szftrNIxPyS*WH(L6gZ=a$jt9lofaUC z9AVJs?V>lP#p#%op;{^5h-54{*j}*t}!B>Sudc&P8se+4EUDQ$1HoZ7^kg|{I%ULCLHFZp#D#Cf$8)gS(Cb`=O zg{q#tVzpT1k8aim%*lK}Zy@>Btr~9+(Ey^g>&IPl4XgeAIInwkoJ75t zEOJl0^WaH<<1V_T9{G$0!n;}S!#Ik7!C!_3P(gmoYjsC)G_=y50K}8vnmuQ1qf)+O)1w+lhUb=JbmiOt?M7gQjN<{4(K()Zm1Yfb)hlFs;XeJ#I zFEPZb4Z%O!UcLM5gw*jD1&mjl#efw53c3vtHZhz#LozMc7ZW4+>U_4~mhLww)I!-$ z-k5lsY4Lc<<+Wg|RmzuV>}Z>3+K}Q-JaJQZYL6GcBTIMRw8M-R-ssLV{)}ak)az<$ zPd2zj@ZS_{5A6AkGrx9vBXVSg3G(h2?GN!c4!^)mmC>cGQBm2dEu?s$2`Bmiz0Q`U z994<%mHQ;E>HZ+sw@!??%4qNGpE;R7DGpbfU&d1vhQ@(tN)KKPm?g{MXiHH5EiGV9 zt)em0`*wO)Q(OC=>+ALonm6`xZ`s;U@+$}4a541A8-=cA7W&aXPy~^Ai(!-Cm<1z# zASEl#fAPqiF~8&dK3$KTY%E1k`5Ae;uXmJ@%d?!*-ZFY*T7eCj&i<3`9_c*j4Xp6@ zt}5_2y{NGptD9U*9?NKAoZ@-lw(?T|H4AP<4$2Z43@+^Q!YfO}gUL~K&|iJ@6;sMc zqeyxLM1C7{;RPafd#{MMgtxR8EqLR~(z0Ds^WLbMqcMPF%%8)N$_w_@bZs(<$7mfz z>+*JG<)P~r@2Sd%w1=lQXqBfYoW6Rc@~b7fr9cfy9^K4Q*mB6t~5dCH#xII^z@^3`_l4_p~g zt=BZ>>%FzuT`-32oG3{tPRYn{ymR4w#jobR%r8IkKpCVb=O-t7(49;*)S^O2S(Tg0 zx>>S z$3zO@EPuiT?i}L;L$>1f6!mg=^J%9UFUW&eR8CYmk5gGoK}6$G`H}9O<7MsL4N2d( zWxvGcnRMj#5ufNk!2BefsE{o}>wE&OU}^uYE`vsl|6Wya?<3toReB>htFWMvvlnHX zcmCUBi?%=@&Eg%flu1;99mQ*AT7k2mFx7dy3n9Mk8pT1jJW5*U%3`^t7S9Zp3Az8_ zYvwGA0HhgJA`uJ#(4cM1V7)KfHOC$5PeF>u3$Mo`(p3ZcKK2KLuZ0AC61ZCJEEljG7Kb&(FedvCqQNv-&9tOv}~VLrPd%)8L94B zE1VIu5PjB0PcxPq^3$Jjdr?FUJ3qo-m(dOAj(1M2Mn0TCs)rx?sa6KBj*7#8c&*G0;}b@m&$nGU z?a-a@>tQD5hSjI8zWq8gCCBq6Bp-eGMMO?Hk80rRwT74kfR9h{*9`w7#n-t~f>f2$ zXC6d5$zacOMW-T)=r(jm56*s~4i-){9kKPniO^aq5HP$yfmkl})y@;<$T}FpwqW?b zD0}aqroQlR(5{GxpnxDn=^g2vND&aEOASRpr9_A{A(X^Q?=5tscaYu!Q2`+d5IWKo zNMb-l6F>tY-@X23-`RP0XLjbD;U7vk$vx-Z=bY!$jM1(cae4_Yv*!Ds=8lXO!|OGc z6~`97LW1;_w$-|D0%tFTcf|cFi*z;$>RPPewTz3aIlRl#ySrafMz5eZC785M837t2 zRV-jQwhDK=8y49BeqHIh(!R9PdJI0^vi_`$Xc77w#@pdKbI{gmDo0e}l5q|R**J_e zHhQ54D1UmC2aRTj(Ck{*Z6EX(@wF`os{q+{3TNwGHn$2%(mwxc4pATY}isj4m ztX$9h`{vC(4*kN=S~4gNv|g;nh-@ONrlVCO(KH0fLe*iL|G^X;UFCcjUidZ>`9!T< z>baxOhkM-CAB@X8IR!Y4YKvpG~+K;coD5laIVI+BGwTb|e^?TX#kQ5Of zxP(Qbu|Yn^{OUnNC?$q6|A1OqMRMW7Aqx8qyXW)fEabgc-6lk$#~I*<_Or_g;ZD>_OPMI7S`lQy72*{y^+J@QsEgsX? zr|D(1g-vC^W#!*uQVCw+gFfATyP8Fa+vwSsPMea)hENjzfS15P#&+aN#7_Bu)Wy89+I-Ta12NWBk<}tPj+Fwll4U+&HK#py~87PrxHflh#het9^HUmOr+b zlK=DW=hsd6>T!dl$UjpKF1k`wgKK+}=`T}f%bP5I{AuS7g0$k>+7Efv6ns8kSm#y$ zWyJgZ`e*s{tFfhscs)CV4mKa$n+wSoMpFH0H+FlB(Et+ivlPgiRzt|46@#I-$)H>= z{s_wW>k6_xPYat|>1uLSsL~~`OA{|lZa?8oxB`B<203nigZ_9VW?NPyK^CgSsBb3A zI=j|eCdQl%2stO@e&vqmYm2#nR4qFdbp9$mi{?oS+ALNf9}*J?DdQhY$Qo}~%Ls0` z{070lFsM=A4Bw?r&V!NIH72JNJ0sg|liKOnofPjQi#9+3ldtL(mhr|jLk+_^`Z)Tw zuR^XcG~(B1VM^cFrsvQFlMjW#9PguA?lN(li_W@{_?z_bpKMxlg0Win--)NV;=l7k zviD2hX(ZM(Uj-T`zz=z$;{xk(j!LKAh_}{qIDg)9&$PFkLTOidIGA zBc-S@Jb*21kIAtS=CD6|6-H^F@ssA9W58OcfrK2F>^Jt?SDXb`_+qs@GS<_T*DYh` z=_$l8z}8FV$y{WHXfKge-C>1TO^ks7jB(H@;G%##w;{t#O&vsqp6eFu+ zuD)0|#TRRuiBQRpz=Z+YyrXNPF#jP`Zvb&y zK+#9OqvX80H+If8V z+X_+!DseT)(R$mVmwLI?kEktR-8tj8x&P#FO1}bZX2f!oDdoTH{On?X3I$MtkN{j* zoo^F*dl?RF2dg5);7y6ZW>RO7r~ahZ?&d?81}R+iYmQ*8ZD0cSoei|*h#u%pEo1LPQLOT9yGll z(ZIRLl^uNeNed5o5C@(}6}&)kc1^rh*6TPvY0BG9d>_D z+rb&0*RmPy;D6=A{L@hroZxS{qlq{ClFe(kX{w_vn`VaN)09qIC@x}cRI_ePist4t z72*=I7bUf)ohUp;fbbc9Uf;B+GlB^!I=_u8Kb+-r=Cvj9kg`FlTDZ-oTU)E{fP9Hm zfR>j*HPgU{OcqkyKXy^w4Z3pq8|ZA}`t>p{=oy9U#3zRz9%P<#NGNTZIcm6S)He$- ze*c)h+Hjok>^2jyO20k5Sg~HZEN#~9W@%VbGxwS!Qk7sTpzOr3VOY@eNF)5dolsoL zIIAM9Y_!NB!z2gdR=O{N!e&n|k@xn!2#2mKv3HEENe4Xf@8bYTpB62|lcq@cxVhqs zA63!dBC6&DyZD<*xLHiL<96j2VEH&udBq(JZ&AgoR=sciH-*wHBsmS;S48ADW^jr>Nl(CMyq)vdq_t7;G!b#+@T6`$|yEmEYw+YWi+4EFEzdu8&r`Xhi} zrR&`o#R8O*!J zF6~`>CZJSuAED;UlbuCE--L(g5?JLSuFfr##y&zJSa&*@>@_d*cuuY@zZVic{Z_>L z<1~-zH#_U^Lt#OWZC^6{wpX9bYXRIM;s}6+X$@bJpw+$G9xw!6*c8$f{o+OFLg}1nXZeBulqGGfcl*^0g6Lx2&`_3beOW@rY_ixDBn?Y!cO4 zzP7yORF%_K6MSsEeEnrS{$6vsYW5D{;xabN;(bYBetazr%?OCcf$5n*4@r`aZh*Vd zww*JmT-ZEeo~9hRy^pmi-#KdnpU`}wjVMf_ux!b0XObP6_@)+Ncd*`3+#n-ZH5-|sc6RYQoQrD1Hr+|4lZ%~+PA$se+9NrT&moda zx8u1hps}+MgL`c2gi+=R=CNx?(J#KC&+UrbUyt(SJ09D~SuQo~R2IX$ufMGo&$&8s z&(<+C3xy{6ft(UX;dT@#(XJTSUojpr+?Oy-kW}BHoyg7_veTPIVH?czpMFo1D}uZP z8&2F~Td5&84_WD$((C8$xmXlW>m)QF%v)MqtfiP%P@{RSg;k3S z_%MIZhoV`pj~^QtClghN9PR38kTTU`v{WRa6mCLWoHlEv_fQ+t2)zlxRt>P{hBHrp zwspqsJ_&9~^IP0p(7jpzI9u*M$9ioaFf;iWj62PR$R}h+F<>}NqcC;O80G8|pU_IP znvdFVE82i8ue*emAK913ZVU)Y4?PK6B^MD0LS2>?8z@ojsW#x_8uBTc5^p~tfbr|Vk(T;nY-6gVe7uWiV?D*-g6f!Lj+>VX&K+H(K5T7Uk4iw*#7g^~Y)W3SQx zV-m3~p?g%>80e!z*HZWBjVYgY0MgURBn$gFY`XuJ_`O}&M8B*lV7kbd@Cgp@3RoX` zJaj|8XIPzO-5~mFpsZ|@aPxZRKN$j^KNxtB$58>z4GGxN%?4C&wYb8J#ssoL;X(9~ zNxz1tgNs>XMM**MoogxAy&X`32{#0v3+ApqH+t`Md3xfYE#QNZj?B|%57RQYc>#Ba zp%+nl72T8Pa43fgFA?G?KVO5l)vH4#<;m;F8*3^XUvY*?l+RsfW?LOukY}Uq> zr}N}Ic-gsNt@z@{?q7D_2&YHnb_YQ(t%B=~K?OkEqroG2_A8=7{tB$9R|E@Zd1@TF zM`{#A&095~91j;mHbJVsc}9fK4OQfScw2$W=W+3U#habo9+YTHqK5RNK;;8<0LnXk zTvC^uPZv9*;9H`;@g}hgGwf{n#j0*qe@f?;!u%)I=ITOx-y#6T6Fbwi(4gW=Mf%j| zWn~QK?G7igTolHKrPXmzZqfGDW_G4Rj6A=5+Q{*FK34kqBYk^5W#4B;K!QVyC-9H) zX9J9~{Dx5dX}=x+0faMV?0GQ2Qc#tWf$b0Kr?ii1Wc|!4S#=;Ye5myT_Fx$m;t}%t z>gqktEjQDYT_^D`64i}M8_%Ki3rC(VCCQbdY(>TZfX6SZmG;(O_#_Z0y2mua{WQEX zoF0%B%!>~t!+@o4L?@wAk$3Yc+dPHkzF(OHYbLmfVE3Z1e>0-~4 z^>~>0o36`bEvZ<0z|1(S*0QaXvE$=eq;1E&nS4?m5M}anFNgVe#av-uigjt*Y9BOo z<_fs!X4DGc_O9^9w0TM=MTJ!z#^t~xmn#6C{4xG+?%W0-~ zE>OHaG%c*K`ah>lK?45f01)1{^~}&XUeR@r=l%bkh=6xWH?Ob9mAR+50sk68<1#_W z_W_np^p!&a0A#Tcq2-VM*7p<1>RZyPZVhCQzIDvR?Rh%a_D~UYo_@Za_W2fzIqOxO z)78U?xlGt=tgMnt@i(!5pT5`loABP7MH%x*o0G9$HKX9ogfTIflkDC*vYagCzy)xirQH7?8_(PXJltf~ zouax~fs(5HLeBP{w^Hki2vKc(9Qdf`a($xOVA|)=4?G#&vxpC9v*Ol>o8Q~7XcPu$ z83t;JO^6&d>rW@grmCu&d&rADluB-k+gv|a_V>umjPU>t`2qkfSGU7mUx!xsVEF3i zLh&J9QIJ4n0F$2ZLEs3xcaQ0%mo6-l;saXSi-;!*&o8^j$U2ON-ZZ-OO+2UQhI|ee zQ&6<=^5ec6XK@2Lk2z1z2IctwpP_z++Zt{Jvo#{9U>`lEhU$vj9MdvifMAlW(tB!?P)%wHE&wG4M1A`~k_`XA9hcFZ(XMq{bi zd5x&HLx;|!+@f!JM{(8uo)JY7bpkuKS4fv-@u!(*nghp%aI|&g zt*?IsC*nVGz8!dT#&BfMa)2Y^JiQ*s@IWfCYSTjB7R+(}fgV~Q<3s=M><+=v~p<@+Ru}r>}>Z_fBMa z%6ATp%}QWiNH#YJjWO=i%jh2_LAUnMlHb>mZpi0wYZp)K;ir`)2ZtL=4en13Gax>{ z-iBs>a}4EO`1H9RW@Z0gpM(%uc3E-&)6fP*hg6%MNk8eb8JRrC5GfA1&_}Vt($gPm z@29;SJ0bF7$c+csVyfrJzU!WCgVOBL--_jFr5l*F?8ToIqzmtC>Kw-4o%*iE4TQr? zxpM{6y27Gxh%|>S(WLeek1Nj6;H+@h?&xl$A?{I;*?YaFYojTWOTGv5Deo+ln5COn zG+J5=V^ST34K9^sNyL|(CxQsykY+DnR9t10mrd7XaF}6@&-kuQTgFsZ%gZPyjFx#A z3Sw{f z!i&@P7Q{D{a$p?T#{BxP^-UJ;ki=OEg!g#|sKSX4)Jr@iiW@v`6H*Wvg(89wz-Es%HJz zJC+0+D{IpBBgDUTWHWAQmj@Y7y)`US;J-b{WrOjRfAI6qSdrayNP`$IJS8`{4md*n z#>u{Y=j^J>vGe0uvUOj3Z&$kI3)^^!ciZtLJCVOP>$bI_mMv6x9L$|iosE!R)2#;I zyIg#AsbR5iIpLPWgAd!{vUkMwfpkw>W-+21Vb4ho6?$x5Laczsi`R2S4ha7_GbgntFc3-s%g^j}&!kUB;UEs8GeBUCJIDt5o@|+LX!h zLU6lZ<>TtaAA3V?T#i6}dII73nMMHmmeOPpvJ;8Ow`ql&fmdkbYC^rqt5c@E`7ADT zvtwC@l#aI^iDul{A?NO#b+lj9@G90St{JYa=Z8#?P!z(9Q)pg;OuLlNcy9Imb&<9n zane*K?w4fOCGbP3`1)4*XL=t%yGMJulhCqjuCQjY4D4LTVMTe1uaEC&C(ENa3B}ml z>*|U8!aP$swO$RdChV$n_hdbN05C$+MNv5>nkmPPWw5jL$Upb*ILKIg*(kkvU;0iA zo>Nyswa@yg-1KXi|IrkQ%2lPc_D%@(vMEaQNs<_%E=D0Bsp1YP=~~O{8IL9n$}n-K z7|6JeoTr7BEjq4!hl43Q(alhj6rUmUNMj>uMsDwDNzUXHBVz&M`PuyGjSP-;wVB#I z2viy7L3PZ)b-+ERexg2JQ*<^h(TAO0l~$qDUp4ld9ewGU^-6x@uE(|A`1h;|j3~GT z%tk+EG_R8=2YB$@!4Sa~;`lo7mP#vlX`3tX!ra{YgUouf>mr+%bPX>3IQ1&PF2tQA zAimWzi_hLeE0KASIrR;KGjd8dz}v7U_qGD5y8$9S>S>p zCNCzkEt3!5wk0TC{iqO=>`<;}J2~^Jp5?-_M|J)GuLf%3@FXqY{T+ngY%W+piKh90 zNLH_XiW>bte>cocdoST)m4TW z^L^CYok}xdZmXM~S2NGloxLH>;d@wAw{&?L>k;6qsd$3BtjLos^W4)^K`ZqRQO{U6 zd9OgTiyyDwRF5mHgTKarCIR`}>vvmgz&W~@fF=RG^h_NWG-Wtz&QEeE9jtA%{mQGC zSrC~T6>cta@#L>rGu2OX5qB3g>NQ3cXAcmUJEavL)n_zoMe+*X;7R$p@$ff)M6}h= zg`6Vpe-P<^C))KuSUj$)4PwTIkO8UR=X67cBC@Sq3WY2b3k45;tlra9m5lP%S6i`a(RA9V0qXa8X$H)DTTgy#4Nq+SIyhHiz!R3XYX zH4rz#A4t6nXp2p7cZ|!T3~}bFw>)Nd-hNCe8yxsXY{0#)+$Sr;mxu3_{@C3-wu^Qu z_#cS$k!O)P-!FVjLix+JH}UI<9kpfuFwzZ4VOw~XnH1%+g#ryn#X?8XIE%4D#S!>( zs$X_Hflmk*UyYnofj+lpv0G?#@h)}vn{hOx)ir|V@T@)f>fPp2ps8tJl3jI<$rB^p zabHZHIh*_0={|st^kQe|bi4d?fJtOP)>RL*>5?)Yn{v~)C}2j5Tt;K1&X0uVEjeL` zGE&&N-#!N#iP`O^OfwG$(}U9P**{7%^8W|yN7J6bg)~{rH1X^52 z8W*wgoDX}=er|w#O6Y$fr1HBe@H-4!SPvDC457$!{IgLFa%N+!PlsdlC+=XQeecS&p+@6qLE8j?k+OSzl;joFlYb`(GGh6a ztRs4L+B56WtAE#nzSs=#YE;ubDXjS*X@ts7u<`EG-8nx+qxNXhY_q+?N0?V`SSkrQ z;C4zeIFi|r83DsTD_L^OnNgQ{nMiP z?*dcY9=tXxrw*pAxrVjjPD=XzqYQ8G^>W^F2RVh;o%1igUK!k_{eH)pCrPJNqj7v= z+Go6oOMP-!mXFXu-7|W2heY;OyQ#~oxV(0g0upBEVrqR6xfu*7!-?^9s2oz9s|Xv$ zXoK+ulm#q`89llT#*MHcL9om#(*^{b+D~gXd3uh|xk0C)={g zU5r%y1Znt?Gx$r%bJ`Kf``FMs7dL5UHaklkH`h0F=`>|o#Vho z@}zITVMRs!qGP%Bqy4-}^QUFslG1!xL8S-V?R)P(ovUgUkybNjQ@^^-`=nOX}>hdFW2UYtDrX5^#> zLFeX$f@Xu!QKnb0=}C}a8pU#)zs=gDJ`@kPn$v2a4HH-uU}#F{$f9{G z2{E=K<^p6$RgAY+zM1v+i_EHZ+`6)ej0c$$s$Ss}G|oh%7$`<%OSTRHqlVI#j=2$p zx?RbJpDE|FP`S5yTIaJrL5|RJu>Pab&Ttu22gOLcJAKXauq~8#Qs2-^3L^n$!?J}hkig~p;@1@y%p?p!DDW#V zJgn{tJ&B$J_>L%oZlY>}HXE*D7eU#KjkH?|q;V$4+7iaL2DS=G? z@JnD?eEvkXakiw!z|r)PS{?r^S`48g{oe_N;0!{s^mo;w2d}BG&FG^uk+TuiQI6ST z^qwA${ffSNOPoZvU0kK~veofj4zXem2Y3b`FF{wqG$}ZX3<|RazzZ2c=l84?D$B@( z^a&-+<<{~AdYh=9)HXJ6;B^Kc?+x;61=uMQEoeh{= z3$?I*qA81s+dsv3xlDge*)m?FBB%A7O4h3I!wI=E*GKD3aKP;|G^Y`*fDqrekc8X& z@Ngm93RMl=g$4O{mIXihw!TB1qkfeY$=nd8KhJRKG0FCG1Gtr7?*XV>Fn9VjTT5M`3p)ARI zt|xz(@Fn<_@Y*qF2KZckamz**5JSav%>0M=U=`d(X%omwg@xjJRMQcX>lsE<%12S^ z?JO1;jijA}nkrrGgaMJ><%(ZPvao7Vp^+E3`2H$N6CsMwxd~{ZWuFG?(jsbLO{UaK zmOic9TzPxhTpHx=0GaW!AmNrV@rdNWXU}bY>%Cq$+Y+wAfeqzWklggat-$?K+qz9huM4b7;eerkP4$R+t${5VL_=y9@A(gX%vZAjB#Og zZSJOs6*lZTf%`OkWOlX2h!G9p)4CgyKRXVl^9{2=A@*8`P-k0_HsBugr>YdvWB`m{ zx(q}J(dd!Xo4m+U*;(mLB`a>danzE#_Z0{`#C zU1|*Sr!q*;o5zS?l&zIiwE!bI%qpAux!vQ;Gf#?g7nT>TW18_JwI&Dg+IxKS1fEHZ zwj{Ar9AU+q76iF`6oJOK2~vaw;o#2GZ4m;vVjK=6N7m3OIn&|#7>PSvsmhge?vbo# zcaAM@&2*MAAe!4I21>R%02~s4$Sr`+vnXyCzyO_sJP43-X2_!9r+?okSgJ_@46K^G zr-9103{57O7UB%TvAStEzPuSY7lIg}vI(2j0u&N1Z!Jf97a^@R5K*(;gL*LKua(B} zHoDCHO!M6Up}s}1so+T2%VZ>E@;HyfUEmKjVP#$-jLkYYB6^8w?Dw~Y|M z*tD{^uCtilL_kY66;^h?THU}gl|bx!8b*hN`2qY=cH`tjt=D&d`wgzw@O2W5h(F_K zxtqN}aE%jZ1%R~ENYv9~_D-XVd3S@V7hzM{hFemmQf|hvixU2G+)-Y(PfpH%t-08o zauZ-Q`olu+1M9@JeFh=a_P2tbe|)P7hVhsSuDXcS@ar$idvoUGmThi@RO^%+@$rzu z*C(V}R@wy|fBY;<~_SB5MdM_Z_e&5wqv^mODs zd3|@5-X2sQ-hzSZD-MT*Pb-;(el`A^+&f7+=30R(&MZ|TL{_LO37x+ZdHVjS~Zf=ovuMYu^J2VS` z2BUqR3dI*an$ya!q(N~=Pn%=DF#q~}&4m00bIR(L;~p-vBmQu1@$0847qAOXGVY9k z9h=b&3EMYtC|sZM2%-}K1OuXFGH?gsW?STb#Pp9{0}s=tQ8_t@)>c&oEq0XUvJ-Q_ z7}J9=Ci$yMiC9z$AYq^;BXaX}N-8n5D4JIlt;%|qUYZK~GcHl>vuMzHxIdp?kD3gl ze46>ovD2t_hL@v?nWt8ww5beLL5m>m0>P<3-WL?^LTkPAdIbK2{t-nVa8Y1_mdtoW z3#hJbsUD=`WGak)qK#u$4EGI%Jml@8*MJvk0Z9F%|31L86UdTQ8Utfm92geg4-b9j zxw2g!w9YgL?^T*9tN^MN@MX%i{1+V zOM(J|P&j7lptDWGMYNGOtc5$kaO8hrUtQwKFI_#T^k@kaO}4`|HJT73@CKNUqE{zS zp;WHXei(hceO^w9k43Am0Tw=t6CD5Vgmrs1#k4^u>?7dNbmd)OX;~a!5PB!&;jiat zND*$recI=;8UZt$qO`B|Z1~p6OURA=!^47)gH<{3y?x&qKnW=)wdiw8#d}JqLGs?@lo8Cp`%p#2GMS$}|xNLlzmyOduCAXhE+j^?r_uh@fiRS`z zrjWvh%BiheHN$MRLmAS#iG4zBv4RHtUWxwdR(_bq#7i&FPu-!_xgf?L!36HL)5lUq zSIw!c*;;rSq`}EsZgUB(XWD1@$8x{F>YBCQ*tPqNH*W{hugEQW>ZT3@2$l;R_I*5G zN4hJ-fsiqjawS^Lvr)m|Mdpk3*d*pOtr%sE@5xeUuIH7fP|FyBUgQ0C^ek#;z80G1 z)d&;7Gi>J?+JGlIRk;>(IhSuaiD#{R`!jP}ptjPUW0&!RE{WbJM?%2FG@R@03_f%| zM1?1*JPpJ!xo7c43yv#Z4FU+(vbWXVu`;m}cn`ac*iz%z&vlYX#56LRnlTBp7+(!Q z0UJWC;R-T9Fl(968bE9&B$zVYO0b%FIIR~eE0cMjx{L{OQfHG>`OXofXa$V+x@qET zjF;z-I$`d~phDcEGaJLwokQG3CocuW{949eAU%$q{WbDCqfNH~m21}oRGbn5LKYS@ z-jk9Cn85=YCR*pnfN}Q>TCVY@Ox~8CCfLDg?og`h^~d2qWDM(|x?J2w!xXS^W9;i^ zfePC0(DHRA?3H>M_p`*Du&&?Ob5-!%2mOU9&#Bq{@^PZVY~YC162L<7sGRW#xQ~mo zcQ;ppFhx%&kKYzGC^x?M`?BodCT(%)1GqQ#OT(bqhwO!(R~N=1i*oMN=O8biqdQ*1 z#g}0wT$knUfAg@~KRGC?zzc@*gO{Gm7-JMK-c0`U>EAIB1bh{&+S0$#k0?OW?!VZ)CPEeNwCv=x4MN%%6^`vq`&z|Gb22Bu?fyG~UaiP^cB-=1 z>lW+ZYX{L)HWkq+D%yrDmTAdh%MZ^fI;xdP7ME49+TUEGeSax*Q#Zu|A%+BU@PLP* z32fVtUV)m^LcfD$P;Br;Zq%Zz3P?83N`b26VF;mbw9+)9^-@PhShig4?26r+duo4ilC>1Sw(IDx zrj6Pql|Pode{akX2LrL}?8nPSzvBFOyP3WWbMMW>Dcvyd(K`2#{bbW{&?>O|0=9t< zfeO6W@6kSmFm$R|S^}G0F7c^dSShXTDw?BA#`jEiwe$#;y{d2L9*nsA#rlVz@iaEl z>ka!Qk@#mS07@+XaOdG5Rw2nmA@CXD_t|-JIHxz*1+cd=-vf2l{&!+Yfy7WA!DWb7 zUoMk;Y5-h9;7LAwg9@CTRieB9J7GDe9=16LD{W^TXT?Erps^GkAN~-kOGjEGEL%ol z16Sl?OhnD>U#PGD@5B_()aNPnM$vDhS3fDf_ndT!wZ_*YbkO3;+Mv;FunELXNrm7Dq1~U)~ot0Ysh!S@iU`k*IVS5i|vd zn0EZTH|#zixA(qwm$qCnR0`=(#R}yV zB8;<{fuj6U()xO);KjT=$rq|>wH*L`{YxE?Dcv~qZ;qb-uW##wlhr-LD(+@WJSb1= zx>R2;u6y3&!jRp^Gn|vcoD&r&`S~~U)pwop^2FcWD6qBvpI4qg1X&!C$gBYB4KINA zk;NKJ0;+1vT~xN?%jEw*L;UX}=KT|E4QpZ%f4O0yjIG;WC0I>A{j=$D`)}%x8%Z^5 z52^`wg#d*MU{?|SIOaFG4dW}5J5>K3}gPm=9yTMdY;S1}l3SO19L=-atBA$&=TPW_mj`-L@C^WUSN zMn8F2P|5z=;@ZcQw;@AaH4Y`+T)~@f0`J`U{6MO=YKSnuMon?cI?RB*GTp^C;h8b? zNr3tHxivH>wA{tnG_>4E>6x)tH#*ypwB4PNFE30NxdXH;qfk3fg|0+*wwB843k#kJ z{4B_Q|9;G+fX|xG1`S`7;A4MI1y8636zzov|840DqLficqj@RBqPhl#G2GY94Gwi( z^o4sAmQC8$H`U)yf`(M|Y~9)^*O&5l++wn^73OnIS%gndu1|UlENX}k-{sajMGgO^ zu^#RI%?{`nw;Q3L2Ida#LW1sc_Psw=0KJnWTFxRhl1z(2(Bx>{jSFyhmkQwK(VDEq zRJv@>&s&ZNcjme1?wd5f%)I_luMZ~0>ERe*w&;e{h=OawV|d#pzYQgy7JjBD{Ge1m z5gd?G{W@yUvg%{Z$+$O3R86<>FN`E$H|JStO6(2+VFkuhG*t`sgegDUBBuk&eeX+u z3*%q@cxy%|U0r6Z4W4lB@2MAO6*cX5I(>=P&uNExef_0S@cx&fGrUDuWKc_y+b}Y4 zgJY{#Br*6GS3qtvc=A}r6d2%f2*E-L2%iIVVC1=SYGO|WqzF1gn%q^Me{Fg}Muz&3o<~2W{av-R>ME<>kv)Iw_=qK&NsrF~Vi!G9Y{W2Rz#O|W!7 z&rQ?R&@cAtjT3Xe$}71stqR+1u9tb!F+A#Tx>~rool$}py0LFB=XZP(R8(ZkN&`e@ zV_JV`LYtsbIThIOxW+JHm{gftLpx-KxT!3BpU>0I%KE{XHo$9%JAsCK>onc?9XOCf z_*Tf}apbwkmr?eye5mfn!hrdsfPyrf`X_4zQ7dG$_~EAPP5!H9j!M5ULPFfT<8}LG zHvlK7A|vXDdzma1-0C0%Jfq5$8HwoFUwiXE=-KWXtY1!2&6BAATrG;m~C!W{<) z7V0qj2lQn6JQV^vaHyintp(xJK+ic3|(QX}ja(`UcV zZ0zuH3g7@Rb!dI#!a?E)y3*Vh#WE(s}!2=NAdmVESb3;p_P)&IqX~vH&FKED)=&-26iAoNpd7L) zj(LUFz#_2tGM`1gRYx}R^3X%&^KTTzC4`^yaM|4|6^nBTK7Kbx97y1=b6hygh&7Qf zg5D5yWum^se2cTxsUBfV7rt10+v}SFyC*mE&srLI8gY~UmLP|7x#~vQ&7j8+*F+cA zw$9tnG}7lfm_>HRK1diF76%9$H%p#i^GLcQw8LMp+i~1ozfQ+ zA--c*VS~6d)h9N>YoaSEY8z(^*mj#H{?xDYB7w)QO&{yd7W!ZmsK`ly3Y%ET)798~ zHV`!){rmGj545q8M#PMAva7mskq>JQDu#qt07|+3Dygv+jLQ%;NJv+!V7-|-v zyxLio5D|3s07jpi9SdK0C6V=;wxU0(OZ_SU~O|0d&)9 zR&da2Usvn7hV}%zp6G{`3oFM~9$h9}W$I2pZkLez@6i*f$SnFk@XYoG{vOvP{oU2F zjp_`4M8Rja$f`!G!=tk_R^w{) z%V8Pj)XuE7C2)hHRlJ;-&*EOo%Cd`oh;p7!=(9CbmY$I`H)rhqSet2sF3UPH-wle; zIFD!oVaMXmG=Rd!k)Sd(3~JiF6b8PVVL{m|>PQNGXSUyDa5o@8b+*a%!ShpzHC%rX zoRWL^5er8`xMRI0pROTv*+46&OASYhO38= z(vNOwd>ixt#eR0}za4ryI(9ApQSTjtRF9`u)c>>qm;kWgZS_lFNa|~BIFw+NLtm>zY(e~L!*VGK3OHxmVa%u5dXBvE!T>uvQX?5S=9zHuDsY-1>hm^|w zN=;2IN@(^7Gcsz)t9dH4R!ItgFM+fqDbJ;@SN)B@>}dj$GkQ+{k70dw?ykT{Wu|3ir1ZP3h>SS4PPPvC2fB^lgC3?PQci?%V7QxVs(ggvmzi*B;&XB)V%y|MI zDJ+fqjqsU3_w|j>0V8pUGqrc-hH>$M^Ra`!4PHq>g@}o0V0SV+-BwI<0<2*PTzU2T zYuIB=vpJCoYlvx$|K9Qak7Q>vk>)HJTWg|NVAP${{xHAHufQQq#ThUo%$9l16yRgdiO=x_8f2c^%OqiwZ9rrMm;Jb(R67IKD6F zfZ+J-mh9TPuY!j`1JY8LCQZ3&J~?>3QZ-~e^i{+A_T?5o^c8w7JX)?RY|7hgqAbKW z*i|NuZM-_H{#n1PTTL;nba)NwFz(X*zirb0Pds+q8Svv?NUOM-35X-KTw0xK7{@q~OAUxH3Fo7H0Gg ziX0)kqvry3f49(ov$d~R$;;^8SV@QXlmjftgBg9sYaPtP{P??5Rug^WNosIcJ5<#> z4+60}2n|=Vcl9X}3V~LK7u)1r`7@Ab@f|~M`LXPjRr2}Of^L!=MZmemv`Gwzst8XX zX!LQqdyJtfuMD1Y(KB|Do_q()dwAo`%p1XC>reCz&jZ6KP6i`r!%%q!KyzS)rQDl0 zeCfqs(#QuIo#zr9pRy7%(jrRD?QOVUO&!zyReU<>YzqagYDNq9px0CF2~YdMfN1tl z9(|>X<~h3fwz03)6=!C*BVCgHX?=?JuHO3m{+SnZnb&S^0N0}O4@MyUfZuJNJ{@2; zq1D7+B8#s1Sm$fch_n7Amr4_y3+VtNf4 zhdyj|K`h%?1135J-ZIG1)v#k3f`y8Y6AO~Q@yS=iK2K|!!9jV_9YNY&5<}lC2%^MxxUQawAQ*A>n_r+hh#!4pi2xLLor;^O(qt z`MLKe{5QDT6D7x{q;%;VFc9xdaPdg7)GDlih8yDt18LR#zrce?ohQBRMH9o7m1SD? zt9^f&Z^jgUIB`*TXr$ub;#BOO0+INh?rRI&?WOXk`PIW>w)AzU%BjkqmRI5F?CmMD z>#C^D!w;`)xURr{`75snyz6in&Iv)#hR`xJC+gTAIAqFyFW*nqWme{FaV{|%{3WvO z#$JnK4cba^vF9+b8gx3fX%JX7&3LUXfvjwS^bSM;z;4zqE($i?9%B;(&RuM436I-m zLQMU1ep=YA5+D1>7~ruw`Wt!`Z~tAxiJL(Gp}@P_lt~7)R^n__yRa1=jTCnE$(fu?}T?EFk#U&V5R*VEeC#=BA423A#ASokx;D#;HinV1 zTh25_;;WtVy;`Q$?EUoA(6DVG@WTo)f~+VI;`#kUVFe3&#!I>up2rZM9DY_&6RBcB6&J*R}CtrJswh z)*Jt1B%6?YUK?(mcgWT~4L#|qTg?t!^b0p$f@e9ouxLB))|xx3x(YBbi-|JHM$N>Y zXRpUiXe-k>2DQm1c}mL$g1YfMf-3M8YZyJv6#9%9Rnuk;Z$rSfU>1otFnfJa={myJ z^`Ew;gXF8%nJmxx{f6Q47tF`#V-0{M4ftMSr_IoZfonOA*vI%ldt-(*zdyD22xCvrNT= zQVhSt#}Po0)`PkYg-fEYY%$yx5~b`o=LDa`Je0

    FfzYb=sDrBy~Id zY13AXtlmWhJT$CoP9Xnf^smyK*8P^xWq@CuFF}a0;~Pc#8(hhl6ysr(ZiPlViUENQ zs5;!1e$_a#8~nsk0sp>zBJTGm(d?W_d0>MzFA>=Zl6|6&S?TN}?{tUgrn)P$?yU7c zIOgh9*vk^-#>Tqc_2NsnjPsiQX^Pvq0-PS+V?dl|{?QQAMF4W<#U9#uZDy?5YMxhp z)C0X5vz|?Q>&m0omF3Ua%vm{ zxs0#32q9@0^1R)XWClxoSvY01LVa^AJHrb2w^o9~6990<8VNatO06zeUe?B^qvPcC z{EY{_LutP1^zn3+s5jeJEH|4IwX-1-FY|oqz5JSu_?tNTQ+t#T z=!3P%RVTPe;CrhUZ829JBTe_`@p?9=zW#pN<)+drEo*;7%}}fVUXmotHp4LJ_^0-l zfYcJeqD$f#*0Tz77qcL&5H`_)wf676QNh`fM{LoA=K(t-kTCmA*6xImU&)%lheY#@ z!UvnqYebK`_NU!|P8eV|r*oqXr!U^)-~xKw@bVUp@u#ltB5UKv59M^xjgh8690tqt zoo6un;n^0P9oF3e0JkzhLEC%cS`)&lY2kxaw+y#`I2o-dJw9uY7_)fBfud+sFv|1|@t>+M zd}*`o7SM>6Cr6I{Db>ci+21}I%$dq94y|%=}IRYVzl2Pyx@5DaLD}O(O zR4GV12Zez)8{1Sqi|sf5GV;qwc6+3Hp|q_e3gPkoSxodDEq2$jn@aIc!q5J3m*0({ zq}Q>2|4{yp<2~7C*II)Un<<(=I>t1{Uc;&blmSd@+k=rB5ZrWJP3NX_f-&Eheuzyh z)Jmv)Op@MR*~(3fAap-x6lg!tRxKYgJ0tMW;_U__O-70T3X$*h=M8CV%lI)+dZtS> z^|cMqh~IFZOX3-@1`@?+VYf9EEUP9xXgcmM_YTZ58BX&lQ+40JxabNaLh;yCIjK0>F6)AuOzN8e^ zFVgdW<`e(*IsU)o``t5YX85TTb}A9S)3{AS=GxEAdIp=OjxCZ-`OVFFY+pxuzFj=1 z(3dBHej+O$ZP`P)0cHwcW3Mx07-yO=C8gmu?5^ZroA@e4LF1aH*yGhfzi@mpxp{p4 zH{6L~@9Ns!9SAL9}3*>N~+%Z-SCEF+qtfZSj@)V@dALcKHfSx#d z*9g%p8DTK1QI{wSg6V||;`OGtCDWJw-FHcR_kqA2xkw_|PQL zZLI{gD2CVhIOSiTzWf-Q0VsPHrZv+zVpzJP(gVs!XbSTMhS3A9(MI(kVk)7DZJ`I)+jSQj7oAs4% zbP`E4(&f>yv+ok{dFUE#of0$Y;g09+W({$29busU9`Mi!AXF&@YmLfS-ymP1jP}k-Vz4eYYC;7FKL$pM$)Q6+3wqg(kUbLr}5(QB=R`X3~oBc!+ZcXF%}@_Jhe z`{q)!QQXhKp7R2y@_gzB$=s2^yyfwo-3K6PM)JS=a(y1x!;ZTV)De~*Ywarco%gZa zHxYZy)-P@YOQ-==z6|im$D!`H(&jDA%q>tjRsvEqpr7vSUCi-+$m*7~gcxTptSlFT z1`0s)TL*WqE-b91S`vHbdWpak*c-+oK>i->;dw;#z*8zXM;%7Jwn#17jd9H%<}V(u zZVVgpDE2;ODtSK-k2&;MHZJvXEdbzn3s0Ns!eg&{bJrJ93aikBcVI;zEs#fN9oL;H zg&|^)$SqIg1-(deCVpA7;PX#uyH5uZJXHgL1AdnWLxS;*<;=+0aynR%EgzU)lCTx&#Hd|kv~A4XoV%I6}TQ}+;u7GS*xov$!Zp z$4ahkVXtFsp#aQ$u`3fTre?IbjK+vTT9EbVSXf}fqZCu6L$wRm_G7I@?Yg12g(FHz zTFrn@8_u3cp(p~FL^R%HTz9C9=LqG9^*;Zy0z=-shbVEX#`|SpoFwX|#@Zc{9Ikwb z`$MXdwT=RkAtN@mFSw37pEKg622w#$k@CnecbZLL*J`+RON2>dbxZcF+iL-JdE~6Q z%zGPk`i1mIB)=HjT0q^ltDlEt4{#TSZE?F!NsAPk8P!|0C)i5z)jVaEKMmmY9&7V2Vi|^YOq4vQssA}t3Aa|Au`Ykw=>7=$CR!j&Od7f} zXWsC}y|UI4B{POlFgTi*H1^c*-+d>Fv4E|ENaz+P;yt$C1m;wbN>@_jbipRn#d_9N z?N`o*@e)WJw-IUzY~>lmRj?heJb6%}yu{r2gr?RDR|_IxE@du5-<`X|eZ@AzUmbqW zT2GcpbHvOG@JPiT7B4(eUbBkQHCNPS=RK@X9(r4mG+q?V`$FbU_p>uf{ z7YI;ssx%ifz%t8DK@qm~Ee#dJ%q@u_5P7r0*)zI2{g>htBt{@#*ZkE<1N(xA_u+m^ zb?|g}n&~1~!3opFz6L0j)uwb?V&YLl+ctu(DN`A^F|Yw2z-|#US}EE$J*q{bHUpbh zYlyk0cVMAc-{C*2bUVx(YXhsu9U*&aT7IpKI=d{i_wT+kJ7}pU82b8ZQQG4gmAhZG zEW)hdk+$O$f+)O!?M|l@ya6i{jh0Xj{ zv!1^_D;@5vp$>N@Y_<8!!QyZJI!Ke~i_|-8Xf1-^oMnpWn6k1dIhc#RjfO3S7`*)` zy8NoA_OIe{dq>!6qeAed3I5&AoQnfN8wOVrJC-^V&cU8_-%d?6^8z{uSe4CnPP>^j z@1gOm!ze|$IlX}6IV($ctFIU;L@BO35A^+%(rkO7lYIDn0x>Tf^yToMJ0x$g3|NKE z{an#7*7t*$=YOk1Mo`cRfN}N_PhV4AqNVoY>R&r@W`GTBvSOfq> z!4v}0>;BD!KocI1$NtJab0BfES@ys5@87Yl0WKX*;C3xyyU+F|sB<8;^@E`ud9bgo z>7=Q|syo9gHXj@A++Imm;l>BTf2;naX(ILv@`hI`-li3}cUxp4tHBp%2p-mj`Qclyr?m9!S?j1TVu-ArXz&^cdzYMKuZ;X7$c8Y$AoBeL` zlzUg{Qtp|f$#qw=XNtG2CTZ@TF2hT{h%-S?Mui6xL>(-yFt04kA29#Xrx@4!d0zOM zuJm}9zTKx@!$-ztQF~$raG>?(Q;>!x8?spu0J`-ACXju?OdRP1h%bUfTr&BUO)rx= zgokzVEHw*nz1erfv*zf2K+7gdGb&ziDQGev*XoqJa7Pz1CheN|LD_iFB5JRZk1je$ z($zU`J-4timX7Ur2MkGwc+op7{oF;OvLgp&H5$5CfPhBMq#VYOuf1H$i;Ou^LK943 z(agBVnl9d*7Rf2}2lmmYrnC4YS%juvj>@{h9m%Bd9g-oR8s5>^w-6y-K} z`!gWMy2}jtJy@2QLG~5rR!Cs=$-^_vgT_1iTOP{BNV(<3!`>kOM*Y-vEdDxET=ec) z_xFwi(uu}t0>^iAWV?^~HQcu#Uag&`S|zqg9ofr%d$wnejf2)Pt|c&uznSzB1kZ!j zA5{)-qa$a6r$nBNGw~rp?NTTg%O`J6d9d91JPF`s6Q95<5B>Dm$=s;&+UT7=z6cF4#TYc04e{6altarS~ zNK_|&=lB)YyZo*66Wy+VwHYVfVij0V=J7g81?+x@y8k(!EuM|q-h%JB0fVK^1_3|U z%lk)NO{0mN4)~vi@Mr(-V+>A!R|LD1gb4%cyLhG&eVty;6w3^)VvwKw0LnM5>eU3` z6g2(VGNukP)H$jBEdvR;;-2*P#+LYr#4B$iJ+DH<$H2_%Scy#|cKI?^x1(T+b6|LT z2R>R@(+D$)eUR>5-kJ~2v)mvTqTg88DRwg>_DwtAxmyw*0^>yV&7~{=I*oi$RA^V$ zuh14wluPmQjz-;@2M;z@gFG3FCGTF-!Nk4kP1*HI=`xJ@Gn#7O5yf3RO2_5oBd407 zxP0%U_~BmLs^+0BdD!$4`X-N5XqrDc;FU7h6$1W6&YqOA&-){(F#V*+)Sc(2!^)uY z;6u7yrAU0O#?(Omm6%jD05N1A@f~9$tUs!dIj6!ASxE*oR2SX-b`-wD>6JFjlRd{5hIH z7(SW5noG-ubKBW^nW9J*kS2%D71Zo5PiQ;6!v#yPwSR;O#r`vxKMM7fZvUv;>3ju| zbqDpPC4x1_#&&}<&aG5(WbBgvR$J{K1^IaUDEROReOyEOeVj46SbZ^iZaDR}(f4n5 z8*0|{=WurFToUdfAz&`Os}E4WSZ;xS1;jP{b)gT_$qtA>|HdiUx!T%`_cmJEhQhR_ zoe~%%eueIbu@<(+e z-s=+bt}@r6za0retQ`3vB&SwvZDrPPMkC+thHwNJNS&$z47fl0S>3Siq;p`NlL=|S zyU1fIl9pOiQ+VE2ggSHkM6iCugT8yt)+Hy3^C!5Ah4jnW84M98N0dc!TW_ml9owc! zK~SUcW&|Yr7fe9<$K|RjJ#zbnF7$;6=?bH*F|AB%b~yvcl5DfNbxg5==>f$RZE}1Z z5RKU%I?$S_XH&LLuAWA(R}<}}QmkRmoP!j9y?-o;kG(5g`ltvaX}!w3#a(9&;ORr$ z7)~T7H;|EVb2ZS39A8|*@xv<85Jx`zoN|q?I6L;^b?>ix`=tC8ltAXCSiv#dqHx=A z|8RJ?|8feFg4@lXOKWZsl>E#94^7lgp?eV0G0Ee<7{@+j%?H`L+D*Q7%lx!hW4$Qo z&RAzk5#|!Vk8v{!rcR?+b2R;D6Wn-(b(aYtE7sObR;h+Yr7m5PADrhbu6yPa3C(D> z2As9t&9M`)8QJat19F`^I981XVdB@jyZY*8?>ALv{ZuIQzQ(jL8d|?jaLf>og$` zZpA#V)wGCulypZvIGK9Mn046F4A?JbeU2B*z@AuqUGVAt3=S4WKP?An?c79FPp=_q z(0pJugUGbzq?*)sziG=cLdoCmbw|+KvJ_0j1Na_2fS?8V8YrB7 zALcc+WC3@|cwRI5c0@oaucp=nb@W5+x?hr-@16LSJLDyJrf3LT2@h6O_+cuG!4L3! zy}`!phv^4n0XscG%fG?@?sKdgE^{Ny3t`5Uie5;K84<3xrFx8|IuqdBMLbcrjRVVH z5eyJ@7zV5Vwml2V&>Q~{X8q~S1yHBilCFSjt(?NEzJl~!OQSMpYL@q+(&CrWvX2W@5_nLPB$4y z(r$k-XDN7+ZU7c}=)>HCA?yvTYIW5j&AK{mojdel_hM9aR;|Xe&u50a!krKM9MdBA zB_EM%E5|ZrvDY@|e*Y!&8cLv?D4M2VBra+ZqXx3Wyg{}o&dN7sxa5XetrpAH)xWVe zidN0deee3%*G7^v2D}IXz-fp&YX|h-F%0U-IBXvQpW;JSq(ye-xG2UkKtBC6t5sji zsi}}@j4RSY)#g_6m7)3zB~?V4SwHGGaO%Zy{9QhHYGyy-_J16ortWTP+vFC1MeGCz znE!Pwcd2+mx(LzUeMJ*HbT~aVT%2@@n*$VlM3z`ObYt)N8o7bNtaezs5;haEmbPw}UI8TBJ#}vos17C|)o^*4)k!^d|iJ()rmQ zhR}3UXtj4(%jWFJc2P?SNDIv?B8wLGvbmN}2g|EohG>Ee$EVGK zeHci5vdE4GWs?ZO%%o*JRDCTDIFa5WJm##Q?Y!!9_xQW7cxB(UxAWz>{{ym3`_EWn zA&U;?o@xdP3iOp{m!k52+y(xs{NM2%B4ZsFFmRJ|7RmzTDNb7r2x_K@o(!|PHM9;= zP$XzEV3A2aN{S#C^W-}<`#GYY-Y{?ftn;A%oE_{cY0DN2P5asD|F%#_ytCd}AlW#n z+!PZ>@W1u&h?Je)fHXVsomVJ(F#*JL1Sk*(`|(bXSnmh`!YNaG9q)|O7=EuY-pp`x zPuYlzR$raa4)0xa$VxA#mXB;_XS(%WyY^6FQH;;Qswnf4qC*owX1`W+nxLplVMp{V z?*T^Bd`Nvj2_P@&V3s$_l{wXz1)Eox1nSBFS+4h`t8eL;WeWI{#@w_=x4Q=hmF!WOtpfk(4nn58CZ{IK7sap1+NB2+JIWSmdmMblqjIh$`4Kv`h}Y!JS~9 znLT2(#>w%GNxIzj^3{vX76;CmIG01WkG_NnF~CqH zeVyukmKu&o=zqq$#o2+fPq)S@;k{7m11d|}(Y3>cHgRhb7m?OKmYeRK~okN7GSg{czBYYL>KJ_q4_jrPT^EO`u?Q0KpS-@A@6Vkj+&76aTsdmtQL z1mnFn*Od(z338nNiQe5n9JlBiJC2vb;JDXcFY>fdW6k7gYTmn7arZ)LfNJoIo6dLD zM<`dhd!?MHUU+&SIBndU{0&oR#x_fvWm8io9lqJwduRq=mKENgJgD3O=6gfNz0gtNH zHQ7rL<~Bnihf*9&4G{NUKD_&;+>lGFFK?1B0d#;w+>RnvSWGSEl~y|x*eR14{(GAkX6^EZE+L=PR2r3 zNpNkuSv%UH3$=x6=CpmIKEn5(1h_2omhXH-I}LEeIHnkmSsok_h@zV(8@ReUAAQGd z5q)vIc4Q%Fp1|JOQTg_*TQph6_~H-IOb-ao`a2TH^8m+lW%df3=ZP_dV6`~z?1R)= z5{i!eGdl{PQ|!Fm<^$a(giW>(B1xAHFIE?qTbut!>Bl)?*kTND7M<-z3Mt_D_rTM& z-P3G37pS(-N5QT6Knwf9ki@832({Qj=z3vY!e@0;Ojb@WyGOi=-R=bvMLO4du72y-*z)0r? zSaA#RTwM}15`+^TM+}JJgKG*}ayU^o6}z<5*_^|1{Y3e>Nhrk4UiuBoX5mzYZ@84G zc&^;}Y>{&TgV_Uul)Yu+g{%ah*G+RD1WXOA;gIZ_|z-8G?p z+&t!O*UF}WYg+K15?=-p7+KK7ZpbisS^SJBykRP5?!(vRJB&Rl{=y2MrTy3GWtzVl zkjz$J6mT|4E4h6kM&Q_=bGap1(C}Lv!0qE~-;9wh%XBAgtE~Wzp;}|*j<2U!41+pq z8kYiXZL4Y`OJf9sD=1{pgSbmWRo4xih#VnKSb4ZjxE&{?JKJUAr|v-U5-JD|9r2PL zd7P?P#%M4H6*M-x%1O_gl_2jA26UKbBLLp2SsGYfO-W}G(>igNwVl4$g6$22qFdfZ z**TmWpSnQKeyG}nDV|>I4eJ{ zJS!kW#BYwp+ha`(XtI`7sR&H&%Fq;uJB4nXlbrg-sGsZP~w zj^AjRY3uUYu)n*957aNl!7VF&t?gdN0;|8tpLdvbB#Ke4VK>7W>DCX7pxI=j1~>QO zG~`LrY;6oKOpY-OSxu3$G|o4=X(#{cos#s`)Cc5$_lbv&&#_aGoRJdF_-ROQuuZZA zi$NIF8voFcTBDxv5Vv&xBBG=*swDc{&7`Y~SpQxFdoes#ws|d=2j`(N_u2j0B8+lQ z78!%L8AN*ogrs>_kFgKbT`BXZz=u6KEE>SWk?x10B>kIA( z_aoaq6POZW7y~kxerj(pCCO#{eIRAHzW{IHIX-06F86Kv*s|2|z9EtT`r#SNd)D8F z{)Np`-Ggn0bssz;n&L{jedpgr-S|zB=J3pVh|(+JA>20Z))-htA){Lq&VCLU zK9siO!<{P{$8sWuaGgsg{JWs`k?1dK_dwQ@o%E)M6F;x6@ihv9j=Hr$lxS|Bh5z)# zAMZMkKi6{tzkc<~hVSu%poJ@0|8#Ywd``Gj*s**h0npC6gUZ47+dEPVc#mNiDr<dqN%)QQCS^gE)c{Q}*+eD%E%U1|bCx`~S0&jc_Mqj?oz?o6z1lMgmpr03tl4L;w*bm<|IADxl24zew?DDxor}nOJ$pZAu}1t( z+PvHTE%PJF>F>&3oIkHRKNT_cNGamI?k=A#VB$xMGcp7d2(R%R9bYoWg_UbLBtC&2 zAOBwK>t4nW!$2qByKO-tEreYs*7%Zc3D;x+)5iTjgNZ#ZJ!R);rLV1y1z9F8v^m_3 zjXon-)uDK?_r2FBvs^Md`fD6|JxG(G$=u1l#>NuXLNl0RT1!c{0f)vc(BsB&OPF)P z$}>-u3<%7_`-jGmW?u6G!u3e(hBw9g=fC?tP90nNNVSbHOUycg`PzA}`GH@j7W4Xj zC#lG6fnzQt9aOEp8!&LMF|a=qYiA`%YFpcoig6x zPnsz*SCk%>{HOVOYi{J$shWd+HGlkRTiD;ywA`N+MYNJvXs2VeAI8T%bzzPBT#aOo zwThl-sV4<0YX7)Cm{73t?>={b4Q>SY4_k&wSeeSi;x+(Rk14*wVvpT;%C#zyX+3({ zKW&#=Dq(qV9o-aua?jrqh8jxSnyz(KXv5vKl(X!q8B$lZK0Q$`GK-Dwbu*NB>nWjY znbdl9pTcCpC{;aM@IaSlKb*h|tcP z^6MlnNIG&1>+9y~BBZPsly@s2$_M)?s_OTO-(vj7<=;FX!5~Ase#iP4D*-9z-u`iI+kf@=LeboD zoYPeSk$!m`LwAIf$#VH21lgXWu32ya(otsd*c@w*wRd+gw1J^SGozjDGxc{86is4a zZ`^Vf3{O2qK)8+3-ktyFfM(&j*B|0+W{_YYVfya=>Ulg#BecGnpr@g$>(}<$dfru6 z`Fz{_eMh59fA(5*mp_;4p5G^6oYJ?08PTW$ZZ%@2`i*C6$AgF4eZ!Ohsg~01v8~G= z8^)YRoTwH<3-!oY4a~f<$(3D-lAV_BnIZfiRnrXKp`!+;pKk4bey#0Lzu+R!Et8;} zaB4*+8Zzc^DeNxh*lxxVN^I0?&Gxg<#n-VsfA`f^Q*I?Yl|s)0q^p>d>hh-Wz9~kI zdTT`PoSm@*1cN>a6ROoGsf3!Ha=u%v<0tP$`L6S06-_YlY-b_brGH5>TEOaw4A0k=r!|)e=2WW>?7ps`c3rEY31G#D*SO!< znNC)t*t?u?NByVlaIzq@#}}!CIHnf!9g>%*YNS~*`n}gf&p;j!u%{m!hf@M15%sn5hAgBx8!=X+YrEDm13 z`?HOSRRO>z*{y!8&ita=zKdZptW{IMl_&o;pbFFRd@7R5kCJZd4s${rcqVp$ta&Kg zj>K#^$nz8H7xiMv5Szb}NEj?F+;=dvC4{5N!In%;O@Hn3W=wYtH&dSDZw#}?8k>?_ z>NWigi@HT+$HiuQO9~00G!B(c1};N{uqLv$<}}2p1}sK89V1gc@=M)O)imWE;LyBv zGVtZFfRa*>nN;_+3)W+|?pl_XxGt{uQTpaM=3?vweV>GZDKNn&bcnGogMhB5Cr_{1 zYG+50WU@Nb=2Al0HpBTF}yCe5iFb92e)Mnq=Hod-J*cd zWDrQOe|Nes_<2T%Z;^w8u4$0slQ0vU@}*_|D#XJQW=!7juj(;Z|b-t3n3@b2y&Z>&v@rhvg5mQ-C5M-tvT?uY4(zx<*pA zCVFOjb7^MXa9N%+VlIZ+ z?;1e3l3O4bjRp3;GV-DY^xR)y|sWk|x^ zk%K$BJYDMqFr%E&iqFOTLTP#K5|gniTOjvaBb!(N;pKf{e!yTzw~dhzc4WeSxXcUp zqb#3q;%Xi&BPL1l-RtN(y>CfCGAP&F!>J*28kq*8=zO*e;4aYonL$(l>Zm|-yXm<( zD(Xmrf9`;iyw2u`iKrCLcR2^+cu;N&5WjXepaTMVNBbH9zr38I z*+8$)!&cCjZ^&_pjfoE;d(ZN&yPM|JXKUr#y^YTsm6xkH(F~~A@cgjGRaF(6z@zpQ zA$PJ76S`%(q*IMh$MjH2$mP^;gFWh-&mP(r#?8OHE;xTrfqH&3|2xTs$i~5Ob>OsC zA1=j1T?^k6VV7Xdmiuz8hF|c|S>NJ&gLek}uf#}(tC(EqlH6BOr7G?UbR3egX}l-g z&)iw=Oon+>U$7G1wl~~xEVP0y60BqJnx=kT{riN5ioIGAO=QvLcW>Wt6KP!LyUXU# zqw-!bJ9!o{7BJmD{_j2+G>`)SyH5|3(F>4n#)*Nsq?O+sK>W%44TcXa3!0HAMdE$bie26_$=b z4PU(cen0d@{v7Y?{MV*e=!x&~sr#)ZQJkKz3tcRxJ&;WBCvm&z#Ot@+^H%h&Mo~7j z`H9l4)o5}+1IV?m0jDL1aeq@Wqh@)pOw`(-_(Ua2i5D=%nP#E-z=T$F*4E#S{sd=| z$x)n7yE09BJ1zO*fj&1F!eVCMg)Zf{5mj3s%F{zLfOg<@#wu7NL7VrO<4@z`*#WYd znkk`kWQ^bWLX!dvR3~H2W_It9(M!gi4afbbV)#kw)8g;n9?P<@9TbHDuNTkKBw)4F zQ9Uz?U6%Mq!{thwwG)SYYjQmW9m2`Djq=#17~Mm=;o(Yyor zG^VveE9<%Q0P8=rp=fm$$*mdErTOL?FCVXL0c7zGB?KbnVx#FVwHD)EB%@5EPTW)M z1Md2f#sK#LZIN)(C7vs*4lmXVPYWJi=7+yWANRU;@1%rnU-6eayfXWoN<(Knv#lT3aB4q7sN1hu)3UXk5Tn&WU7^Gk0G?1)Lt3!Hosr;cT155GCfi{j1=ad()uEU$`5 zQ)geqLBzlNe$>wsJVbwuOCm6r1_b+$B_@vkNx5uHVG13ivbDfcmg`leLENOZUFqXj@)PKJF) zMN|N75pGoWRw3I#JUn<{qku7!3eDPHisztLd_ZAKK)Hp%_lU!<$w zPAQnfYVu9gRbGPxB@V;yan=XI1BL^{I9+78;TXuz2}G)D3T zNAA{ak&S|+OZ#P~L#z{(GHlxn31IKU)UfUm08YNd9_!btL+!BTD5%%-c_X=|It3Eo z7@KRLb?i;irPm7Z^ih!ide0E-Mzv%+)7ls;^WUA;>e%k<++Drv{flnB3Ow)O+L? z?!p?5D!D1=Cvi75=!qpoyKK8TqqBrdsbNQ@P~<{e7ELw#pbsN~ms?e2=FVtRA3^^h zaWd|WJtcHpd^vR-g%)tUdNBOht)invm)7@SQ(ET4cy?LNFMJU{c7?-<*nI?G+#&BdM$}m!R%aA&{T^~h0cZ80wo!Uv1N(Ur8l(Oq zx^3%GGtm`?rBCIlMHqw`wU2jhVD71%v>iZ<4?^w209@@Nj zBl^G*Rf=BMs>==-keM=Wu5PFR=%$%Y?32@uOE%%q`rAy$8y5@g9PS39P8$}R+rWaR ziBoDhYBH)Ddx7O$%39l<^6~brV&5CU&6%#pA$w&NnUQ|{F3)95FV?-r*pA)|oke^A z3OMBze0Df@8W`jN#nM&9<^ekRrYFIBC%GDCrjEevXq-dLKRxHvIM*p{V;fKEd2!vU zUSMz){5>vK%-!SJb1cG$FZ5idUq3W7`7J%=)rQMafgj>AC=2oXuW1&;7jFuD`CRfX zyspd>p6p~jhSsySZ3h06#}naAWaPG!8vyq2y8jwH4E5{7RT>F;I=dK;|M(Pl3o4p% zieAn8y7K$UYuV?W`d6Od&Ifzt{lT1i@LA?^isZ4~1k!-tw}1^P;;CP#G$z|Lk4qkw zVgnaXGXXxtNVz@d&8n5DCre&QYuTpJYiGHAm$m$HCC0O_h`Bop^PJ!{kUnEF}R!AYPsv?G+9%5(An`rxTh!`vJUKhJm7 zVOXuj){1CHd1HGz*_@I?~R!eEubVDu?HkHQIx^?S(gqr91 z%ELxiL>_V{=VRLCZs>8lR-Nb40jxco`)%y|1Q+O|X|0A9NQ zegEQ&WH<0gT1{mJ^uGr!0oN(pgnn&H__V{Uc>KZ$#qoi|q0~oy3B-%H5Ds;J1(8@i zJ>Rvr?uy2S7h5!}jvF3X2B;x(4h}^FV|#!^EY}XDm8lN0qbPUNfU8*=?0r>S~%Q8)vc|#Ev4p% z>`I|_;{lQEJI>L3QZpgEU0vrm2AyVlU4P@zpl8KYWHlH9e2B?(tz+2 z6>vGC{BD2S68^Y&+Qd1g7gq65P|#_tU3lH7=$(;;A#P62QZ3I6d)00!@#JaE-UNT| zAaC+}T^IeDyeh+QT^-xhN7*oD#`dg#(fA@w0oW_JyB&bxeblA=fe~ea6ZGDuTEC4q z(U+}<@NUHw{~z`DyKkPmeCbRv+8P+fMwh2tV_z=FK;?TTJ3x*61$N&isdqF7J~5vB z;C3iDsnRAhHPTR{8W<<`5tAs%0GGRte)OFpRv*y1WVQC6K5C8GIt`+;kK)Ncl>#Zq=KcNRXIFne#oT0{K+$RooxX3fFz6%p(PXfk`WAN?1Dx`U@vH1N3udF2 zd6Ybb;LE&JFWk75UEYm5tdde?|2NAF6D^BKfs(1=gAcg%+?-*GeDe#Aw<~9TSKsU! zCh9)hsgRK&PrKrX6l?_qI)d@%W~OIuU$XpWSmyHL!izhv3l&YtDreOI@hTKe)MKo< z9jkpN7FiC5t_D-^0c&Ou7y|uQyI)5HY-dk@38^ovj-NdcBfPrbBQ-B(g*y#3(vRTi z@a1r>qjSSi)0hx5Zi4;9?Lh^U8mh$ezAA7{D0uYgAP2J|9*yXJ%J$*@6!mBOH0|o%<-91G^B7#QIi5RbRJ@!t+2-o) z{WQiqWmqS1Y|LzUe4U%5pG+_>Qv_LL^_vRyux=9U9`e~ zQW)i{U=xe-7TBDRJJ5CtVNfn=5aW$l1PFC4Tryj~f%Ae495^>c!U_r*8pZi5&~ZT0 zkKW*Aq1JS~da=sRr+V_Q-P1SbKd-51Q-%FHHyRJdkpV5ta*irP?CNss?I^aG*^ojg zWmgU^?>XLBQ@zuOo{dz~6m%WdTW&B}tRu{7=6~s~Ja1zCS-iG-BN~wNmXxSXc!(NU zbK5g&Vr!5YL^TBPYSs3>*1%{_VD<&4cFviAhy~m2ZPKxy6`t6?>@hi`QN1Y-SK>W{ zt+aZ&a~D-H@-)`UPB4+4Hm=}2Z2GIYQnAp_?S&*IvEM!QmEX5!iz>gE^T{f4WLcg) z2g!CQ*QVjM1t38H{9Czy#(KIA5AjiH}R6{}nJS-PG#iAtkKY5Vc z$I_@y!uC?QA8N99tcI{maiwZA<+B<{QE%2b>CU4vH5-m&* z8YG2#n>+URTPO_r)Cs{_U+iq*+|3Pt;4znx$dRE!4|ohA2(MF$5h-&ZgWXOQyr^;F#smt-|oYI@~YyV*y`v7jhBMvW&~EjRf6f>f<`g zW;6d|aRtx5413&r(;?TB_MP!i8k$Mf1K*D53x|&` z3@d~#lV%*}9F~VA<_yV!u4>QMFO_bz_pYC^Xi68TDJ+JE+we^1Fgrj?A?-qVSTCU< zt`vrO$@^+XuM@=Oi)QYcj@I$3AO-@31D{tmnjCH(x)a&ZCTw~)jx4i_N}*i$(k1#Y zk`e$7YFpz_}X)ADB+9hdD|PB#Ta4M{c}b4zEF5EN&s~V3u{{T8x=JxAwqss#YoD9{7i;ew)YKY=`?BqdZbUbrAfVEu3sR&D zN)sV~)KDTKB}9lw4JFx%pn!nX&?CJBi1ZeSh)C}cq(+2<5&=mFm>A++`<}Vyo_qf| zGk50xCo?LuzV)rIyzle;9y`ZN5^deSr_M|{EJurhpXT|@O|IQ#3N!CTbWnKYG0gr$ z#E*#H)dZ=&kmvp7OdrR`Kx6^B<;6E>;FnWt)9GhkY#e|0Gr`25x>_e`AOn@*YDw3j zw3czgOw|&?5h2*&RrIt<%ib5F>Um^GdEeMw;i+mZe9EOKdDY|dX_jTDeyKHl{*P>& z#B^dNRB+sV)KT*&d1C z9UsLm)!utyDGLKx(?HpJ@)7A|7l8pP6X!+I8{7XbFryl2H{mpxQ6VoLqj+DuLhp>B zpwY`%K^d-s#f{2;14PO*S8%!w#))rY4PhD*m z;0Jj4_hJj%pxnn-g*|lN`$Cg>ZXhkY-y?0j1yO4u>zOE7?GX-KKy7ADtFyjxp>Y9? zLn`=|okA-^7PKTjyi%+%`E~qGb@erT_bRAA0p&Ww2xRYI3~FcKaMh`?h7KpQ7+FQ7 zr43M#T01OoOy~Q8L~*pWT3&T`1Tsl}x3Lt2DnVMfAb_&X5DP_VM5FhT26c{F(}?k_ zI?8m@cvCcJYWd}9v6K(06JanIPucqDCD)@q{Kp&15}5%sJsM1(wnOoYmI6F-2O*-F z=&@6(dz};3I~HSGenoAsjaF11c7{z*f>2L?8C|%<1!(&om_gOf=tGjBu zLw*f324z(+!=Gs*=(YCD;;F`YVdMKb)-l9c-)~KIF;4U0Y2NRf8WZRAY=w!czau*3 ze4%K_hTgj{T%PDCD=9A!!5)rW1wJ}4;9HZwKQYbFQMbyNa1^1K!SCzK2;C72oxOcB zjHkAzu?)?;MJuC44dffK7iobcjF(*}d&&HMw+%hsWrNp;Kg@88SP*bLmp8Mx$?M-u zv}(4AV=8u()f{v19NmC5g4IpnjpHL+ zaK!72A4Bu+eunMef9ng?%U_|5z=zv;hH*@1;_jjY!V@K1mX>i~ddR!v0Cv-$T=9drt`85qlk_qWu6_sN<|IYFVAI<$;yE zy<%tF%IpCYY#msnD{4g7{J-)bkbd}LZFI;Nd0^j3i-}(~H+Fy}@Q1hRNXr*{lM|LC zt%NerIaWS=+&4#2`-8L2I@Md3_QUl{C!w{*K#nUAB~5vIJoaDxBX<^j{*fD3eh-j{ zN3?b2VkI|mUK9I3Q5YH20i4zP=>bUV93CZ(?H{hzbK%AvZSBpIs^|Eu@|~(>t+Ge- zzz(03yysLmYAY-w=z46Pz)S5Q(-QUpzdWS$!drK_OuEC7%e6*cFO_Q_UeIPfbuOq( zepS+qN7}AJzZ0@ZbTl%Yj$Xx4LI62r;tPPEoJTe{HXR3HRE1h%YK41tqifD;x_8ML zS`K`mDfR_0E(WPk4|4%k1H@}T#LxS;Ueoj3Ec#tZ&_Q(+}i zu$qh1ngV*H-TyhxO{GODtr3(Eor4^IDHpoS)QpG|{j*-ixQ`;jE0pH!w3u^y)+=%M zOl@-4-_C+GcR~cc^YfT@-Ea3Kv-8RuBUN8Y&Wqjf$C$pDe-)`Z5QR>$77S1@j{do` zdUA~?zd`Z#R|IyXGmPFdlM<*nD6Kutd`;fb@cBRjRciNZ>4%dC9dFlnZOX}7e!2JS z&}g}j$8&#eR(EvTyQE}%qoLa>)#2v1{Ij0CJx13Z7!R+M8F~44DT27Zm${UV-WZI# zHLuF{^Gw{PozY5c{TXmUZVKj~6#qKWI5UnXl#0y3Jk$EHbU z!lZxffEtd*`=34;A2^SZU{kV=z(aO(5?$0}N@eT5js>D>@icG4HL=s1CW#extGXAK zeV}&roMQ%|v12}8p1zyTlPkJ$#s6YwgdP0Vd5=cn&!%%3iGxsa!pyW>rm~BAk9zJzBi000#SCa1O@E?{o0W$8jY8V zN{Cl73Af}GpjRR;^k#5j?mfVYKehe37QUS{`i|!x@0s(Y%*T4Uq8Olo{7!itXzz)* z(bm!e0SiBHY!Y#sIG3K@)O@aof))NW>)h)eAl>|j>t5V)u~;sAG}_Km#&Sz1ZC_}% zZ&T~xi6U+K>iGBmC*PG~#6)iAzPkd!r*W~K+zxGiq58R7w@mfU3xj*Wp$IOuAr^DC zYjW}#5jazc@CkkB68uuj3{vB)QZkErUYmaI>TOG)>>|sgJJe$6dPA;$ z6TpaRFtyC-Pk~LpVLYOUd^x5zQneC~_JdGo-Z>kK_FPJil&Dh9_`YhyXS9eKF+<+% z3Y4pE@O^0C7ByIlsCSGvr!Dr3O*RDQQ|7|4&50K()( z(RK64)OOK{PUoZ{aC7A1 zMU5D744CbAI_Rz#FMkg*Hu7)kkS4OZV%_>{cloS?v$0nM@p@=F83v18j?@2aRdPqx zCEcwP#vYr{?nkVKkBWh?2q_0(pXbSlXlAA};n;P}2p);DEI%u;Bujx{z%fi&2ph{W zOS|T*l72RdFH#h@$*~8V=Kxp=_J`|6gPn+3-SiIb>66+R+1TX?ey`;B_JwsrA2MQN z|9bJT(ck{L~C-rU!0JdG#)eVn4S^E`84W+?bT@QAo($@ag* z4=VfMq8R(ck@aD?xHsS1bn&_ymAFd6oplt$15k_=dlM+Rwloao9wZM55YW=(_7YIE z`3JN$ih4dz2EN11fAx2V(e{^*-sri^mey9m;S{r5W@T;vIHVi2XvextyQ4BlS#zUk zl!~5`9#CGuwq5e4q#?q@4@P2*Rmmj-$Y8{#ul0F;yVL>)T# z%<@04Y=L3VO=Z9E1<#l^Hnb2zCK?h#Y^LP*q|Fm^N@3Q+#~aC8^Pg*t1&2>3-oM57 z__!KUrhq*Qd>=ea58&7)>B`LR?Q2UcDvxv;*(hF5*^uQntwiu^p{G=s3nzKC4(;sT zjJ>|+37&|yIY~*P-1~_dQIT3R&e#)Yw|Cf$HOgDefX7x^+M_@@whb{Hm8NUW8H=Pz zBm_v96zWaDzgDN=fS`y z5=x}d)d_g>au=}nktJAy-0-u`C^M?_F_$F+SX^fX4McfOPNInBM2wSpq!E6*lpV1Rv%+@oci^EU5QTnL6C-yjJG^>nhLUis?Or~fqDqj~& zH+oGj4}?g$bi;jDOY7oBAJSG!=T6p}dR$xWd~Io$0&zAfe;fVqowk*YdD2=M5%Nwn zgA-0anH-p4M!!o}v}&PIV#ysRK^fos8JXFKnfG$RA#u0mB;MJ_n|@Z@9%Rc@6HzxA zkq-yKs-$;~N%B5(uYuaQTo7qUR2`8X(NpIpkBXDMqodN|*H9@vDI9$wjx zxg?^hD3_9z>S?lSZ@VM7g8}$?6cn?sTvbt$8GJBEN<-?8v)9PC<=>T%4~XxHOWU%u zuFA=FE;qynW0t9IwERT>8q&Ng*eDv=y5jd!zCUl?~8J^7| zF7dh})CyBgORL|aC9?0NzW7-D$Ts~Bm6Qht)W>_kH5mdJV&NHXbQ~GALB$PVZjBbi zOsZz8=qW;3hdn?U!~PSf>JvIw+)e!c=Dp;ykNt5qbT~zkVv)f7&E#Xkeao13!ncOn zq}z$|3Jv93*Xd8GXU~;)VnXEVB29nrCk`W6IrhQX)@hiO4ZIo=(@uI%Oe;Bl3gG-JDlAfIT}4&3IeNET%)Go1M|pPK z5@85CPtnDnK5>uB&)uch)51;L=o6b@iQ&Z8%t$X(NHt=@S2QM8b|b{<>(bmjB%K%k z(|O?96K&+xsmh9Lc6vN)fW@@bv0AR(PtE~VK{ng+Na!C8Eh?c5r$HTqiD|fkcuRd< zX6)m}reuW@Uh{{%@3lv-*uLE$i4s`va@6Ok%P|lzJJ;$!Vz8q+Hc2zgaNZsjTepLYe?!Ex+Nt9((Lk@>L(Z@4b9?qq_Hgf88ou4SwN4 zPVnjnTn}$&u;d*j7w{sr$(;GJPG`k2Wz0}zCvXBU(~B;2nv~z=6Su!b`|VNQU)hUA z!+LvqE*qVsJ$dGTXry$0`J$Mg=E~7W&^MDWE{gKFABvMK*%cEEY3*+_di3~bz3{a~ z-Fb7mU%D^lH#bbE<>`Qs7c2LIIPdUjL#1IsxwtU3HKm*3pqx`(-Sfxtv`e&~Ya#~x zZ*?m5UiEa7)wWD~%i~bIeBuvR$Y}nu#snT6RETsUajc;PdSZPSGqR#9$T$3bb6yo$ zk&haXhpZQ`ex`A8P9HQ|`q(GjRWN@t$>+(IWss%PbyRw0(YeCVJLl&|v=~iyFQ5N* zeh;9O{>4M?=;#)nKq<dpWNpldSiz@QW1qy)&mP>lKGru0=6!F*mMhPGfUYXu%t+kU?|j>lJLO+Jb?fKNU2#@XR_G?bFvMl| zKU~M(9l7@`>(ZMyBQ_?jvBHBlHdfBx=^e#Xq#&@gO+_t))F1|(?*)vL_n|ad?}kJj z!X2a=P#5PU(eOt9eB8G5g>T3$gXSGwnZIpIVZU!Rk9tz;sC$dJE{wWBtm5E<*j*8^ zo+D>_otmIQf#g#&$xMo1>pNSCy&D?3-g_=VA_To*$6o=Gc=~aU2&O zMbv~PCkyhI7u*hbR)cAkE!Y4lyR9iX^6!$8O}(tJseSeRZ4qhraM*>aD$OYL-w)2% zQ@j~iJtlyqMxa5o&T5Le)cq-`2?ld7vnX?eR2qmi@vW(`U48oom}-j%zN4jFC7Ze) z?0;#mv)Km}oaW{adRY#;AcSlVF*^KS6u+KO-7`~}f;|!LdUMy3>*%{{{kJVM06jK6 zX&+5W)3syQG|u=g;#(0S*zyIc;Ik>;TrmAahp95Q6pYN}D z@RDz@>#Y$!t>{+et2SUP?ARaWS9itC%YAfgdfy0PyXFp2-Im>Lm98I^HDJYBc?s#_V+gYvC7 zZHL%VbdNC+`Lb|TNl!uEC?U^P)fGWLCqUQV(#|2v5(ZJKo0hIfPo~q*0V-3lDN83| zSJ3wJb05WO-I5w=$a6Whai@}o(dR$De>gS%$a<4tuoHm?_E0pwi;Pq6|GT|}nW$s% zg)?`lxZZwJvBRVX`%e}o==u2`6Nja9S_NKXnw4%DtnV0oIR@rTg^! zEV9eV!8t!PZv7@Gpm5%Mt|K4k4+{{k-s4>qz)u!ANSw{}Ew8B?c+i4fcM$^A7nVT4 zFuP*y9+3JiFvu|>YR_QTb?-M%R;?s@($gkfH%(E98`+Mbi$go7-`xGA%ljeNE_piK zo2H&>oXbNwMeEGerp=+&Gw31HMTb|PA_7>=#8CPk6aJ;4My3a)gi>T+v|vCOO=lJ* z9;N8Sabi|P42OHD<-&8Uj(nk7z&z4ioDN+-EDi7OrhfkxI&R|O>PSgq_U_z#|FK|Yc(df;T`jqRU_@x)fE4Irb z|7lSJ=tD?(OQv2k@7aG@BY zEkpjj_aW{6moG-LGBOM&!hlc$oaV|ifVzeFvgXhkH!vVce;WJ@JVCX{m&MwfsYu*Y z8a#3%q1a0)QT~>O^5ZIL0Byw>t(s-xICl2aC>5S8IKCIlY??Gqkh{##k(|+QHPcas zRUDph;=a+rpMgmCI$>{W$+}(na20qTJhwS6n|kOOU1#=EB4@M@g+5B#z35Ea1H83X zatYcYM&V}jHzp_3uX2kPqJl0P1&1&U%0a;8eMA|79n20PubMGSN*Aa|BLD&Wj^qlL zy|)EG07V3v`Ny0|3x;rHjLf0O=UQRxQ)n9w%C2R%6Sbovfuxp7L?LMi%8a7?Ca8jY zPUJp4_i~{N{#4#EVY(OBC7-%dXhYKu;gZ2JqgT(tMv!joa$>`%iW3t#Pn#b;IfN!& zgqAWI6~K{8nZ87;pp(;oxcnp4dq08VUzGfVm7$>q6`8%h0eyfMA`_CWNx&4-0rgZk zNWw6LyMxiuF=hAin@_X3gx?U{L-6Cp$dEA7itzv9e{xnYfjqwq+@GjHFIqV3d=blW$G zaE|{7jYq{>#FviFFQOY26f8oORns$4S;`aPCs6Y4X=#SM256Xoh zGx@qhpYonDf9v8YnYce(1(9?=EP|Qm0l*oCy%_{4B=jpY%7V_H<@LVD3p)tMR=oVA z5#;E%{lZ*kHd{6@W=UKk+mtUav##p(eRc(a*)F07x4Sb-ihG%v@e< zX=yk-A$)y5v}%w1Av}EW*S1&6_bBVN>6VV#*F=? zlXg}&gvQAN>QL%B85mf2fViMAW2C6GvAEf}=-*hl*Tv^?AglYwmG~s4?*}O|zd*Hn zBbitP1*02@;@GLSHoV^ROMsO$m+-BsXDC*&{&t`CtYg*bAFIA1_d%r(aT(xCzg(j| z91bCNf~L0hlzpqU56Qxh|8M~y;lH!URG7KiB~>%f_`x$U)bqPR663k6v{Vb`?P?!Y z+@|?VMGr6y09OG3!>x&8a(k>%2Xk<8vm5*@P(DLTC_J{qg`dOy+b&~dwpuLEuG!?* zNxN_A!C|eo9)NHCa6vbyZf2YPTdjh;9x>{>*1mOp#Uff&7I$kNDqVO8-T9Vsg3c6EDPeknK>x{Lt_{_IWq=fPv|pFhWsT+N)V{dAmB zdYe)I)~BW@*lh12ZE_?T>CSOQD31R8s+KOAvsWH;t+YM%?1}qWLIWgt^pk?H8roXMfy_k#IZoT|9bLxWCWj2o5ZmR^uESK`f|#ED1igk0kd zJ(F!SW*J1?nF(9{{<+hW&O;f&t-?oLV0|1{cI$KmgCaWQa5cv$e~CiuHE;I4-Xor+ zsIc~YJSBy8MpdPvCeft-@kOu^>VjRU!>sYmlnp!23#_A@DYG2tcAGMM?iCYfIh@? zW|TD@m7`r-yx^_*x%)x3kd7bacAof!sEr3^RW?^yPZu(88l1rivWwaK(-HakzTtm$*+i$JxH49*zMR7PL;>s_0-)8!=*q47$#oxeH{%yP0H<4zacp(c$hA=l!$) z3XQ9?f1x;9?BQtto)f_=8WlVOoX7y&wQ()+qVtqC)7Pz<Tx34rnB}joFFEF9uzSTEHk#0YLR)DI=y#o#~Vm!&6qq<hY z=(d}0=UsL}YHdzRKUp-|NlZy$G@v=e_^$&%0j=S}SP)(p47;GiROzp3%6{nd40YF{4&n zwU>1g2wC#i+NbfYm0uTq4zKI;;fZohyWDqjSBy<3lTW!N*q3siaRQj5#x&BqhI2>G z*=cpa`$7N*!AE*&3K0+|&56Vd$4-eisMnhLDL5~kxHG2t!S2rc4<5GS>{&W0ml3Zw ztf$VD8fC4eHSCBDu7V`J(QV;QEL?bvkDv<&r@bH2bYNFQ>3&#mQo?(}dh*76Wo0T8 zOTT3S%%7QhT4(!OPW8!wxz`zjpc~A`%T{~myv#4mSLKE(-e3f^Tokd`JgaY~8l=os z3HTU?cZTv52Y_oz3Ezrk)B)P8D^V3dWER0faY3k!dz+KRFNdQNtZO$7+sQFE|f+ zZ43%8WW2G16^RM;AK~-J;u5{&-?R=d&kvl*Ii50u(F@Z4ul82ik%TQx_a{@>5SV>r9vYsO@N| zE6qcktu^ZJDbBrk*{`{!H^?FT(-CfI9jIW;8#fa}1@pJS7TgU)C8X`l-xo zRcx0%N0?u2x!M>Uyw(Edv*TEC{Fu##z@$G&!|xVRn^`D;tfZjg#G~w^nb5JIX;FkC zcW60A-K|d)f7|ct$G@y&c<+pXjR{RF+O>dr5%}=V`7+6BmHWCzGZD?jz3#9oM1V_Y z1K!O1u0^LjBbZSmFJI(1@bA_?zBkV3Gfs)|nc)e*Ka&<|Tr)QtY$l*JN$3bdDYMss zCi)9!nLl$V2A&Aq2zqv{A$m377%`aElAh&lpm?z_-F9^nH3FcehFy(b4~wEj(*&ay zotc<^wK>p6eNfq~kET>M!~5w<==RRj>LLM)ntysPr$1&`TW?3j+8%hkYJT{2b~Msk zPSNwFhN9fDmu|4dxc{$W zlSIL9;^)3wtf2!eymyT%cSXiRq=V~nH)*YK82L3@2xe=;8KDklvbBIL~Cer-#=Il7@FVy=Cn>zjb^ zYwZ5bNOhXfpr#J7F&tPuMkEo>2Udpo?c0LO-RbquU@nID7Y)yPel7lMI*xcg+9ut) z(qwp~Xx`VZ@X~T>6RpoZ%|rC3%dgsh9zPvx1a(#E=l2yZo(G@N{UU869LPaFOGwv9+}cJ8k3*P&ZJG>^;V@>|-*;)QO~ zCOfjR%38v$zssNg@CRHWt`CY-s}=zk{Qo2L=f58ZwTn3WOQDg@_T5`aMLQoK@}mfo zdkh?DhD~*jm-HvMA(MO_{-$hzj+cSpKjyM-zsD=g(6)Pr09kEYFJ@cy&=WXq(>CNE zPXsz?JaW-o^_laJSzYWEzhJUjDJ612;&xJ4fte_Kg#7}aL>r}}@3C8^8YA7U*&k^K znJOq*r0Ztez*nHV*hbfXx2b+U)Awy7!Yk!l*4*Q=lf737Ckyes47Vpf7WW7fq2fpw3j*rW$oYN1|IahVtK`dZWK_Km%mYG$PaCzuo7D`U*F*iJxPNzDRc;T9 zZb`SL>Y*WMI}AG5K7)S=20+U`zvK>ws{ZcV)-Cb!j`pqEDr}Bfx-_90up8!SU(OIm zO83~mF$8Y7(d0``!*w8L&f4!5JgE?YF_?bb(m8q7AB^byy_fOfQE6t0h*OHQl2n3; zkZ)WGCLM4zW;bO4kEX>;9Zjm#%_(Dcj)G}t;2TcXyY5J zz(taUBE^Jhv$W73^gH#uLeC`?@t(NmYShS0roBrl-Qu*~P5h2;8S?2yaF||>iixU_ z#`VOdfu$wl(X(j&ht8*Uaqx{>{j&7{onN9a$Tkg1N(Kk#P`Dw=z09aJQ&lN5W4byk zs8|~3y0Lre=}w~(+>00CW@xy~n|S$%%-}z94$4&o8jePKz%Hh#C9et#I8HY%D&7mv zsGm}8b<5w2$HpqSxSEB^kN=BsO6syytC2w?Ftj9ndPqK?YSE@@cGfFS;c-}m0ZO`8 zTe^60qix>k;L~@#yEBT{UW&#_g2Q0?`>ir`J%BYaB8SlHM;fswY2qSJ>HI*qS=IqE z2Sd7NgnLX?2jchdgX!o2&wGbL3LlPHDb!5qU(M7%)AR9W(xU|pn{@CPYE4l41^IV}%r3(v z+@X;+zp=}Nn@w$h%NVto2#i5A9E#kYSkki|nR}diHMjq2$_?iMuu@#zx}GGyo+H5~ z()laOeO%pvh??5^N&GC?*J%^2d4Jx~NvGIWTM`Co9q6=oKc5Tt7}^w80cm0a zMp2{LYx$z1y8i7^L)pJVBpU;~*ukbw;nSJJF%l=3HJ(77(@KlSlqOz*<+kOsL&2K(*=?}J8^)2(-@rFe`<4O6R(wq_}L$9*A3UR|@r^FKedTe^8@&u&?ySfPH zJnQY7>lN}QC`B9=t~X^zWUIxJw{(A-JDF>lrLg9$`R%^=Dm!+lw$?{a^@|Zc{`I`% zg>4S7DBqqThv&hDTp#BEXnaio89%V(9ixhCZ zQueO6HHXdz+$P8di0kt-&;xet2f~aBPIBF@iJxUrnjPir)6{F$9>*biwBw!P8UhTe zj!NG-dED~f8@6x1{`X-+KpliI@P;RAz!G$i-3q7)2y#T2uh2QsziQ)d`u8K&>$sM*BEDW2YNNkL;GBoZF$u?JI`3t(#UtzBc9PV54j8SpYla zJ8#TczW%j=S29%?dZLIuTylvijHj=e?gh1u3k@a)ncT}hE8^S{^M~s}!{iYsIjgD* zcZ#Oc#q-e12ylFlJ;4z?zmcJ4$G>&82~c&y+_fh_*G#6a2V{F9#2<5EwfNmz#lM&1 zZEFlld^EUDyYA`mEXOtfFDHTj4Zd%h6&!}iKc@P>{O$kQbpFrt|9@7k9KF)?mZ$_# z?AFSD@8khcp3>do%)DNlWN$o(mkc5gaFTpxey>p>a8cCOQY&zL;IXDfA7l|!*}D;K zjHSHy>vMs`PWN$nua+jwIG;I9eeSR(+UGvv7xgD@yV79=4?C}!EkpE9%b@_o?Aob_ zu4<$km3Q4v#C5EZbW^ny=N~{h_cw<=7*@T<@lBClLj;{lX5Ommd0F)!xmU5=?eXiS zi<@mV3BV~_m>H!+WC$%@7aeeswQHhH(NoLjo#!{R3$;Jod4C*{Ze#ML%AmabNG2dk zkw?%++nQ4%zH)r>o&uW)N*7@Kv!#-wH+0T?&rB=mLvH+|z!lkn;bSHf zy@ttIGgCbe;TlYv4M9;hMLmOEK{1VJ_9z39gicFjyFCv2 zOKcwNboKefnG-5KR<6cV3&2vXh8j_GM!1h4y_%8?+1)fXbOjXskfnap=wP3y4nDUU z=K+iNKK5C0$LXC7w(Vt!of+j2WDgqNxy3Bif!oB}(r{}6$q`8fy~2zEN5l72uu+0P z%9|C|CoiGgAE^~qcMssKM9;+S+D7&GujOEq`ryMju_b4W4(BPeY$X3_8*O#wf*}n1 z)$IMES?Rj{c-T)cI&RXUt|@MVSleJ=kszh&K%g97(Di300W-dDCIU;LcIX7b)feU# z5pZW3Qn@XzHogQe|5=*j) zepHxQ3DEF!MS!+PE0gR?h74|0KhBf`^2BXkp zrPP5BLlga*y6-23nw94p%minpEu7!JEjN=X0~U5hB{SZc{e!&>oYj3BusR{b`FGeY zL@Sl}`HF8T6W<|9jjgQ4`PQb;q9<{Nce`dIeWcUMZ4;P6bay((#GTf|DOw$NAMOkW zgrB`=c2?ygm=tfW+dBwOteTYgVdX5I62({84ev^;jO%m3+PY#XNux>1VBmRgBkbwD z{T%bUX$I=nJkxbkPi7MwHFsAKcF+`@NN`L%ZK`w#gGVjw71$=YaQr#pUy)uLC^V2R z9tHu#lig$9A);AxOK;tq>f-d2To=2Kf(Ofin7306FTV~-JjxwF{=-{;qsLW%iDr(k zVTLgMdc)>N={y5qg%?GLnSM-mQ?^8YRHdsq0vfq`T46cG4BN=xRh0YrU!!u}!2r1w zmJgtY00E_Hr*S<^6~eVauhPwYn<<7PzEx<0D2SioZ+j)EO009GJMNuGzLG0{`P`d# z3pWDO@+C7X!Ft*RH9+0cPhf(xlhU;b)n;o>da|xadvCCKDt80wHU#W23-&qhGJN6w zK4o7WaUs;&`Z8Di)_0uYIDVN~U5zwG5QcPt8209Odba|HZ-LFY%~H=cksKQ0?m|O< zf?Zu%rq|9aCAWlFP3`wUE+fq7JakCE;B+sA(|96^l3+)r-RcA4?WPE~NXCqK!ztC0 zm~*<*OZQ?ny^H0=|E;<CVmBg55V%!9^5?u;jcZc+t@ zhxcec-~?PI9JjaD+|+Ecl6d-plfl6DHr{gDG%d^r4@?443?VCT|6l(0UkG_~@^p?hY6R9( zim0PZnBwUb-I7sQ*i3B=_Hq9G`YG~w!-i+}UD!Z)Ma?U_fr4L`-t3Kj!hV$tl1v41 zx?%;E9O<|$@&uYL87X90OUM~-$++|*XkB8Q;VOC8pQQ}*_p9D)Yd5I7EIB(+4n^IJ zm?=j_n&J={r!al459y3Ga&PKhyUTsroC)JNZIBw^gT84n?le;M(&UWu={vu~-x>|{ zOalry^xVB2$_}8f&{%|_S$;TX4p|S#t&|V8#kV!rv6eoxnGeU49K`#f{*oAIn0&V> zpYGpxq)YfhXI6*%C$*!1ZIqWhkI|({jR<0Lew=;huz7J)GbCFf$i-r0WYTi7kuOW~ zg(@@Calk!;M<0^=L`Loh7FdfE)A6H<B*>**K!|E ztIC>n+@EC+`+Jmo3p77l3~N@N`&tes5m@)+AW=H_k;;0Ugb|>l&7EWej5_wGDU21} zGno&a!R{@D$S+2(O8Zj^1BI}j%02EuCDpA@WO=b*Et5?;-dZNhCoG~ZC}AXztay_d z4G_0~18zx-j6)-_vE0Q4cHT^7#bw%8^>Uf|JN*cn@za=-2|UwaSir{6<#Zcj!;Z!# zBn?_^MmY@!%D5;={5&%*;x}0%NXKpzJl&utPYp}Y$b!MDW*2&%iwqD+DXcoByzvY6 zzp_46By87~6DVn}fpx^jP+TEen>8F_khz-zZ5V4qSbd-aDlymHWZV%$@FmL8X zeF?6=>}Mfpq|0!&hp=WxpHqqPV+`fnf{n(&9n3cXXY5OnY{rd->2C9W;% zGx9d}|JOgt(cS=OTs#SmjRVeUx#180df<4d^ZWNN3|y36ZDg3EP6MtYje4ZvWj1zs zixWta;+G%`SbOiY*4FB&Kb;|nFkgj1wlQCF8i+4tqYl7Ww0TqH#%Z$a7dZd;JjUfP zs2Jv_iilcZgZeht5jBsn<8CQtrx>eKG^!(IOA@tqtc~A6@n@@aJMHG*P&cOdr}r+9 zkXERHUqoyX;7}R>D%~fHSukQa&X|3Rfr&y_=mCMzpc(Syck(E^%GW`ZTn%U?1U446 zIJTU(DBzOwruSDkl_!K68NTOPw$4d7yr%cNhoM-*614|>d}S3I5e{?j+1)^k?RL*~ zz?4?nUIMu*7S9Ka!N1wTQ`{w8&2D8XBE>_xAFdZPv)(A9LO$A0ewjXj1`;NCktRqB zLzXgUjh3Mkat|`76(2lh_&NJ$`DFe&cmRz7EBhfE-m}suM|ZzWry|`Ts1bGGIeLLL zQgpqS?aR6jpS-fPwyFDi3MiC@cjEM>bekFXS+312P+9lHuSjj*ha@Eu(&P_UJYh}r z510A+%pb1rVa~vR63wb#3bSFQgmEy_UVC~=_wA{A+np}0?mihDKfqt7H_QxRF7i<9 zvWfYYXv-(;uWc_fmkcYL5}xpV≠Um z1Tn$DpxYOXG8C&KZNgC7f4Ig8#kv(1s(-ld?@5CeCxvX12JA`n1QM+(;t(Lnw*n-y zFf2#s@a6%jLT4iuMBta{O+xAc2~GNzqsO$>R3-g#qF$sk zggaXqoe%=+VB<2UmAXe}BrW-`{MPnwjG(R!0{NbLyKsusuX&?sy&lqc7algkct!^@ z2`N(4GyKV_Vxv~_7}+e*lLezwzmysyFRx*D29ua}>ZtFFmrw%@tZ3Xc=lyONGTNP$ zv`!kIWG&$oL7ODo!!=!zq~2a3`=PMN1NOIGVg#1OZ8V1Eh!Ii7oYx3l91D`4!-DMf zPD|}ojBdI%Z}2SA_NX07jbR<>z}&>Kt8pq}U=>kXtu8K-6;1^@{DsUg(QUIa7QlEY z*Z0Ux(7>NMK4Qv$TLk#d#b9&^oWU{NZ{_xZ7F43SgUUf*3d~ zG7+=)`frru8UwOmPX(rYh`Y}od>FRVhhbW`g|Mssd!^Rt`PdJ zDErq~pojJg@oeXTuMM6a$vg*?vef$tE53qorh_wZS2GAp@@JrSKChYt^k1l0NrRkXcEt%8JBB&_ifJ`u7A?;G4XSN6XB;kq2Q6TW%q1bkI@ z4lgpY4pG=h_rw3As;iA@s|v$4niyGPBa7*>YWu@QGQ-DQWSfljCMaWUk|s<#$GTn( zBy(HpMNp7J?;#j%CacZnN{~%%7+`~!awUB11KVqKqh^&M{eZCy>Q=kkj|rvRYuDS} zThHPzf1Y0_@B2P^p5)DWp7TJEr>R;(BZT1Ck|9SjWXh1cvJ%OMUU19Fu7OI!Z6l7# z7Qq1{4n_@vQ9XhuQ`2>)Qn{PfQa`KXi@osQ7PYt~D|zN55|j=*=?M4|cSwDz$%q88g5gZ7%+~ADKbXHhNf4M@_7&pF1PkIN~j0QDqmu5g2OS&~w)@ zp17R;4em)x?NCAo_JjDdKFF$3>cu^c*kM0^L!|A|M$Un)@RuBFOZP6Sx1IU)3Hp%p z2(zsp`gOsH(6veTh0Mlu!SKbN$%Uzgwfe0`F5I(E1*18j$yFzN!A!p4!vOPAMK{7I zzgVy|uV@wTk1Nm3)-U=>IHh-|P~8B%8Wb57S{{U8kdurw{~(={1va|qAW;Io{-hoj zBzF413^>|G%ma;}fYF}JFJL}^@}@#9;@y|y{d2}2R$}Q(h-G$5BWTqsQYm)vtNp*m zz%e3*Us-TYy8S{{Qj!oZ>8mL>9IT5w{mO}AH7{e>qyu!h6SD#7+Q)KD&c719n&w3o$@#x`6q~iPDu6Ar&$3&+qRwUPU z5#$*0mHT(;O1HjRnil}KUk06-v?!mSMB@eB0ydwfk+@)k(xuAUL~4(QKx>Cb-wEH`q`K#T*Uj4<*$CP0A&z}1~~regXY4Y#q+H|_GjNufdjY`6>v5HexA<* zae$~!|Btvp0f}mRJ#xDi65#dZiJR{=XV|qnu71vL*Z#?y@@sMcb53_b7yqgNxdJx@ zZngk=4!Use+&TJl7wG9PFf*KIU}j~yaDj=HQ-J|0 z&raRG2`>p`hICs?=<$n(mnVk@?CS^4DL`-$I2rl zCbO)ie-?kl3_5cLpqAz@n&@cH%Kt?IzcdRCK!Lx&<+C!+Qm_0;!AG<4AF@Kus{v7I zn&zx7fpR~EY5ydEPDK9G1VBVFyQ`Lk!V|Z(f0FRuQy}^uB%IEJE>Qo~Co>2P+6ibM zHSPb<)OzIF%w@Y}dm+=XX?dx7u1WLo$W(5R1Lj!NI3X6up>%682DQ|1b@*s+?i56K z3OW}>CeN%uExvZ}XMEvU;B>Lu;jalSn2iaa>)5#7@E(`zQ?Z=urrj@J@9R?RpSZw* z8S&xXGyBbXv;X2O@mo8o+fhTv`qA91Y{lb?y+d%k@|$TpUXV|s(_tiIcctC-bPHmm zkdi7NiI6{1D2~m-d~)!qcv#)N3YjGDhMe%>y0-cQsA!Ucu1?$+Zi!CKc86vx;&NU< zgYI+^Z1FZb-eWec;7Ny55X;K(+r~7rG(Dl$6QiRYEb|=01_3ty{Cg!PW!##IiX>me z9{>HBtARZxCc`Cc?3p%pw=b=jPpXQCcj-j(`Qa;(b7@lVGiI*dPlrD5`@*|aeQcMv z&Q%}i%QudpwbLkCq6WITUGlCvGEn4@-F$*DujShS-C^bQsq`yRpMht5k3`B+gtb?y z*k}4j^)W$J)yWcWP8i7#zEFt|;1xH-VX;SjEw(b)9cKwqGI{Ki$61F7#wCxEmy!^; zxIr}72Ok`r_0}A2n`tz*#v+5ji}wM)VrZ4l%|h39s7c*Xu)G?*B-8SOlQWp zg;_?~*(?R}Ao4=WAQYNncMY+D-^RSuwlisT^GBDix~o<%>_@WsHl2cwFOmKB;~b2B z9lEbiN4Ac9*@OnW#4N6OOn7vL?Hol)nVekJeSkCNJyf8iaC7U{rU@4;aBiRQkw5xq zCP&fudvWAn^gmWtx91~r93vk*Au|M?Xi|x$D?8QBlKV>W@2wUk8IHbt=%-%y)>SB{plxAr;cs-YQCC#| zoQ>~KH;XzlBn-XWYYE z8Cyj=Z5P|x`&j&9NckSdyF%=fsDAsyctr(Xe0a%;;xTl8scyyOXj&Na?(2eeuu`q? z!A|IJ&3d;<7bD|pr1geDU!!pzUBN|FUM!!*dEUIt3LM1~%_4{04q#A--o$h`rBO-<1dq-kld> zf55fkfp3@tL}pY^?|Xl<|Kg3o`o5;=l!fiHiK^QtlCz5N8Jq8?pnK5}Bd>Xh-)`)e zzB%{}3#B_I_yk)Y{+`$qOYdJ1aD zW3+S}a_g=CikCi^ZP?FHb=Rx~G(}owCAC63L$I6jjC^%#9&-2Ox=%nbI55~IVQIvV zLYuPLLe=Q9OfZPfBUg`lv34VWFXB~~914%6wMXk0tg8=JRUE}@>bB&<<8LlPq3{zD zx7aaXNwut4F9$xz+NgR&A>7X8dD{F5&hu1?9Rn+!9saZo->dSEZS`*t|m^I~u^w0rwzn^{}yf|dehIM0LaQDn^gEPGSp zt3xoN98)nFmQquxE&PSC0%^d#b!kj{>=a~L*FDr_bt3nA>wCiq|L>S1(el=YMc1f% zWP0!7_}uiMw}n<*XfYV4cvVNBHSJtgc-L}E%W|#7KGQ+|Dd@ra>r>F__`>k0o6YP@ zU?g3!H;vZ{7EZr=Yd5y5pC!_S+6w6BFA8&w3<+S1>1nUd!{_hhd0K z18b|!4&O;eoPpIkZB${qW=O$mA2k)g`jxMPyLz_!rKMKUCi}r|>9Hk{3KS)oWL_td6CQ z*SZo@7UM99F56VS9gi+;GS^BTZdKrpDi2aYkd0S5ry#u=*6|NRkJ=Z+-v8$HcDg9| zcmmTu4@Yj?i&So6*(P#PzY}?P5e%C^$Qw`}`=HkB0H#?~bt+)x)K)o@|Rkit35XJG>bIlQLIHsNCC>a9 z9?u|ws1x?R@N~+@K3crOw(a+4&9hYYskLM#!eUzXcnoqu(e=yX8>;z9J5DZi@Xp1M zhT~Jv7t6zZ@VzA(Mjc)rfs(Y;OW$P84Tct&J9c5mk?Lw0%6=kO&#Sg6)LwP}#bliw>_?7~@Zt=O+Hvzf{ZEQFHb>V5v`3n==JW$dTvC%kx@+O+_B3)N5L|U zWFgD~sYrv|EJ-W;cc;_2zcB6Jh?nj!YA*?{92+=AVfg_(e?vEk& z!r!HTZTHX8-`I5R%x!8a0L=XdHq`~N>05wIy8jGKK{RKn&(KnV{((&aTK{wHzhcuf z0BHa=rKO_=vNM5HXQ-KJKxYAPdgngvWhVffUXe*HqGSCV8?MT-IsXs}i25n4DQBMc zw%#T1ll(o=^x_5u_6M#{dM4J+iA2+%=iq$)T1&g6(Q?w_Iv3#^@lSl}7W5+HZ;bli zKvX4NYtPVES>>$*Up9W-P}Z~Yg2iUPZyTK3B;8cexAhK-%c*D|n%_G98_QCk1=vYT zbB>xC`cF80=j?reVp{)asWL@%KdyWnUlqK|YUUj9Q&vb$Gm0(ET==a^{U^G>3Hh~q z(d-Y>0p!lXDWagoCF=UbLXlA1&~yF9+rv%;apnHFDT!)xezJS)`u z|Lh>2jvog-V=6?bkWWFR22x}x36Ci-8&j(dT3@*a6DJiM5*?4X?Y$L0G`~5rjjWy8 z6=V*(W&20(`d#`w(*p4`RUrgbVhGWSC^!VkT*+RBj;rMt!|g~b=;Q8|3W!&|;~oDu zl*IJs2#11WY>{WFHO@WA^pddkv$%Sb;gwN!Zf$ZtxsNd3&(ociJ~dk! zW_IcRY?=P$Jwiy4!x`o7maCn;$~T;=1!%waW%OEu$=}$?>t5tta&k9$oj6?+LxOpf zZil_heLw8S*q!YIbw^gUTwa3cvS}CvenviSDhrJ3&Ye791Y3Qmn|_jbch+*ENi}qL zA7k0)xeN7$;!J-U(TvLqz1q?gyKybr)GMweowP8hukuxDG87B)ragJZfmp-3APNR8P^Hb3OfcmW2XCeaM{HzA<;JNHa;6pb3 zmQwNlgdTSEBD|hmn??VWc+uNaifLM@6z{~xnyEhByu@mC`+J7PHZyE;Fq79Sa{x&R z7!xH^uv`Hbw&wt>+oilg}6rjr=Xary#Ky0%E+Y4V>Gp%{C8R zwB*X@|CO%4zf*(1%u!v2sG3lAWt;TZ=Fgo>d-}usWzWEQ{(3Weo9^TtJZgyiON zONQLfN?4_S`}Cut_KAIhpc4#1lPKp+42B!pSxv_x^fh5aX@4f>|oiy-HcnFPk`+Bfqcuv#O6MPtFk z>FOpiw62+*zfXy^{g#!V@UYCiXA(OusT&Lr>ZopSdEdVFPAd6;NeVF{=G`5TOT2ua z979Z8DU_6Ft~9{m2>2Y!-`f?dGj17fjy$?l7Pl=-Ssz?yNGV?aa$H97uN_7%L&Y~3|>Ef*nIo?_YX@toNnvqE;L7A#m*F$lt*{};eTcC4u_$?6qfI0Rt8Q+deE)bRL-_qQq+2s z2F=Z}oSd~<6LJfnDPw$$1Z}5JLHKK(-$>APBQByfa*}`=x#YcFvPp5NZ;E9jSU;Tc zWqFb|kIysX=Qh1$V`E)$fFeO4sfBCYsOzVoAv{6!SIs9JZU|Ud_}0{A_Y>F^;AJxp z(`L7MEA*I(MaxUQJMB_exe&)UymwUKx8U-1PuZUZRprf_TuVX zA_wLjyk;n<_kmZ)+>@ah85^PLh87vHT#SY_!7?Tihfszk8xS&)jdh$;SmH+5*YktRtt#m?+onHZf_^ z+R>6=Am3@Fy?&3N{ajX{jz2^ot5Sw=RH&AS+tC?T(aBK57r_cG35f#@M90jMErr<@ zE{7huSNvUZ^jE(Ij16?Up7%}wyROwHM)aP7lwlQLPC+A1a3lC_m_tM<9*nNV#9y`b zASPvo2xG7>aIv&+rNrmH<`@L0N{WZdjLBGJ#PHi&C;>wbEdP1&+=?i| zj!OE#4Z3HX$JL1Mw+rngigRZyn!1_i_3I5-QdYm+^AJsDk$#Z0LIEV7!4POUN-!aR z)5&>)64S#n4Wq;sNvHuw-w8of_(F$VOD9q+JgY3jr8y;1-(8aCS;m92mgz^{T_yvN z{Nr}7M0muO7!BtJ&7-Bzx0=3giI(D!!G?Dc(^7rA*zR;q$CwCX0=RD6Ll;-?L zm}kQ1?UEOc@+82dsVpCewHqDCLW#;tp+|?gAsB@0g4Ypoh18(eAW^IrbTx0b{Wg+9 z9kDHY?DX)!L`9UPFROB{2w=~1a#U+G@^FRN1FSSk@(fUs@K!RqR89{O+R)WhtkibR zNM^y%Oa^uovIsIRb$6l3>c0$*^c*DzHl zM9y%FQ@0}Sf%E%Eg$1Xe)F1OC<3qm@h@h4mel`s(=zz*6oo=FgEoNA^-E7&*_|moJB>KkRuXZ8>9KK#s9TYRzYBQKP1>HCW0pxaOkcvqB zwVLZE=0h5egvmko;H<8vNjWEIctm+SkXuM6%XGC(6)Uiw|n+Rean3#&nQmIXe=q)DkjfM#~ zXJR4p%U%4u$gQ4r1N8GcBky^iT>7#k)lGU`*W|79w}Xns)S2Y(rCPO+?1;b`V>kZu{l7mH<(KevT&yGtqF0DXe8iNQb}$lg z(^qiDg-D49h+qgIC04?N^n@oiFK$a-dW;eGPr)P6W>$l!P4m45l@#M&H*n%b{XmOO6{1gvq=Q(^J!5+~{z z;e}Wfb`R%~0cdC;6rK+yCv^(C+1VS8jfV`XGYqN>DAe@|zicS8({X|EzHMlace|S? z&{;x%Ik{}$0|zS}g+vgOy2A;I*=qP8QfUe05vgI6VnR$p;UI#rhM|Cuf!HPZKx$dblQ@wi2$v?8Wb6#mi^K8&9v+}3*@ zg4=1(Xz{=?B-w;(0TwvLzMUHtsqoDdZ~5|ZkE#!PyQf3O@&f96i1%C?&0wGU3V zjJxNYrsEen-k!ti*7Q2++{T@cLeD)mcLFK3R;Qr-bSd(5hRvgQ(j+N=|33wamGn)1 zm|(BJY)UY>;pY4u0jmlU*F(DuCKNt&&HDG-YLpN#LTV9-I4}l68Ym=v-mC@-caDj|EyQKcn#CC`pt&SQde2 z%O#i)(g}PcVntE7b`l%%+x%g41phnKmulmxx zHtcv5d2RHyBv=C=g%ygB0OPruTF5WC3TkmE%n7YJ%a()81VlO4x+HPO!{fN&UUTKh z@>#9M^QA26bq^4DUjkCG@>?~RtuqyXB@lRp&f0Q{4ot@m zoIVT10y5wM)5NIwER&oiq=v%ICa5U16?=zV+?xDSA_iqnrL2M2fwo+=V{|d}t%4z@hK$c#l8}U{0(FW{=dr10F1V z4S#g}{8*!~bgL4(1G?iQW?LT4RGG`^1U~n5doyw4e3b`5mEwOy?6?c)=V;*IBl^Ja zZrd7_t+%Asbas%cajK0U>R23(=aY~G@lhp32A`|@0XpVG*7p-zAG1}U9Km?OQ_yv} zD4yviFwi)a~a{PT}uCO zZbo&nJxg$3qc76C!q%JuzEnxLJ4^8|CK3U=5HZQohMYc&5KvpGf#nWCh^ex$Z;<9_ zU6q&Ff!Nmljz|p~=T^|dB7Mn=O_r(lz5e(M7Ka`HI>U1T{vk2oDS{9ql3OSwD#dmR zkpj*PySlYymMDPRGn|xP3N~`4Oa!sN)V||`D7PE7fpc5h)|PFITSUOtO$L%jcjyS_ z!1iZQC3D@R(0mwz ze%&mslbL`lsJ5uH0+wPzZ8rE8phxgr2vr20-H*IPs>Yt^3^|$+GV|n_l)QSZ341x@ zYG}Dbq<5qv#X`%$z@%X5U5DM9q)EAe+xNzY{7=gD->cpZ*3g(j1fH++ z87a0FmEDw0{`k&&^3K(+^>*H-$kNh3o-=bBU9Bpw*(!VGEM`<^RZNl{T!s+nkd31^ zV2AZqtWJD)7}KUydHvr#>*>`0&$#hFS$_eq z%Ji{UV4$BHG%y^dVmmjdDcp;lDogO!WXVj^aT&L`BlOF)?i*6?)$PkSE`fLkMV|7y ze&A@_P`s>%c3PudzEJw(8RLX&$4dn=T_^Uy&9X z74G4)u<}D?r~C5++M@EaU=po>g_2=U^5(Y$J!zU9SBn&t)?ZDb1cR5NA*ifUs=>sk z$zJqytE68ZjGt9WZ4$?qsWlm*n-@*sh470?N0pARGyFH3Tqr+G$zbpWHBD4b+uIB( zkHm}z<5^K44USmqfl4UNkg}X)CAn9Z`|IV{t(sfL%9iXr8cPp$Rw6>bEwg&*oUgeb zr7*Pq2(H5zUuyH>zu3tC@08q5zL7#=8ldcN6Sa%iS7d!MXDCh+E-g8fzFGY#sYs>W z;sbu?!x@6aRUf0{C{-Q{WpWpJpWH#7n3mi}h%9ee%_0?6?Am>*MNR8sopFMEUF}gq zLUmuL9g<((+`=rFxmPLt+uDY0%cY$62r@bnn&zD<7-Z^ z{2FqDw2l5WPoK8zlRObzkYjW$rR33aHTA8Rcc~VC`eBtS#b0{*I>oImKWJ@f)k>rP z_HbiktzziYn<&OR92GS;k-e3h4c&k5AS5~agAEz!j4*IipRyW-coFAe) zj$t*dv*gC_fng8=8qMDAPt5r3RREbR;MkKg%5P^-vSHF zwMw>Cv#qT1I?VVq<0)#tog0t&52|Gt1=NF&3F=}7p@OYxd!_=@&U&}SwvHDgWq*P+ zO`}`vEvSoO{X-fqt;k9Ave)>*Lb>39NAqND^=^so7JII^@#pg?H~lSj{s*59>U8GV zs#0g$i>nh{eA(`xO0xKbPAHZI68K_vt6He=41MJ$68geB7~NREilOdboq8Mkhft6I zHpn+rqk=odOMA<>WY106AB=t-a{PLlKaih0qn+$wr z3?PzQne=q>;qRKXvdO| z&0tP&6QPUeIrlX7r;=vra~YciL4MxLbsI(}qAQM%dI)hV#As7;AE0fN99#zYvf63W z*Nwz1Cc(FYpy4KME%iw3J5;uCUiQ@tB@CCCgOJ;+U(}$B-jVMIzqEG9wscAurwbii z?pKrUR~mAbPZE*-!q7U9`f4O*TE{(R0?bp&OT>CFO;Ix5Jl=85HjOL~4>cZEDlkWbG#zk7;TE^O>7m^{q%Tklopf4=`^ z2e|~rB&_kmT5~nN|@Khxt zW%UQXSN7)c?Y>aL0|BK~Caa5|60#n?eCX_L)w=!Th(975D>afYJG$~(Wj0go1*r{- zQ84nkKS};6mE}BDQ>w7HQSx&4tA3rD)RpgScbvRmi$B}tRZM-f1E2b?c9LJyQ$Dp3 zFzoWYE>wp{=hB*Bl>BEAVP=1FA1oj>>H5emK^__U&(HX~j}XZpB5X1`7978B6+2w6L?Wp^Y&rrkK+UwCRv_+^pM{Sx0KUr3r_75_Qbc&eO&vBSdp zCf+Z+7;0MM(P@_-2_IhZE#qE2cMWi1Nlg*#Nn~El%e(iK!S&X#*RLa%*sk9(sif|D zK=d9!AtQLA0rAMX0B6UCsg*V^%(Tq(;R%>0`RHftNRYH>2)*3;E)P;Yx$}h4N{{=| zsIR$S5B=7EGo3qQtESm6T`ycdM1$=t=49p-aE2G~L|wbr_tG~B-wOaC=7mKtpUZY0 zpBQ4-l!;8EmVOEnl}~8r$V#~Tm+C%ctbVQRPc6v4+EERvHb9$e4;$Xv|~JR4q#MTAR$Oi>pKcZ!;pf4fKw1F+#BxUxCD#Ga5+>WTJx!o6p%kW zk8KGL1zHk8?zBndoa)0bK?Z<@7Az?hQA--dF@a&)5`regBP1AqHR9*{4abM54+cF~ z+Jj3=F-+w}Yr*%e&MS`vs9v(MF2bR53Ovapv#4tb{B^Z-JRi9Ky|)*MwTR*@f^vFi zGgrlklbd~u8sCA=x$&9bc>6*-qoft$sJZ<>>!a1%ZAu_{kf4|oF?lw*zytMxTt}qC z9%fWqV@kc4ndAoX`IfWBon2GQ^FyLZcAhnN;%V3ZmWhK<8f(ell)pCgz<%*wc?1BUK+1|(u+$RCWvDP)@t8HKs2YBs)Nz}5 zHK{Db=gNB@58fiy?jrf&;TPYUo0?jYyV@7yUb1FNq^Ki~&SDp! za|P#?iC0L*qC~f>tQ-wz$#azyd#@I&Fok5g^e2(pJ?M61nct-()nA<-14H~Y3b}^7 zSo2cl;x7vxKVo%W)*~j9*9&tRnMj|P?j8|qjT`ugX&Sc47DQL%vUMH1iYAkIqM2+l z=hd}~__fzd{g2;yH+u2NwVW?uMvQ2|5wLXK@g{YKVT#2Vc6J{%kgvVWozG&>`%LXO zF3}3zJ~0Nl=Nf{X=cKl<;AdnJ7=qWuHE;vlF_U3W5J969e-hBgCk2}wUbdb_Ns}9} z9SCVy{ehgFkpd1AlevE)^GhjZWkAxsWwJ}DRcqk0E6=n$UtUXhgmT97OyXP)q%e9q(N@@=;8bTA0VF^ zY-ITxp;nhn%DvasL4Gq$Zo=w8*C03My=<>8*Rr0xHg8OQ7XIk#qP=~mMq_h4I`5}# zT1=Z~E$KS~e@zlBegGMX4_~>y;b<`)u@@r>VKxgPj}qIL!f_k%x z#B@`u*!=ssO7uU;eW|lJJ2zit;oAD&#+o2bXOFdTLNE3ieYRDm@yH$L`WzqBEo%uxFB~ zR)jAc;sb9VYFH2;WNcv`xfiEyFr>n1cRy?TYM5QSY*4tl(r&NF%;fCbL?Q_3BPCUbg!3c_xX`%gh7 z)zp+9HUp=iw`vf=vyxMgK_O*`Cz<9Hqyq8S3L#fAngDCL4i0=G?8$c&)qldgb_#mU zD0&RoM-NTA=_yaTN2m5kmRyAt$K+wevHlo%?`-n1AmI7B&SkO>M8AA5invVPj4XV= zLa34lle_q`0E1-48^kgL-@Z;f#Z<0v69|8qyZcL&H1Qj3KI+~^FhUjhBE<23Zor6( zj);aPpM~0+jq~6cvnBCUkZ$7(R8M#Q+1kBeM8jUQUr{nd9kj!7sGYT0D#@Hy|0CP~ zmjy+(_XJ;@36_|zQH$W^U)x<+StLS~Ji)|da31e{f^Q)nOd9Z0g7pk8hY`=!6SO*y z6il_seS)9coqKx=2B+8T^E*R!Gyk?X^+Y|R`Y?U&#QklUG5TY)Mj<RHsK<7j zzqc@##?@nA$HIK`B%Zo6=0cZSP*~hCgz$}kG9=ebO2}QM9$tPp718$2`dwi$Dda(< z+}xaY0$-j0+N2?6ps#aTCjgtBQ`6fpa`NUdSqh3pM*Q;E5np$^@rUcTY=H_#5yR-` zhzl+pKb{J5y;=?U>BP}{#%J|7dZM8K>g*Uy+)GDD?91Z(G+-}R&|ILlxY7O63B#W! z&JTBZo*J~%V+<&yMDitiTYNKA~J*np|ka0GliaOn9aY2>ms%_;h~7H{Og4z zM`USp zOn9~TynN!$;=CQwy)F&58sZb+5c{ftCcXKWg-|Aejv(-)Bgt>jgx}~YCDW^DMVn$b z@x|z!*B(?jKjG;D+0?EnqeF-J*QFk2Q+2tw@0()QfjJ_Q0mDk@7?7(8Nx9{)GxX4i z*hrtZ)|v{jH00qruX-W=>iZ`;>vgPjskP>D4L;RUhFv+T@k=T*yK5ZF9A!CIQfHJo zImd0D@!O>8U8Se~!?{v${7Gnup7X?`HKYO~Pb`i1P|xE#45r%W>6@TB#*+t;8o%|X ztDpx)Vh>u7ljf6>YkE7o5_nCX511>@GRCLl>OWkI)_ieZP-o)oNm997rS$vF;K0pe z_u>tm?S*;!Mke)6nV;*aLo;IyZ&RvV?&|!}Xn`+yM2;mV6L%ZHS!5zfaotau^b*Ih zvm3M%f^PRM4i~x=r^CHyzc9%EfX|if%}!h;)1cJgix_n9Hc4x}w1w~}B!zUB>W$Y& zx$*-x_bvn0{Tse57rwhO|GcP&wOy-nmLm0zlKQNLlB@ybgAjK@vf(epVy|-AaQnsT zHkPY0_T2=uf-DNp*7*!?pal^lg$#C^wYJSj28~K@wouGJ%si3srTm~0KCCWbYf9Sv zc}|2o+rBemy);rYuFH2H_13(gX=1u=$Ss49j4$$C4O9)R zGA9~Zw4I=5w9ZR2N5?gQ$5i-EY^o5Oyi%mHvo!NbUD!fNSea%Qp+B39dPhn|hb0)$ z7GZbHCb-d@YuXpyOEeDsY>#^L6s`a41(Q@GpoF}EBk)7xbd@;b8bVUMDriHnX;%CV zp(d5b>VuY=)&1UpcyG1`HmgadHRmqI^{koRty(c6w~#x=JNpVAPpG}XV#F|YoBkWA zq<5qGjnVfVWSF`7oh84;x?Q>!d!`rtbghy5f(3sEIg$K&No8gRKN8J3&~K!hLpms>|jC*_B{bP@S-4^ zAEY`~kHqPxZH^MQ&(3WZTKtC2sTg@cdo+wL$haUQ<=o0uYOZJHWvyOEYwN|*I0`yf z9=#aftk+lC(2dsdi2b2M*-iUY4>w=VA`$c zPuk&}Kj5}BJx?FM;#K;Uo|k}CHh#Q+)v(?rHTlX@>Pg7wh`E;6HK!m|G6{g$H^SFf zhJiqqo`K-|a9hu?mF$R0Y%WP0h~Ltd%l55a+aLb4I6SiE;w2R5C**TeOeJ5@+xa^$ z`p{9Od-P-UeZeYpGOyu>%Y&^bnsj9FlSrvcL=qf^2{2VY6d znq9}^LJB&&NG-I9q!>Iz3GU`qD}t)sf(MS*21zp}<-3Y1h9R=l3d@&b;<@H~ zFQl?DeA3z{7jOBq;*moklmO*pap^3o-K{Ip23&vli#K zRdTxG^#9}tb6%7ZZ3p;F(^M{US)Ue`^ml7$=hDogcK#W_=a_U zCKg%l(Q7}j(i|7T%T{zHf>xZLq*L%+zp+cZP8z1ewdn#w84cpw-UnX4Iz7i{A#Hgy3FY&Wy#YQFVyk!C&;?8Bdo}eNn3p2EQ^Lg%5kbUuE#RrxwS*&eV3d zxR)f88bQZx^5#3|Z@a4RlW)fp71Y76m}2-W@7|)?5_DKVk3X1rT{v^y zZuMmNd`dOjs~a(2C!7)3Y$k%go)-UpU@d)nOJq`GMDrblU)CEbz)QYZs4%&kgLh9!E>%( zpRN_M8GZg$H>51cd{LSsOZ&Dv<~3*f4aOHCmMigd8L&d4AkhjvKbscuF&x0Yilha7 zB2$K1;sr;oF?l2NJ4D{XNJN?KV!m15gl@^?!Bl~hzz|XAv2e((A;GoUoMp@*qFz2! zo`e70$EZ-^RxBo+SM4|=<)vQ#$H80Lk^Ij?4F&0)ZY5|Nx(7Rtzyj_?e>Qscot`dJ zdII8ekjCczlFeVBL%Z~IMddZ2^F#{}?N4fNJemm7oetbYudeTA$ov_XR1t#R`?V!S z{M>~NRZKZrfU?h zEikg4M2()Opu*X*-+3t#z0+;HCPqDI(!38d$9v8j@ZC^XYHR(aS!SQk-s2cR9s$}|c1QSWf_f3=$@JM@GlWGTXuBEEGlRBF6)x)+4BG*r( zs(z!ojrgU8*qG{ zbSO<=M*E2Zfgw14mX|hN$~t9nC4c&fL*Wh%b}(KaKEO|_x|L2E9S!TpzHa7!{r%M=cZ2$YLTk2h%4K z)pyq>R?~-S@i*=Tv(3%~c#DMuiF*$b6IHm2xKhoBDp(_#NgbpXFOp8lrb_;3I^g10 z%(vY&@H5adP=bD6dr2=T(mo|GVYbz8((r;!g|-08P{+U#TzIfQ!!LLjta;2JT3S{qP|b-$D4Eey3tn_VdxEkRltQ_m8113A?mZ5ARkH9QL>)S*zdxk?*`j!2nssoJZC_XnQg?X+8Lf@OZ3 z{rTVAUS-rm< z`Qdm3rh0Q(isTJThLbv!R`9L+H*3o@qI1uE?o8#|3(4es(p=-6%}~5zVRt zY<88Wv1KMh@py6)c`_Qkk{_cNPgofa@XC1@bH8my9NQ5^({dtu@WE>A3G9MKT9Ry_ z!bGoZMKY0{j2BH&dk?ExAVm{?k8(Mbr9SG+4}`pmQio-d{ucc~T=%F|x0aZ>vD90_eRU`eG!>P2h;Be|V-i)I2-NJylxd2Bp zk+u@Bs^*gXNV%lyDl{H33{m!hJB>AZy1eW+X`At@I>rR zdD3+~tNg8s5F^}_$FP243!#5_*_XJqo8gaRkkWwV-c!iA!Q|rM^KQA3ZRUrr%S*lc zE~b`xrmE2c&rFLfsl5PmEQnm6hMVs(CxCOvT@`#Xu-T#Zcj@J$*!8xCsm>U;tGg91 zl@4MVKc$M6UA8?gD&YxUf05zJ07yyGdM;Rz0wHN)z?mAs1jCi=u%%37XYKp#`(D!h z8nxv%!i%?bpchN!*FSIy+4WGkD<&Z- zyu+jl4}=@XW+X&__cuGDhCF#aX4u4{Chcz)HNox_`~7HQy-fk4NnAHu;O0bV?Q2(J zKh`5hEgFv!_H=yQRfrBVE{b$}N2&!BiNoT2a9O$C&4sOCLYR2d@lK_*tZm<>p+&ye z3NddblU*aoPsp31O>9B>N&v89m0U0-zwld3S?-G(Ch|hsW?iK7#NoGTgPzORZ^d}R z+1jmHbmyiary$L?b#yIwlUHjD(615OIPS=BBGY|x-GtgVZ#nBHb4@#(G&yg>Lc*%p z<5?>R;yb?7KQ%JT^$8YiIF&+x8Xtst4y=6>Xvl+7B}EOjw0yxWt=4l=?DY zo6d_Jm)9nEAmx8K$J>u}_F_L@cC;QsGGnM0mgdq`bdO~2kGHlZS!Y;83gVe$@2szg z!J;uCDvp&kcd)-XYYVlz}m*^LyW|jSm8oeo0~9C1aT| zeDdCWnahFf;I!lZOdzgvRBT=$8WH|Z3-{E@xbxsq6KqFR^RY&)kg4$z^I*fL3Wl>b zm=!V(fvrYHEuyi;?fm#zbtFyPCEq^Ao8s=fVDR7%9PqDDKippBt{9CL{ogb ziK7`o>WcST>Lx@do;;b};nqqwoUHPlOo($9*j-!a_%eHx=X$;iPfQ0^2{UpHnKU71 z@IGSF9~&tOOuT}?Zh}T;$%gE_&vr?tiQ2e#OIUu_Qq`gKgIBh~aSs=CZSJns$`cV} z{9*iixH;SfP?4hp%N`$yhnXZW6Aqu!xFWlGI5W1q5fZ2#za`8_;M4!Kc`oB>D*m=` z1G}_}>djs-px*G(QivOBO;wKYP*VITI0x-i1k)TT2%Cl6CG_Q~y(lF$-tq8)hCQjQ z)O;V4*VL~nX5-)-r0wW!#Tq_R4X`g8cn`vhyhaF0UXQ_9Wi^csEZt@BQx4Xql+dZI0;=?p1(C1v4^;WKQvBlWuOA;%@JiI`TZ&4TfAz-&=N;h6+s!GOfV zz2eb@S@X@&Z%LX&HXl1X2N}xVaPa$}hft{GZqc+Pzkv0(w9rQd9P7Rpw=8~Dkcw@9 zky{}55v9_>@&_J(O9v&=ILc26)gt*o@m(ULXdw9DwWVU1Tgf3;Y5rjwwO$}hZK5h| z{_c;DJgKir^1pdGSWSjyl5u|6zHCw=g(edXchA&^4980iDWjxaqR{(l@PH`^#-(ng zlTYuIiJ)%6+SngFd!pxCEyT$kK>y3+Er0&mlVr$?K;gJq6XQ&fV zGMcG2@S%HMcZZF^%`V~SsaX99ox9^ii$nPTK3W}kpU$W{KDv0zeb%1Wxa~(U4{dLR?pE4GKDNK@O7j~9zVtlYcVOV52o=UnAFVxYw;5F9} z_TyqT9&*)fNG*_RS?spf`jHRu!7?A1>{r4TXYS`NCJF1EY*9^o^iuO!{?pGrRcl1w zTLc#!x#(-JGF4=+4IR1sRC6N!aqZdkxrDWoL!brl;M!N20lWLq68BUFuW*aI9|xWY zBsh@?u6O=D(DQU|Q~xIcV1FL}iv$d)XCQ6n)=0Pr94GUK{^lEuFWf%+4Vl<|`thMLlOOYynQ{QWwnBtL~04H>R43a_WIjn_((U`rDzq1^np=g6a! zE9YTdJ4cE&8z2y;zN7&mf$i6?PTvv}@sBbYR=`T5e$>}ws8%#?>R)!g@%pgwoLob* zg7uXyf#TXQ$>*H!m>$#6kdV2xJIaC>h1;s_p2OiTjVWeB z^(1Kzp4oa$yF7UtT|vJ)EBD7f+*1F}6|~ff5Peg&mT)W}?6#bTY;XK{haeZ9;7XhT zE#X3Emq^03^Q1o{dbJXsZP8x5@^N7p8|ANYFZld+$W9-ECf!}eHhP2YsKa*3{p^8o ztB*=K=B#mn3~g|uG#+;9e!K19PPOx)IZ~tCx^%Y^(xroK0Wlsi_NNE~{~WqY+t|cr zO2(JdWWb(pz=Lde`5)Ox?O|dQ_K2xqKKz)c+md#Gg^78?fl|TOF5V=j^@+dMRoyDZ z*F-u}ZseW2mSQrWekAr-f&@qNyWj%p+cMLKJK_Jaq$Tbr(D@`BzyFNl5{buRT&Ql@w1Js05XD=JXSRGJ@-(4+J zY^K#E;O{P+bCxHOmv$-g5Hpjur;+CSk!n@+RU z^tRWb$X|Vh(h#6n90BF0#OM8cpigRJUyJGX zjsF&*T<&Rz?js~ZB#(e6M03SV&asxb+5pV%Kn6G6N%ld@qp>|~y1(A*V?JnMqpN+q z#)p!pra9tY51#UJhqtpzS(!vUGm;Lur|x&@5|}BJT5x#ys&v<#+Pb!poJ*A#6tmlE z?;bJmh=UzB^XMNwXaC;IT^7L8ELe-hkPue|(!qCfFnFR1J=#MXhAQEVVv1MZN~A+x zmk3eL(r$$Z7%0~JqbC&D=v-=st(S&OSlPV@ypN zGrsRl*cZrYRr)xD)K2v|GWtcG8RwsO_j<-vYJ{>Nnl}r}!n5+nNy6K9&>O$Z4rRo8 zBk(w?$NJ)0$j!LQFsj=0%HXuo1x|^*;oIV)W&XBa?m5e(RLColA;aK+$T-Cbq&E(ESDh|B@S@bSeA=c5>vbe~DxYZFdx7O~^U9(p@*eb`Z&AHiup2>+ zGiA1H06XNK2y1|EPr!Zu(q8zt-Nr9C?brH&$sx8*+TQxj%T#{r5Dr|}KImG65t!?l z^bs*%Md8=C`Rzn4k|J8_W;;BRXM4w1e-+?Qbsm)FP(3qA zm1t$vu?m|9v5d?95T%(#u!PEC1}~$5(3>LeerhlJ<7+Fc*x83kdKJxg!ZqOKE3kKm z<0{42?rbBdaZ_cxV+H`uK0GOBIqw%LONz$BnqmeNQewYU5JaZ;9S!IG#1%UW?>tHw z3|KlE_~e07eGe-ivXJZ_{;z6{CD^l|mMS{tQA$l$=8Pk3Zq{HS-O6ZMDGjfQ)v zcj}zq`aX#e2w2kpK9-{_Lkmj8DnOPlX_6305v%53dDw`RMNR3+GrF?u_hWU(+OmdE ziS&5a%g@gt7>8Ru-;y?aJ7-1loaVB=kf-5qH)45ZgmZ*gyQ^q-?4 zDd5+WkbI0bsq)~${FAo($%LS5LujP+C8?;KebN&ZZ|jCz=ylY9Lb85;c(Y#&nZ9PkeM z*c*?ta+hFz>KE4_x|?%7Vvio$8jzAY#a@|yb}b}u^Jui%CjUlUCA^~_mV^Le+tC1w zE|cz!P_EzC0055ub`;PYGiju9BRwcz`+|j!)%mN&0mf&PBZ-9wk57}s`V2%jteYSQ zLL_#7iwxw*{cB=C>I)YF%sG$a_1fLSx^gG^)%fgYIz&2td`=K8_83^!rMx_PENybQ zfRuzv9!DiYu~DQ%3rN0>4xr6Z&5(4!2xVlokP^U9-5 zmC0c%1{Un9?iql4BGULqcUiP|W2S8PX^ez1FcSFZ*BkE!NJwcjxjgOzJl}&aJ*Nn} z|4Ht=bMnCVu}nPMXM^dz<`JX}`2$j!GoFy0C$mMF;oM#!IOM3rb|1c;b71x4moFdE z^v}9YoKe62Egoq;mUERxMyp{g*uJV*l^-7M_eZ?ufb*7P4&zl@;Rovrha2Lwbd!C` ztJBZ1)!q!xT$k6)KVeu?A+@628|dZ!dy*G2V-2v%kn+%tl1D|L-9k8Mk|iF5PLwn{;<2a58gRwuS2bd#24y+gc=Rv)fr-_q?ZJ9ogQNRZd07Bd$TO zgw&`a7#R(A%1GExV9r~O2E6^PXy<7=Rw-1AoBwg+u~cQn=wL<6YqH4Mdp@NuW9jVI zY+ZnxwHQ9WR1MA9eMs*d#UHP;4XyP6=0P=k}pp{3RFVG6R&X;g7( zYg_mE4`ExAXXm1aR9NcJiqQcz4+t^H8OeGv-9;M=r0OI!o>x$S9F}|By zt(IHAwp*QRva3YvV{UuLOo_#X-yLBhF3L6(kb&xM1O$&}Vbh*PJpT8k}7 zq>VixN`yI+@E)PC=8$4|moA0q88`4LJ}+8i-LF+r(>+GJd(isW9P}bkCnS-?E5g3~ zwqMwg&S(!Xqv1d1O){{zh4+;?HPqRzY4{|(Gc8OpV zu9xyNwWgt9Z}mSf4gDYB*j&FdfzTrziI7*A>zCLNmfQ=mK{C|k$Sa9+;clX1CW1c= z2POW{{;ah+dg{xhVxbyJB&-HYlY$gB{l-e=6&NIdghX5~_X38`Aj>?BFEx0&3&mVC zKH6Jn`j+cz#37~cV+0$v9r*77CZk8dgi36vj0o2R-<13?!br|O9Y5mx@CZ-LUA<&-FDVQC0g=Lrr6~^) zqg``td@Tt^)S=>>RpS?ZU)oGCclr(%^~#%0XnYIQb#pmC@(YgSH!V3wk*uZ5Il*Nk z#ZI4`rzIX9@cn@|O+NIx?D-@yDg?4+`lbL8tLCik8Iz!U}wSE!E8-joyL{q+s@~1Es}H2 zW<3{5c&Rd9e}ro-Bn9~jWvaOd&D|fU#5kLwmtFi(U$>j)GVWou{S(((Z@#4v= z$7X*S(K+fr=BT;t>yd35wyI3C3Kt*J%nmC!dkkYguhty9qI)_PxVQ8APs&2C;&TIG zLkeG7$Jx$~)0cD37?v37ku8!bDKV&E@)K{{`Y`J5wm&;zswbmmG}v`CRc5T zlKR9XEAnH_M{{!)W{*4V3l<%J*mc3=(_3G(X@-n|L|R+szXuM_x#pLzb93|3`>)=6 zd~4G%%krJ0m|n1Myl#x?>)^Q4r!Nagq=>E5{`oq<726JM8W9Je8GR8fF9iuq3$5|6 ze+nY(K*xT8d!qpeStW-Oj|^Aj$Or=V6KKSviI(SH7)nJPs8) zmQpYOnCdh_|5Dm@TnhuglIElLBq=HH$!WxSk*t?m_7|Uh+dML0me_kB@gPUx%bYGJNR!fSp|SNIBBJCj?n-n|+r#wC1f zG2U?Glx)JeFkiKo!9v#s#k$s}hvGH(x2Sh z)*y)uw1OOq#}R(Us&SSi&u*;Vd>J^;FF)*}c=F-sjFtBep3SlUrf6;EQKZaaf#VK1 zg4x352w%Twxu}EiLo}_Q$CmU$o;=aHYHsyF?T4~Yb>RyT#`X;b@-3TLc)~;0k8`W` zeyp(sEuc6tMXRYPT+K+?+@}Iz<2LZ+CQkbV(kghQ+b?Je^+#n*9UiKsvZa`C?Cnx# z8tr|gVU|4HmbV`+djmDo`%AN0p!)iJv$yj-i|b8`Z6`o8a1l%@W+Oo@6~%CQCb^gG z$(k6c$EvZ>%Mtd}$Blztf;GeT(&jvYAFb;sE8T|4*L_Pn@IMw^>)XEvx_D3aJI9Aq zO!(i*kx~Gh-q&>x+(HnuXo<)Fe9yo9?3J`Q!|`;U2`mPNbKTnz?}-x&?7uC&FV?PYHe9I7XE z(NdjxD=qZcVx**4(1ky|XQoLo6Xl0)!5I!K@$dNAzK4OJ0aViLQ&uChgY9GaE5djb z9$hiFqTxEu%2tM=6(|;De2aQ+GHOUU!>lDdP~BGT>=EPde=@voKDrt54htlPSR@9h zA$vFaR#&SPBfQ;0t~RzYFhl}vU%P(7zcn*@eqZcMo8y(UlGh83h2tCYqB_mCu>U!S zH)JsoN1cF&w=$%H41wqMXu&D#U&*m;81JW(dlpnLn%ksVL)@hY-(TEcv%Dx`_TTh9 z4+b#W?68u=qzbTTgQDTeWG6xK!8w-0hD>)=*L3sXwe5ro`zeY`toP6%`n@Exn8>(g z!Lrg~*5Y^;v9p?O4c=v0gqLCJ>{>_QREGfia%JTpJVc-w<8au%p}_o)uH?sW@8{2z zUwm@mNjY(zj_9jah;Uq)!@+PGPlm!`{UDv6^s;~pAd{g;5w!ESEo$>Cv-)8*`9nLr z_{~rM(gK`CCVHkU-#1X(vapyzuLLnc#TZVY=|Q*w;Ma$&UTJ^C98XecozYR-KWspX zpXGe*QdU8-NOwuK8-EKG?AKIFHKL%aJ$N-L2cg*DWz)C8NVw*~qoF;55UEGWEccFE z=+kZZmxpHu{kElP%{)cVes>>wdNvprU=_q#jH2tN6G1SDC%+m+q0e|jxC>P3{lJv^ zcMaFm8wTM&!V8!G7@VMqlq-IEt9#|e()^V-Ke8=rOJL*;c{V1(D*_pT!r=ACiG@pO zC66u>^zD^l_n;6;f$tA{iW_c>=f+BB_LcA7D8efpG3;M^QB*Uau>m?|F|d_JkT5F8 zyaEpQsH&%?R_BEEV-Lc)}@g3COw zdI-uRsjLFAT4S?wD+v|LJ53Z%GNHg*(CWT#-gttz`KybLgfw$l+8!>Yc#>^}Nx91` z`Z)Pc*K90cM#;-snEK6kul#6%vbi(OUHum4{W9L9>A@$}uZcroG<0@IM-axqQkD@a zaJ*vyhPN-rdl=r~<+?Xt{6J;4X^cCP2%%{tkBVOa@8Uz^%d5x>?W5RxQ(0Kc(gX-^5#BY-n_jI&hm;f$h9Rq<+DEcG{PxM!e&M%_!p{W>Y(K% zipkSjiIM)!WKi0*Mgr8a7B#hl+i_PbQcUbb$;DR9p6NFtoq0;#1Fn8UUnrr7A1Qs5 z0XMte4jntdN*bz^+JKV-=-iUhd3#SYE-3Gbtm>C6@3k$Nn_Cx_P3a;e^b6CD1}<1f z#o#VJRru*9G@U>(3MIFU60*YbOEKKc4r5j#bF*6{_<@MxT~`=wFH=kR{kXDp0Tgvr+eP@|axFkFtqCuVh4acx{)LvxOr zr#xG(ehq5eAocOADHk|c`$m(Fl4ZE#H9@h5}Y<5i1(t@=R#o%C|=;^HaPr&O*o??x- z3WK9~{H)S&6|u5BB99e9%mIm2fv3QD_IkbX#ZtEgydG7ms@|%qF!>T~#0BSKxpA2JF&ML% znr;@h!QckFs|O|!5HZT&4kJ5Pf}xsYSk?6~QWf~BkLqqDkPwcoDBv}pwbF3 zUYxam)|zXI$=>v$sSR1%eQ6%eJ-Ym*Sy8-9Ge07j?SkQ?z~0wHc)$Ml04Lj#jq()m z2O9FNw4qmUO2B2s8MVRs=H?h@n@odC4Zr;9&Q0gI%lBP$1oaPz1OFbNzy=5d)zFpq ztFVJHvvan?Fcfn<8@@rSl<&{*ekIsRn~^7vh2Te|i))jAuX~HMl4@TL>UBPOQ-!k< z3Z8cku_H9prIpDeO^q7fqv&w=D*tTN zeRSnwO)`IQzHDp3SJ%`b+h-{CK05VlEw9U_mx!?3YK^ zA$b|%NKU+s|MjCksr3w}4teV0J?tPg!t5>sCCx^8G%4?KWDr05w_@ts9^CYzQv zR0=*)tLNp@^PAt>Y8@(*dnNd?V_~7p3(CEmfg)UVD}GQIDEU=By@D&RC4~`cdq*VTBOuM&sA%*{CnU45Y-~S%k%3O!iJ4B&8ls}i4{~p-Cw~}@! zL|ymfRmlB|g(4VC%ezVp3Rli9E1N5+#(w=eykXiHI;AqzoHMBwtDB@UR(1oqUAr$? z(^*j%|0QLkQrg8=DMiI|uq22>y4Qq*a`37Sq!LF# z=Vu~KdPj=8TT+-!7sOyf^!!H`j>tSnw_HEn71?q6qcLf-!f|v2Ix5_bk@06~BVo_< z-vbwz;oNMWxq%E`dRGos`6uf$)0y7D*cTko9woLxoYju1^K0CiJa&hjIA)nFRaPF0bS7iTATXww? z8K%`;W#bPp&0ev6AlUFUtRH!c9f+XIr2K|}Rg{b-t#$v{Vo%esJEyzXHqvPLFdb=; zrsPA2F==zl_t1)%-g(-3p4Tx>+9#IB^u3|SW4TR(_%C=`a4;4l{g4C4TIX~B8zp+C zRsuKoo0wDWLmTMl{N&Ko3b@iLXWH5{s?ms~hTG>M5~tEm1jP6U0;Q56|=>PnY)aGJVi()wsk5sYVQPE$iu(sz`=jY!1CQE zF&Y$A?o&djiT1KD<=cM$9yr;xvit9Wm*|1&kpFdWn;s04lubr?^?(6do+T4)^fU}# zS^+0{yp&P$sg75TLpok&?;k@<@}*3{I}q00`yqrFBQ_$>D9eOZiHQn zz#MH-nF_3S6D)snaLspZCijQqP|E41h~&|`zg6!YAISZGpJoCy$9#0vJ4fu%tWPhQ z>sH=q2c}N>6(+~ECNWcr14Zvx!^*+c_gAq`(%xqA)m>t8n7#cLb~?WO+y6Ri5X*kO z2ncLYa;yL(#KtfLU4ZxY562PTR5@?~GrSL5sq^ips^YPX$2NFzV~rbGtv*+N>{b_G zdw#6-g}^7h5YDGKln^H|vb3%%kZOG}*f0L%hh)0`qrNK)$f}ZC}QAoQfI_B&m0^_(wBSlhTwe_)dBbo-n%4Sed*)|)Du34*DPo0$@EK|1oJ^K9 z6t^QmWE6nPl$QP^XBtEv%A{@GW_1>!miL@?gUpXuPkChA`!nDrwcU039OIR6Rc)t` zq|CYM13*Fwqy+Y#>!0hMIp53n1FpD4om;Qgss`;lPmW2$-h0t z;Bm#%%Q#<8`MYJ4Re5zCp|Yu7i*@jQxr#$_-Q_<>q9k$n3}}=Kr6gtow~5>C<*RIO zKyo~zKh6ssaB(+X(Xs4KVq>;Qh1MkjPh7UW5~dLU-o(0t&t{<lfF_}6P8|vGM=W3161=YX9Io*X1-4qUTGqKz`q3TG9-yoDmxC{8UQfpR zA=&d{oLcWB6ikP%7d9LA^0!>u4n13~HTmi;+5s(TQqcHC`Y?I9R<4t;;xLr5_!gBR zV8F!X7i)sqG_;C62HP{M2Q3TI^=@G`m=D@}NalbYp)bNFTx)&1%_5c9q%3zgIO*%V z&G%b5JUVjXJ15`?C767O;etBFxRGs0^dRjPVKp=x%!+28$w1tm+_#=xkXXMFx~di% zjrJ0GzgINIuixgL7inNMtD%usZNSQ5es3i8dFsn(l97N+CMtfr+?L3vQxTP zS2t9jsl1i(i1+NWsvTkckdXq`R{7Wo>%$i>29m%ax)%z_??$CJ=6F$|3Q8J8kOdwy zXCNX$c=$%f-XF|MPtIuX>!ZBJF)F7_md%M~mBN3+9~(L8L|ep1=wkbCV-}fBkUTo# z**NLe8jC_l$O2SWYDJGTyjm*5ebTOgm+h$YDXtnFyIkUYi+obuIY4an^@~TlTCC?l z5R{gI5Dd#MWV6Q3?*{aV}W8Lhok*6tN` z%cZj)Uyc2}msZ{x2C1DjnKxQ@Qp@}`t<*01^k>}u6FWD=&uHHrdnpYjj@;JlSdp6nvBm|wONcVF-B1O z7I7FV+s6h4G1{a)QqKlCp-*{O5v8yq;5XubIlwqTI#WU5Y5hn=e?mE-!YIJ!h^)uG zu3NrC0~g!A#F)LEx?ir*%z6hHlJGmE)HCeI%VTWK@x`$ez+~D@PN}d|CJpT^)4*>h z@M|R^9`Et}w@vV3^6YQD%HA@gl@FO0ohRpL@of<0?)C?h(6?txN)<30QGn_ZfxFbL z*jPKml@N)$>aiZGBQ2?4r!~2zwP;UX4$qK<^@VHi)#<8M-v@NwvYnaZX~brMhEj|d z6rpCfTuPVXac&x^;F^{>-cp-BtQ{YW@r#T3XntUDs}pq`6U2VjIv`*PJW}0x1;&AB znwQ8n)CXc-nKJ)t3Zw(LRL87X<%(;Gmq4&(ksA7@-9NQEJXzzK&*R?qm=vakStDy? z9KM^2Dc7ggBUDzc(P_lF;u$cIe?NH69miwst6AhJZx_N7n4(#Hr%q=_-e}WSLHP_Z zN#SHo0@yv!&8->{WZ((IBjgm2LhfBXY!7B&F}f7v!LZG-!75E@yJFyS9hL5}=Q}S* zEFX*e?15X-I8&~|)TKe79SO{*C-kA9L_w|bb>h&{oFv9RoYRoG-H+R}1%0Yrm1F&s zY9dY|eV~mO@}87kf1_^UmZLu!8u`DgJ28P!E0hv70Q-9igJtIh7#>s?$ba)+L>>u> zhxUBZzB|!TJt2m4mDtVTA4PpubQr&QmzS{SOAD^(jZC6NX?v8Y=2*lD%;`2c}=f$+)4O1 zN73%aZ^HmI*oUCB+1zF2Hx8~VphQ;K&zQ~|X$=TP4`a(rF0H*WI=x3qiez9vVWU;J z{;K<4f3JPa8;j_Ch_O8s|DDPhH&Cc`?TRwKV%&9D1ODv2V`6{AX?U}+N5I)HXYK_h z-uMDt*4eTnv846uiU5p7L(!#c=-A#3QH|Us1UZ&l1w3y)9=2ZQ5ww%hh?g3z$SBOW z)-1KL+q+q(lxBYYO5rGdYr3vbo>voM5T*O5%K;fRS09vF2B>T{FWZQD-f+IO&VM8ag43fWx2wV3adsUFk6kBKV0*UuQS8%@xX1b5 z18*GvJ>YB8`agVL4Ahxjz$!qEBD|V5*MlU($oqG7sCleW2$@Qk+?L3G@@-c4O0;W! zfn3<>Lz+2`*FOn`lnc2Y*3Ixa z^V)M%XyE6T9Y5Po9!TDqW5!PzkHK^EY%x&)%!V11Nu-onRq&xl)=t0MwCgvliFJw# zxP0VYJn7_1y=z&FZNeYnHA9mzvH%=BrhY^6@8(xqD;37#tK)mf%SjyqXEe9Zi8p%1 zw_cyGJ=*;;#DCvyMuP1p3Ou|^(^Cu&>ZN`rWO^+P$bsKEEd^_cLl-MS+kAz{OOJ%q zqRmRby?ij*+kp5ZvKB8n+?jAo^zP!~Hz&jS%+X(JK86!-e+sQ!IJT8k)zgr)ZLDF4 z0x|&xAPUGco7leb>S~m|r}mFn4Xm$J1+>fxpP+af`xPd%bn08poQ>YSmzwCq=?BG^ zyS-sb_T8c9e}u6Uh0ueTtD?EQjEV#c6ojwZGPhWBTQ#xxFPed$kqI7 zO221TG@kqiu(SG@8mTm^tqm0n+L~3tWF#vXpcOE?J&k*=X}=X_LRLm`Bc6P2E$1db z1KQi+F^B&ovU6o~(3)#7=fE7>jvJ>2$DBuKDt{O_Be?J5cWn{J>`z}wIg5{G>88p4 zyz*pu#r43=S7(kU=_I86+UFSzP-&>-&>H*D+DLk3O?|q3TxKoVZQa$N#S?fH%a4U8I?33vwdqz7n4TnvYb`)XkVX9!UX~WR8<*!-P`5BwJxmo)M zn|H{?ZCQE2>$6tYuWcZoMp@ZcsB!*JR9IDn6hvVjVd{Ez`MBvasS7|*a@Cf@o{c?o zBdxvlSjHdg$P72fcHB(5(0lD?kxDitm0gl%NnjM)m&~jt_riZv73V07Gv|_tqt%$$ z-oQ!7+A<~ms>(g%M!A>&Q*8=yd`!7t5ZWB=Q}WFx?yflP7YpgBA&O0m*@W<7Po^cv z+254oJQ%=lb9m{<@PeI7z=&XICTA75Z{yC#X`AoE2~`7!yO8(&V|+iR+H2FX5Z)kx zzU3a`gn-m|`0kd3MB)@3rUI7VZ>rBUW$y2$HiU+Ao7F1~*~622il!BYrup3%SN26; z6i1Etn=SKUSxYi0*IJo7u@FFEXK@exXEwDm;xYMR{={+yAw92dpVPS%R#8Zuwr|+a zV>UM8MBE2k^P*3AlwhDlVmuQVhC62;(>*Cj>SdvRNj*7KL6hD|{iOzvoKfd5i)j3N?vsV!g?7-EG(c)C8ur@Ru*^8C`g-D0tB^cZ{J$G<0yAfg? zy%M`+C@oyy+#YjNx*&2MOSJmZ6c9Xg)hgAA0HIJpfGbXlbQ8*Q3YVZX)v%p0lr8m; zjUE&CkE^niQ*IeWB_Ag!r872NSB5E0?<_~dFQn^RxOIrX2f5k4#mx9|n>H3=jew?7Sr$6m(0U z|8x-NDZ^yB>g=!t5CGG8>4A8S;&28G?zWeZNs_C#L6JG__$J5AGwd|fPp&>_NEHnY z_9E%(s`uF!jGs9C?}6YOev-Ru4qhVqtJyVLaFUNt&k?yZ9-`9jl+Y67; z&3Dgu(9li@2;8l+L5fz0f0wXy#a+Lv;1exKFRPf#{0LS*0+7kX!U8bUD0s?}2|1#g z1v0%bxl@=Z}3Oy>FC6ga&r z>ux}Ty(lsTq5pCG=~f%oewg=83MImqL|w0Z3A$=FD;eOT;%|G?X^y`gB}|&L25^ZPeTQ zl{lyWYuk_U*NW5qzNIvXwTu6CheIsRZBAzpQh7t6f9rHuzvx(4GZ^KwbkzXjXorZE z-uOC1deO(gntI$sk3{Ep`aOxytpc!f)o`;d-b^U`6msvtlW5h_tL;uU6qqS+EWg~{ zh{pBcAI)L((`tnij!mN&LaYH1>YeyM?B;)TMOztrAML z-=@*emi0hw%fQvcnn1%qGPSq;DR6xFFt-vWQ**e#&@ta=PkPZZhO_%|Qgu3`q45Ty ze6qJvsFPd9{GL`hWor)Xg^?-edvbI}1?vDpI;&DNiPb=_mc@+Oc-oZ53F!kzE(}XI zlqAnf7k_G#)jMfvGp$@wX=bnAW3Iad1wmm?DQT9dq+kMA~ zrFkH#kC5*lIhxzV`jXKvGAL8Ebyd?p+oXSXUKEoY>N#g}E~SIF-o;&ym4LOoiX_bZ7Oe%he{H*y71u1ftD zOjI6!`)vMUU8I>=UjE3YVok5yusJ+y+1w1V!IE^DSUu)cJ`Lx|3~bK;YJR#K98E2d>2~9!j&DnbJSno>{Ih zY|blC@^i?R_;C7D+(_a`^eQ#(w38bn{_?zJa+cun8xIfkD}K6l?BSI=Ljs?6r%qV$ z!=FO(p#$7y3BBAsE4sM^seMcP71-&>ffc3nE|zjbu4DI?{h6Re+f}4@V9+SI9s$B_ z=DYT{En=}5?48CSowxHb98ZRgCg@r16o z10z?T|21F8AEdUH#XRD8wP_i|&&jyb*Rqve7Y8c4@JJjcEcji7WWQ-5Ii}%|7R%9h z5q}ZA?PchneEC#&^pS%$W23aC*iX=V-=Edf%%TGbdOL|WN9IE71E|!e#7YD$r!b(@ zs7RzOy2ed}CLQ@}-ulbQ+~-FXa{ua>CQSYAi+bX))^|A|jS&T}JM|}CHnBHC^g{UU zFFbOI&RtG^0(mKg>D?~(^aRU8mT61dah^Q_fxr!Xp<9F_tB{oe9E<*trvy1No?)3K5 zIc<=XmL14rAXW-zIWzi8z%dE#%Z@3tSh~3UmtLW z2Q$R4L|teQ9$ap5y!`Ev;~90vw(PzPbFpvl%A|UKG$;d#IpxC5iyhMU2yO~3X-o0mgX@>y)tpUx?{HluwxsW>@xt2$1(C;A z8$XD5`}}KyDn{#}OeQmA2r^r_GrxjHBoV9#GKB|NEv2RSh3-k(KTNQ-#zwZm z%wNabVnP|x>bXN~G=S>8nhVAYz|Kbs$Z!DAv{&rvp!v_t8$?A#UYw!s_Y&>(fi|Ls zOXI8mo**Y$wc8oUCI;=UWDwtE$F7`&Hy$PPBvG7~JSYpk=hown6h@ki?YvMq`UHN4 zc-O%)fldm%$(`4H`X}ME&7LDkBlMqru~Ty?ySuk6ZEV?h*jm#z?#A`jcEq@6n^QVW zg}%g6=9jaPNk6)+4}Hripg&bF?>ceccwK{f%w0zo?M^ zyu0IX@aK0cq!`)vpJze6b$f=mvJId^6Z;=7_WwNJ2hLhy+M?1T9y1eC3Q1l!S8#l9 zI*STwqT4vzuTFMLiCG_-7vhg%!81TVaA{r zCx;Cvr$haSD3dIMm$F~fZy7A8=M%Or2tuEzBWB$1DN`U=`-vj%h?KpDNB+b1;po%7 z%lg9no&Z)BPq-n{V5vbcoQ2N}#>1w(99unSKRf3kA?NW&f_GmmI7xf?1?;8)JSQbO z)j4F2wOCDncQK@}10mJ)oXE-TbyA9SSPPDA{waQpY{d*3D~wrLP#VAb+p9`+#QR6( z=t6)_^pT}+8*&w}egyDVAP5k^Yh)Hi__$5VEJN|L7(f%qqJsBB2P&o`oIS=SyV9g9 z9(fLioAG$6m=BAcqKhzA^lv-gESCl#qo{Hwe7T(M=rQZa>SX>d<;a29ycN)Vwb#_v z&DYjVN$v-nub-gQAh;4}nm!rO*Ie$67tDf($JWVPr ziY>H{aGIFIczAAztj(nU%(lfSbv+shOD*+O6@@@_DcJp&zp;X=yw?5M z@UL@yFRT|9Y#QTNTug>_lHP3fj;4mIft{cy1KGzpKvp;Hi3lEsr<6w6VB*p8*5qL#VF(KG+z+{^;(Jf>GG=|Sux|+8iDZ?8H6k*!Wi=vsbnkq zUQ*$0<+cwZTe@0i8&TycKj5FDd$B)XZAy{{xAux^Wgl_c$;^TE9_TM$QmeiR)&W{w z=EPxiSREF`C=qBw4n@l;;9cWa=EJnMdM_>d3P@{ij7F=>eooekNffDw)GsiLaw7om z+5?%5#TbSWCy=WM>nkV7t!g}uvIbzdpTwb`s9TekHY?^e%Nbt3@vU!DoxZz&qV7wJ>{mi9iZPB9H zyi(pIk|c}5Na*M8H*&RW9*0*i#mNAX9sPW|_yD6*Q|#1FLt!L{FAv&tDHyoS!?c~4 zJ~6bIITCj**m_!Cp|>rRS;usw5b) zU9B)>uyNnc^u2>9iGDWqCDmHpwYd%-1FEl;(=QMryC4Q|lSk=2!Ui&@kYhI~+EOe)6U=*#=e3b%8%=+K(W=ExkEta^e z#?8Gi{zR?ZN>H1f@Cb=}hm)w%6|UMVYyuzB?qHfK$qq0#f^dcar^o|gTiZl@skX|An zCA3HzJyHTvqtXcwI!cw2&;&H0_+iLCYyWrW<~--W$!(IathMHxW4t43 zdwlYT)wl5Ck_-;(W;tgOq;PDW+_h__4~f^{60mW|)~N{Xut7h~j{!=)-YG>p1fz}C z*%r(HszX^eSnpU<>z|p~*3B`a+a-7}Y@&d#!Y4}J&Lus@03=6k^`(1a6e}2i3f5{? zn>;?CZ_KvT#gi%}Y?_-SiU#7qCfR{p)gG+3I z#kd9UQal^(URY1GY75e8hrgC#!DCKXL<)NC`A2PUXnQ6l>osT> zn-_==V}$0O(C)v+l(?%rhwNO2#fx9j!lb4k{*3<_3JA6=yJjxRXNAZ|3z>^7JlQ$5PD<6q zTI)~9gw9f~`L|win&8cHQ`1P~-;Mfe_YLFg^o(vO`pEPua@i##_4a?fM+r3X(T6vB zh{3fw34To*nt2vuK_i~BVcJZj3nrh4MV+0XxvB8H44CklUQLi~kkb>Mc`jiC;0+^M zCZHLS?J$PN(G?!iIjL+pgN-Y(#_eV!+lmMot$eG>!RX4O#{8L$fP~qerpoc=&&Mm$ z{;Hg_w&*|{dpQu*_KKzvOFiV(&4t6DFY|-B_N~n!S}(4#%M0{w=1~EF^D4`sZTRnNZE zxz|Fpj->QWM9SusN1aHIPkaj@3e01-VoL||hjSk0fpSK!BL};}HgdmVh9YYfH=7PW3B4J{A)g z48V7<22=g@mUR~&k5xR;epeoOI?i-wUZyrIcVutBW;W|v64yeeow9wBuj&?;5+C>b zwG+nTCoB_3U@8rhTx7{==j?qEdmAXWZ74C^g878$6|RR49B4BgNh5*UqP`L;4J+EP ziqFPpcHDLt-C4<%Fc9EKsrh|AVN2Qkbg`|s(!drundOl|cEx!{l&a^$_D(_ zT4sC_EL8>Q0RgZ}L2+AT-NeeERIgyxYF?-8L=ZPc535TVnMzhNP3+#fl(xtN|NZx# zc2#g_`YzA&7e@KHc`!{b68G)JsmEs@Jn)UbJ#$}tzd+yF_I;92#PLXTtBnJqqX>9b zR+NAoO9DYAvBRJXw_8BQuXCfzd-PMtZEMR@IwbaiEw68g)MT+l_bGxiTG*n$IL7^= zO{>ep*5BKqd!yXp~b@Z681r^q=S5y>TlH+xifE5et(J)b@?RijFyNn#3$(y%-wai@w-C%XE{?D^S{1E zaz8#xXuQJ8t>aBfKe^I`fUZyt)!pamKyj5`x#BYFNw^8xD}K$*v*Nc~o0^A5kRr4{ zte&q%v{x>SPR)(zG3v^xtAuzNhV(tjlW^#gn*Ztn}n8ZfUNC+ORGmf}Km&@@0PW zbvdw*>2HE}%?{C{>cE@MS_B8*dHcPNp)^DF8g(|>4gvh3JX*?2gUyhf?r}?q>6*GFrtOq6o zy%Bwt4rzL9jn$M(jv;T~6p{W=%nOD%5r&TW|FS%nescoLvB|HE;1sJvihS1=J38^i zNrt1)_*`0r;B1D@KKh;^-_@$b#P3I51~o7+s33G2i02WHnNL3ur-Eeymh8HZpcSJ+ zyUV)Y&#cS!4V=jLcf3^D!l^PcCF)^xrsro%)EQZ++Zn@q@r=KNLS)39kV+g242HK( zN1VJ$_u1DzDRSLc5^9ZNmRN%wZr6&HxE(t92)2hHFm1SpOug_BrXzZ^Ki2|0pK^7A zq88KP4rt@)fn2>tT0KE<(sLnIETfXZFK*m?uoL4D)kdh0;gG!&ePr`wc8KE-bLRlB zEM+fpZ%N{)3zjcmS>&_uS;x+3sMdiuFW0Ux_;t zIb(RX|MWDzf*Q$>zUVm4FHp+4(por~MzGaxr%euKzRBE?k&{W?C6aWXjGm};D$%n) zf#+&!Nj5eR;F^Ef6hV2K{OIxFqV?oP?5@W(`vdR_+C{s#FNbVPGL6B4YX232q#TE3 z{CLAIa}jlIZD%ZVWR>ydYjdsrY)Hl0Xq4%dhS;qF|9TVY8(9#Z>EqrPuG2z)V27k3 zvg>kWNPAYZbBR^TIL{j!c*?5i$FV1)e!soujg2GE#w5*7-8t6NRw3Verdy;e5nT?V zz4^_1el)2h6Xil{E1;#j6aEPCIjgl`kIYVDTHPP_E56j8y0t1V(H+mL<5G{U^t`5C zG>7tpmQ~#?LG)tCMdL66bYXd#C2 zp%6xQW}W5A?Y^D@J|2TtJ9~5avxm+!FJ+QW)Flg4D*V-;T z%zTzL$PA!)#%B}erB=_asUXaUDGl|=-DX$qYSCoNmfDdst}#u~Y_Y{^N6Ov+MVOj1 zjYv&f!KAcMWU?5&dANaC5uKryC4Z;WNDV}E|C|-AKT|nwfEl&9;l^3h5$So1rrGOE zl#NV6n;I|(V2de)_^FEqX`~z{#}N%K7SGcwoO!=PNed6p>MECHd}a(Z(4??O9^DODrRI@$y<{OpXfcCe+!F-w&+?SB#T# zOY^}$(3xIDdT#h+XfGaJSqV$?KFbqcmw*m@^m^31MHa$68ofL+F}FyYQ{5~`Qvc`b zZS0J(p@DflluYL&G0kWfm=12T>zZ21^?}Ou?2fmp@+C8)JK1t-E$1p*uylRNUtMO) zT5EELP^4*J8RTfr*ri`hqfSzaGq(WTHrbIvmJhdOJ^?%Da%v|wr9ruYX->cV0wq?f zSZ$jn^I*ylmPi(RH`L2sAa&EwMNkj#Z_Ut%`5%({q?ZvMck^s=D z8_a9c_w4K@bNo!a-*3#_GtlPUu+C@OrLmrE^6R=THR0M<8TQyAy-W`zYR;C;x?)l@ zPo{3eW*tjincYM6;{kA+S+E8X@s#|HQ z(@lwcQ#{sT%!!bDlsC+W*A0Kw&Jyg#+hvdpS-=M1BzE8$-yj7|Mls{dBs48 zGYkdCD#c4mbskhq%1+zfA`mv)gA?cV&n8|!c%QgjP}cgQA5KpIhhdNlFiYXZU4yXn z0S#-=ND+B7kcM@MY*pR<{utU?fG223A>P2; z2yHE4BB6L|x<&()sK#{G%Cm7FUE?`XbO29-&0?N9H`#`7+^vt@yn>yODeX8uxbu3f zz&O~g6=*Y$l6@_h4*?!krcc9pqOMg48l|lmXWH^~q47!v>>$)98Rh@fL#v`;P+H1s zdjFhu!{1|3vAuczCaXk^&8<3#=2mqY5dbf;c5=`y1S&g8Kd3H#B-lBx{+XLb&fI&k zl1yO<%h}KJAII(!ORBG{{Iy_e(S-+>-yqK#CuB%L^x@$^G!E2zW@<|FsiB|WO|K4F z&FEM5`hED;)znp4M27ACvLyKi)c?}0pJ?B>P@`#nx*QF#8~MF+_g)N6wt3PFbLu=5 z`mDqn@W?=X(KIkvyHiHF#)vu=nt@k$>>@K22AOjc%CW8fzFs_!%DEX+;It8u0(zEZ zWL+ALDxSm`r?N|+<1J{|_kRNwdkSG_r`g?`c}-c~X2y`MiHz~UnPF$#t_`BUc7OhM z$t4YweE_FmmfuiZaH0DsDt`z=k3B`va{l%R$m;GM3+ zJ3y=XenHFmBWI~ntL3K|z2KMs(4wvjIz@aNNb&V_n`SvG9wg3#L658{D z^0AHUDAALq+>&O^U_q68XU&s+q+n*$q3N#g)lL%UzK=a!{B(ZJ3WxMr_k;IBAt=Q< zs_==E4+H<9T#(j~SI+l=X(BB|RUJ=pEOB-InV4moenYqddE4v?V=*_<`#rkW3Lyt2 z8=znx^;=DkJ@@+d@~`oKGWN7hf47kzsJ0gMMr%mjQ+F5YeIxjaHTi!Ezqd zgx_Yoq~R%tuj5xNTIN^yueWqxq7;326lb>hZS$<$bvw87kM3Ri_;c}kPGBwZ=be#N zjI94`VV24DwZXH-KOZ;&>3H;8!zk_5Ul+O^e&YjTnk?^sd~%#uoo>tkosMibx_Bld zEgw<<+0(pz^VrJ)ZQgZs=O)7pkv9E*S<0pjJy+rC#ybV`r?ESei+M+WJZJ?*;Rt~= z&8pt=P3e(=^2AZnS-6k==gw=Sw~se|2P$>T7?4J;4;0A1jS&)QrH5csAvEe8GTd)S z5u~6JC6Q_;sjSHiL_s&TIUsINyWUL2m|UX*t;@>4mri}+TT;5!3S6Zcp@$8b zXut6V-8ITHk&@DX^e7_IBB}?@PW;(#7Z;A5b%?+9FN=d-VYtDnn%Enl)Lg^R-!RSU zheN0Ncl$?r<&vrBm zxhR`>p?peSPHaxuMPgk+M$AF~!kekXMh5;Lg*TEGwwo#Yz-O@CITxZ%@gcX;LoxghV z0+Hu86(^_-dQqG}P`h4LrpkaMn5V)T&c0OUIIs#KMpN_e)16*{P6jc~F zl&WS>2Ha#skV8lT6YF@@N>53tj|a+tDPiTBzo=T#`KcVEPV*BObsEx#)g3lqPZ}w} zQj0Vi`+gU<9LlRSzF{|{c4gRely|ZD%q|geh$O*WT-Kb+NBW6q$Pn_*KXf5&zbhOh z__J-jcTKV;xecIhwLI$R6v2L|OQ`)a6DEJm``5;;w|k@y>PK~DohQ~;EJN>iUpVU{ zeAoY4Pv2dN;M}Qx9icYapkhBaKgg%A!$G<7UlzfyJE%T*A3`?V+%;U^5+k&OlY^%F z+N{$^Dt3NZ1J&1?Ynrj>t;&HctJcO>nUs;Zmnq*OOS#E^Og6P~_4IX#y5eZVEZIIe zwwpVH!g4P^c69vy^wJ=bTeipK=8Q{(-)hTvuJ1pN`|1-eMP zClw)U0Ts#=>pxCt8`k%*$*ZP1#17p&V>_<8dRVyG8!*VC6jqsR>}}c2`b3t`)TX1X zXFBe#wov9lVSs)20`37zTS!|n`IgTtXpui;wEoM&uLX((>-mFMX~!Bxuh6h#`83Uc zS*&>J?SMGQ4!qsH1CG*f#5kV9>51^PrebPtQd4tmRsOOA)Cisqtt? z$xsTd?2fvI+z?B2s+c)b-c=)L`8`RY;EukCu%z6LclmTvoxyqfOWY!ebW9!q4Xs;g zSm~Ay=2K3d_}^w-`_@U*0|BGcZkHP}M+f)^#^kP&D)aPyPUp4rytV+*3Chh7d)bDX z45i~TI1HN~2xc-8Dc0Mf>$yH3J~Ui#;}NTB!mKI1o-+|OYvAZDw1)Yzn~W^Bzc~5L z?06w&P|S@-G54ObVAfQW9^|xa0T{lv9;;J=;BEwhuU6Q3GlpoB zRAhtai8&KceF@bx5UQzO7kiS#l8fnKnbmstgS#Ut%1M|c4R;#A*W#sJ;n_U7$rSfi z8LMhW>qy1Uv{u@k#)+puU*EuyVX#wQ3s=2ab|d0*`Be> zQ;BjX6Hq{9p;SJLF$@^FGM+@;+t6kp}t=IXLNF(cBHP%#A z-S1r9*H^~>GA>TNi+|TLzZ|RIkHJpP-TgrKpUc4n7JV+lDbG($tta8+R0AF7|`V(6taQJ+`ob&iRvy{+V$ByM0!N)POJ3$ z!(F-gl)jEoZrrU;SD2;ajB*y{;CdE9rF_30dxEs<#qjr~mfw(`PGUhV_^t>Z;Z1sLuP(7X#E961T*NAI2WId+TAXNz;v@&)?d~OWMCF;!ZUOj=m-5 zhLz~q8-^O;o(L%UA&{?h_sscL+e%MO7(65oei0mDLn(TN7YVzoBkq_YBD(teneU&J zf%E^}#;TbO4M%!cHAF7kfM@WGlhX(WD%QCBwFVLfYu>41%CDLCTywui z=!KgWUousML!ASTy+dpJZ4Vi%lb)gTF6GeRCSwxN=hTv!arB8&_X@Xq*=gaaPF~(- zuB&?S!h++!gL*Dq3MNvClz?g|dYPZIH`p+D3s#NJcek^f_?M+)Us-lNjq?JSfy|rM z?K%cT$lGlVawhz9lckS^@~FI<@!wWo>`Tpn%Zg9H4xK6mZ$dlJI!SkeK|q$d?$w0H zzR4r(Lu4D5w2fLt9%XUk~g`fVl;GVS&(?2 ziX3EU$jRds3;ST;hpqCuFIh{dY*6vD|MNB|Z^Y2(aq=LB{z8HZ&PHVGVWNB`tOB-K z1Qp*K#|zUcV+U(}i_o8sxGC>Xkp>%7UJI#C)e&djJ^m|V5&w+wh4FF>Ksi8pEt!Fl zNBOZUd_}99fb<#0Xz|(}v#7Yx-Fu2(e<;E%X!9y|@eB9)?$XbiLMEi7vbS{jvU6!U z^C8oYY2u1Y-*3`~PW!oh|ITNP61+}~n^ep)rnMXl!Q39QgHX1dRi*NdzE;^W} zNH_RG$-XP|5={G9NMo}9*_s(MY}oV1lg2dT=zB>>$&tA&=gN1&fqF<@y5^lv42yCW zuzP1)zj;Kri!3EUX;oH`49V^p{bY6>Lg?G{RQCm2sD{ndzh_%|Rut=$>uDDd{Z{j= z$FDdo>^;+XBtR`lp}eK`3Zcd-x3pjk7S>FO7q^U-Q1v^MgXL6qRzW_L%@od$Nh2Xa zFS84e*sqUWOE@*|(nMSi%puJGyo@hl`nwsdU_>{9<35BAUruzW?#X{` z{T=tfs%QP`pU}7AW1V(5C?2w`fSUW;tm(xmuzeMN1_iYT>@Jh*2U@GlFS!Fy|6+Kd%C z!0?8myxqaV+cf=5Q|D9HC0K7?T!?BFxzEU-s1xk>3>*j+r1DeKK)+jY1F?vbM_-oU z;@;YgFTGP-Tc7u1uzrB8?%t>B7X#?v6j29&fMI7WXfbQ^EmxH>xmH{81?a$DD?Ft; zS@Gq_59hjST3fL)&;gp|h#pb~zKCU(O$y|3+Fy8v(8HQq)(O&MavceDY$UZAGbqus z=@;K0$mqsM@n0UU6m4l5T^Yr{Heg_18&p!zZf=?kJnT($1Y`L`K2%$R z*4XZALZ#G|G6+xn9XTfmvG?bIf@aq}Xp@@iSpRy2N>$ZQphaV4c(^9YYT+>EV-Ok8 z&n|=pAhdZjIuuv95iEc&_@;S=nL6szwoOyT6U}Tr=+-*FR}$u?;TC zvVOR^o7}oN7^Mu^=on`Zo_m3%%d$G+@9!^EBTlWK`ue)%bzWHaR=AlvE(1Iv zk1&Jhfm~rwuph42POWsIU#;6T8Hg^oi~dt?7u<8uG8v997sWIhK2MK-74Ta-OfG*9 zRpYd|;_5n>#@fy%&gcF6+4q?8lV!41T%>WCN1W@oD5E5>l1Q<7^UAM;@3*0onZ6kF zp-RRf^*UT!0CO!I!L)}b5jNe}yk=yNuj~}O{E zD#&; zp|?3W%wAv(>*l)m1U=kk^$k^B{g(8&pYz?dRCuu5dw#XMo%_LCl(dBCCl-&NF={dC ziyqzr(uc3xThqYem7@}PV3vG?`M7TCkW4*hr!`jR?&-`e%&r!HKSaMcLNPTXbu#(@ zxo22<_x?H^$Pui#Y9E9LRI^EAy{JJxxyKV+B=1pLeCN8`yRWeF;wI0t1c~bHp6Xf_m%^MByy)67l0#-t z!TWxj@r5o99&$;%;gT^qtyE6umno)jmEK5mRxfxzW|(*`3z?1(aIf zZ!6u(FC1MXBx3n*r}i0rC&ersOO)i(wtFXitmo)NE9~~hZ+l_Kk5K3kJb6BSKEn

    ;^+vsk=W{IOc=5PX>LCvi$ zo(Z$=ho>0k>y?LF6jKdTPEBlXy`nVMsfgV?Je9S+%1rspMN4{qrjy&}!V&mSq0gBx z3#Azc9&+E)!?FC&($Z(*&+|R?zTM-=Mrlv75$Z$WM6%sSJ5=0cpaBEtDU3{UI_BEd zVIew>QV*a>%Nx%tre_X4{mRcwPez$W3EM|$7WYSO{^|QAb?Vp*hn3j%3;=8zcLR0W zghY-G{g3|mcHJLVC5)Dom)~@BxvOWGGvV}NV8f%Qup>T|?EJgI%4Eb9HA?y=Ao#-ldl1)^1l;X+fIN^&$(R>DsRsJF4aq)4(~q? znG9+e(k1ITgUei-q>0`K%AJ}s4}+|41ozpyd_=CY z-oi7ef5*d9-qHQZ6hv0tAAPnX+k{jf+-s>vW@M4V5ze@jvX-``0S(`le_3$eAWDG}czD zcsS(-E&C@ejmq`(TsA%1B_4G*;6iSV?IRtXF8&m`CA}paG?jS2R6$t20%Z=?m&)oFec^g^R4S;8TP9aX2-ShI zwfV=xE5k3Q+HC*_W}9udtsrrZi)M(%af@lo;^oWG{~@9txT=ZgvM2e^ zUqweh{Fo{6d#7~BV%8SnrskFETQOr{jl4W*?B-=ai0zF+m7fxG{n9CMQ0;3Pw??EV z4?*M}rqN^_iiCi>f@G0;58)O@^Eu4|eut$8X~6oqX*V&lxCl&BGd}lEMFo*&WKB?P z{T?@Obb(i6MM(NP1N{GNd)n*5_kd8wJ{TSd+C1TvfZP-e3?NPJMq#%8XNY)PP2Zp} zeKe&}xm4kH^!mf|Ph)CIi<805B8@7xc&#{P6?v^6m|S*l_I;87Zmv(IkP# z?w-=ttJ<}J$m7+>r!fQE>98cd0pUf#D7*%cp06-pR4`rbpaQpW3>}jQR}yB_HZ$^v z@(#LE1#sTw@d^jh6AN{q7W<7Xy^7*yei-TC&Xv&;G0kOyi$C}X-8}$!L#YRC4Uh!h zF5Ho6zq+5fiBIvf&f!sP9Nr;}g1XS6J|xF7UzcO)al@-8SX8Bgoc(z%si;B_troVD zf>9$XRknOSnbXp}JmB`HN6IlQ49u(IQ~ zQq9osz}H)a+W_IT;DBHnwqC6;v|6LtNsP$x*`IGR+!DDoZhw$kQH#)A({oo7A$vt$ zzq6+B-TAZhAVEU4Nk{|LC2I8~&bRIDdb^^Xms* zaU@Y6iEd$u>s)1l5Lk|#AZsXuiqk+?cLyn+c{HIGI(ld(QN9t$G1WJvk9%n3m25-e z^HjKQ(awo^_3*||xHR~oI#XyJ8YfvJl)gEzLKUcmcuVvt#C|EmzJQ^1dA9~YHk`3` zd!+T`@;5C?>~7_9DQ|E;ahMI=l zUtTLC;uAY-Ue0mfr>%9+?&s_`?pLx|%t-2=9pJ%B(LsKfDfJEX1_|X9uQ9#pI;mF} z4U^x&Z4vjNj^?R_H@-)=8xASmL@Ms>jp(~SqiaeI_)3O~P`u`{nsv+961}m)? zy2?F6QFoq;2y9cGnu5DZ^4iGM`lRucPVkq{m0nZ_;iw>VhAB(n!roGXFg^zM-?@nm z0>*bGrXHGX#%QC*w$7(>(s}O~_VHg16IoZQJ5YXqEMT&8@)1@wb4oRr)v2v1?)){4 z2}A3;=I)IYE1N55p?yZV zu2L=mm71X7+zr~p`?W-Na%lJ2npsmJ46tv3utEe`e|s>;cMt^d z+(*c}NZ z<&ue?T)SfOEj5ykqjoT>JT1$(=J!l5+yJ~6Pr6QA5rIt?78OD+)G(8QDe9|y^g~u9 z0|Y5JEzQ%RO?KT%py&hd%ZZ|0$G30S6NBASlE+N1fY_BzMqe$>FuNt~Bu=J<0AxkT z71R~iG-7%aKQ{HscpXN0!%QvIhlDKsIybH9yKCKe%PCIq!GmZ~Er);xEz3!&PEP17 z_!paE))=MrKmol`%(YO;3Y$4?&0%DzmN*vL5Ll>%5}DJ$N$qIs?y8*Sc$OL`%n@-% zxQyo{D3vu}0~GR=aQjtE?!H7Am4uhvXmh1$6z91T-%0IAL{F<9nzieMg#12LV zY7x7>wR(+uc zZy@LF>f&^#_PPymMmt_!TUR5d=Errpu3)t;vWr}bx^8<3y`4#1k!(q$pst|<$;klR z)J($`7ujwI*iTsgkjx*E+*uQi0>In0<_7n*$`}r8!w1d_=icSXCP}*$D+|&S>zmq| zp~6BWJasOhd6alf$w2cS9hJUZ6EXD-|KrD|j8N2wdgM~Bf0OkG{_d&rwQ>psAAqC4#;J)y9yXajXni@@UQI+wR-`@lzn_vV5zH$r%2j<=edx{?8BVGE`{@zIuHxuAa_bB>`*Wp_IWw_){TKMUSH`9(0?$jk^j}&RJ|bjVJ`R?4HbbDL7I

    _)!sLr zLOa)b1)jeYMN@tH%sN8wzTN3IEI=BzH0y$)VEalu;{=TOFN={{5le2o(U&)X)P(>M z*XCujdQL_2ww=H@AEE1T)lXff?9gG0$QmUVqC{vEBv0WUxb&(^P=<72 z8B~K&Bb80NG0p3n-dHrnsTO1BTw8`JIaFWE8yVabP8?MTk(u(uICq`IqNy zg?N)|*+!xr_r>*$MWQs{?j-VCkk7g_zHFSABOfZX!xiBx{9C&xXdduX1aPXNM*Jv@ z&u<0=)3IuEcuJRk`sL?~wF`hIbgW$UwB?DVrR^MCZTMXKxxo;_x}Ogbsj9MkLA#vX zehRIMYHzbj1y?_Qt81`12RF?U*)3tB0OHopE&P5pZE_iS&%w^Gm^BTSZVR9h4}O#3 zA1|#h_2V*j@>wv(0wFuA+i5_$Xw33^hF01eBC*Mk-6$;C^cwxF+HC++eJvUM&z0-< zIobqCcZGi+PjneQ-(IsaGULw2uCA=J5z>jH$WZEY3d8MP&4BPM8kerp%8pva!-H;Urde{ z0`WwKwC;hl@Icxd#!>^-vp9WwN0&hi0TeE@-S&8G%(P&nGhP444^PLdP00wp&ds^G ziGYbXwOa@`*THMcH*a(8%9iFz+o;`Hy|*`0G9>KALH1RKfJn zb}9~ZDF@ZVoxw(f9CDz|)henLr#{gcUC88psdbO8diwKs%^uWrn|^icyNLkptjk#j zQ<-w{f16;1md1KwUfvh|HsWL#lgM~ws!<3v3nW?+&h!X0Rp-~amq|FTez zr{&h+NL`E;n)LgU^*Qs)W(Pj)qUW#n7|QioMM}I7KY{X?4N>2Gx|8{liK^SF88tMA zD!!=h!hCuijAdID-Gidy&#|GOvFePnBG^yOU^)RX6|{mxV67B>_P)S5;L6TM((4;n zX5oD(U{iXKS&Xf!tJg0PnA%_eK&HC>j$BL}P8S|iXNX{}H{tb}IpLu{M*`|`0-2Uw zuHoB8d=1>JOG_z!MZZObZ!e~Db%!Osc_{oBR^Gr|4zZP?lLEhrk%2{5K??nCHsIU5 z86yo7EiYjE0+uZ}YuZ3}!RTaCjUF|r@Q!HN5R2X|y7g4s*MKzS+AdF+j@xS7E#0F+ zT!iy&f^r2P(3V?&Wh?%Ce(bK?{&=DV%sN#6WdTuBA)Cg#giC7h zbVjSorJT|JWNwee_yK!ic=P!kc=J^?K7WnLfY7FwO zvZ*R>{C2*}W0vz>&7<*qB*Lz3d6q!f!`i=#jN*@JU!(lf%|)A*<`coJX7H|9-{cAP z{zvFud{}sd-9olw!vDfrvnH6;W>ihz&S)uJp1r>qqAnxrIyJ*FJ1xu6F`T8h_>Ak) zGtpRm?S&+*3Lgm(`Q&kaE^*MGSmE%q73qVg=Nb{|dzEEVz|kA8Lk}jM$jDpM1M>(; z}GUX^Y;|HoGzp9RDM(43*0XO7Yh`|IZ%=$tuJ zdg1GG)QPN%nU>9?!b4j>q*SMl%jp+Qw|j{uDE2(MpCnnBUY0Hn#=i0Y?PcG5!N+g` zwB0`uZreQUOk=cpHDTu;O=!ILzoH^{vgNhKKS4PQh*{<)`{$0bgfc_m0+Z>a8km#S zCK@4J)p{V)FYR_qthA&eT1pb{cjl&K5~h@p7_sIOhdk5im(;=kB2Pkx+teaF8hlk) z720c5w`K^wA`F`?m_`R|^qVs+8ybc~s}k4Ja*@p+TgIv?5|A+al-8NG3)c?EjA2H< zG#{8MP7qhl3$@a*$tyYRboYJ%%Sk^2V^5!Mqj>!x)b_(dbdfDmC5eP7K~8cS>%de- zad!%2yZYObgzlX#SFQoChEL6C=qu>fax0vEv|m{*ZMiMGNf6tz=~ZvEZj3xJE9qR9 zAVqvvq^~<7W2HLr_=c#C<&91=DGC|t2;(Fv6H}YCim9k9dx+vCANjT@0;G3ZBe@RWAp5 zZ4#c+{dp3ezoS_7lVmd$@ zbQK#KKD}}qRvRLdu(QD~b4Jw@mg5^V#YP~H|0vMi;+gw31$jEV9`8u1tb0ADddf7{ z6{K*t_gM)h{|GIG8mR-k2%1(~Y5uC6hwBchae>CHJW!t;UaW7dO`1@BJ|g*5H<1)@2{X5DZkw34q}vq+x))nBQ{njo5qJ0Y z0){?&-Kc)Jjp9Q|R?`!+#?ms&h*|LDeMIK|oWTJZw~LTLqxz;Q+bT;TwGq;TrVkSZ z4Z#&wZzd~U0^a7Uag9gh+LR8MM&NP8Tf`MUrQfhZXrUzB$e?1Ly&r$AlM;gIAxc}L zeRW4NMkLaOnxhuiJkHANNHH4ZNxO+6ukQVxP+jbN)E35wq9@HUjpxx%(fbJc2?CUX zq;6|Khp3j;6O|M|wU%~M6U*9tnY2DPx3R9hcRa&B?)yw99}{0;Yi4Rm*k3*a5Y^KI z2OLl|-2w4)u9X7xQN4q&+#M^(D^gZ~i7~Fko7LIiYP@)WFniqKQ{8pidK$j(Q9c6~ z!^k{kR59vk4aqXn-^U2CYO_UjF}znyWLTMP&m`xhAL?yJapFvJqgWlkoO%4JAU!%6 zjp)xjnZ5%bG*p@vl+>fH3@c`M)0zV9_HY;Ss)U7+3tOibQE%jYMiX24Oh zbQH~NNYl=uY!7TJTeMT+Z>)l}tm7SWYY8*%nVi_-<}@urZqVkX&CCh)wfFKj5ZVZ4040fRvwAbAmX?IkhT=8p!t0rjFPmS`^QQdy?QhNX4fXD0e8imf z9SNg5UVN@qnqyr;PTj8Qh3W{EDu$Bev@Qu=k!(O?~0IFLn?R5vBJ~rAcpr zsB{nzP&$MvO?nM2DoTe)?;ySR-c>rG_l}eV0ci=Kfsp@M?s4uNd+)Qy9(&($&-rri z2R~#8Yc1xQne(0R`@Fvg=Y*Xe&4$k7lTf43rwK+Eu@P{IVgR#$ATD72vg6|Hjx&HK*g)y7D9+nE%YUhV#pGwoi+I!B zx(Y}?MomDndQA<&4ljvibPN;Xvx51E zdAz(}uhZvNFVNVLOybk#*A`3D&=9*Asm@poLS|6+=>Sbo!RpxNjKCq_?VMNwSeOwX zpJ07#%wcU642x_w1`D8m!h70^;+()Wj?FraQ&-lyF-x>Rvdn4G2F8hMudRcFfqC!* zXaefUD8>o0h&wKkN1K~;VY;e)&oo6}B_B@f#&0^M<(cVD?ebSKo3!T4H5le>zOJU- zx?3&{Y*Wngg{bP$BSBm~mT!dF$8}6oUBKFW*5%-InWXY4ueM?O<7dGvCuchS(C;f^ zE)_9fLv@*Y9)AtY0iHR8I{`nRhs#4^Q=r1T%$|sGSJZwG1=2V;N4}!%2FyzN$)bbX zg@a(~0TsWk3TQ7x;-!KI?5w z`swFWXF<Kbd75H*kScfCU-6*&iz?Lm%qaj>Dv$9`=2sZk6ff=390?#~3Mqpkmtu zOM^(`*F8A#0{|F6gsl0qgQQ;LT!iKA6jwX(-X=|s+Q!DLwjoe$+LHVmEf-XhKLVA4 zx@A%$)#Iaqz z_?PM-I0eDD;eZ3jH3YDj&1jXrPPxZpOSc35gNiS(m6PVmZOHG{=DjY-HoNOhCA^gw@kLXA zU2=j3f=vy6)mV$K>2~FE9A`m?C3=rb?hXoCkUO~MsswS=XdL5Rxo2p3jjs(FQW2=xCKDBp;e4C zb1s3Aj+pCB!X(?p70C4eGuZ#_x>?Xj^B@$Pu%v=!ZZ8HrFHLcc zfiY;l z&6%Lx*Aq{fDsq}e$yfx+bYBD|pK^lzYd;@Qsl@GGvao6Y-9m6VWiSx-2#S^59cLZb z9+!47miQEuXS_SDUBrhBV%SYk@gCyH>a{>}I$UHCD4WE}iS4^);L4;H%*B${M(|C|!b8ID`Jmd5|1h8#ltjfip43-j44wo)1K# zx{-^gSrDuW_U_>GCV$SuV$tOa-LH7tw74ycwa>X0!<{!+B>!r89`2tl1sVkcO-*~s zY7WQ4f3OO)4{F(V!&xIY*FS!MO(3(|ggJCrUuW;DmpMLjAwV6Ti@i z)@H_p74?Q+SIi)CJ8RH}(x$yq+qe>Xjo-xgv^N7Qwlg*io%7k7_jErB!2^)EhmFg7 zKiKDYQe&Tilvv{w{B;it=o!&L3BPEymjap6s@{F3Lq&1CoqVzx9dB==sCgs7IjoU?UI z2=D70&uPH;1F6lSN%Q#!;9-Jp#MPrIMwr<5p;Lji`DMnd3PnbwpIXyBJ+5P8O^ot{ zbFGXeb6JJtJv9K=kApz1g7Z*Qf3We}xIX?gK^l1<%?-E^ z*{HTLjnn?s%x0Fsc>!x!)xDG@bS)s88zv7JqK|Fdf%5L9o0vcu{ut=T@^FN1KFZWM z3ulXwdJ>b$i~5>8*1mZ3hb{(gaURNJJ&eNXq%$~`Ad;Lqx~$kJ-QE%3q)#|qYB^pxfl7>WgR6|+&|O$&TJ9r$F~ zQ$~RHP~E#heB0~7%^CRWJXq3cK-SHD38d$R;GUr%{gc^TwF7h2H!)vPjNvP0cUuY_ zvVE7XvSlF#30fj#nYUZsCAzNDg%67`7SfSMzmM2PZRIdND{InBN4o&2=>Lu{1S@1m z_>9~@3E1V)Of&{_rx`~AtBa0|fgO7(^Aj;}rO+Tb*xkC70X{Y*FG2fH->Gi`0u9@8 zATOq-k&9y)WwxB{h)m~B11F$cz=i088%Fb|qoSJAE>#r*;!X}OjYyy^C)P>!(naiM z8p@Ct8g=)9Y&C8;$l%p~T*wtR*;%Yd5t zUw}O>&M8N)(>ZUqu&OaA&)ilreXTtT&k1&#!$&7mLz9^uG3%+2C-~-Sr#0gc%^9)D zswJ3-X2W#yj_D^(BMXxSyE%a=KFRHoS;v!ykBgRQ01xM6I5raKs!Ef$nDjmxVd&ID z20yEr$(vGNbEKgOwm@jtT_8JWN^Atg2RZ7KeOReZsPBI10HQ|e*WTMl;yCLeW?Ovm z+z+hg)u-gr9VU_lk5eKkQ6FL}PuyRJ1J(?luyPap z3O*O`e*=P7C^Fj^-vgML`7Q5BG^In+#pM`=g6-pXG`p0c##H17nNWQ@E}EST78->o2b!VP`mab;~q_RYh z)%%+zaB08_-pk&!|<*JrAdU2~-YK-5i%vWe_R&*4w%8TYV#; zhZ+$=-1;@G5CgTx9gl9jta?293$nwN+kyW&htKuIIak{+QKWPbAOejP((6NS z?HmG%GXh@0IO7=-TTy$=V5(^=2u$(y&u$>On%35wD89~zQy30bZ2^kcnH`gsW&8RU zj0O`9rOXL=8rH6G4;R5_%3c|-8WqD`@Qd%S-Ftju<^;5DYhlK-8qH{4;0v)`mFQ02 zk!&cY!vAfva6clT3>Um(RF^Q((&p#P_WDz9+Lq2qMeMgu;UR-O2T10c>s%n<3b)KM z%y*d@STB*2hr4_g_Nw8t1G9Da6n`V4g!Uadm{Or+`A!>R<5qgOAAK1H@;A9`=-`So zV@dNq0)h@<$&|oVoC4YvVs7+vDNm*38+C}Mb@ASkzqS)+M@44;1UXov4dvX7V zvRuo*1C9Sa5xBT>Knff)6J%oBEh*3|ImzoOzDu_bGSWDL1eY!*p!{`g;p$ayL{620 zZ^4vdkB6ZI54&-F-}LOTGJ=A+A+A{yo0E*s23K`i)}*7iVUbfBjk5wD`sqzHY@lnZ zWh#e5^HU>>J}4GV$v#KmVBd@#8@+QN%{J5ujN&izkhUeG&?}SD<`F0Sy^wI2sfh z8U@4Nim_ln`=?@xVk&;p^ZSRTG$KtOTihH2=l8rc^!NWJ_qBPep)T! zKrqDr>YnOo41$%xgfLhg%y{Y{xHWfeZ8(0&y?B8TrLUs@^wkh(;@!G4Wip^qO=xNd ztWe}%tY*=nqAgRzJa@NBMu~4wGNyrbiCK?&Xctjz&4HF#$o`u6xJ?>>of{Dgzbg9Y0gGu7< zYD}w*Ss4cgF7{qE-+*zHwxXi-7?&rpn;u}juG0gd&%b~ByH4^fm0PqGCzuw+S9&y} zlW%s(x3`{@lSp4j@Khui;J0g<@yD7HLZVAXFiHJLKHAgr)WgdBX{uAU`z7{Cs_>WY ziw^T_Mduk!HNj8+tmc5eY)OqYN=2k0sYo)52hQl@B$+An(Nk_)U=xj5gWBEI`e|{a zKtx6GONt?lYF@%y?xZ`(zd9<<{&b{N#VG9gP%4mV?=>Y(`WIT5t4wVfPpY{1YA^-o zG<7F~aul}wrbbot!g{vS62q$*qC&Ur-PZ+>Z(Fn=_X=N|BKG{06L7b1vc=44)(q3oFK}GFG ze}E9N^hQZeSO!_3X$y)j;Sqz1DnF$3WQPRmst z-6&0}7gKP#j#3!PRcIBbuPtsbZ#d82sA-_o31c>4(t4IJrq>&!Z%mwA=GUma7!|Ta zSPIRTc$@HRWfGYz-2qNJ9%tsCARr;nx+{u5V4*AL>CvZbW$7vH6fR-wpaXhX*cgGjK#QZsyaWbsvv$#Cv;0SUTsHY zwWFgq>g1Eya)9N5UBk=iy;>Ec{OCrb+iEuS6~f3H%tW9_oe>bR+ZN@`z=`ju{VSw=*Mw2I{2YoLo`&h^&hQJoZR8RXNR(JYq#Wk) zGGx0Av3H!v8A~VDCqFokYOt%K$HgLL5YMD<=QB$W`<>Hqf{jzv2T#X@h5O=Vwdt;l z{dq~baW?PG+;wsZ)c|yC@ZqkP__oQwob67~NIE11Utv?%7&hudv*eR6+8*@ADKwTq z>g&#tAiNz8LJi&LG3VO}Uf4ei%3Xqq`wwkon>K=ejL`|dlM@b?LOmf6Z?Q#zrmkBOu4%YX~-KzzZ ztsC=KEH~T0$pBV1vDAJj=+WqP{?hMTi6aZf{G{r@$h%<#KRLMC=EKJ<36{I=3qRY! zQclw;`BvcJ_*gd_S4 z0bD5p%bnQJ-ku}8`V7@ce>5!Q{FdONku-nPfIc=XAQ0&@U*AmUVybBV*D*l zkKH>@|HyxomY^2HKfs?r0XTr+`#4#eL4MJ<+J!Wb;Gmg^kgT7qO><~NWe@pCmo#cpmYJ$%v&YD`CyER!n57y=QM z4Db)Kq;WHW;Ox|v>93vi1!I@^Ra=34I&8Lam1|kA6|k%pY~U3rwX?ld znblg`?nPR>y!-^dj=ktX@&lLnE&$nKfp9r@G=ZzRcbYn3#e4p8zmvgOj<&GmIj9KC z^JRN`XO2?$lU?D8`!wBc*G+CUwvzHun3qz<)HLWVuMZ0$oerQTa~!}l2%X=|FvAbm z;3w19WXJjZU+$$l7wAiz$9YVqb(IKIeu*xxS65W;H+Kf9E*J}`VF_v5EMUhjb1ZWX zt_OlT$_Q+BDB1O4cEYR@V&^pr9giF&a*I3s8;kOtcgF>DoY>+ljV5~Yq;-s6$JI2L zYkT9^aF!4>R|YPE0>AB=UTHhkSV?2rh(S7bnReDXG%(3K3(j)2c2Bu9@+MUZ+Qk|q zpA>q6RBg_#%QOM=a6APfXoWp5-HDOJCcK>F$M=*O@2@#xxUwo7<}Q@WD~yax944S< z>H_}|sogbRSXP`nG3TuKz_#}kg%$y(K`(w3$Yk{4DA6X;r)Yp6=Mq&sfa(^;Nj{tQ z8W-7}Glv2u{wU#_lX@<5)~o#dqVjAlJ7JJ%aYkfG#g*bK@w0y68pg6&s|90T z#Gl`TfPawW&-K%+JNS8E1|6Lo0hGi&*U9c(846rA1F6#b);b7C*skZpr@UCO=D-+r z4|J*gLsZ(W{0~vVPYAl>tpE)i5s3JQNG~`B7}g2+DDag8G}p{H7DCY#10ewril?8{ zG?)?OFpw+F3&|_)XF$XMmZg0=K42Suk#XvJp%p@K>i<6J`~N@C|Dh40ra=Yiy#SJ# z5*;Vrg^m(SNzH%ko=BggFi?7R)5a@i=WGpBlcalEKouM1S0%r za7p>33W7s$5H48M*|Kua+!kNii1{?)i*zbnTwS3{(QA6wbF6e{PQA38=X7^)S`NG1 z{fFkq1j)v)vC&}{!(7BmZ{a7KNGX+%8<{Q5i5;@C*Zl~e_fD6l1$Knz`g~zm)&A4) z9dS61w=il8;uvkHBIjI;G zJh7}DMbzb}SO?wKfFNU$qPAE*aR}u-p2^G?9nWrj_siqhjtLeuVfqGcPooAZThi7z z?UJQnojnqoRDlY(jLpmzCTq4Y`kHfpoR_m;&P&6?0{a;$&LB2kz6F*raU9UWXSqyk zSM^>hx`F);>w}c?J_O@!*>?}bYd4<|omDQ9zY*;jpY=XIQpMK-*U!a4(3PG;7ve>6 zJI*5W;};ce+zElRr9aI>5hd%2o0N|_hfP(-j16Mt^8e5)o&||-BQutu$Lt4{x5;pJ za^S+^cS9+RA}6*3*QKgn3KP9jEIjl7X#1_-p0)=Xt9Vy7{dQB}AE4M^{&pUmi*o@J2e6ZhcH;>QhXvZ#Ncm2uV#6z$y zOnbyv-eA_ndsO@MhC|aD44a))n9+F$`P(=lbu&!ABNloJyTOYtLG;c_#&IZOWA5O| zWUZk^^qC5bfm)(b4>4{#R*R1q(yuVgnBC3VdEynhnYweY~Q-tdi%|D8^{nm{U`?bAsts6VfWd9+`Ex}iJ zVBcoH!1Sf01)h~H|4fIcRzZ;$Ub?rYy?HF#LhUPE4l7-&(wwV6*{TQ5g>lx7C;WAf zfh@{U!-1*4aQO`KUiKZ16AEgZU@ToCCzaH5zuFKf4My7(L8&x~vO+4}V2|6T>_g?t z?MJvflap;odi5k;_OR!}gEN*ouiPq%7vF(es$lr{5&6?w=P`&LJ6@k#f04?6D{Me0 z``^pV%2&^HbboQue%RI@R=hW5QfkQ2(G=;UWJPkz^5j7NGHmCqmAwCb|zF z%=`mISZe~xW1V9b$Xgk>iJ}{ zQ(v3#%&M(P0<9f)%?o4zjgr{?^0P{j9P-4 zuk`xN{_QRP*YP)izYhfVa$TRWe~8>JT`!6w+y6iN6tDRZT(Em{B!_mG*f2)wlZJZ8 z&wbCn`_$IY=wz=gGw6%|yP1Tb)%-s=+cd>StuaSRqD=IBn&u8zaFtff#_QvIA9LRx1&(R!VRkvc!N9bM9Tg831jQ?MNu*GLRQL&X^Pl;1RX07C z16-TVt_mxe@|g$v5GHh6nV!LpqWO_Jtc-zf?{7c(0gho{X#3^2MSSe{aUiHAV2#al zTSyQVfK|beBFT4-L}bu;t&11b&*p)HcmN`ODi+XhWiAfGN7}HqhR9C$0#b z`u3sw7?Q@t(K{$%$v+2aIkhi>>Pe=>+iy!3M;f9A*gc3Re%uHJF;9Yrni;n!GjF9S zUMDFl?-rUn6M7u18T=CFc!Y`7K;Q<)MfPQUw-576)?iLRZIc9QRHjfek|LsT^LQyO zFJbPCL!@1!UFhM{92W7V;JNd;I{FRf;T{qvOXBSmG@Go{xA4S#-s52~SolZyz_6)q z3Qf^ZivcPYBQUth%nm1uQwFc&;5*I$!c}&p%Wb|}sAKX@>f19p=rB$E`r7i6wv$}v zCv@={v}$zs%CKyRzpA8CH8-3WEgE37%&k&3`QulGl>44rrt*`Sr_UcG+R@pB3PSEO zn)+B1D-K3wt1WCOlLhL;rExH<1f`6h4Dn;bw(U?XX;3V6k0x;1i^twc>Bz;Upp~%Z zttbi1>jO-T(u*p@S^doiNh&QV;V<)}Zn-GI7_*1Yr<=SKG!nRNHivRF!oG!Sk9=oo zU!VlAj*ItW68c(dzf+LX?VcdR$v%=WR8hZ1R}Z@mvWURKMpKfZnZ`gD7d``*1S@oe zq<1}Q#H`-;5>x#*P@033G%52 zgG{tSf3kh*;NXpy7tx*I=jRI?o4tNl(AQqFx;Mt)Ni6XMpXtD~0w}?Ib$J=br-4DI z6*%eO=&!_=Ut_Ln8Vh49UCBG*lsxW~Shi@yJuK*OzGNp1p655qN(u(n{Q8rS4J+o! zdIcu&!)#rl>D1j5Yp=k5W6V;o-pJ&0F?tfwVX8MIo87N`uBawmE5rp@G-kxwTdPl( z+YJk9x>}6%9rAdj)L7F%2?^xO@ge44>^?X>+3rbqLsHW9E8c(nzVqt_mzazWXmm;O z13womI^|yifp!4Z0>lOXb^pX)Zxd*M-!weRfTI}Z;Ap09!$D-cisYD)hV>YL7ZCP3 zLTW&{_Kd$Zhp1v%(pfQt;!-npD-EgQ=`+$vkx%*}(gB=bVaD`{MbIB-dlJ)c#}qH311!7Auu}VF|3ccvO9EH zPvHv#&>1{|-zqBZXx1?o#7VdPLj;Sf+EQ+c*(o{IReN2yot^5cEB`!603(SO%s{V7 zs>PpFo>m5!%z!7Ii++-TH2IU)jOUKTB3yiQ`WW_xdmA5$9_#j678>TH6J9dIvCSyd z?C$iLHY?2a1s0Mj&d?CgAoc5v*JZ1?>SyiTqb3#Wareic%2jxjlj3mNW5EX4u`I4~ zl$@D(01u#Fy4mCIBqs8Xf~2x)Gt_VB<|A~-=T%3^uhkG>$=caL6}4}B;zGFU1rt!% z>OF#K$Ue1iwYT_OLXcbr?c2>}r?i_9#F=j4$0`MxW~RJ_O)4e9&IH3<-zBZI64<_4 zbJx58exOh=On@?|^XMJIUh<-pr(!Ea2{YIHuV=*n6)FDD3G(kgmg%4={`}a7W3K^nd9I9W z+i{~<`Iigd0oznS*v-D;aGvdol`9H?mG{W?C)tW1IerTp54k?->3JwTc*y2d5}n9$ zy*93tUY1Wi#fc@2piwhZh&iavIOW31H7xPAP3>gsziOOwed}CVDCG38=Ze=g-$b8q zXk=uN`V7QYsMeS>?mB$Ht&Z)_y_0_6osq-pkls~TwM)$>mVlXLws#e{AO1(Y*YoM% z$#pa8__}bwgP;j{0RD}Nz=t{ElDtcclD2WDidgcoO8>g&$#djHt*|!+PxmMv8<(54 zSrlrhpa)R(_Vi)tdSenI_A+KiKlhP8=j>c7g~9BhtJb39dptsvp^`=*at_;keG7+I zXWFBH@h_FqWXC``ZtKOgmq4)eo2fJDC8g*-mx6JQtuQ{@cd-u|HiaK1Mrw(C{#2-} zhD+NfZtSE2ppQk9-qpg(%Vzd-ncTb_z7c!I5!{rimav)9Na-wQEmcajxEo>>JJ)NW z$fGOAb_jmvBIjz}3*W?;s&+o683kBnLC`_^ThB1v>Eio}YJ#T%3@>LYK`C)Dh4qc` zUIuqxwpuYBop9a4-OA)&Z&p;3s0 zW6f?GAp;lWbgz1QTo@KQuUB&=rl;6GY0;GpnSNRDJHA1SpTzzPb8f7CfePxf>4JNS zbBd$U+656fs&nNcUY(J<5q=v=!=`+ppsbf@mCK$LF4I4T>mJF&J(F$g100f;@w!s;p7B2I&-Y2dJ#F*>EbjGxX623$8y1 z=uj{uN1gqR2j6bwgq`hyK`p)f(0LIP zBCKyHQm=>E4{yDBd_p`JZuMa8i_OhvRUm!SK>9SBuO9Swf-ja26mbxON5W8wygo)T z+Zn4=Rl5Thvp49nXr0C*3Y;!JmDbj`wnl1{RA$dJdOp~L@lr!;0i9n@Tl&T z?w=L_;ua{viK%YRoWi9Rmdw{+i_GZPB74btD-KP`H3e*{iH+rr10^SAviXneQeITB z91F|<$Kg?fw{N)Uo~Y~4mVw^tMSF~Sh;(b(hWGW*r74Ttei}Z^Z49>GVnjgULX++L z2xHgZ`~MJqW5lcx|CX*g1H#+jZan3{2f5)QHI8^kZCwxbxqp3-{cloogJR;X{vLyw zu&(%g|8aaz^FAG&hbkI}K#wN{j-mq~Y-Tuhq|&T7oC7w79A(M#zFa)rmo2Gm zxKbV|o~x|z=8jbg=#8V8T?k})HL-Em{24lDh-G9HIyit#S4lq*D_`~3mR-X|E{z+_ ziG>RY;dd)c_n!gH?`pAY*jLT97b{IgbFSqI-mlx@to`E2SigjNqgdErmqY&T%e#{} zYhBFmw0ukj!Ewg*(3N~F2a@9DEUB7KN-iNQ9(goxCI*&#V78pf{1m-rd8b(F6$I#^ zq;AJqS2_9@@0vI(FOShMTef0@3Q`OV$jRo-ExKpDU}C>pVxrv(8+RiE46kTE7Va?D zAL=?keGSM{P|!5+eg(m$=h1nFC2D+R9qG(4oZOZ$D!aD0moA@MW%}X#`8BF#By;`M zkJfuJbWpVr%&SLs%o-CNlN6}{+2Cl0R;*Lh4Bs;&c3Ildoxi@~IG3!mn@nk_O!Km> zZrUcTkP^$f^hLXl^r}J^J4%JQ#IhhE8|0o^fMTx_EreW`$6-fgxZ*r3phiY}#?Z{_ zGugq>(F+Gj-NhA?7}d}Sfhx*K=O;VIJbqt+!u?18lr#v}X^?_qTU`e}41w3!6-YsCw=tLpSyD>} zNoIKs857TLn``B+I*u?H>vZE0SkCceelgh~1(l}OFdh7AS7$1CgOOknTE2T2P$X$XAGp5CIAJbN%H=#HWjgL zGJ_H4a|5eMHqf~;C_P)_tQx63f*b;0Rt6_ddX0-JN11iz;9MPXcEz}iF?pjdtWauTGcFh9 z(=nf8AVD92El!<>zOUSAzgTH*OW=3!Z=#|3V3byvXf`acT9_i{;ja~kqd`Y_11u${ zW=-~=>50M5<~jxn0B$REIy9pMrl37#WdaMVx2j!m^z>gcmRT_~RkZkA&BLu>$+$VK zUG2eZ)t$0_G3x`zm7YN^t9Eg3rJp1mWMg0-r5no(i|C+zJ{RrbE{REdkK7_up)@*)24ddOT53>4n> znsnm$;ZNRQOsz{<-Am?ERtK)zt8P6ALH-bufz)^IL=?n;G$=`92837XXrbMRq}7s_ zTD)l%TR|}dP0C5i*6m6@=-%Ol^B*Qdhvk6Q*LXQkt>}v-0CUzM-X$I*XZdQ+VO}z* z_CV6f=_LGK|J>bq>)E3ywq?2qprYM=fuNWjgmQKGSyEkX2PZIFSN9!35@i5qJyh7X zei}{|i2bBVaGn)ryB98v7E8a(EvND#V#_^YZdL3~{T3jar<7*9N0};(hO$mg?ZH8n z%*fgABH6rdjM8dXGnVvSch^7QoL!V}AeaU3No5DAK%>6n-=j=iIS58hED3f5Pb!SZ zZ_IDQ$-tf>O{->Ot2GkucG=W;9j~SLF2bfS>L@j5)qju8H_Pgp6))8sz_$egyyPR^V8%^m1}2!Y`dJgq~CVzkKV~KMgeJMgSaaFjHRyGF#A1kyYt-w$R>{>uGabr+S5iMR-I0|A;Ny!j zha~b|l+qS< zOXjMugGR59*1}9z_TA*{yFyj5KB)9RL=*M6OTcGAdldTnF3?xvg#5f0t)J8;MdM{@!E;{5bnm+KQRVFR?$1v)J(7n-8 zC%vJLIf(4C1Hvvl>~BJEPI~v@BVevA%HN}0$!1@_BGu0MlL-885*B)0;nCHj{E4`i zh2CuAH!847p0!`YxLi-cI^`_%3n7~+DrkWCYN z5G8guvHoKIPvRf1Rz}^VhpMV6JG|3LjsDR3|xk?C^ zIB_>`APQEp2y+@wrqNknG3iX2Xo}S|?-#p0B~9$uEK$hU7HYX`J`+Ha_X}*~hHPN> zUI3BU^zfuAo4Rj;?mdUYcn%tsan9`5dU{HepHom2U?3G<0X|u{QXI4#*N?^g*1(QM z!FQr=rwM_p$u_Fu4hDGR6E{a`FV!nlbC)+9-P;gv0!*~?V!H)1IJ~CH22~19g1Ea7 z&y_rm?mZp7A$VWuH>W6|rB+|sOOK84#-*W_&7i6?>&mlYi&e?e&PQ8kt;>TIx9+BL z+`Rg%pHym$Qdk&U&E|O%o51_=hluiX3C`QV?W{ zJIoBiAFD%6Jnw|Q?g{uHosXq0VPlz5VG)?TX*EoCw>K^5>~NyT`nZBS&Q!$V1Vu+xd2^o!sj zpZ*t%$0{11x2NBE^s3Afe^%x2l(<&K(6-pI(@z9`j^I$P#pP~~f-v)X_+?GCMg(X# z-6p+m&p+@j8oefY`t7|bn~UplsTfP6xh%?G`_0)>>`a&~t(zmK@I5;EjX0V;_Px-Z zYOMhNdPN09YGC8GD{h41iKDq4zI+M5Mlu0QM1%XzATi0%pb=$57tY6_T|hhP7cswLUW zv#~W##S_v0v6W^LAPTN;7pI<)ld4U0i*WBNnT>vHx0 zEK586ZH3_J!QIUh*wDpOp0JQ<#2rsXyT`OTT|-rFv~mdtn&#le)3&#*ZX1bPyy3sV zkg@^U8++G(Ucg#a!0b=sh!P>GaKN;a3tw2e5A>)2quwBQ#}G3Tr&PUbkRawuT`fgU z-w`PN;Mtvx+gZEG_Ht1Nox%LDhNTy2!l;|yb!g~_vH9N3Sup-a+D%mvqL0drxM`dQ zYI))GzzIvCRM(lH9j1RjB6`E?GDOv7+mBi?mb)as_4+4mWnHJqOSWbDLB>?CR!&M$ z(lQ{LE4ju_RshMkuhr{$%QlBmlkQGo{=j37TGa%l$6=B73o{@s4}-}qP!Ag#13chA$iA}W7gd>nPP ztl0f+9CZ3~IQH}E_hZ$;F*=1?p3k2>`sE2y$@UNYgZXNjD>Zn}A~lZ9yVGzjRn?I7 zEYJWa2gtNKaqa=zDNi2!cJ80v^p*z#}~W*##zCfVfyq=nP?Um(#3>LwvBCyRUh zdjcPye!cO~%3(NU>yM;aCyp zEBUgGywAcnV`($GH|0-5MpyuQE6+LbGA<1L2+I=BEF?j2U4mgXMb}K}5>z6C^o=Tk z&Gfkir+Wa+*9vP+O{i^^O9{&sgnL!Yesfa=I#PN&$Ig+{I7h) z>FjN6LDn#64_rtx7Fbt(M<7Yez_B1RXwsX>V0h`%dL|rvCg-bgKcbObf;0e`bzO?; zWs_|k|Ciz;kjk~>FRC)J^|e72(>{k`4Or_?`++-edKeFDw-a-jp2ZCat?8^!gB~b0 zKasN^dbgQ)4FpU0?YVs(#6WQ8#$G3lp)s8WFK^p>m%=5#0NqH`2}LWb1I5dR-wC_{3=cx%&PMKVSI`VLH zjUk}LE_&esf>`Wk_2X`ic0J$?b>4Oke(tr{Es&!|Mg_hvoeTCr@_n@B-Ad%#ocTCd zcdc5R?A^qmq6@z|E`9gp=OCbq%hbUt#s$9w|ISHS(gAL!nC*_uj6);nnkxy`FiYsoW0mel*?kJWud1U>6^ zcJD!hQ4C`zL~E+P6?4=*aT$fB8D293K#(0+1JvkRBXvv>EJxj$Z{Nq6#OW2|3uUQ@ zyRYx^Y}9NWf9O<+KELCz7XWIgIsfRMHvaI>p242%YPQeYqpr)0?O!5aZQjSshV?T4 z1uePZms)1wT+3J|EX`1}JfKj>^sH~q8Jt@)7P?SA-{iGQUUYqNK9N^U>ZdbY&n$fF z#!MV~+~$Dd533JY*y$gl_|vxcB?r=qw$e^LA63~^SjY<0T1R^COJ*f}Bi`~pp4x6X z8GI#euyu0YAw+P`!Pt$$fwdIi4aL)=nwqx{D27>5;Hka@%bCMBAN=rr{D2~D=%?qs ziE*ln$5e6Q{TeK5UI3PvGN@|uoZG=Cmd<*{2B7x$JbWrFWfg_FVOUP5kSNAudf+&KMt*pF3MT2v)^4 z=f&G#w3Y0h>;ITNUh{ z=5-~L8d{}atUYXww2Kv2iI-yMWY@P)RRX^Z1X*U^D*2pj^qK5g9P0y{r(9n}WC!2Z z#;uu-OWI3=L=yiWW}E-_?SKF-fpf=)1Vte%jByOMeE((^BNpW{R2HZ~i2iT8rp$PWGw1Ukq%2f8#{aNiP)a#e0xAmfJW^lgt?u&L7J0s z0R?8&*1hL)pHl}M9PgJW=zSKjLS|$c{|0n_VG>opE33@|ocqKbDXLV8aT=I}mkGnW z{9X33^IDi+2Sq9*?tJUSo7Qh@Q&)sj!p#MYU+!e14zwMG$?Uj-yp(ls%VvM^`#4MH z6J)cH%h3L4MEW_c=0xnuIRo!F3XbdN(`3=YG93X~cJ7M6#_|#8(;QJVL!1kf#NKPc zN=6z4ZK$#y)d$K5Mh2WF=e?=(axRD6jT9(<4Gq7QaY#JK8AarmNAb}9vwX?#Pfxzy znz27y3<=iW1E_>CkSxfS!nW&hHD{2?uyOjX!G|s6(t~jivd4gZtcjo1=L#~^R?Nbb z7MY5hr2OozT*4@>&%?h&$ z;9U+X%$wY^TTXM!a!7Q4t~aButh3p&;_>?UE7G5dUc_#IMAh%8V*xPZa1Bp$vZ1`p(hx<4^){E+k+ouwvKqWtNeYj)I z-Wk22qj;KKaayQC6jxweLXIy!jFZoBFgeWQo-e`n!!^b3k%Dl;`++WiM%;g$1 zjjWxy0UPjf!6l>Bidz4ZjDUzuRTKry(ZhWD>Rx%KlWCvkhKq{2o)GzVE>-A5HaSHA zzQY|U%%xN6ulZhgIenPcia-4QA!#EE7ko_e=Wq9gJ%T2_c=BkwHsMudKVTz#)^K2X zU5&pvim{fD7NlC>lX&lL37`|0usDD_G~O5O%Q2IRAU3tj*4g5?Mj8Q%PE#ZfQXFO_ zWzzzKtk~tRp|dpr?)T;n+5ipBfC%6#ajx4;cCRp>J{qL>Fa6AhrcYgFe6pQRr$tHf z(%01wHr&;w_Z^FByp&xU>;Kiklv_HxmrFJ!eE-BV;&~WO2gGtumo>ci(D5i=OZvx< z=(YP!gFy&j15J{}0TR^YkinckFLoj48kz75zJqF<7Ep*A#&@5%sJutu^0%1)Hoq^% z7x2Bg69+j?n}YvJ`5%>Ce@q*76u$&B{xR!j;@pB+V{o7`0flU9BkM(-X>@TFqM#e@ zRLXD?guzAwLcd!UqZ@N5V|F;`Z6RHmlBg>z!64@(P)EZ$T4Ki-t5R9{v2neYws+TW zzvt^;Mwcw%ugm4W_wv5)-TUU<`}I+;luR?>E{MOJkwm~q{#gdI znA6c%7w!U3!k7Lw;H*PzVv95Rc+7|GAEP-lBld@0fWFnHV_I+D%y#{ceV)GNZC<^n zH*@_v2kO`9D=Qy)zwNP&Prbc!&*{rY^55v0ET1%fQ|f3BX6`S_D*Bplw;9>Iy%hE4 z)TVaZ>g!Zi@hkB_boAlxGKyC|d{i|K^-Q>fiwLa9BWE!qy~+g!Vo|qv7ewMtEaC4e zZdfPLXvt-6ra3LaFE<=eQpjMH1g%uTO#DbhtXsMkN#W$tWVh;fTAW2=n|c9^X+WW1 z9?hK&ZtlfcC?;Pq(V-%RI=RnFBDm}c(KoT;B>9|yJsJ3j8~i*+zW}GTxcnjXU(*s@ z05#*!II(>*Qm9jI0zF}I>oh53MF%NQ8n&hI;jZsEm*9n}>^Kr^(OtM&rg^|?8BULP zTeNjDJ&sK}aIV-Ig&IU%Ot=M&y`sVgfg|3Lo75mzuC(t;gCF;$`=%7ij}Do+ZhI1v z_{HJS?I9P@mC9zVNe9~RJO@p3zeW6ss}TKO(*l^LtQY{QF-Gu$_f{R}rLAu8AdkB7 zUyaq@CwjRV*m~4RmXZ#M_1M!&$n(tsHm4I?;fT?u0Tuo%JqNz;wTz3%`6($x4yZD2 z8zx3H!j)b}HyPnkCO``|dRd`H8(d^7w-8*RbEYrJt-0sx0D1#jn)D+l%to+_!(a$c zR^zYg)shAU>~PbA?x+%bkSkp($S=Pa#}%zS9oUU#=@eVJJUyR5ikif;Jhia zfBOb>_--c$T}0!To@)w%2K1y*EiNpw!l**^+hK%dv@{c&`q3b4-iwYa)R)NA0nw*W@8CoYBBNK`*qJgEggp?PhiLFfo$li9Mkq3PEBnSav;Z}Bd_Fv&=t!8hFV xZq2T?m(|Z!y-q((M=x*%bZA}JfII)lo3H&K`{qze*KIN3De*nVgcYOc= literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/fit/xml8.jpg b/cpld/XC95144XL/MXSE_html/fit/xml8.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ffea08c390bc1f8089c81aa61160b2c7bfd11deb GIT binary patch literal 41810 zcmbrl2V7IZx-S|8DM}ToA|O?z_f7!mAP6YENN>`6jRirZ_f7;vK$>)BAc89dAWh&0 z!XI3Bc;w>c@8##><;^Z8C=8NT(RxUD0Q!@t|Fi%R0Kfk@|E)v)tt0)L1GRsy5ncOR zA^`opo0s};=^Aj~Khl-I_nrPNXo7^CoPe5VKu-Mk3c-I|Day(H=M_<)h5%#^CcrO(qCn)Nq@-k| z-o)b`9zfM6(K}|_XeUp-s^5&n6 z^5#Eoq4|IF0Q?sa4Jq)ZVuS=7per;4gfs;BC16yD@I3@zfXb@`e-l9PpF{}EKG9WT z5>m2j*MYhH_euiL6~h0lqy`ZX5fEM>x^k6-nDiWYIL-EZ0{#QUtIr+M>XxSgW9(ee@TXkCu@$#hN$kz zfOV8QZ~FV!jTt>t8z-erz0-(OW2eCA%n!|dGn;2p8Ya#`F=&<4$HJ)d!&}QUA1eaw6S5Nq1)QPT9wswmhkfTJ$@$v#^#m) z-QUjTd$)G+pcgnVtkC<})9|XGXx6-}Y<9WXzKRiBSIWTjWvgWPtp=|$=L>zzQs$5T zu`feDo`Mf$C|$U}l{IaE%xC51^jrMq5_9eB+>~C;ZD%o$!lsxsy|>NATBl+5s*Ps# z?Fu)3PT)aXf+te@co0F#&f#2>OY4$JxmyHD*y7H@o$vi!ALbY5!_4+3@gPEbnj6a6 zT3o3{`0v}Iy?9WQpwO2OyDf(I2g2qpN<2L34*eXy^02j)gHBHt#BotnPTotud{ z84|HPIG_xeHs_O*nsv;WRSVk=s5!|WIyi-))?$odAEu{8DLS=rP)Lj4y!~7sTo~pX z2P<^=1wZ|Anhke(Y zIH*rv^@Bc*h<7s9=`;$Oe^!?mXwa1>g$F4Z9Y^S&xLNU*pJ3hh+J1Fl`%NvaB+Yl< z_%Ke3&Y0;Gbk>FihPL2A?^{kJO61vdMlE4X#LrhZTg$rF`|oxmek#ei<3VcMb%OO+ zCkqd|yxXmc?~b2dt?^T6Zj=hMYJq%L*K^WE%A~{ZCTp=j;#C4`d`$tS%_xKdmt^)G2jP&JHPeI45xZYkL)L` zTL~YqZ18R;{X!(lKtgQyHkXDgYnP%iIWdX-pZ9I;N1tT0-~QHzFg{!8YuUlbLDmIu zZ9#IipPxshu}$65DSQUMv-nVXc}3?WZ1=ZLkQk&44voD`vpD! z?Gen;r|~&+$pfN1f(MDX-ABv3QGL_L@ieqk#rrfeJu<{6yn=v|`?KUEj60!b>F}0C zo8&7DZ)Dce=V1&4uCB%XD39xf$+&N-7S~NY2tTpo^*aoc`9OqCZBgOIuJy#ZiFJQy zUS-K-U2XH>gh@aP#;f)u@^xfPg~#>Vr!n5eaAA>r8woin^Q_10 zKjJ}DHpQ{iP@T54-X$qs_D`QoBA#T`je2;T^f&iO+25M-bq_m>kc>>4X*mg~Ntu)d z8|+jEFxHeXW!@O7t{%CAD=2VY)8!z*778~dzo2%ByDHgs1M0@GaJ)j{N%yX6G6EG3zqdk0atLywTJe%?j*P?Penfm?;5>N$hgOq`d3aF0?pHb z$(z~}l^tajIGarAd|CGR%UL7L@0V+HvuN8n7!~LlbYY`cdsL*Qd~3CIy%hblIIYEfRw8BpNy&x$53fz zQS1)}CNr9D(PnSqK_9$O;B7-At)(C$(L8hh2$LB4R`JG!q!YGX!;7Zs6&x+@A_fnV z!N~ZNm|HZa9;zWp>E?HGn=$Q6PZn`W4d`~Th}A#?YuEdsx?1htb*$Ryampvxi&O{kc@ zWDBr>CJ#Zh(72Y0`rJ!3=j)R3`#ve^c#v-D%7nR*OIFM z_~P2%{cGmeAJ%xEs>KcPiq=2&wE4fd^dG2|s z5&=1Yhlu{9tHf9TCSqa|5>gTpVluL8*8m*)?}>nrknk$eRWf2?GKyAf~ki0On26lp+$kf8e`SF?zcrF5j2O z+z-T!Qj6>D{k}=4@}`wENHRQj@b8{jBjtHTc8!rKDqT(eeWUT@le^5wb<`h>=@{@j z;~zNlzk!+3nx-znZ?el<2j;df?#XDGxrW5%RD2$s-$CD()i!qveVhBSZD?T^^A9{q zcoi5UFH%H{>W*%H9be^Cp)<7eJr?5MMrFX#o0WWa5!jW9D`6eg7i|2m(D>hI{(m6( z-ytj};00x`)!TNu!2A18<|^w<>}vk|BK|wjoJthck_#3-fr*5;!kAe~&%G3eus6YMwS z`9^esJ3`Jz)^%BbmR(=mYT2DS!gpQoZP>-LV&uhJQxCf-RU|`eVk}Ek#&yHWU-3_n zFzos)b|bn3y|e`$#e+C^ajMNor)o53wQ`YL7JX>{FEr`nn3 zpFF;$s8lu`q6aB7;4oB%wH6+f@7jsvZfsr-A9tMfPm=#yB_|(vv|uwlsF*)A)IsG!YW0w8Y4S^33D&pr3U~)|1#s;jE#^Ne^kiZJI@$8(Zv0?T^EFkSJII5Bdhljx@vOB27{Q*oJLJk|cKE z)r;H5gBY;J#EDO!fa6qC!jm=V?)OB|>pCF3{j7kTn4yRy!h zX;VlM9Rz1}!orZzrG8cr6rVxoWJgn3-w~!71J?UI^{d8ODuqk9_x7iR0=m{g{`+4@ zHt3v!|Nq_l4@QLH#{rfjlgbVsM6BH1J}=dK-v4eOJ)DWEphao?7AP`iO3qcnRSl9ABwpB>=1B}K=(Dnhx${zGF zVi%)W`|DCqJ|tYCX0CGmx|b9>up1?X^BEh#nNFUv!7GK}u+a!3I3x$>iL(6Ezh#Dl zeVku@2-)(myV>{{bI^F+yyGPsPUaE(VQn=+nMONc6Cz~g>H+ZiSgn?G`HpoYN`JGt za0QhpN+wucE0JK{J;so{qL0WX+3xLLO4g{_332k@Y~k#=JYh2gJ!-bozh$S=L$d$glDqwhm8(d+Yp-TGB;Nbv;*P*}VwEiZ$hzgxyn zBdBa9R>rq>BiCoX)TTCp$023lEifMR6}q~Dxv7sf(Kun)9vg@L#Dk7!w;%EWqYl$Q zXR|s*`(kQvu%cbu`R>J&(^~BBIIJ8Vq*Acq^&MIQ(~3-)!Gi!r(BLEjG68;Hc7p5N z!CJRWbye#dt(`>N4`&hP8*8$XGQhqD*46>4Y^!DS_UjSo#`z{5lp!DwE5q3>sG@d4 zZ)0##4XP-j6N9IzBMvm&p?wjiIAMCfYqiw@W5Xw?!!)q)0fb#5&Pxtm3?IsE>@r71 zzxF9xahX|uifI?jd3s(yG*j!3{FK~#T%}o5)5)E?GV=tjf#$;r<3TqeqYRKCK<64n z;5bmTmlR&pxLO>?B^8dR8FPNoKYNJ>owHmD)nFrQsUDo;3U7AY$8{rT&Ieae$7YRM z;%4g;^0P%Nk;XfifMJN?LwW!c3$dwC%1H2b9%jkv{zSzER zQEVxqwt3Xo`q8guyD)SXw#5gL<;0F*0j!_FfKEWbL|m8cv9D2Ae?4Cqtu9A&h4j0KM8OswVAF7diB6m2n z1jvJ=U9D26)DQEfvm9r_ORH4Aus82?-mEL88)c_V$iM+d)(0Wa{b(dqQcF`vbu_xS;ucLl;-Lwx%=Br zD6;Ib4>B4WlKKS?ih;<9uSkc)jYQf_%Hu)qExF;b5v9r@@2z$gidbsx>SIk^kGlOM zEAG0x$Ell8u-=Vq{P~{}X5xRg2TuOanos@Dnok4GsRmX!-SD8?E@kX4GAk3N0FwlZ zceJ46s&Tg2{_Ue|r4T`E@B85t;)bRdrBUkVhCklSXcjp%-cTE2bTG8TWHtMDrYv;E zKn>>F1n8{<6$A!sUe`_{h%j6CGva+>XyP}yq0s?pTn0h1_={Oxd5z`^v|-Oj(B^U!oP7<`)E9XX0)0 z@_(}XFj4!&_fOurpTbEdAwKv=kAclZ%apy&3SHrW6eC2gm507g|8Z zzIqAnpE$Q*-@?)!p-9r3z!I+Xu(j(V_Lvvd=Eu8tvwhsO7+#AIye-x z%!7Y2koygAFNo0e7cx48GtJ4^#;>0o8>H7e^ycQq8?jP`^<23$z2U07XPDUxC(8;5&3gQ zM8nslMC02bBJm|xly5&In1l+omxbLPhixf(G#&6EGtYIfC9uvZuxQ631aWJLS;20} zp|PzYLTu7qi|6ItnogG{%>i18PbS9do@|}Nmo``;?$VL!=!gFHw*^U^Z%88yxIX(u6gOnJYkqegr3?OPAmHH7)GqhPZ|5|p zEG~YXF;OIbXzMHg2csL&{PP?NH&&m=Rt&2(pnk}!*u^VJ1dXk367HCXM%0e3Ba24hoh-Th(C zdRK}}KJ$!P%TGSA8D%v(cq7Y}uCq;xzeef8P~6GB{-q|96S?R}s`R?jz;pgLeS_5q z4o;etdo<`^$J^A>cBLPrL8{ux05wO=5_(o?o*M?5f+T;CY*+q$`U5> zMJwiJ=9wNu9QC5#|GH9Kv4-WRDy{)eKo>G2&O20$iFL#M86z^ zH{&c&_NJzmRYpq23kwh-9a`VFPag2Yxv=(XH=aA3iX8#qfn?#0+ntK^FiEqLxNl-I zjU9doebyEIMoibzbz9kO-)?dEtdNlFWswnu?jEMYOb(nC!?D%a*Vt~9^QKpRKpA$m zX$lXLXw0LyH7slv!Z++nB9MazhcdB%yAuic?U0@lodssg)6Rd*v&}==a;be8W5~%Eb!7pj^$N(c|EHYs`1)rYA>8rew`v?ZK*M|IG)_k$tP!^ zJq=x?aurK(@TDBhmv9nI=Z5M?t9VEG#C{W-(xf##9;&}cUVqNPIYq`C&Kcc$sc3k9 z9&+-YHxqUT0Gqe5m3Ywo^OK1FE!`Dlb@(DUzfq_vL~NL1cV!GCmL4Q8U!PyZR$Tl} zPv98b+jiX27y-PV6}px>Fc>>S@G_v{Ya{2{c*1Ui&N=GGF-6JF+*~x2JlH*H!Yc)d zOG+C9Z?RXOdX2)+18m&l;B?mT2^fE4Z3SR}&sGeJU>K?E-UJJ3__$YgK?UbIZJ@{8Yid6-UfSLv>EziUNNmQ5t}(mBYNY%8P-cb z3dfYBO}4cGHabh1bD>kPPP0ZfL}2mcN3O%UFdg zgrc)2;J)S-y6ilZY@piH06kBiv?DYo1)3>i zsW$y(Uj!qaM6;S+@(Nod$Vh8(D3JJVcNva=qT#otRAJb~DTrK0H=>potpSH*ht{Tm z1xqHYo9;Ea_mAf+|8j2+?rOH8zgG*R?CZ^w3~#dx zjmH}Hi6}XNRD@HGwsSOuid6@C2x$eGrjKSo4!+c&6vBdo3o2E8G<*!*uO(mRw-pQ+ zXx^p4GeaAhZzG0wFe!z6i`m?tUvM?h!^|G1N^{@4lQe0USoHZGp$g=u$-&(j3Z@A* z`bRRL_5N?ROr)Bz$49hG+ET27!rV9PRq0noS6A287WOJ@0Ldr>-nz{%GztwvXI!mp zWSBJ$s&~bt&RcygYwGcXJBE5hR{KZmXxS&cOX;Z>Dr1nkqZ5a_8aaXJUwfdbcT7aK zz8+OinjN2gadBM8lAIp3PD{!vbJTN#w)z`|PsF~?unj5=Ls?ykQy)W{pkHjXAKu3~ zqBA2%OsssztUR<=f3|;manN|u(7f*MP5%qFvnCSGF*^blt|lAe0ny&!U+AXzNa z*s891;rn&9M_Y!AH+j?~?KZF|?)2a3PUW2exo1|RiVvEa^o{SDlfHjRb|a)DtZ)!MWl}} z(vsc;M-|H}-npl)!j+xNYw{*wCp>l$(eOk3Ql;DYQ~k7f`<#S;nBhygYB__Z6sMPK zWa;vTz4vd_B)%7|PbHOL>f8F7MyzEAr)BC%xtpw7!tU~t^5?5M5^u}r%JeiLhW~2d z{f80v?;g=hH3}KJetB)>F>+-Ck|z#_h%W5OCgnECDF7av;bw16i&zt#_AqH<-dI~v zrCW~anYv`PAO5M(^R(OzHKgx^8_LV2^m7A0HLp>TA3Sx;8(x(-)6?}h;Y`Kvi;m4`^;NSFm=B{d&d24 zsHv7xo$yl7k4c6m@Xw0*&|3GCh$ixoXmUn98C5{QrZC04_sO0;v>$!CABgY;bhWSi zf&6*DJ30zu&@TYEG_pA+5@`b&m1@aqy5t!{8R2|JDd-wqnrP(-Jwh4|pCv~QrCj3o zT*1N4o>p9?qy{XHYJ>Er0L&@{8KuBEprOd$qYs60I~pMpU@@5R8mcVc&P<3MdHJ6H zcz5r1=c_!kUj@UtB{HJrEH1Bt(eU{|6D(7^klKPYAEnoztA81>KoLJGJ@HniazD#c zV-P5o=hCLPn>^$!96{K+mR@xpfIvk6a8TwR@Q#<8R3isA8nf~ZAJA?BVX6}jG4M$L zJcFqdHer|CMkyaFGpp_hdx{g2z}+V=S~8bdCHaqjaB6BFL5>v8_Vni%eQl@4M0!)7 zj!{R;Ux?X>2}QOf|^9A|s+L%wi^6Ei$A`_w-p15nJ=+^e>?Y*pJv& z>{sL-n&UT0YLq%;)*5M(ia=szPZZx3?xKvlbgFgsoE}#V5}8Lt8KZ90YpeC7)!w5` zj9Ktj`4WIK9c*8m`2l=>XN~s`Y8}-65?=vS-i6 zcWGKz3Y^CD?>Q$vEWh>}53)c&*a3-875Oa01ot>; zhe{Z&?S(dmFopRT^`{&V|UmZdnBf>j608^BT0=f>!7Xhsz z2>SpbbS#Cff*ohgcC|oGsmdc`F4=Z4&_|~cUM8WT;lYPP?e=&OZ(2Qg9E@yv4gHFR zzOWQtUq24FsHKgGiE&?R$1yKK(vZRO^g?ej=O%tz(6O)rFmlTrkY=Be9%Y+~uR;Ke zn2B}Wv`~0SP*KRs6&nU)%#fSUVlV&9k?DhL+&?BF>}Rs|nbqx{oPI3Wsxw6fc2V|B z!?iNO>AlkADe#x3(vDGUq8y@`uA2GYNikpJ*YZE`-U|-k_fTdW)jXy$K&Ycv+Sfa~ z@~0tN^k6ahB?KGXMhqSD=b7-g74SF7=IBrn-`0b2IcN3srjeKO`}P{L zsFU>f%E@qkmHFX2n&=y^>!KZfrhLmQ{BU1^?S1am!pbrib3tg$9^0CLXWzGl6;l++ z^u*-etbuBU!t8W0H^-?Z!_Nqm7%h9a+Xj#N|aDLFe@)hIbX zR|-zBKPQkhde-akFBXwMo*$cX*E8m(*T1qpnkiSf=BD2;-<1uRau;Wy)-C0JYno5z zAHZ#9O08T37j_5U1r$SuH3K<$F5%?fY#s>oHTUkrW3mCB2;sC&7RAGfPr^~$Gka#11S0OojB zlh?poJ0_o-`!ik4jXNpl#%F4(U;VWL>XwWHDVuwB8*v&><)x)FV?;5v3GsS~zvUanK%(Zs8?ulOO;8$Zg(2KE!|9-fzJoy{E zAS2G4I6lUo-TJIs(TL5`UPK*;jeLz!KR@*9CYO22#eNq}QVbb-g?)<$!38$JSYGk5 z-@GGK7%9M%kGbmxK?Lewd{}|o;at!p|3|z2%Ufr7(B(}DFtVK&YlyR8Kxquf;U2SX znBdI309|}l<^yD25xl(ucr~_*Dgf`mJa{b&IS0#CR9->8!-F_rNO%aa1Cfo0;RcWK zAoWWa5LHH@kF5a|r<<_MUhFuMiWv(B3=jF%*h-W$!Yi$#8+{#7Iiip9GnSRg+?wpD zF4iKVjXe-RTQwHbt0ID<%WGV2c|Jew8bzGdwupz0SW`}nFuJU+>FOxjaw4Pu|4GT)-=H;D}XgC>O{mC*Y7sHA+zo$w}rQ8KIP6Ns3lG8(nY zXh(?7uMM|cSyH*$@>J8#O?^o-A_0D2(_}vADqc{UD;7_w;_E!j`Hh&)#_w zR`c%ZSJrz!*tc)rW7u#$jG~(D(x*V9D1*dS%%DzBDB; zRwRGC+nE*vkPAIm=$U@fzfDRhwpnO1DOpJOGHOPA(6S>GF9eYY`ml|D{zlM%V{Iiu*Tz2($AJ{XeT(4A1s)lFr2&}_1Hcmmo6O}CZA=NjpX2NijL4~Jrm03%UB(D1VUr1+)r%J)J| z@Pt6;JwW+Fm-V>CSFeb+yD#Xi?2lNUtPFkj^ERoaFX7QOY>f?dw%fgMY@Q(Uw@;$H z*LMLrfAOs4|KJtCQStBNAsP8U;;QXX`WHnZHrh?!I~t1TLV{H5woV1uberE!%&{4KfMfT>DL#AbFW%`CQrxJm03fFfK1sZgVlZHm=(ZdG1 zw10_V_!DCH*P$XbL^V)592Nn_`M}{VvJ`kwmV5y^>$DcbNX56y`q+#!4NJFq{nAVO zQcPf2dHs?-t@NYc>f5EMS%#_R)uCk+0 zx=*x&?{|V)tZk>3`byvN?Jx*;)Qvpb+|^HPtzUj&W+lBf2bK$Z;}dJ2 z*E2BcmY)*Im4eN4r&Lr#6Ae~1w92!ySTu<0`+TaNroB&H$8 z6wpE1z*>BaJcNeVZ7#|FT12^6SDdb$tXj>F+Do6luZnS49i5xu#R14d;?;X$d^27onIF=vxafV<{p_-qKj*s^NgxWJy0i@CDxtvg4(IV3cW^jF27t8pH(e~#6 zJEimkrv5}?b1zz=x-Y}_B1iS!jGujavy$%gkv|JKT&y{tg(%u4MXKT~BAvI9?w=y9 z(e5ZAAGhI@F?fNp$R?3qk~ z9+WjIWRx=^YT+f*cF_$it9SC9N0P_}X;TS_Z;LDUc=uU)!_#y}n+4>51uwt(MO6?;9~5$7j)5T%tRw-s-e5vDWmaL&Q(1sNwh0 zi{c&NcYRjK<&}G_`9)dTid9NsipR*sfrzA5AV>lC2<2P==8iCF{FMM^?;nRP)UcYgfu5O zJHhe|gHrC6(zzVvWK>x^>C2##c9sU9DHjN1+^tbLER?hVv;2oqSuJnO9ZII(LhawpN$ zH~7=nTpkuCF%KF7b(I?&Dq^RFtd!CT>bg0{G^7J2a&-2Cs9qQXvU#$s0j!%6{lO%5 z^dxc-={0PwmqV2fxgRj@=UQXA`)IJVxmu#BdU%$$Udx&8hwtmWOKyimIGt8m zi9qpy%fv7nqq1rD<56dx5;pRqekP`H#!;Vmc5+`hd6D=MClV{?LMiRSmvF=kk57;&=Gm zmP_hctDydtIyuwM!Bi<(>=ZWSGdSNiqGx4HKG*O1GJ?$10m4;(8xTr1vMoS-&tw*bfs`QkkC2~k{JdLnslCEKN2E2=0U#wn??w>3kAFgcoW7n2r zHlc#JhiETdlwL+{2xM|&*$TOp)8rzNV4^qimUp_khUeA8Nd-Z1yJT6pZ?Em0trg=H zui`;O1)gapiy^+QWpUh>e!YpNbq;XnL_lb4u64H7tMAsE8&!4gwCY8=>@oP!$>b zT6b%+@d&R;R=ZDG1GAF!P~$iH;95WW=i?eXJzp2oDDOYG-Ow`aP?%*vA?exMOFmh{ zsOfGm*Eos{2J)>9sNq4XOHvpQlgsqx3qu^ByV+v^wekMeC>RZq zN8>@7k&p18K0h|ZCBr4H|H@92&6#1>O;{mh4);N>Y8#361)ea0?FQylYtsstUU59= z339oh9LlvYR<6e^ep1bm1>cZ!seZ<1FQ} z{w$`}0i7pL@u1m<)M~M+ub5P`2dFh|6=bqS(ql<5u!;>>77XY zh$o3Geidk{sgSyey!@&4#f+RUtXQwEUEon0=!Xt>a0B5~;5lF`({B;)*QuU#-FlO( ztC0lK4e<#MS#uy*iArN-=Qg2MlWtdJ9J{Fni`fl}F4{;Eag}6f`v9mhGIU!HrF18s zrtTR`c~)Z!$mx$%N)ef6Ht-??8f7OZcF=vlgs+Rt&(NH4430Yp8EQ`I04Ku~aS)-A zL)s@oU&~kVFPxk&lXjgIjggym2t24ZG81*yxs_Cnu`#)nqD-Nhj!+EmWE(g4gxVM- zBX<3Mb0)FHW#%=uKcK9Q`8H`cIlU6?TgX>i*$29< z4>|NFbchPzv4KmW5y_RTE+uTygn+~z^v_DS!9YqS;12_@3yrg_R)?OkiCwCXmpqM# zpugoKR1OI_gM@=#M-H69jow3D-NH=x>&Tbl!i6*M>Gxv$$bBb*(?~8P3uNeG(|{7 zr0tHPO+*li$^^NR9}b667jxlluo$7dL-{ zUl}bt>Mt$Sr_xCh~U`%^byUi0<9^%SD-$Su^4 zrUC@#yM;n&AbB_6Geb5DVsZkgI3gW)LMdOPc2O9jjGIoh28fjcdIy^Q@h^WTXT^W;1BW0;DaWVE@b+#L=;krL!Q-0Qz-ERCmaM6u}wS zOHC+f6wS#-DXorz1c8-2nTJ!-dt;yTn{>Co>%9E&9s0*n0ytF*B?EK&Dr(T(aGRV3 z$8*GH*`|);RBd=gnVY$K(+5|n+;=Y(cppr2cXqTu)a=uBZi-z)-SgVf00BS$6o z&?4EYkQc2dU}%Wzk8u=oZnTPhfA&hhczjI%jjIx^X#Vk*BBg7N{qfaTgonK{|Ke5yK&yrA7 zV{Q6b;R#!8+`A@SkzwWjzpwMfE#4Xv-Z`?;36dp#vFV}sqrU&Xy2CuplU65^M#z!C z{*T*P3;oI;3a#`gR5_y)wMK>W#pk&RNOQB_z*FN(?!EE4cSAbIZf1CtMHQsnWo>^# zG6Ks5=h);z@*${L3_}4#SpE>>b)vC3JrgULgOTi8oJAC=`8i8fy53|_thUHlyNN06 zG{x-@hf*~}js@yBEYEI?Z~NoeSfgc#TDJt*Rv1Sm#Q2WE{+^eL^xd<(43d^P-ej69 zA3ujcyhctnHl4S|Hn%bY+N+)QvCFSUVX4d3$eFBCx0P&YCQXQqNoNC!Zn~kLwY0`H z$ldR&pqFiOsKJOA$%k=%zt~rt=(2{co-@gIA|HqE`L~PG#J5VsrM^kHv;|nf|LHm^XWLEy3mwsEz(-S%xRUU47>#lJ!WluAyk`4f0qR-q|dn3Ou%JSu34XN#P5d1WNXce%54E3&o->uzzzH++G z1Q|6o-Wa`^U6SelHZXyyoN0#lz7**aMK-ZmD(5|s*NGgU^e8W14qeqZEHBcP<$Nfg zJ)9XM0s{ZHIytd&7+xeTGD`>eO!CqX%YCXZvZRa=I(Z%W`IJq6i0>3q<*Qg*)?W~L zf6EKn7zQ?2yXY*ffhKgX`PjxDhl5LcI-P4@T}ao)luCcQLH8)}M+*YiOU9PkF@ICh ztR)WTvw{qjgK&>=&!)YziuBIaMGm*z(0N)ibh9NWgI;Cahx@sGYmJ5A-1v~$`!FMG zJ#S*8Rdr+!CABCdI`m7d$Q5IvhrTwH7NMLi0_d<#Au1H$SXqZ`>gQnR(L$SSyph(C z#yGPbXnLe0M05<%dCROLk-|8Hce2#e#ss-k--GZ+Jh-DpM&xk>3KImj;g=+i+l`@E z=1$|5Ckjq9Z}fSjne5&qn&Lt9ADvWCOy0+;R(OzXpW;J)#NF&ZkyR-r)SqavNFYRD zR0`*SbBnZa1?+>%de{yiV69}59}8#5ah8>A2!2`^yua1pX$%C&HCTH#2sm)%vYY#t z?0aFU<%B-{Hnb|LoOTaL%cOl1oW0t^;mmaDJMAD&uK(fVhJNRit8*#~O}u^QK4iV< zL^9z$;EDwBsl~K>Cz=y&QREis1l_ZCnDRdqw>JNSPBl(F5phG>06Mk$EW=aP|9OAB*eW9uzotd~rVDU=_Zvd_(R?F? zIL^;y&VcgKxYOV}PtT^MI>9$YGVf@z!DRjj#!d4pf9KVK`? zKJ6;UZ0$%Y7%omd5}|pLcniSz{bmd~jnHAJtf^Wlx*w^5Y#GUQ?AQw+E~r8mBXL9p zbSaLeHS+IwS@`OsuQYN6$-J0fsDbbA#|Wl1O0+tuG1-45)$IFPnfQtM6NT?5xg0&Q z>n<* zFr4H_f(xv}uwzpYAuwM6>@Io|ZmejYge=}N>yY)xXAX7M@lwHA1Q&41n%_*?-K8&% z`AN6mWOJmn-_aTKVgE;HwI|@_)e+hxmJUwKe2^8FS+2>wr* zOZ3F19iylp)`pxdJcN8(vBXAUOWOgUfr0$2wHOXEjEp&n5Yj(^2;GHNdY^Ev@A#Bm zEt|i&CUTbKynfs&r(+2gi4?GXbL@H8iwBWkB965$YA#BbEnkr_pJ;emAkRY|WiD%@ z9HChfRJ>-u;*i7Xo1-<13p|_j?|0XZ=6Ve8+Vk&(kpSd92 z>?@&e?xVri5+A(f<+AS@hi*wfKh=5aJ{2|;?@Ji-Qep{mJXO4ftid5~D=wpOK7L5W z-4(4D)&8wK(>W6h#JM`rZ|S^vsoyx}YF(=);dR>W*)#^b`mCpyQqCPvJ9(#mUvGcmz_{9nAiXH=8zw)cw_c|;IUx}bEW zNpFEDNN)nto6?JP5kg5+kPe}Dh)9(pB25vx}cRckJ_i;DciX;$5zJ&1=rz|36Vje$da*#rkpdLG6j)KDrJLuEs}eM~?WQ%|dNT zp5#6#+~6gnNnB(e;g{5zMSuTL6fqzG=D#0^$V3D$`R`YwMYptINNP}&?I?=eW~e|? zj|?)Pq>#mI*m8r*$yb}(&(m$c-CKR@qAMO53=@8FJ5BS-mn8g((ZzN18SpB&K382U zmVO%7rwV6DXWDVS8E`@4<}<#Cvs%nqBZ0lXQcTewkf(AynELK_ve;4S8^PFH__^Tz z)K5q&AOq;uz#nf6tbFoQ1xj_iSD(c1&C4Inj*({BM_;!oGzfY+; zfY6jw&kLKB>1hq^L^AXEa?U9XcixSgUjl^|7oC^-t_x?xdJLLfM$%>C-!@t;xp-mq zP9lyVV9KOH4P+tv8I!?4=-P^(&Tihkh(YIC9^`~LSWiZZGn!8|UyQUEQhWY1$N$9H zcrW3#t_VWw$p;n8AL;YF6vmV2pAl_pQ`u;FvAidvnOZsykF5eQS2oPf(D}P9ebl>M z&%*r*0ko69Vq_oVehM_2gvIVPAsQgdL zHYnrBLd!vKz8c%-+Qwv0J?eO|Z8)*@Ld>E#(5Sb{ob&L!?d@l*o zb{KuE0@ai12)BVDNni(AxQSL{m7xFf)v0mqCm$B+tl>Y2nIu?Cmv*`R3@nAQp zohd9@`}rJhM>5v^z^JC_$1{En0%gtHuaxRP<5BD|w%_4KkW^aB z_OA54Bo_8b3nS*Km~d|IM1!~fEX72x!O!MvxXibiV?SNG)h8?1yJ8vHkN{oz=twW?ZIs2F&$m0%UBIIPr%=NzPicl8hm7Mdz>(J>3+0K_m_anU=o~`Df7&AafhS7SFKGN zNgHRt{do2*{cf6oc5Du|bPCiR4{iLW5rdnAxb-(fzk0cYjB;xY z&Ha5tEsQ}?=?6E0W|;*^hgk`BHDCT&;F07Z)AH0oXJe!P23>ag>3iJj9D$%Ln1S0` zx?7)}o4+?(M&f7jqdepmOHZ}U&{%oDw}})8yWFevPE3A8IbHt9PQ(?YJIUT>tNB8ZV;Z^AHQ5o z|4uqCEylpClS2#m0=prSJ18T6XQ(T6M8T2Y`G-SnKI`<~$;Lql{eMWmGJs0^3OAvG z&HekNkc_(DG_uVg202+i<&Lr@DdYuV0RtEGy6I-lXGF#y~9HxaC_-L~B8%pWg$_ClBzk!&gp!ut>gFhyvk22^jTPqx>c z`$g<6!WU^fINr7fp5id@$KDq&W+iz1F=uOxUvu&`(W`RJb3r9}yuMFgT&|508q#P;+jQpKgMF9b7M_Tj!h$%Uu zRDZDkb5Q453-nA6I5;!i~r#JPsp+&>N9O zbk03gmdZC;p}qV|h?l;hP8Sw`aNCQzb;UBV@YGv3uBrZNEvF^;4Kn^!_`W^oLFcMK zG|rH_Bs_Q@#%~RBmv~9pZ}#3ta?S0m4WDblmDj#&M_oT>@meH6)4xCMmhH^KWVmKo zwwcrnunZxZu)9upR&sNc-!#C`9_nPOnhJy==^8mRD_n%5uGDOBS^T*LIdB0}(@t+f*l8Ro|0$~92AN4IAZ&19SZ-+NBsF^EoGR9JN^#4|9CC4hm)jVH zUQ3+o9DC|pXIQMP}P6_++dL`ypJ?5UkY!LHBh5b1$L`fg1fe^QC$ zM0b zR)+^<*`sDWzKqTPUOGlNhm$W0U99D&Kj9wMlytgkUFtYwQ(oLn+<<>(l>HrsUMg9u zSh0jok6GZ<8)o#pa~yXBQ&QyZv*{knkA(zyREOuee$xaIFcF)83HX|jiAZ_xPWKPZ zltt2JPNDnzHjrkuc#elESb4ymihG0n)7LHDl(2?8m2*qE2dUxP%`4WLJb*S)$DX<& zdGdwtW?P+&;r=McX-)Xd(HEU$rkp^-pl*^{{k+}jHmgflOrY?DWbWw)k;ievqMX%!ji}^sJw{yJ@GRhHjoy#KOcf3oiJg(P@beP+G zzZlZ6_*P81TacwoaqxDxR6}11-}BsS6W;JlXABMz{vAr>qT~VIK)?!^PzX@1XMh%Q zwXu_CRmc0}r^p&lOUn?;(sAaS6ScC3Wy@FFv^3kF+^@C^f*bdOZ19=pW3v{yhN-7X z?>N|Z?mZkx6nn((=h!xoZSv7zkfgU_5N%BFrNn9H-^au5*v<6o`N2Q-j2-_Ds2>D_ z>HjIw6`2|&Ne#&E+H0)`>cMVXiQlwg`%y4$9V_-$7Z-W$<@>(5zJ zUDsIv%*)D^6AGt0K@45m%yOi>zE0A=;QXpt$k&od+T!vL)3TQ(!*^UJ=5t*cxT3`%D$~$iyw@1!r)ZXa|3ukP2rFJ3oQimge5( zvTqyNw>2u)EseyQMKpU#hgzoI=kn;}xiDdR@6^^lIByzs4E;;nvazi6XpC8E#A-mM zkEt(dXGl9CV3{dZY6;YLJwI)6Nz3NDR85XlWLSF_Ih-04(yyjIfe_hjuMc`eNM<4P zuZOiX5JoJut_k0X)DrdFg4bRB2&-^O*j2s0A;8>o+@DL`Y=gQYeyGfkHd zWOM()L0%#LX_~tj{UKDym4Qw_h1)%uxV}pN>1g?#N~z@ zu@>PSrLjQ)gj`AAh#J9ztZL6xuQwI%^hYbdd$DHk+C$D#cW*p(Jr#e&f}gELHD)uV zzb`|m^1>OqxPh(=9$hD;bIZH@DM8s%(8bJdCtVsYK0MM4d4q;0qJ?jxZ2?o55&n0W z;6Gr;|F?wl|C~GqNF@Iv@sowA0msyUEk9vc?2v=aG$a`Yl>1c!zLClcJ6_{hwTwu= z_4%f^skX0POftSsl#etkPPE%qgQ(g*zg#q&Xs zpq#hb?2B-V5fZuCgn9nuur?I|(Fow_O$8NR(c%vOgO5rjYeuE~&93e@yJ zJrV?=gbEa1+zat$er>ik>}7T=L;fx`V~nq{eL`F?f0B`FZQ(Lh*1(D%E3;X|%&+&V%U0xL3AOYXzZc z8DkE2i_;}f4TG8VIfwp%*`oRkHL(4jwaIO@81Q4an}I z+0T}}@w-0x$BpEoad*g}e+HCltl+vTr&`3%j~-fg}mb*k-8N%ppy)w8zE`6`;+-}9{r4m`-} zQ5|*j&3dr8BHS8&%St#UEcqd7Yo9~P>2n4T6aNjmLYdsbJDDZw> ze0{=ud%aWl>$G8p>FHax9Ca=qobYdNnDsC+TF7U-;L%u>m^qM{OBot>$4X2pK`w-5 zM9W#X-$f!E>ATN85YkNR`Fw-nCIg!}(xf8q%!}ITFN)}cBG>urbtc67F z>jPp?4FEHs?3RU)th{1+wEKAtGswCh8uRvN?adb0uO`*zxs&9o6kdG1mjs`km7KNo zpVs`*gUhDe@|uBCs&?&GyDn^t)1B8)+`6Q|#gj1Z{g`JR%XeT19mRi!wQmd!kdU zyssWJgAKwE*h-PKq=W<6)@@I0pV8o`k+Jq`{V5IZ0*cBHFBR|BodY*<1gX1xNvH2~ zO3jT4^$#6peem2Pd5HFUEIBVJG-)V8%|28!V#XH@fL>UN8~DhJHe~rvz}*#&f|;IUalEpr5BLA*FPG> zbzA429^gu+g}IBdJJCs9fkg38K9D3S%L;_bOxbN!m^R6KAcV(ml8BDgZ~I8kz6ZO;B?u&6~!LHr!uNdheeRJ4^ozn|_Dmi;|*WLVR2* za}Dw`L`Xe8MJzN>J3;E|7X9)+JvPsOP(S=9?a#LdR%9gyl7*<42VezLgpyOS9`<;5 z-g7qj?ej_v)%Kltq2&n=o`KXDeQnugO)YcO9K#$o#p?Y=GjsHF=6FnMuL)n=^}#rP zCx&j3@#XW~+Wo33O1;FtD=ht;J>g%FCb&%x8UtO7K#!u|UNS!xSD@@z1nD3^G26;^ zj(~puY<*pYXZzUL7prC#chxo{yOBgo-K#Ep{Mz(YgYW`y{~}UYToYyYRC83-3~Y)Z z&KY8&LVD8u3=)d{Up~-P4GNj;x{BoHotB-wbnW@gZ`Xe+h4>wAMeG88fGAM*7)s=B z+H@yjNAg`eVu=iIU<6$@gGpK7r|jHIQgvjYeq8!ZpZjq_i;7Q5k_X?3=xnRLIzYdV zFq#}lw5-g_j^ci_(W8hJFsj|T&_AfeSk0DF?i9cr&&-ybXsrB93To1U+=Cl`f)eQ| zu>?O1N)_C>i~zS~OxQ0l@sq5h%(1|2XS@O&`W}f_+k5%v{dD|o}DJOSepV*7I z_8#0*32HB!@PzgN#E^WtjF5k4?j9Q-}5-+!1A>>nYQ1fg5r}|wfO_KyE9*>J^kJL@?nD9Go?v!yF~5|)3b+Zd`qWNehqF2Sv5~p@Urj>IR@z~A!JUNUeIox8N=ynm}3yLe z*F~q#V;yE?%w3ryP<@B}mmft1-VnKn%XZA@^qp$20~>pQaU`IkXBp>vo>twr)6lA9GV{<3f>ficAmkN`rq-&kHS?=P8p73kvfOr>F>NpFIW24>wd0 z9yzFw5?DuPclwaP{&WE#2bJ^UaUS;-6A!kn)3Pp&(LWPNL5(&wzfii9e7aaD$*$oC z*kG6`R$lnVIb_q$nIsbzBb5+P*r3fGpE~eGB*3Lu_fDQpn$w?gXM`JL8Pr&q8Ev^w zaJ1h!mVH0}-6HxIz~TQK_k-N5b))@=KMZ!_Q0yxg_8~$ea-rZj5A-tpM}Tz_ zTm!4<)dG-vGOPx*S=rb>9I?=!2s89QQoqg=^y}X=uYC(n#tg%YtB&DH>8h--Tdz5kzh@-HRrn-ykzZTSUHd@zKNlh(_CA=1o_H%1E&10(y zBJ}MKd?e}_dE>uHA!B)zzRPvJpiQV0od|PYMOA&>!yt6MnB%K4SP+`s`uDig9IjdW zl32^GMb8G$_3bVtPa??G+cy}mqQoa3wW*7+@-MxW|e9W2M*HsfTx zEqLrl#X=)PtR}Xcnwn!LgA<^puskN1N_9ffz?nBgb*!-_bwjt;b}C?N3mNB7jnsF;hCH!1`>vuTcX_DTBXR{>rbVqHuC_Nj9-{^#s zgtIo^)Epk*hD@JoF4BV=??sYXStOz}=+>ggH+1 zNl+sa|8E-bxbszVe|rSX=|?i$z4vK98mrDYV!ZvnkPy{&bKXMi^=H3F!%MkdDE5w< zq8!76DmQ=5mrxtewximv<+6papDR+R+ffl1DVofvY8$`fVhIPG6A@O{(} ziY2sl80^9qIFd8bh05AR2uOX?He?N&Ef30?lv|LX?!FA5Q8={HPc-GyCpMFkpQ|q8*ayKo17i8PMgb}5CeJb-buoxp2#5A1 z`1K^!G96dV69belcR!tjXNg_n?AHR>q`+GVtDHLaui-s5xMlv6Mht`l8k>7Lok z<9%Y%dZ$CnbIwa$=f9~D;~-Gxwc^ajpDr8|P+V%BX+Yz(7;Nu>8a?JQ#3KQWB+zf| zCrj7tr()$LUmQj$C%fm?{>;#7jM{T3!EdMrvP&$bnI|>s2b9pQFkA5A1~~t$ZrEK{ z(Wjp08SdrK5{HzEt9>$#L$L<#N)e0c^v*g~NX682A;Fju!oeM#XMcH$(BNLEiyy3~ z3eTej=K4X|7_^AZW}31zIZ^_2yaM{D7Ee3Wo)f{qaM)C*%vEy%bTdz6_mx~}Mpz5w zbG_Q}*MCxW^FjXmrreH?AQ)rR6~pi?Nmj`pZh3hiR%#%%*ZuRZAh(lqbgy(QgT9h0 zOwhD%l_^`*O+DcI^CekL1}gg5j`4M%)RgQBCY?(brPa2c@~xMtpXlGv*6MbSfwyz-T~K*n&bKF8^*RB4i}pCJEV|$Ec+fO?jB`%U!HTnYk2C*IA_%LQ?2W##4r}A z-BUH?Sv!Ou=;AI^bO|gBS>P6wN}Q~MSXTMner~RZdC=1HawLi zVO+U(iR|0vsiC6rIsf{e$FQT6iB`9_gJPLK)N)V-$Z1HDjazq<;w(A?V zcyoBc+E@|KV+xMaKxvC2x85adRuXXdlFs&y@hA35pgMW>cy&66!Q`_{p6}*cv25$o zyeav8d(C$JwVmb2R|j10_O2z@PGcHLOW8>4FK0zP$Q9V414fSZhZ-+I5a1aNJr7RuP`#mC{#J!Y@OMr4u!5sWZW0^-= z_rW{O*LD{>tbLjleRIpZAeUqJo+WjgJN|kv0p4%V12Gki*$;Z5L0U|sI^2gOw;m21 z0`feMnCY_*r_v)JE<-NoO-{b+Kc_Ebzr?g3c%7&4S7a8@4DO-&Kvx-Tnml-HL>|ci zoc#d)8jqupY^d6~joQI~BcK!7WT4dtQlVd>gJ;)Hr|FqYN zZ7j(!RyCRK5_s?RNU2v@SL?J%EWKibRnaofO*E_YJMFE~qJIp8ulI3S9MJD51$Tvq ztj_Wlpz)S#RDDW$Gv#wLDW#-jW?jL?e!|8m`OUoNl5JdFISYrc>&xOrmp?exXyE6S zn;g2170P~gVuuT833!yfxYPi5V;^^#QqWS2O?+W!=p&A}<=fJH&B>CgId# z)x4$n^ZLGl3n+3Lj+|bt%h-l1pVuRbz2F&!CRtNh03E_+f^|FzJ?O{wIPSmPlsYq?m2zz(sK?u}4) z6`3-KiBO|^hEbD}0&07ivNp97Y7&d=xsD+K^bVS@9SnV<7fBiY3w~;DziHU@YrgT; z0?AG2ukY=K{lv0KM+c|FqZ3?02ldx_Wg;n=KnK?of5mg@dE1Sd|VoLjuhX|JwS0c-ku`*Gi)SqbL79sx4(H_{?8D~Ah-wHrkGK| zHIYRJ=u^SX^GzQ`JNU2U6HF32(2Kmb$Ef)ebi>X`QDZCB$! z*>{2?D#Gh6C2J;!dw=Axv7Pnn1PQsoO;L&h1$C_1z6c1LmSBtPUfxgF1318%)P zS?^~i=iWmhD8W%c4Jmdwe>-y@E$M+yMQ4C+@Y|5)8UXb2cX>Zm$+C9YYsX$waxxNn z^GknWz2MX2YiDx8P{}F2;WD#zJUP}#HQ%vmLUfQbMp6GtXdvcF$&J3Sfz#fgoI#|& zTMIgT>TRf?Na_uy2DXTL3Qo3^WJz)+3zLw8JldmvP*JpK1dg!H_Zi_`PIWS@cd+%+ zJ-5G!pVOpI#wW%DrSzOa{0MY0CoZRsdW#nJz^FElbl@>3oL*?u?$e1@` z2hY#7zuu(0a%H@nd|KgFJ(J#jSF3Pm?N~#=Ao5Lp%IOO`y&_2w(w#T{lq-}Ig{W7} zbb2bT7&Artf%L@ko0k6#e0#{=q2~R5?(PzHmh*dR_bsOE3wod>?1Qt-(f1xW-I5hy z_>tQiAP{%Y5v(69S9Lt)9Y)G}TH3JXp@q@nke=6${zqHLH^6)9)|8*_l+}rj5UHRv z;EBamuFH%jSss-$oMK(_DEr!;bOqCx;>)S;?!M8sy6{d%g(M*4An=mC8wvYO6CXNF z@LTC47#Bf>+vmY@*Y@D{AZ~C|W;kPJ-Z-e#dpiEKv3H1f;8=4JI$PXAJI%*Jy61Vh z^6nXBoO|TkpPeI{wbyEDro4lLz6j6^Byej-lflZce&F zmE1gI%b!ye8=m%g^fG~fH#<|(omVuRvox2UanV%L35_U5fRCa!(E^05_cud8vGXSY z3|4ip0?j>y29N-{uZ37D9H1;Rv9u*Yl9@JECrVaryN`O}A9X(T{QnLqt|EF`Lwq`* z<{_mGAr9LjnokGMq56#nZIk_mSsBY=1y&hDA;!T~-*nbY`auNgu9nC$*GXte7OV*mql(B^sf0KUG>l?>d*j!p3J&;TQ6-ZE#8GNkS!> z=e6}l_rx`*0hJ`1<9np-DQMS`YHzbxOAFLCKpZ>y5FwnIIO)%Ec&dNPD@c^hZ*7M# zkbkXimT4gS!-~|Yn>&9Xxno-JU*wZBG#*C9rJm~hg9ouY{Q-auSbXCpx zgzXDf`)m70gha`sOVLbPskda?p60Cul-%X)HaE9OMqgbHcM6NhkIGc{6^9I1Ba0gu5bB)@l=^n%4$t;a`bN3O^ZzyY1ccUf>(`)_P2%3_C&Gx=)ckiJ z-81ubs7q3lq~SRl-qnSo*b%kplt zNmCRdc)GjN73b^-s#!n_97h+~$Yuu2S8jmJ9T-2}*TqD8doK}$TeJ)Nzi$pUU+%l< zo~@>IpA9>Iv{3Yy1rJI1u##%x2%LjfqVFOGKc7f>|Fz|rfhF!mF#QGdULtjqYP0~x z$RHHy(Xj>zg+s&~ItWKCV!j;JbW!FH)x;6BaOx@U@A_j* z0Tl=C)Uz|HWut5Rk*GJ!(G>wimgmt;q@;UD#%hh*rE80~1u(pqlUH_Xhu^ZhI7^N7 zq1GudN2w_^1WLRCHqvn@W%S@a@w@hNf zP>l3H#>KV#hSUY_Z=vD8@bfDpe|cK?k=@h5)C-Q<}Y2J09cuVhJlO8gw%`rX|UWf$569F>JT z8k>kB&gTV^kHQl{A<+$}k{nt9)=1vQc0M8_2t3WeDF9K{;|ePSA95iuUMdpy2$qjxEaW`^3tF44D_5WY;&g8C(P`(m#z^^LpV&Rj7_qSqov+FdPM#`}OP=pZI=j}&lhxp76bgu3TEC@Gkxn|P2M0PrW;GH* z<%EU!t77QiXLCHtmPz;T<4#S{h5x4Ez4GT$p9Hga^dKbg<>B!?y`LsET=V<&0*+Zw zqJp1Xhc-!JkGL`n_FWjQT1W1WO-wAs&_De5^m!8`Tyo>tlM1C`<5Z=V3+el#7`_U8Sys0P zeV)0&C80525*b7>1J8gUUj{ZZ4qGW)U}BrGu^WbSJVH&K7=KkmPMz>TufYQMIwjOv zw?F|-hG^9fN-Lq1QvL&!ZDs$E``q{0F?VU_uzuX!E<7L<(cy?^3h7#HTRJHOulBqx z9t#X?Lt)z^js=~%pb=Z*ZyIJV-ptwkk+kqCV?wy?MccT|k#cU|UT-t8AUljxLl>tu zpM|L6V%`t!Jx`HfvL;i zZ2LIp1mE{vDXV^&R_Scyr1khW&7s_}%#3~1+p)!;J5mcQJxO%TwA@L7`U3GXGD7!X z8yIXlyhVb8+pYP`PV%hkrSQHa7f2!T7I#g7DM-6zrQX#+w<&MzjPQGpe;9 z&M8|)4k?n&ZSpZQD(GJTQ9l2B**c&R;VZF{wMW4DMg9F*gVZqmqa4L@U8fqm6kMus)jWQ0Tz70 zCY_}0HA@S7_xqHOq~e!ERbC9q=!=wcU2{v!``(PWXOFlC&Ef1xUR5=n1Dm|QUb3S- zH9a$7h3{fxxq?DLBeS2vx;jGS4g)l$=tzNN*-wpo!^h$vCdm=d&-%45j zYhcSKB2EVWa0c!J8@DAlzwpdvYs*QejDxB{e649-2~s_UQSwVRwP05+%|%>m|6=@G zRj*H?e?fr!#uekMt|gz5XFv4ER2ov5_!M1&&)dXveCv4q@LUQLFC$wFmQ^e|FMv5B zWnmM|%N$L11TU4hV4D(8xTZbAi#mYANaxd!c#44JO0Y=F+J>J@^EA*62`si-!g6A7 zg{8gL<@*%2DH>tz=N7Ipr;0+FMe#6TvI|V4(yathhGJ4h7jMT;d}EeM`rvv~{XBnH z(s>NTif~ux={fN5h`#0!3oO%u0O_wxupKB2>&21v4aa5YZN%N^_Wbx^uQ`TxKC8CX z$n`$=FaP7a)O8WLGw@fdk;$mX$D*~_^*T>X3tt7KWtdk;ElMY$V@=M4oSLdWcsxN5 zTkevO2x&52ckXm1focqJI0{;df(6oQ0R&lsu#Hu|K-w;jcu&GRQWMa;~(#qYpmKlJtQ@ zr!6K;r!DaM>EdG2?o#GZfA&(`qAVO6B0(&+2WSTtOeyW;tqeR=5iz0xENw0Khv9M{ z1uFL1PQsT>2B}qM@`?V;WJIDR$OLhVv%W}VY-Fi0^9tm|=3SqNNG8Nze-WTM-^Znyc;~OV1B#`M`R8zN zAjBrK&EoH)t9yAP382cQ>v>9zfq-+@g`>k}=Cf0ZCwzR{zUIC+klf`rde-G5h4*2f zr~%8QRuY=DF-8B-zG`m+N!(I{X<3}OO&+nOwx#sJSncE%zg4<`d$Dek zUCl_+=L7Pr(d1?%&yCsJqk8^QJn|fi164Wnu1yrNnG3xJOG&pOHA#{NmodQW&DJ??U&`=yg_MBDg2cp@hLItUA>ZMkoB$7a>Bytf*jB zHsJm#Dv6l#=H|x9?Z|N5I$+juz}9~BlVt<)x?+rG`1bn;VigC{%P z29J1d8Z)SI^ae09FJZ3x2GBf@NbVAPhyJSrgk5cAFV`lIP?aN>gzqbr$DtJ<0{3_rtfrRr$V7Rx|N9^s#~VdBzZhIDuN4D{_V-0 zPge5-pDe|6qE7?Klwu%H%iWJL-RU)Eu*LF_Qq@qaJrjM6abKLgjudr4;A!`LSv@HRPeLy~6P|<(nTkxn-=kXS#^B_cl^%v93vz_l0 zRqHIEx(kHrE_UPn_x_+&wvt{BbJ48LA(xh z;xk*HZTaMWdTv4D!#MKxaDZx4f`h*2n64Nfors5lH~*9p{lfv&Ca$F;=Udp;+!(!e zdCimtkF+ljBjYoEj^yYc&fM=mSls2mF!4hml)qveD;6-=N3W{}p4iv=Bt z5cl=tyh~flW$j+=-|(vM5#}fucQm&!CZK@90ZIYTPzrb0px$kX)t!a_mB1gfM_9)f zpwmTbfklh8?55st8ol=|#Z$dgTc(j`+a~xwWnYDjO-2XuRRb9#U`qv8l;5|4py1i^ zD9fd`o(p!APn6*gq@=c-GzdNzzkS>DX2rr9YrJ>4okGsrmtP=bLD@?o$t%`wCiFV| zNwGkRxt*fb{Dq_TCgEyzxiSlba7(2-O)`ORfJVIuH$ZE0cc<>>9e-9-)%xSCd z=dGH_%r(lr`=M2M6yk32DX9!<1wI1Giue3o;2eNOJbJUPEMHy|Dzeq>Y#a9z z-c>NPw^3>drC6C<%Ke;=7&8ygvdH!N%d(&6n$Z*?@Gv|hz z5}sqLozq1I16>^?X^A7HKoNifb5c#9W@QyJUA_j*w~-{=CPVSTE$@EQSWftY(+~`9 zG#}NrK0SK!wzasv6jH3RamexYP^$%x(^yHUPxSN#Y(J}b zmBTr@N3i|tj?B!hy;U?=$cJhZKz0DHowHYu!AbzrcPPm5Caz?zL5sHG&5BZpWmx1^ zMZMRfuiIrE9q1%JcE)mUgR~xw%sk;>2e8tibPD`oBVdQHK(8MZw$b14n;g}$!MIhf^FbFh6@2qhO# z?I~sCX@X>yZ~MnehZ#6ncZostc!v`%JlPB}1&!9<>wtyGjvIAu_S)+fV`YtDIr4h~ z$(eK&dBIk^BeNHN***|h`)rhoBsdE(ZoaU4{2}DSa8A3OtjXkT6WNag(&=YSh*nTkfS%jFMLxBHzPyEG! zKI?zeq8pNZ=-ahsrI`gaC8_jI- zxRJkfGfV5Q^9_GLJai&rI-I~L2fPjU8!GS%QRft?FOKN>s34G&fuZ@5^2sAb=dmHX{B;Miek^Xi1c7?^~yQ|J@))}Mj`l;zj!H!h@(~%Wjd0` zD=+saX=N=EQDgo6+j^SatZs>1QBU2^5Sjr$Sw_xw6No;SXrTErk2iR;{mHzU(Ax;& z<@S0&t^`)E3l23&Yaz>WZWH7SBJnfzo4mtJVvLHhcIhTEdC?j6=iZAnVDukIuqsZ5 zJwcJdffZRkmGB3vx4o&}jU+UlC$ZMUMj@N;Q-l1kDXOck6Zs5oSTJ#(ZDOftIkQVv z{kpf8__y;t_jSQHWd99Z&)yT257rpNVvC?k?I$Zx!EaOtz>Gw+n1nQ!S>s^7TvZ>e zFrjVYXvS*G4bhtj^I0h_7167=I=ra?+oXCUN+n6FzH$cUHaGA~Er9HRCTwbBTHd}C z>#aiwWV6O7^5}awj2qe7dh$0r(}Wg`dtNz8sn#drfL>!Ea3nM_v>7zDcng()yKUGw zGy<_JHMVoXWBX>McPl=7`kLBW3pL-7?Uq(8d}k~v#B9)?Dt7DB2sFD9U>jD8qyV5l zac46THB>J%=P^)CwYp2VAZsXT*EX)<`OX0w5X9vVmOnqfVbR?W`GH*J%1UuHCmv~2 zmMk;DwrM|77VL1#A0hE6i9i<7&~l{Miv->d!FRf*q8%pNvK{iqHVf1#+in!e?{&pi z9v$mH8ch7IlUlRTMB0c&n6qaY;72|^c1hbjN4<4g?h|zAgi*)df~#*l|BH{UxxPNT z?-mc24Fa4O^vI)^7F_k|dUKV0+L}h-wOuhZZbJTc4Z>mxT3UvNdxk*$pY=T zfjxtD?kcATQp+}h^tZ}-Egr;9g$-S{nYWp>*9>c6UHPydS-LircbF$XOKj$@+-YhOdST&g2z;P!=#!q?|KksK^I2|W5T z<1`h_bxp;fWnzJgz-Ox}u|(ynAzGC)+17m0I_AGpj?19Be+6~mXeHwn6iNVW6riHW zDucxiZ6MI~VU)5afd-H9;^p;OXTIBSv~a5anvzptDxbBQ>*K~KtZuwfqU$ibRnJJW zETkk8{Dgs2aQ1ef{5V;Ac$pkE;_#S)24mX&cN6UmA>Q>1U|7tZ&Hh-bO}jcLFkO>Q-gEZT_$3 zt~9EtYi+kxp(-|_6)Xx-8LW&-85A+8;(#IqQ3wJO5mYc`hSD&lS5#Dt$QS_ul}QN@ zMMD@OaRLk^1O$Qt0f7vJ2|{BOv52rmkPYVxCMUj3m|{O z&(R%@0xh1v{9MSXugNby7+H$fnaW(ZmpMIYzWeGfx54N5lmPODCQY8>XzrG~BrFIp zW`k0$M0faY2i5+q0MG0~VVpe{4t2n9HEuZhRV0!!`}lkbHC9OWV zGA`y)kbA6}F+2h`pd2ocg#uobsf~f3fX1Ro;Q^6aiXT2w7#z2^XKK2C=M}Hk?>usg zJ=`oPiy1$!3J=;CdL897zR%2dUv+`E7}J(QZDlH6f(h0HjGL?%1PCl?_oA|Ed%>(S z(w=b@Gy@&nxQcN~6r`&BYGkpie)zsMry#5Jx+`^C1Pl6Xj0wWanU|c)LX}R+%?g)k7=ttJG=F5-_!GhE^ge&u#Zg|fwnPeS;yRO{=W3- zg&~NpiU7dh#X@G|$S9{CBARF#VvYHc;P2QOZIXi7sR*7J9K}>$?en-;++B3)Vv(Vf zac=aYQX5tQv16FnZb=l*!F3>2u(u64o7vnmG`$@syH==31pc!RG^j->+(wI0ey0tO zZdTz^+X`W`c)$q>hl5XmVA@5Xwm^l+qYy}gS&lO$4jx^H{XhS0y!SnT5Btx>&?7L~ zVkA7ec;%AoCV@Da9q)kWoqgB0PJW{nV!UJJ?bWr5N)KGHtXV1^;;buVBS0xEt3Fj+ z2#=a&72XWi;o%!X*==YKyBn>o>sJOIJEmFtL3){F);Nr_RNy%u-YSw>QU0$P{lbMd zr@#uDa@EYxkq7w`cbo_>Z9S+4Zm|)~V*{R@nb&U%k`{3)T)*hi`_ws82n&d+M=fHm z3Qq;6$u|;zgUlVuR=Gm6c_9@<7l-u)ZZ%!SJ+P#F dLL!OfbvaY)9QMW51hEhL# zv6}b&bbLzV3j0FOn1n%H)W-Ab=k51?mz0m#$Uht6kljA2rQxrESp3^%U3EJ>hk9-U zD^C#zz1UL+k8~2v;Ew_I1%*__d9&4S-BCH4b`!pPWX3)+u0_|jd1Tt*V@|Pt{%GI9 zk=l%C`CI7i$>-a0nl<0xUfV@2n6xtT43o7lfxabv@yRZQXP+}N&}>ZW#BxW7-6s?a zr*hC1(aTBBrY`>ydt4n4KBv21*Uh^v&)4hB&4J)PQ9$jSp+;z`QEIoJRrty0r+v1f z#z=K>DrApB!!vv}`1;O9I5iiSlUx7*5p5RFyyGld2hybx_6|bo*0GwOB)-v4daU|W zO!BepdfmzUE0{fk&S28Oo;c~N5Z@g&$2XrT;z$_V-nHoO+5TS7XV=$@m?3)_b=e@% zMBiuY147vJ;_sAo%jWEhxFC(fxsc2>pPJ_AydT$#hLj?Th}=DCM%|bjWNn5!oa09_ zeHU>2I5hy!U&s2irPgV}20gN-rJClO35o7g6*VJdmyKnBhW^9;*E|2E#BDCXlm*S= zJm)zVpS19lp_TIw_2-$M^Ww_(+mIaXcp`$@Cl^s?sjZzwPtoVm8-?@9uWVFD5i)%U zc|A!WnVvvNrO4wC=(Y1hm2#$s0TC-j`pE0L^?b`D%d6ZMe8HeLxkCTI@5IH44sCM8 z4P2|ADj?C}V@G^GD!+`M;4ih&nnilc8|mXOQ^%G5(gM0&Qd_rjV{|JM-Yqq$vo2Oz zasudrfQ9HnUP)Z>IK4hqWRYt&Jy)vScvn)-yJD1^VKuA8-8EBLVc5dc4X)bD&ZuU6 zyDxWNTG>ve%?>BMoqB1S-APe9f0ya*fX1e*=7grdO-VF$9qp5EfS=$WCYU0GkUba! zm%zfh19D9mQYPgt!x3G}#cyx=R23Clv+X|N-{XK`T@>oYsUP;)_7Q|k7$-8xQ zICII7`T_Y=|6pSCi|g^0m&Du~IX$SmPcxoY`Tcv>te)ziGFXNyCEL z(4)FrQdJ;)x5t9$s7q{*Eg@B<-0inWud>2>o1u?%+c(@bBKsLmG&R;k2AEA+L>ILC zrgX=V=reN5+7}zvIOGf}jz8+W;CEx$9rjd$Xd#_tjb=RwJp?~`Btzbe7voikPOMY! zFOT`^F2su1`jW}AFte&sp7uo)qf?);VrK6}l*M5k)@tKLk%Mu+PeRTCYRA;XjM=aG zf{)()m#e5DleeprozIJc43k}IEFas9y+3~HGS{Fw>*wF@$DFx6cQaqMVcCk&0GmIU z)9esD?DOd>z>RN3KnYwPiY_PNIj@zWy!jRim!orEEKP`XmGAIiG}6%KjgOhZwF9T_ z?i#wDG^r=fOnwbMP1U0AvA#UY`cv1Jq)G2t6~TmMM-+!9s(&$B&C;v%PDD_%XRiMG1H0#_Kr2AG)$p9^ z8AM3VnT&r@2F4Ob;JIb0uqo)Q4}=jA=Q74)TO{8eE(lVtSTs0Z01UMY#g|Z9$7Azp zO`G#B-KGDE`S7@R*|l(8^%174V#$Zm0W|Ur3XCD^O=n~XpWq>jJ+)wSeBfeU2=KJT zKSO83G6SZE<8(?{Cyf-LoLCCIPd-Yj>~eQKt##?ZP4#2m_xlX|FOQ+u0KBpI^8x*4 z`W6Gn2T?ScT1sr!QXN(#w*ji;i_dH8n|MB6L#Z79z?W>5mO7cCq^)Vkt(%(2IR-~p zv=?dYAHiQ96zrV{8*9<*NHqBjL*MOs@lly1cx4aP>cl^XPMZ*X?pWIjYKYIuUT|W` zSv1aBkB9WmDXaUpyJ#HDk<~%ffmkP2tkFy^KO$RXPZ?U!;b?#FGj;;w$tk3V_G6 zkoPO{|DdZP^6;PF%p3R%wzJlb*ddxeqw8=>Z6f+Xd_G!$6u9^DP>&R50w*xmVaQt^ zUssBz$WyCg2c#i?1=#;O_Z!5`m6h+|C*pNGx9ig$)8I~+dx^(evvhrUSpltQ?_Sq$ z%76drD_4yhU;XmK*WT*oBUN9DZV*3pe@XI@KB`1TXuB6PBZ_IMIv$t}nD%R!DpM;2 zWOu_Do&3V(`izs?Om59Bx@D8SChLA+Z_JD)%l?-%hSbbIkQdnMsV=e=VsaiM6(9wT z4RYQwTY_eZIn0~BcnF(g)lm0dRZ_yLA{230QGHcao#WaM`uYxp-y2^&$XdpIZeXTc zZ@YHH_<<4!*|G+Y!B2s3`^tKSz~H@}CEz?6r^%Os1tOFM7%8lBL#b+k{@@U zK0mKkI~-H~!ZhveuGTg5tjvbXTBnbzXUVCYq0Oq3#P*+QWO$UGEB_6>jktAF4impY{vs@)$5CgBBvbF)%$<6+VbG zCLq|zTh)r4G7}E-X5r1gWU{a$isntVlq8D-vC zBW3O&iIt2x1HY7==H}9XKg*Y|!j5J4{>nqSKW|I#qxQ_mOA zIbc8)+y)G@qD1F`L@!0v4)|Hgx!A!pK)R1Q*nQ8_vGZImxo7Qvk6IFbzu!9?zk#C< zxpq+4CFT%XG|)(p4T;d{8)2FZM}7xa_XKoWGk2V;4m^9PmZ?>vb75tlVRmJ7{E+9v z?%Q>wV%olM4>|hh9QLHV*@jJ3P-GS-AhJkZn4A&HNRxB)Wk)%&$>hg{wteT0to_CB z0mK<(RO7szzK>j zF^6b-8oH%_1c&jJUDG=y8}M=_35!MZY;XR!X8&6ZK5W(o4lcPQ5d;d|WiT-lNe)9Q znz?5Egh*Ku2S<)nh2gR3LAl~Axfx=A%Kk{>Vtx85cpq4LWo6zu z?D}i$>Nh9&&UW{cvnrzs4HIKM6__?88Fy0$oAsEgU>%QsV&o3t@&YMCud5Ove`jv8*pr)M8U&lsfs3JPSg!p)VF|plM zb*403vt+2jt?^C(6sXQ_kU&cPL2z0%yIXFm`O6i4Ef z;uRPdD7s8=f)8|H!Ys<-)d_WH8J29dRR2+ZnjB~O%pVNlYW0|%&B&vybILi@RTAg< zuEwK&^tXpga4~;i*grb!-g$%~O^8r_YK`stei;bA0I7KTFu4QK76jL-V92{2J00V#B4h(o|ZHNLA24{d>OR6#f zZ(1E-XoBE_un7j$KHP*V;wb)VKeL%B%LHZq;Y4GmYzSXC*Edo_9`Bol1=&oXUs?@f zG)sx!%d0ecz_W@2rVQznh&Ra-Y8-uLze>@W?kL!AJofa`;`3Xs_H*GZp+!4@-Eq3k zWQyX7pc3ny+xdPI&%Wy}LCnE=)KoJfxJxqUKWF^io=I!}@UqKb$>Qc858q*L3-cJf zqp}79Sq5&BqHqV}R~7(`YVKV_Cz6O_pfu2k%AF`~>_va1H3bj-edXca2bmV})N;jv zwqCDa8!Gzn^Me!-VjCgDv&YmF=S+*EZj)l`yCqAC=~(Lb;kzWHMJ}SNOV8a}#`%c- myY78{1u_5VU-u8f)&K9J+yCI%Ki75tgUdhnV*dx1zx_8B8lG7Udlai8>hRMsoB&8rH2bV`EC@CQn5C~;eO*LgCN(F&X-=K~{ zqqVfOl-0Dc+8C@RMho-%6OiO7Od7UUMrJJri9llh=Mp~w5HbKB$bo>g0WbmtL4d?v zfEECNq$Fv72mW_~z!0b;r3_qFPI7^v2!KHl2w0L|0+8H}k(>iigp|?-qutWVF2`Wn z;mB>Y%n})$J+&Pwu52OJ`1t8KxU8z0x&~_FAG&(_2HQ<`nC>()x3RUu6YL!v-P}Dq zy}W&V{rpb^1O|~#o{2bnE|PLSD*jSJ;^m}dde+rz**Uq_^YTk?mEFEmez)RYU3~+i zv8lPGwUgQPw43$p`HTKH18)b1-VJlc_=1n)pC%@!rsuwWpI=y968-r3+XVt3|6=_m z`!5$l;sQ&?0)_o{fxwZHh9IC)8;qotcDulig)3`sqsbumWR}!+z;%pWg(}BSvt?DW z+s8J}{igj>_V-|M|Cj79*neCcKpp~;3=e_;tbpY$biHEm-|9Injv;@hmKQ^0GFR6% zF{o1|%eLS5Cv@r`zeg`l->;y{Y1&S8P7j#wj&br4UVis7lQG~xUY|k8I0VL<`r(GF z`zrRid0_jUgY%Z{UiR;Dq(37gDJQ%uDJk|)O+~VwV4>pU(01=Hf=5@q-}H0#c$(#p zgU!&^A-thKu@BWEf?RygjcN;5UQUritEbNF+rY_WMXoc}vIae`(IKbTz2f&NO>UhG zCy;OLj?r&dv!E%0kzcr1@)lDAABQ^0c~<@K?0ONNKGE!Q#N^jD(dD@mR!&saU{M7n zpclQxgqpmiN>hJCofoQG?7Bau(wV2${il+srYmioPDLHZWuJ?$?Oh+9SjUvKw-n^d7JpzSff9iiCJ3X4OkFq{NP!miuuhq)-v2F zS^K zeJ$FLxE)p+3y05)Ezutxi7D|J?kL4W4eIOR<2n`&IN%hU(~YY^AEd}B@L6R>}MQ-QJg#;Q{Ou?&3BFWto$giPkY~1mYN^h9v6)!2Zsm4 z5*oaDEwZ|4A-Ck#yJe3U^(tsT))3rvUp(a!JKoUGQNQQvRX_3rHQLjWf8opL@bl(D zLpZ&Gv%rHjlS7_t7-VK;Tpe#Ev8pdU2A1FSP_a0)ksE{HCk}G|S;-hscM&!~6Oq9t;hw9evKKPd^c<{&ci(yh{ zS!S2Wx862QO-wh!4o@AS&pbA{5_OQ0P4> zA_=S%_cOoxR=sM>Tc`Yd^warT5x)IqOhG8`iZs{8a>uF)`&jyzWlQ#^TQ1rY76&1j zEJu=1H!kfi1EU+IKDMXK@{oo_ahegDgT$gaatDXlHq>aP5Yg~(V+$>v1@w65(YH%6vG{xO1&^08kz`!%onPnY)-p;s2fe2_VB&}k z`hjfx^Q7)#ztrssu`LxiRSI>_k$8;RTw+t%@aQXW%0XDOb*Gu5;ItTEwA%iv9GL&0 zDpH!l_>1tCl^M2mPyLiDt8LI-e_CD)i;>z_AxdG^k=EQM;gk<=ds_D0|3NGJIF*Fe z>at#+$U77N+RG%Gj}!#ti=sZCSPhu3o34*KUu3?|a#2e*^uBHCgOi+wT=|Q_9x|#} z46w?`%OAb`Dk!qfib1WIykp@)u5+RKpz-F$>N}t2Zs=i-b~^<=m$8t-U*-ZH1*lgYuzd3G|)iElYX#dXAjTu0@7XQ|V02}d= z<4-GPSG>vH7CKq8G#$}{t=vcbMkj^{Yrqu0y>qjy<@Z~DU<8i!4mwwRGAby=b~Nd+ zUbq}_mMW|k15R}fZ(=c&w%Jbe2s|PG{f1@h9K!mUo<=;tB3`dblj~f{H{lXVL@i-q z!Rcw2@kWN;xC1oK-9MG~!^=)dbamC&>GqX&-{sKN4L%ob!`b{ zv%``6V8MBVpWSO`Ki0;co$sw0t2}%vXnZK*Cd`#W^XBlMIl4F>FjY<`Efp%iuKD`4 zY5@(iZKbmvXi@2`gKx`{~W@H*l)2 z^PSIRXvyO+>4ggYu>KKu=GYKz_cLMjHH8%_eLCpQ^Z@nwiolVpfwD@BSc5uZ>eyd( zzexQLso|n(^Mzf(M7rUQ8MWrrB&Ix_&|HEza15!psD8UNJ7+Acl&*i##SRJl4-FCz F{{y?PCS3pk literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/images/blackBar.jpg b/cpld/XC95144XL/MXSE_html/images/blackBar.jpg new file mode 100644 index 0000000000000000000000000000000000000000..af8f6d65afbdfc021386fa137c9ea34d48066d6c GIT binary patch literal 750 zcmex=Jq? zU}9uuW&*k&=mDVWTA(}wiy*6zqM;+3a9|?4QlW@Z(iUwW$pkka<)Wpdp zCN3cY31zV>gMj@=@lFj8WtWA8I_!pnwFlCnN?g;T2@|BS=HRq z+ScCD*)?hMl&RCE&zL!D(c&dbmn~nha@D5ITefc7zGLUELx+zXJ$C%W$y1juU%7hi z`i+~n9zJ^f1 b_qOEaNAap@a5plPST0%A#h`%)|Gx8LWU+dQ-U%VP4aw@gElY?R<%{S&zIw7+^b#ey&0@8UUP3G)vbre2 z5!y?5^1J9For_L(zt=ghhOe7z31rLV204Im;S0_ff>!1XfV z0f3Bzl$4Z&?B*aNBO|AvrKGqK1{xYFS|9@>Bai_IWMbiBWn$(40fB6MY#iL&yu7?j zto(xfJc3+2ygdInA-XZ8Ag7?Gq@?Fz1~T*fpXGW00Hg#!h`x~!@dJo~L?l3>>-PX& z0Dy?>Cfk1!{x2aSCLz7al9Gy==0*X13qVXnLPC6#{|&&6cJ$3VfD}l^$S12w&Sd69 z!SBy37n@m5DWKK-4rD%s7rg5n5JyGL!pg?ZAtWp!Dkd(ka8FT5S>?e)h_;Tdp1y^p zl{M7H7UtsW=KjRP(mDVeo0%bVX(3EVZugPa4V zs96N%aYBTD(EdgCzXOZ=f06wg?7z8|0JJ1TH^n0X0>FS@KV~LR>Y8G@ufh<{6dkBR zm(EkeP6{)q^Nx6RKdciJG6gI6*p#ah1fmU=kBWr0KX6HRt;oyt^qxt=IKyF@2G+V3 z46tbV>6c{kGZz+gZhWs5m@I25ytXqvQfGLod{5cyUCo$+>abq9h%{SWSGr$sL=X;@ zUV{+OYI#JFek@X%`FYi@Rh63ManPG-9to6t0Q@{G~!%$cqa z-LpXg)V`v`{L0n*WpK)k*jq|f!@3*U%(RJ#+T+$XmabNUs<|I#*u}>{oYa!?P`A1d zR;d=cwNAP4NcRHs`rI0z5&c(A@M10LX0<`;Os-fJE5FS-1;~r7llf~#H7rR3;>osB zC^u0-2J5^9vIZ?f8N15Ma|+#sK8ep799xBHjt;|84xxs?Y_|@GeZo`mVs-H!>SUqj zcQSpYD;kH>#dFjl4$0_Mu9mNQtMuqEbs=*F&&WTj+zkyww!3-T zw%x4;y>Q{oyIhcqecBNc0@B$dDpRSD*04K1?vD1|;?lY8wKkb}owR3c-y>9He(R-< z`|R@{^*2&%I?9JpY=ofRT++Thv#nK)88q{c`IGTi!pkwzLLuyHvtDCwBwa!<;<&cq z^ypZP-Lh3Uwf`eq0^u9JxI3$-m|&L&WfH0E^Ctgg2QsS#(aG2vi!B-&HAk8F(TwLNXYnL zWSTD0z4e^@8&Fz~=_6=P?mgZIiZY+;k-_J`2h$bks+su2{Nxs111pEyR1zJevi-amY)NWg)>DPeu<$@M6##d(lb>s zxvfBQqLA=Y6s2ID)nG9FX3R#n4Vv{jyTM3EQwBDXlO)UO#jov&HB=C~BWO-6%AfVO z7Eha^K4OJ)K{MQ` zi_Ws~))whrEu~(rYVcLbiF_wDs}8$ZODEw5tuWAnUV-6c!U?h|r2b5A;!(S=juOf| z{!ZpI4Ya4Km2Mg=mEh3JM8(2{)-UlAR3@%Aj_ckn9L<7jLVVrB3kA5v9}2)z{37yX zr~7H`$}GqIL3{DV!KhbYZ}rHbh0uE{k}L!)a$tGYP3g$=8bBq`7_E+6Rr3}`Q6%}f zP2%*>WQnPi+km%9+m|QX4N)?|k_#%!6ODTQeFmvtI_*CwpZ8g;p(u78ZHEdV%3Twe z>a3^DJ6lS@=E%CWp+C_hO;@A8d7mFddHtSCe4p0^dBfc6tYJ``E&wQ=MR02_KyWDEns6IX)-erX>^yPs?2!`Z`aG`b_~%0%`PCX>PGTlBNjXeYw#S))nvueT0#Gc~<)2eX>Dv@MU? z@zad&9}|^fdh$0N2VN|%k0hU%P%ggeeWE44<1*OuV{I>71o4-mBM!8)_32h}&y|!q z193&SkpS;|5%AlIOzsm5*yM}CU(W`v0Y}nd*sCG%g%lLu9;+eb6~n0p-fV~&3RoO0 zx*vCCD_t(+OX_AaDkwD`I4}Z+A@O&0&!+Hi7VMhiaWX$wBGL#QjNH%WS6*WP7&{cj zTZu-L~mgd$4x++%N3|85EcLlkp=l)sJ*r zN8?}id5T^G@GP4t%QxCkSye}fl~*4~v$%{a_&JSdaPsqZ!+cOR7`EnV#+?5!^CnkCiF$X@w*fMpkL5TNSZUB+=q z-JSh2s13iL+tf`^qg46NS#ZTK@@}K_ zE|A09;xn~NaHA&&@Qy}D-DloI%Z&Mgxg7XIQm&%dcUW_cT&J$0#69-Z`hnxIOD_rw zixu6M@26GCtiEPDaMndVA>>uQ`7U=RGFW7^8e3yLsi%IPKhf=Wv1+RYqNsTg()fei z!tPGMPanaCkS}@NGS(1Sb8Y93EE$l)eea1&H$q~)8nFEA)ldYpVmk+V@cz7FR?Z#e zmHLE?4MxCSjE?&5D)6il@m)h$gM$#7xPM}|>r>UymN$>|4g}yRCUUaF-@JU)KXI-IHcg1^3RG_N(W6a&N!8K@Bv<8hp&38H5+MKPPVf$Mn z>Qq?$fwOpm01SWlBIpmref)kpN_|5X>`^$0FxA7XRst5d)iRhY*zgfzLZJ;=)_qq= zC?LqBB1Xw(>)8%?Gzc8A-Z64QQ8d@Tos+?RU-%(|=X=Fi827tx7}< zTCj9!N8oOrIq0!i_vTa=KGL14MR#RSBG$L*&5 z!b7<+!r8(4Gu$sX@L8!a^KZSKu)O8{&qX$M#)saaym0TJ+Lh>{B{%rN)u-7} zf8G)mkpll>X%n@;VTiXv+Ml|v=~D@A{guwt3Acb&{-*DpTH4XbPhtAs=_^y(BRMW~ zR~LZI^Dl#{lD73b`tuFZ3-ZV`<6DMr%^WZbloa+DdYn<#wn3ucg+p`AQjexV{V#va z2R2*)8zFn(bQgNcrInQ-&<$Pu!86U$J0e>*PCYcE=2rP#{RbBZcct5X~>(hTeya?AaEcC#M{K~I`x^tW^XxUWxgqbEvCAON(VLIlXSUTf|VQaH7 zB&CXV=4VKPU@RO>c^lrq%7%PdT-1TgrCsvIvhlaar~8E!C6FMALitx}bBL*J?m#2ooRb|1{QGD-DGUj5 zZTb4Kga4*XtOFy#x>vZ_|E9OW-g)2e8e|pt{eeKBLngy2GLs}-V)~u4xYO2zv9+sq z+pa+o{l{Z0{Urx}wXj03!lcgYcWJ@!qLfT^TBVLt+;<@J_KY%#;AvxUj60ZI^4;cq z&jTKMOR4t)T!i>7XuJ0Pjr!Zekted~U)w7qdmYaeJ$pm>rhZH$<@>lVD6;y{I;ma6 z(Plk%k-Sd=PPFG1WriBsMYJYIL-sW<>4@{{v>1Nr;Pi}Zit>nJca8jNJZEQYM?Fl2 zm`7^S+H+WbOljN5uCZ6z9y_!49H+(7&EHcn#T0xg&WeClD5S!buK^qsKEzutg0c@r ze?zk_8D^totD~gn_Jc3>3~c2iFADvfvLLq9vMcq>o2tnqvxK6bduNPc9EumU4dJC| ze8%AKz7_>K?I~gL#oHMA=+@MQ*4)jfFNbD_HV!Id^7K7 z@*lE^c+m!79UZ++j9lJz3D@MAt}MrTyN>?Ej~t0J3;5nq!cgch%)Vx2Y0=UhqUEyw zoX)p3s6&^SA?^$9GFmcm6KPzM)uB5)HW@w2SUlP@fn$gLzj%e*%lOmDx2QfS07Qoq zrI`^pmqkWUG(ErWO%1qoXn2nz*~x|9eJOrC|K;%A8nwf!`ow9Li9mKITzKGa z9YcGQTOYXWtX!r&=xDD2 zpPv{MLjzOq%l3FFnZU{i_;OAi^CC#XC}eiYQOrB!Vu!)Z!OC!({#YNhUe;RuA@@qS za$#<-yro>mO|*9r7xDF(gaI!VD)qE|F32g|ovb(nKfta_L=^OBA~l_}!G7U~%;f_D z^wDupuY|Q-rXj7eRa@(U25U89KU#|Nae=eEA$EE7^ALR;^zXUmnaVhYw+MTUcCNn z;#~`aFqB=Pa{-d%PnCFK3&8hm9_zDJ%Yom11-)(UT`S2etw?9_4SA$;nIZ5BGTj5l zuMIkgO@B4nPf4j`7ttnm?~=Kg#jdU=kwPB?;{K=(J8~Xk8rXy0PS5X}Dg#CZt<0mJ zvNxYLw(Fny;g+SM_Ke(UzDW34ALWa$R;8bIKfztlJaYDN0;Qcy7O;<95o?Zod%Yli z7cQ#tMB6;TzohDyv5~4&h;>YbbSXV7P-q6Pc03qig*fYMG~sUZ^LMMED$mVfNh>m{ zUp##`vS}Z@UtL<%!oU!J2PekHvTcYIf`*!|E_PclImC= zs`mw4vL8Jo9HyUTt>?S88Y;yt$q7YEcMr9m(HH%=0L0&7m;X)+0&b zVZ;m;=Hh}TVZXGk65+CFElv%oONp>JPxDd=MpUX>X)UA zoK)1GmMj#|5<$w!ZXmP<8{UUC7PN}7y7=Zgslcn5HsNT_pl@A|KQ2b_FQ>+%N{ zVvWDu19()-^yxsI6a}ZIUq&bNSoK9w6MXr&<#}-7;qixY^Ak`2&&}k-VgNTY4KB>t zlHRHUsRUKPPdy&*Ec*=?SpJm>kru7!c1fsSCb%0l`(OEMgFlH@G~mQSE^Qizc(<7g zD&T%p+RM)*rH8m;P7zfx#byv!d`|Z>Nzdkn`Jy4XXgv>O+RsZ{y%qtZXD4R1J=~o8 zXDtV#1+2;=JSMX=A_m-Q@@M z)TSUpapf_|#~j%Qe5RbC5f31se_0gFmigCY%bV%Udi*P52S&KkUEa*#yCpdPnEuHM zTd@TVhiK)$u44DJKl+Ss literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/images/cr2s_logo.jpg b/cpld/XC95144XL/MXSE_html/images/cr2s_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ca81eb85ee4c6fbd4d29a3edc31150db667b8d1d GIT binary patch literal 2884 zcmb`Idpy(s9>>2kGqKc~X^543DbidcLM((L&w;%u+!Gs z#u@;DK)^}i0JgpYmH-4SDk=(w2qy>xA_j$tLxtKQDY*>>-?3{Kd^R7zZ2N>Lgvt@vMS>oouu2dsb$Fh~gy zfrG$s&{ive1^^I5nD%Gje+nc578Rxx-zFg`EU4ZIh=9Og5n+BIfUr7NxDJTIA-neJ zn2RCK_(7GzrH|Z9%Mn+$sCa{P`ovYi_(zbpNyzL*$;zp!scY;%psS~EU}$vI@`RN& z_N0xi^H~>Hw{z|u0T%)<1_g&)y7mh`GKz4Wc z6Bp?p@kv5PMR!z{_Y>{!WPc8f{6CTX0roF0761c-gv|rP0W;vcR-(pVME-=u>~&8+ zTc@978oqxHUU_C26@vL|{DiE08RG$B!|elGCZF2wTjld~)JT;Qs{fe~nuUyzhFzzk z1CoG|~yu@;8msG)e4`Hb`fkXq$tS1U`)~9*^tCpEQV;sCZG&RTm zt;-EVjwCk@du9i;{M+T7?dQ>MP4tcNA6@4-N(I+OHp=zf8eWfnH|LUYJ0){+yD~31 z>(y($c^ofShg&VcYsZf#VhSZSLhZkNXe^Tt1JDMjO}Y1o^s{u0BI58+`jhE;I;D&NW>2_5m7jdnuklzL z>}LJp1Ju`Ul60>@y{c>a1*oCD-Jr;ML7D$?ggnQW%E=u^&k=){y&8rv!#Kxv5?8GYONtV*~0-g81~ z?;)%&S1qrAA3R{E%c}0wme}W%47j`pzX*#xLX;p4^pBQs3X10X>Kb3zdRTaDU>Luo zIJLOeQeuyehbg7H-Wf1Q;SK$|>0ae#+}hS1@m+zjL8HeUIyc)x4lQT&oZzLT6%MkG z-2B1fk;opa&o9T|IQE_{4E35mUFP97Jw}RLmzM2~d`>cO%)rG`JCiY95*jMk&-SyE zMb(uq#Mbm|j z=F8aQ4+_#?caYG_9BMXksbb#nlv}^^1Z^0w%|SYOlb)M&T+ zM#Tp@`6LQUtN`0d`Kus*sCw>wI!>U$H!BTR z+{?r}B8Ee1vsoF=o}@es1y)K^k4VkyeN~z0+@*s^N{ZeBo;Djw=uko~$6Vt+!7{3E zj%@+)pVunfy?u}0IW_R5*VDmZfBW8@j;8(WS(13%USEMpuRvKVZ!{XGpcVAUTP0JM zUK^O1-Y$RN-0h_u*Rqv{-ibGpCXiZJS&pnSIl?J_^MiryACKhYji$f!&t8ddLgspx z@+=}17c@y2pVF9L0#%)!o~VfoS-{0%x5MOZn)7{KqL*KF2qd{_84_(-bn z=EiEt^rk`vuQ9I-Tg2Bq_dN3ADaOw~x8JNXUHHP>U6$f{+uAh95@OLo~j63x?RvjYb#UHI^Kl8nfe{-k0SW zv`p(=kxaoj)N!Tmn$XC&TLA}WDAoxl&S!{HY1h6;9i6-%#$`03`(A1m1Yi8_m?4id zoEtD{3k)p+%`)~7T|W?g9-#;O=5|j@Yyo#1GCp^%9UAk^1-bXPaf;il^Ya4Bx&@u_ zXsE5jX(whEDXlfevZn;!1ex(LiJ1J*AhzurN4Q5RE>M&O2S0Ox`IxZHRHgsp^uCp)Zo!p}|8BEP<5 zwMO{oN$nohCexa;cTk%fGHYrLPA%F+p7(c2s(2#{ECWI4mUCH$xy%F;42;E&U%2pC zL;B^t7rSz$91E|Sb?7w}hYVw?cvY;`2fDNGW&O{2d~|2&2cF5C3;|VoW)VyU#y%tk zT*KK7@6@z_oF8qxmq8#yfyGQ3IR^L literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/images/fitterRpt.jpg b/cpld/XC95144XL/MXSE_html/images/fitterRpt.jpg new file mode 100644 index 0000000000000000000000000000000000000000..42de6fff46e7a1fbae781b4944ea0f4471075e2a GIT binary patch literal 1341 zcmex=^(PF6}rMnOeST|r4lSw=>~TvNxu(8R<gTWM0TY@5u?V53ptdXHXalWy7)oG zIH{I3zSIJR&kGIVCkMJtH%#xTLhK zyrQzIxuvzOy`!^h(&Q;qr%j(RbJn88OO`HMzGCI7O`ErD-L`$l&RvHNA31vL_=%IJ zE?vHI_1g6tH*Yuqec!9r-=(U9^_Ou4*DRPRCJL`OvU7(>PL{* zz&<0+V@+iF4DK<6ziu(`Ff#%pk6Dnxp5X(}{3xT^jCG%buBC1IQUCC_h3Vgyn6DvNsN_F_@hAG^f z{QU^Od4Y;L`?C|`76NaqFSoq2vi$S9_-NR#(%z%3OMCk#9QNNbuQDpFSZC60m$wZS zp(oX4=4>wRUA6be)jz9$Ti8ETzOE9jD|YJb7kT}^eD5sYJeuTDF1c~*1Z%SwAA1k; z6i94{o#&BO{3reMlF#wKkAGhGJnX_2ZF}BR6ZbCM-|Vw_Z@g;Wtk*S%#1aj)pKvtH z+z`&*(Wo+wJvit?UvJ@~+jHe?wQBXG5YJofF>dCk=iqt6TZFF4T461*t#_8p%M)BCa&)_GgoD?L5T zdsvI@?%K~`_WgNEJFmZu(zehI|E=%;Q!!n6cEpU@Z^;J~-EBqE)m5fB@3x$8xPMQ* zmFAWJ?TuQQdTKKjJypv+0*(u977{EMJM?_PX~P5Wj(lI##julY_v+j1zwK7niv4F$ zub*DM(%;U`lc`;9d4A^k^K;K$x3Bm$ zOJf1o3HDBiqtP z+$Y!NuZx}dPHjiu9gkxgQsH|4q9&C!9k=`Y*;Ry5_Sx>$uZm9U{##vJt}>BB_W6!V zZZ`=Fr-(T#5~O8~jIXV}d;jiz4!i56w#O$59ps;@Sg&XLd&SNdi{~&N0D6D5t4IL* G|C<21w=%2% literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/images/logo.jpg b/cpld/XC95144XL/MXSE_html/images/logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..dbc01d5124f366a2fb387abf7e62447f44e0dbd0 GIT binary patch literal 2922 zcmbW2c{tSH9>>2kW2ZC>N-;lM$xh1DkEBpY^J8Lc5rr5=#x`a`DLY9;HFhRzNCsJ= z#?sGJDq=K32H9gQ!yt2~yZr9+yU)GPbMJkh=Y9S-=X1_^zn;$@hdaRi3hc5pw=f4l zAP{hdHvsM+a0=ju@bU3M_<0jQKfi#Wn2;b(;-aF$Vo-4j38**}Dk;5BMiM3~1%>Wb z*exq32ZzHYWfYYa<(2oz!{vWo0^%JN6cF4gB(zf=28GH0&*HuWphAE-kO2WH0$?Zz z0tIo~0XP7F_<3o62L8K1U# zZQKPF17UhM((;9rPFBB?vi-QIe8>YAEi57}vwM%M%KihY2i5csA2C22J$A~}%>48j z3ro~_JA1T)qtm6!o?hNpe0+m~Lqfyw;e?yFVq)Xs6B6&wk`qs+1KiEoor(=)84<&{gfw(tr5oBus1s-2){R^==5kPNwBozYBDR`mnH%p0!^qIYt^=NpE0PK07Qr!T~#U z#Vf!=nKoOe`_QY0Q;5opZB0!xu7-Nd$z~#3nbpZ zAjKr?wWsR{YMmY@*5R?g*p6ZBW#pE*{e&ngtOlJZsxqKI+iu-)t~)XI&HGJ_C?7k= zz-3kc0rvZ-3Trph?2pXD-WLlV=~tiHYo(vC&sXp58~{%!HlJ2h@1#w&snKvkt3}c? znlPIa(SJhap=WBOb?miJFH>ByK!B@5+@#lotTX3&XOF-!nzjBhv~cobMf(1%q+ z{ow2GquKY4(K!%MZPuci+9Uyi7h$?Py`cHuUjRn38k4zrO->^B;0dE!GGzP%=f>xaEQ9zEG3Rn!Dpe+H=S%4m!1TT83vM^G(e2yDtt?~$ z*2#Y_HT1ZP2kMT5P6V+Uo|$Um8Y|o;y3i41F+UjmAVO{>B7H8DPWxp#sG*)e5`$%g z+9WL#T!+NbAGVJ;uHT~$D>Z-A$7Dvhnb#82yot$Y%7hI|XRqUo%DX4lCz!1;y!pt< zkNL6Uuo<*9Jo`$rGy{lS?2h!%IW#3an>cYzk{P$S5En8}u)6X+gbTpBlT65Pqkw#p=iKEwR&nhZsrJiS1rhMJ3aP`6N@r!wjO?xdn z`Wt(DS8>S|s@6O}RM%?31=J3; z%CxGdLRQ zj`<1HYX0cS19^M8*p<=Ez3c=QHa!n7uzaQ=-qrWmCv6{ZFY&h%u|1O?v`p3zQrV76 zCN%c1dUMA8y62~tmM@f7X|<3-5JSYVcf-Y_a%$Sb)1@+rhkYDv8K)*SDem#Z1aHLH z@muW4tiG+&EWu9FiksMz)z1)W;1f4$!I3ayf)0AO+4#jv`R^fFZl8Vo8E=>s{SV4N zcLo&3-16KgEFF*1rsXdRG%?{ZTwvE~;S;>EZJ+k{%U6#G*n4Z7TO2&&dGvr%e~a&9 z!fJql?MG{M&aX!{ePoJBO)UwLF+Xx+sePqZqSi+{g3Q@XID%tKn%nK-$G#)r6}Z^C z0je)pReMz&`s&ibdiVWSzoYkQ2hn$bYgTq0sk-rGODso@XjNgkZb(O!N?1xg7a@C| zIB++rDb;C1)R`65VeR~i9dw1^l}q;R`Etmm<0u!f+o@A#5MmgOltW0}7}W-kq+pQ} z>rJ-XJ^h!hyDmPXq4Q2x}-W56?pKfxXX`-*~%V2 zY%?;{kFtjGSCoAAZ5p9>Y1{Gj4xHbG0UbKzW+FrHSXIrt4(xBfeKJ`@dMG7VE8)D)i7ofD?n}3~0n{KU{Ot|=> zxu?<)CA2v7?tQj~PAvMN04;88;Ob62!Ty%`UcZXqS=A?V`3@K3-ED|=i5sM&MhI$e zB%4&Bk_jTh0>4Rpd7eLQDrgQ;Q{ zdo+;~sFuuc2LYWf<^rxw#f$7)8#TV~3I{UTowN7AoRr%F=k%^3Dvqf)WJi!*<+d=w7 zASZ?nIz3Uj6s@~vheMvtA&%!1y|7K3Y!=`e$fSZYPh~=6^ zZ&hVM!;9M66HG2(ufj=3mY_N#Sfs?~0Yfx>e;Lh@)%Bf-2exF-3k@noTmZLX_?^LA zLs@9)4~ix|f2l#&+y1WfCHvi*NZ?a-c#iF(I>AoofFVIvWqqeX!Co_py@=bl$N?KJ zK;O3Om|{fGlPXDwJzqbJ`5|!#O!Tn=B7wx&XYP`t;9la}KewhzpCr$`!?o*|i&jZr zcu`x^ENf_8_iY+~NS;-^dU%=?kzE^;WgmK#tYg|_1G~zvnO^ZCoot_RhqsV#1G}hN zT0XOgki{RnRy|T~c-B?VA~NtMu>a(@7{>we*O!3Gh_w; literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/images/spacer.jpg b/cpld/XC95144XL/MXSE_html/images/spacer.jpg new file mode 100644 index 0000000000000000000000000000000000000000..d9a9aa5f130d286466afa0c53da1daeff068843c GIT binary patch literal 444 zcmex=iF;o{=v;^GnD0RsUZK7IjyJ|1CV5fNcw z8EI*08F@HhWM^mR<>8eO5Ri}(6%>_%OAyQWe}F-dgF%8pftgW|fk}{&S&;Gn5r#Ad z1}0`kpex~kk(q&oje`*g;6h*qBa;9FBQrBI6FXd-nURTwK~Tt0m{l<-aH7$cQZ{oz z42_IJg8y$Z@Bl4g5@Z%+uxEH$d;G_hC$WKd)Ju(dgQo=F6e*T5GEc$SPW{t%dq2;)zvWSe(H5qrfM|71 ri#;KAnosv|wA-wBcywjwQUhh^(PF6}rMnOeST|r4lSw=>~TvNxu(8R<gTWM0TY@5u?V53ptdXHXalWy7)oG zIH{I3zSIJR&kGIVCkMJtH%#xTLhK zyrQzIxuvzOy`!^h(&Q;qr%j(RbJn88OO`HMzGCI7O`ErD-L`$l&RvHNA31vL_=%IJ zE?vHI_1g6tH*Yuqec!9r-=(U9^_Ou4*DRPRCJL`OvU7(>PL{* zz&<0+V@+iF4DK<6ziu(`Ff#%pk6Dnxp5cS;+rN*0Udqo8{&^{6+jrrpJMP7~+J|lY zrVlQ*wxwk&b+t&wC%&|rt$F-KWFh*?J zam-+qqYmrMLwWh}*Lu&s{&ju%%PO(SD{f{OOUolZczoQs~? z>gO%SIy;l$(WbXs-U>ZFEc|1lNye4PB`>B0#duvjBjjxoHnmw>{OIjT0gEPew=yd< zmKDsNef{fFA%lO)Kd;xa&CFf=C+)-2xof9<3tW3`y5hDSMVH*(P1xP8!auuM=7Z%M zc805^{o8&R{d>5_eO*P9`7~>P?a=;&O%o<6`RttKvsowTnAwAdcoimQpwUg0;)~~> zo2U0w{#d=$m(%;Xex%j}r!P68n=bZGY1?Jh==iPgCW%#w{=4*vp(*%jPo=BlnHDAn zW!;io)e`Q#dA{6lKE3?UklDE9b>~v8w<6mLo6WWUrRmKtJJh{XoNIA`)(O3H@^6d& zGt~Smsa+TUe*U@L-e;{{E}6Uy4yu*1-p;>t?;fETuZ^zXW=E<`^t;A)f`hRx;c(W| zjyuxwk9a!ukHiaZa+XoZ3g-&tRG6s9x>M!J#L2f+9xyiWg~*&a@;hb!J^Q($;UnJ4v}+OXmV4@a{FW{xka$>Jn*YO}BikjOOulkq`}fSJxx4062J8`h z9d;$5kEJ_cm&^8&iqNzK!w3l-aZv`rGtzSV(9r;LtDaKD{@x)U1f;Og61Qm#*~Z@yQb;w`f+;I7XncdI73xv$@Q%j@80GMhwk%U z?a?B3S@&>zn*j$$@0?W+CN>^dzt*L}wCDQua`}wU>y}S{E7bY?zGA+a7>2kW2ZC>N-;lM$xh1DkEBpY^J8Lc5rr5=#x`a`DLY9;HFhRzNCsJ= z#?sGJDq=K32H9gQ!yt2~yZr9+yU)GPbMJkh=Y9S-=X1_^zn;$@hdaRi3hc5pw=f4l zAP{hdHvsM+a0=ju@bU3M_<0jQKfi#Wn2;b(;-aF$Vo-4j38**}Dk;5BMiM3~1%>Wb z*exq32ZzHYWfYYa<(2oz!{vWo0^%JN6cF4gB(zf=28GH0&*HuWphAE-kO2WH0$?Zz z0tIo~0XP7F_<3o62L8K1U# zZQKPF17UhM((;9rPFBB?vi-QIe8>YAEi57}vwM%M%KihY2i5csA2C22J$A~}%>48j z3ro~_JA1T)qtm6!o?hNpe0+m~Lqfyw;e?yFVq)Xs6B6&wk`qs+1KiEoor(=)84<&{gfw(tr5oBus1s-2){R^==5kPNwBozYBDR`mnH%p0!^qIYt^=NpE0PK07Qr!T~#U z#Vf!=nKoOe`_QY0Q;5opZB0!xu7-Nd$z~#3nbpZ zAjKr?wWsR{YMmY@*5R?g*p6ZBW#pE*{e&ngtOlJZsxqKI+iu-)t~)XI&HGJ_C?7k= zz-3kc0rvZ-3Trph?2pXD-WLlV=~tiHYo(vC&sXp58~{%!HlJ2h@1#w&snKvkt3}c? znlPIa(SJhap=WBOb?miJFH>ByK!B@5+@#lotTX3&XOF-!nzjBhv~cobMf(1%q+ z{ow2GquKY4(K!%MZPuci+9Uyi7h$?Py`cHuUjRn38k4zrO->^B;0dE!GGzP%=f>xaEQ9zEG3Rn!Dpe+H=S%4m!1TT83vM^G(e2yDtt?~$ z*2#Y_HT1ZP2kMT5P6V+Uo|$Um8Y|o;y3i41F+UjmAVO{>B7H8DPWxp#sG*)e5`$%g z+9WL#T!+NbAGVJ;uHT~$D>Z-A$7Dvhnb#82yot$Y%7hI|XRqUo%DX4lCz!1;y!pt< zkNL6Uuo<*9Jo`$rGy{lS?2h!%IW#3an>cYzk{P$S5En8}u)6X+gbTpBlT65Pqkw#p=iKEwR&nhZsrJiS1rhMJ3aP`6N@r!wjO?xdn z`Wt(DS8>S|s@6O}RM%?31=J3; z%CxGdLRQ zj`<1HYX0cS19^M8*p<=Ez3c=QHa!n7uzaQ=-qrWmCv6{ZFY&h%u|1O?v`p3zQrV76 zCN%c1dUMA8y62~tmM@f7X|<3-5JSYVcf-Y_a%$Sb)1@+rhkYDv8K)*SDem#Z1aHLH z@muW4tiG+&EWu9FiksMz)z1)W;1f4$!I3ayf)0AO+4#jv`R^fFZl8Vo8E=>s{SV4N zcLo&3-16KgEFF*1rsXdRG%?{ZTwvE~;S;>EZJ+k{%U6#G*n4Z7TO2&&dGvr%e~a&9 z!fJql?MG{M&aX!{ePoJBO)UwLF+Xx+sePqZqSi+{g3Q@XID%tKn%nK-$G#)r6}Z^C z0je)pReMz&`s&ibdiVWSzoYkQ2hn$bYgTq0sk-rGODso@XjNgkZb(O!N?1xg7a@C| zIB++rDb;C1)R`65VeR~i9dw1^l}q;R`Etmm<0u!f+o@A#5MmgOltW0}7}W-kq+pQ} z>rJ-XJ^h!hyDmPXq4Q2x}-W56?pKfxXX`-*~%V2 zY%?;{kFtjGSCoAAZ5p9>Y1{Gj4xHbG0UbKzW+FrHSXIrt4(xBfeKJ`@dMG7VE8)D)i7ofD?n}3~0n{KU{Ot|=> zxu?<)CA2v7?tQj~PAvMN04;88;Ob62!Ty%`UcZXqS=A?V`3@K3-ED|=i5sM&MhI$e zB%4&Bk_jTh0>4Rpd7eLQDrgQ;Q{ zdo+;~sFuuc2LYWf<^rxw#f$7)8#TV~3I{UTowN7AoRr%F=k%^3Dvqf)WJi!*<+d=w7 zASZ?nIz3Uj6s@~vheMvtA&%!1y|7K3Y!=`e$fSZYPh~=6^ zZ&hVM!;9M66HG2(ufj=3mY_N#Sfs?~0Yfx>e;Lh@)%Bf-2exF-3k@noTmZLX_?^LA zLs@9)4~ix|f2l#&+y1WfCHvi*NZ?a-c#iF(I>AoofFVIvWqqeX!Co_py@=bl$N?KJ zK;O3Om|{fGlPXDwJzqbJ`5|!#O!Tn=B7wx&XYP`t;9la}KewhzpCr$`!?o*|i&jZr zcu`x^ENf_8_iY+~NS;-^dU%=?kzE^;WgmK#tYg|_1G~zvnO^ZCoot_RhqsV#1G}hN zT0XOgki{RnRy|T~c-B?VA~NtMu>a(@7{>we*O!3Gh_w; literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/images/xbr_logo.jpg b/cpld/XC95144XL/MXSE_html/images/xbr_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f7f66262672ae2a1ce0d0dd4bac05a015c50d55e GIT binary patch literal 2865 zcmbW!dpy(s9tZI6F3eDjxh7Udlai8>hRMsoB&8rH2bV`EC@CQn5C~;eO*LgCN(F&X-=K~{ zqqVfOl-0Dc+8C@RMho-%6OiO7Od7UUMrJJri9llh=Mp~w5HbKB$bo>g0WbmtL4d?v zfEECNq$Fv72mW_~z!0b;r3_qFPI7^v2!KHl2w0L|0+8H}k(>iigp|?-qutWVF2`Wn z;mB>Y%n})$J+&Pwu52OJ`1t8KxU8z0x&~_FAG&(_2HQ<`nC>()x3RUu6YL!v-P}Dq zy}W&V{rpb^1O|~#o{2bnE|PLSD*jSJ;^m}dde+rz**Uq_^YTk?mEFEmez)RYU3~+i zv8lPGwUgQPw43$p`HTKH18)b1-VJlc_=1n)pC%@!rsuwWpI=y968-r3+XVt3|6=_m z`!5$l;sQ&?0)_o{fxwZHh9IC)8;qotcDulig)3`sqsbumWR}!+z;%pWg(}BSvt?DW z+s8J}{igj>_V-|M|Cj79*neCcKpp~;3=e_;tbpY$biHEm-|9Injv;@hmKQ^0GFR6% zF{o1|%eLS5Cv@r`zeg`l->;y{Y1&S8P7j#wj&br4UVis7lQG~xUY|k8I0VL<`r(GF z`zrRid0_jUgY%Z{UiR;Dq(37gDJQ%uDJk|)O+~VwV4>pU(01=Hf=5@q-}H0#c$(#p zgU!&^A-thKu@BWEf?RygjcN;5UQUritEbNF+rY_WMXoc}vIae`(IKbTz2f&NO>UhG zCy;OLj?r&dv!E%0kzcr1@)lDAABQ^0c~<@K?0ONNKGE!Q#N^jD(dD@mR!&saU{M7n zpclQxgqpmiN>hJCofoQG?7Bau(wV2${il+srYmioPDLHZWuJ?$?Oh+9SjUvKw-n^d7JpzSff9iiCJ3X4OkFq{NP!miuuhq)-v2F zS^K zeJ$FLxE)p+3y05)Ezutxi7D|J?kL4W4eIOR<2n`&IN%hU(~YY^AEd}B@L6R>}MQ-QJg#;Q{Ou?&3BFWto$giPkY~1mYN^h9v6)!2Zsm4 z5*oaDEwZ|4A-Ck#yJe3U^(tsT))3rvUp(a!JKoUGQNQQvRX_3rHQLjWf8opL@bl(D zLpZ&Gv%rHjlS7_t7-VK;Tpe#Ev8pdU2A1FSP_a0)ksE{HCk}G|S;-hscM&!~6Oq9t;hw9evKKPd^c<{&ci(yh{ zS!S2Wx862QO-wh!4o@AS&pbA{5_OQ0P4> zA_=S%_cOoxR=sM>Tc`Yd^warT5x)IqOhG8`iZs{8a>uF)`&jyzWlQ#^TQ1rY76&1j zEJu=1H!kfi1EU+IKDMXK@{oo_ahegDgT$gaatDXlHq>aP5Yg~(V+$>v1@w65(YH%6vG{xO1&^08kz`!%onPnY)-p;s2fe2_VB&}k z`hjfx^Q7)#ztrssu`LxiRSI>_k$8;RTw+t%@aQXW%0XDOb*Gu5;ItTEwA%iv9GL&0 zDpH!l_>1tCl^M2mPyLiDt8LI-e_CD)i;>z_AxdG^k=EQM;gk<=ds_D0|3NGJIF*Fe z>at#+$U77N+RG%Gj}!#ti=sZCSPhu3o34*KUu3?|a#2e*^uBHCgOi+wT=|Q_9x|#} z46w?`%OAb`Dk!qfib1WIykp@)u5+RKpz-F$>N}t2Zs=i-b~^<=m$8t-U*-ZH1*lgYuzd3G|)iElYX#dXAjTu0@7XQ|V02}d= z<4-GPSG>vH7CKq8G#$}{t=vcbMkj^{Yrqu0y>qjy<@Z~DU<8i!4mwwRGAby=b~Nd+ zUbq}_mMW|k15R}fZ(=c&w%Jbe2s|PG{f1@h9K!mUo<=;tB3`dblj~f{H{lXVL@i-q z!Rcw2@kWN;xC1oK-9MG~!^=)dbamC&>GqX&-{sKN4L%ob!`b{ zv%``6V8MBVpWSO`Ki0;co$sw0t2}%vXnZK*Cd`#W^XBlMIl4F>FjY<`Efp%iuKD`4 zY5@(iZKbmvXi@2`gKx`{~W@H*l)2 z^PSIRXvyO+>4ggYu>KKu=GYKz_cLMjHH8%_eLCpQ^Z@nwiolVpfwD@BSc5uZ>eyd( zzexQLso|n(^Mzf(M7rUQ8MWrrB&Ix_&|HEza15!psD8UNJ7+Acl&*i##SRJl4-FCz F{{y?PCS3pk literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/images/xc9500_logo.jpg b/cpld/XC95144XL/MXSE_html/images/xc9500_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..86638a7b64d533da73cea86e03c5a98875618767 GIT binary patch literal 1979 zcmbW!c{tSj9tZH>n4Q7cx3LY=a16p^9punt-0aI#4j#M7#j!7S(m6V4a+QgSW6M@# zFMEYB6i=aq8PZTfcQiyM+g#4Q&pFR?|G3Y+_x(JdzdoPm^L$?4uV6~>4nRAQDP#b2 z5CVt*00K5(3m{-HI1Gw_!{JCILKr12iV_h)DM(6*ODij?swgXBFlw55cr`3R1B1~r z(Iy-UeSma=!1q}#+z+fQ=7z%|z4yJ!P=mQW5sHD1q z4NS__AC8TdHvBo~4npJmrd}DhF`lMTK+G>lVOcqO1x4Huyq5NN#AC)Lre@~0b|=Uu z?I{lK9-dy*(`U{G1_g(NhSAQ&#>FQjCMBn&UH&yaBl8NKaU(bH=B?ZL1!d(Gl~s4| zRo65>c-Yd~*51+iw6A}FIXLv}`S`2X6K^J`rdgc%h4&v8KQ1k=tgV09*!;T1|F(VT z0s-KEvi^|$n@i%rB?N(hA@D;NNGS23z!DIsx&chm#ue@#Erm7w86o|B&Yh-Sq=u0j zPbMH{OjuTv$ib~0(telycd%dnFWDckKV2*U1qK~_9#{gf2DY}foALQlcS3x6vZ{Mk zZKo!)2IsrceCxr0LBH4dj>fr`th6+#xa-ku_9*>|BYD!C*G>sY&Q+=Qo!t`;D|_T+ zEgQbCyVloRu_9;83fY$>q3ldwIzO5l+41V`_fuIh_goK4u}wC?9y1z&EkZklJHCGY z%qnM-xe4ah&G*mSk9T%aH#RMu=)CGG{?#%~lR=lxl33_^e{mwdP)03{AJQ2m!xsP^ zO}vCWk}Nl{dPV7t#*e6H7kIxMkFuwXv#_(@Et6XB*Nl!0(25 znh!A5Y2J&yu3t=t-fwW<=0>A$(8Q`d-K4UlZ$wOOoYQ%#x2dYQ)Tx`1>Fv{TQ-@(i z#^oi7_Mnr@z`XkI{yB8L?k=?ITtQ4Nwcw_Qu?d3Cq~>gT4<}<$)I2`W zJrLt1bUI1reR-F^N0m;8st51LA9aTxo(JToG1aifKVlGCeb{g!ybl6h5 zeeqQ|0XhrGbZItzqagq8tJUUEn6=o$S#fu{9I^;-eC7jB+&^b~FTA)bFE^k*k(DowS%s}LWQN5u?tGNrz3=YLtGt#OcTYE7T5?#J6HG`1 zXGwK6JPsY!qpJAv@hKx=1iNU}kvbfEw0}*m-v{hCI?rH+|KvashPGy(LU%mV-Fpj< zE?l4wt&s}!ukONws~xdR+{LD}7r=)`8(#}dsAUqygLgrFe7?{^0p}9iviL3a1guL$ z0HA2B?6kd{Xkj8oWo#LYA};ySIo2C(14@<~c`emt6eK&Skb zY|QO}#AzrSaGeT6YbflI*pX$MKB{rW>~7ISx75f5|NEzZ*u{;OrZ3`)So*U%Lc=Zc z#wVK=*6LGzn~SUz_yGxC>k9=OXOif9!+m;qR|ZEcPx)zAGDFRbwO*(?1m2#cC31E| zxFS2)dC2*QQYiZp;^4I}N-ro3|D82d!bMq%op5aJC@gvLX_M5)G;jI!<1N?g#AT0hH(1Vhq)bf@z;|gxgMyB|a*=vQ$R@MEbFet_A#u0t=@90f&TY A^#A|> literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/images/xc9500xl_logo.jpg b/cpld/XC95144XL/MXSE_html/images/xc9500xl_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..dbc01d5124f366a2fb387abf7e62447f44e0dbd0 GIT binary patch literal 2922 zcmbW2c{tSH9>>2kW2ZC>N-;lM$xh1DkEBpY^J8Lc5rr5=#x`a`DLY9;HFhRzNCsJ= z#?sGJDq=K32H9gQ!yt2~yZr9+yU)GPbMJkh=Y9S-=X1_^zn;$@hdaRi3hc5pw=f4l zAP{hdHvsM+a0=ju@bU3M_<0jQKfi#Wn2;b(;-aF$Vo-4j38**}Dk;5BMiM3~1%>Wb z*exq32ZzHYWfYYa<(2oz!{vWo0^%JN6cF4gB(zf=28GH0&*HuWphAE-kO2WH0$?Zz z0tIo~0XP7F_<3o62L8K1U# zZQKPF17UhM((;9rPFBB?vi-QIe8>YAEi57}vwM%M%KihY2i5csA2C22J$A~}%>48j z3ro~_JA1T)qtm6!o?hNpe0+m~Lqfyw;e?yFVq)Xs6B6&wk`qs+1KiEoor(=)84<&{gfw(tr5oBus1s-2){R^==5kPNwBozYBDR`mnH%p0!^qIYt^=NpE0PK07Qr!T~#U z#Vf!=nKoOe`_QY0Q;5opZB0!xu7-Nd$z~#3nbpZ zAjKr?wWsR{YMmY@*5R?g*p6ZBW#pE*{e&ngtOlJZsxqKI+iu-)t~)XI&HGJ_C?7k= zz-3kc0rvZ-3Trph?2pXD-WLlV=~tiHYo(vC&sXp58~{%!HlJ2h@1#w&snKvkt3}c? znlPIa(SJhap=WBOb?miJFH>ByK!B@5+@#lotTX3&XOF-!nzjBhv~cobMf(1%q+ z{ow2GquKY4(K!%MZPuci+9Uyi7h$?Py`cHuUjRn38k4zrO->^B;0dE!GGzP%=f>xaEQ9zEG3Rn!Dpe+H=S%4m!1TT83vM^G(e2yDtt?~$ z*2#Y_HT1ZP2kMT5P6V+Uo|$Um8Y|o;y3i41F+UjmAVO{>B7H8DPWxp#sG*)e5`$%g z+9WL#T!+NbAGVJ;uHT~$D>Z-A$7Dvhnb#82yot$Y%7hI|XRqUo%DX4lCz!1;y!pt< zkNL6Uuo<*9Jo`$rGy{lS?2h!%IW#3an>cYzk{P$S5En8}u)6X+gbTpBlT65Pqkw#p=iKEwR&nhZsrJiS1rhMJ3aP`6N@r!wjO?xdn z`Wt(DS8>S|s@6O}RM%?31=J3; z%CxGdLRQ zj`<1HYX0cS19^M8*p<=Ez3c=QHa!n7uzaQ=-qrWmCv6{ZFY&h%u|1O?v`p3zQrV76 zCN%c1dUMA8y62~tmM@f7X|<3-5JSYVcf-Y_a%$Sb)1@+rhkYDv8K)*SDem#Z1aHLH z@muW4tiG+&EWu9FiksMz)z1)W;1f4$!I3ayf)0AO+4#jv`R^fFZl8Vo8E=>s{SV4N zcLo&3-16KgEFF*1rsXdRG%?{ZTwvE~;S;>EZJ+k{%U6#G*n4Z7TO2&&dGvr%e~a&9 z!fJql?MG{M&aX!{ePoJBO)UwLF+Xx+sePqZqSi+{g3Q@XID%tKn%nK-$G#)r6}Z^C z0je)pReMz&`s&ibdiVWSzoYkQ2hn$bYgTq0sk-rGODso@XjNgkZb(O!N?1xg7a@C| zIB++rDb;C1)R`65VeR~i9dw1^l}q;R`Etmm<0u!f+o@A#5MmgOltW0}7}W-kq+pQ} z>rJ-XJ^h!hyDmPXq4Q2x}-W56?pKfxXX`-*~%V2 zY%?;{kFtjGSCoAAZ5p9>Y1{Gj4xHbG0UbKzW+FrHSXIrt4(xBfeKJ`@dMG7VE8)D)i7ofD?n}3~0n{KU{Ot|=> zxu?<)CA2v7?tQj~PAvMN04;88;Ob62!Ty%`UcZXqS=A?V`3@K3-ED|=i5sM&MhI$e zB%4&Bk_jTh0>4Rpd7eLQDrgQ;Q{ zdo+;~sFuuc2LYWf<^rxw#f$7)8#TV~3I{UTowN7AoRr%F=k%^3Dvqf)WJi!*<+d=w7 zASZ?nIz3Uj6s@~vheMvtA&%!1y|7K3Y!=`e$fSZYPh~=6^ zZ&hVM!;9M66HG2(ufj=3mY_N#Sfs?~0Yfx>e;Lh@)%Bf-2exF-3k@noTmZLX_?^LA zLs@9)4~ix|f2l#&+y1WfCHvi*NZ?a-c#iF(I>AoofFVIvWqqeX!Co_py@=bl$N?KJ zK;O3Om|{fGlPXDwJzqbJ`5|!#O!Tn=B7wx&XYP`t;9la}KewhzpCr$`!?o*|i&jZr zcu`x^ENf_8_iY+~NS;-^dU%=?kzE^;WgmK#tYg|_1G~zvnO^ZCoot_RhqsV#1G}hN zT0XOgki{RnRy|T~c-B?VA~NtMu>a(@7{>we*O!3Gh_w; literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/images/xc9500xv_logo.jpg b/cpld/XC95144XL/MXSE_html/images/xc9500xv_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ba2110dd57eb412650bcefacecb2ec953c0520fe GIT binary patch literal 2499 zcmbW12{hDeAIJYQV^+d2c4l72HRw{tHkWW!40_8Y*_XF$l@OAVx!K9Sla$ahXc%bH1Nv`SC~jQ-HXQrL`ph z0)YT4K?C@2fCB&&A|xaPfeJb(6bgfjAmD-!6Bb5_pv5F4&|+w`q_m=pBt~8ejh0oG zl~+>2;c${NDyk}2RYfcg`)w1DU@0617eydMu^2Q4`#%T26+j~ZOP~+}QUSnd5Cje4 zcK|p506_(AzZw2#fWQzTflCAuB`ip25C^~@2m~zfF8~l^|0vhQcB>YfOVL!zW*WaZ?4P$Q^oXzJ-37?OT6I&jd!l5AydbJXFO zqm#3XtGAD@pa02Ir^C*LpO2tKUWiXfOiI3#lA4>BUr<*^mg zJZyZ_^theb(b?7A^X&P+;Lz*gkDK5Mmk^~$DUr{TThWfSh?okqVNJ}|it#VP22Bov=FvbZsF5oS{o=Kq{y zf}GXp7L)OPvMoj^Y^S`GH^3!&2dw9P7_QU`bX~T4Th%W2vD$O0msGq;8Mnl^#e zCr27oXy(2c2jKR$W!P;^+S2T_D#t5LjeDn(HEYxG_SE#{oA!n=HocMY6Pd?s%S>NS zxGCP)WoYW8J?-My(Rp|)E&9vG4w*(paHC|HbchAbR&yf2Ff(W^pk>8E&C7U#FS*~U4e{QSx@4C?)oI^CGf=;8y3 zo@yK-CHNqxAaR(6&rkZ%2zmLur7x;`5MDZVbEJjD)97oqBP2C=$)Eblx@sS3-*up_ zgAYtZppMzzw^ic&>>){YADJJx$UIXj$I*UJ_xY?|32q-u5m-1Y{PrGE7^I5sVP7up z)3t2%Mas2xhYS?YGSa}$<#6W5-N6Q#^Fq_fCMr_onGJ8~QgZXTN6HrMYD(#t!; z2Lg2;j&}UTw-HO~Ji1*K@`nS|6WRUd{1vHRDmWsN^k^@mt+VrW)gu<5sMEEV59+ zWFZIe5rOv!;SP9Fc#&-V^^UBh3(4_I`jpke7D{t(@}(XFeDdxXvVB=NoiJeLJG#0o z;WBA4W0iD`ki@82bMtA?TsJP)c~JePhGr}a7Tf*G^<*K1)*cO`M7goa?HNH^T`WT4 z@=|zIQKmvA$DquDB`y^!*9Bf#bocK#=tYB;DQi?~IrqPUM6KK zOHS3P)bZ**H~A9%OHg896KmsB45C$OJMn@WYZRBtkh|;e5XamRAM??Wk15a5@<1q= za&gz9^vvqc>GF0DQ%$P4cYfoDR#mH2vJ%dZCjI0H;e7+Au{>oO)^#v^c@a7!*IEm+VL7Y!O`uDf67+;>vMrEk3|g zmdQSKawn#W#jyPtU^wmbVTO+3DYMH%nYORzRxsxsn_O(?LG-&k;VshPX&jDnw1X9W z=~KF^W7c*_)f^1Tfdky8 zqGPprgc}}T$Z2_S&7=9*qC0Ht0L^S#XYW4{B+A!+3R literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/MXSE_html/images/xpla3_logo.jpg b/cpld/XC95144XL/MXSE_html/images/xpla3_logo.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3089121f6ff00840427e35eadbac7a5434cbb504 GIT binary patch literal 4130 zcmbW1cQhQ_y2eNJ5{xct7$pQjM2Qj-J;-PiZSW}*L?(JCNC;8F1c~|~Oq3yduhC_Q z9y8i#6B%vP3Br|g?>cv_d;dA-yn8+SpZ)H4J-_{|wJ*M3ECHAebo6xqWMpIjy~_o- zzyj_AD9I@(D99-dRuHr>A8AGO@4#nSel64qi@HwrlJ_AlG%SYkYhH z0s^d@LLec25HG&~|DPdbmshE&s2OQ!82Q1l}rDZ0GHiim*)TqASKInSxqWd zup_mQ7n@vUNDVqv{x9z+S~?C+F79h0qGIAVByKC*QB+b^x&J_0M^{hZ zz|7pj67tx}+R53)752o<-N)C@KOitDIQr$QnAq2G@u`S+Y3Uj7KV%jZek>|Rekv)g zuBol7Z)j|4?*7u#+t)uZI5a*nIW;}=eHMdTURhmR$N$*)N!;5%I6OKg{W|%>MFt@M zZ>)ck{U0viCD)b9SSYCfaFJaJxD+{%g7Ug76^kaA+R=+uNG_6wO)Dk8s*6@w-gKA! zFYhrr4$y6!2=NcvKgj+yu&Dot?4Mx&%Y^|jkds}8M-BvN08XXj#s9wY4|%9aO4I;s zahhtz^8HI!9Rv4!GhxvOHx$&gyWlMpWG{m9P78k_zFSNnB~l;7x48PbcfaFZ-%MXW z*YQfpZ7gUS1@wMGf4l&A5|po{Bja+_qZTxN!B~>-F{urTDU6Mo@}_#VZAvJpm9uSm zykY}Ca%Tf;1OA^ZnUX>wMWp)=JWb489c-MzVvA|NsYx>lMyC(vwM%I7bSBaj;q%?c z4-AG650{S4E#_l}y|~`*PbB39tOo_o;i;oCk(SV7=d6j5{%^Gqnca>)3_STv=674M z&74hNgB)ggHbf)dYqEr@=#R1qcojz3rD{q^i3tC3>>gts~d{vVguHl&bH~ zlTosweB#=cfeW0dCHl_XGIS{=Kun=*nYZ%4rGkYW_v$D60eDd60rCUxR`%qE;bL{- z*&=K)ta+A~-8;B3CdVRhF>@j3EIl?@iHi*q6F9L#Aer?9q;t0O*_7FY-QNtsHDd5` zPc6H+e(JdAB2P8v-S&qvR7CTxfr@YK*|9PBL{9ptr!fzCnfZf%S^5ok6!M&cKnSuI}I?WSCoyCh!?b6gM{%m_a<2` z_eQrp3rkr3%RX?Q*-@>F?`7H!zOQE`?kOoP&AcHkE(g3hptfhUesa{XAev{EG}Osj zEt&dDFy%~8{HDpVZiUz8zI?gy81g+%-(o~j;Ni@uit8)l^_|0rI0(jXsja?4XTsO) zTF{{=dlZq}h;%!>Q2EJ&jQYf< z2$R@HbqKDvH_JPP8DjyA;dKLU_E!suc|AM8c@p-^N#zl_4c5pBt~T6DG2Su^icD4H z8Mw;w*(;%hkT6-56VesISvPMz+Ig~$atna-m1{UesutZ_>$1E_c1prVCL(jw24j+> z-{DkHwb(tX5CV??M< zSrr}-mgCrp5sU7kSx5_5Hc3!5!tuF>Y1t$*_TvTwt!ze{CB2P}acf(B-^lJBGd6bh z25l$qV*Ado$M%F@pJuRzVPUBp<3$r)jjb`au-wJ_G~BSapD=;yXHa4hKK?L|c7r1$ zf?fe-Vr!!`C9U~@r~bvVmaT+KhK8&l`CEA_Ix zr>`mu)rR=iH}6D9h43%pJgpRV7&d}epBp~Qe3cC`WQ%6vN+G9IU%740PunnsQspbO zCLGJo{rvX5JEOYKyYkjO-sr{b{e}1wvo2NnBjluOR&Ovgt+kwEx;>oi=SUB0asksw z$@y@{)mGMs-Hvk(v4mPXMaxOQpbBYzPaXCTo`36e1A(^8tJ*+N#cC3^6Ty8841LA2DP&8mN%R!Fv*^zeLbVsS1>eOro4V$$td*r>K>@L)X?n zXIz&|)`X_Z6}i8s(TQqFJlOx?6g5;(CD)@soK>6x1;=D!OrmQ`%6;_~wI)PUZ3cV^ zH*bGM$GE~mUk{#QsRhF=(2K%>GkrpM^UVE6yX|po)m@&-R-oqh?3S*;$oI$0%7nTW zRx2nwQ7tyn6mPW;s?%4Xj`98$!NSbo;B#I8rmeLoDH z|G9J3pHaE-1D}UY>-F^dSKV9|wBI4Rv$9I_9ie}68XAsoMl9DqoA#R^}4ljg4vk@sezqP zTLLfqS?Lza#=34b5ZW-nr!%du{)x#wx(o8zO@muixa?`Ci! zi>-HdQll(I4QeHzilJ3&d)6QBi=bjqMq>zY%e#zyJF9SAWMJ7!W&D8*M}2NR#t&WN zVOad?$xyWhW%1C)v*^&wtoEcOkZJnLNh2wvm(+#pOZt*QW|B<}b`>A=`c1r`cl%#~Te(e4z zI}L;ZFE$NTC-iNYSAq}$^+zFalD`_K(eJY@ zE`_9}y4AI`FYe+CNQy^MdT_);Vpyw7QA66+HtI7&s!mI5L5|!PCBYS8ihv5=@NfJw z?L?!giY!N-E*KN00xU)U1y1Ww3PK33rc&vejnnbM;lMpyVZWJ)#*iuTo$pwD+^ghy<3#LXK&&It(Dv#XWDIK4w zuCIrN_5V)GG{|;Tshl^lD1;Y=>-!Ssj@klN)_S)QPF}dF`ug^GD~BmhQIp$W1Zl?7 zR9&ndzYSSR`g?{Qbv}`~R+03~&ybHEeJ2Y!P7x~?qtv&ue?^loIlQ3J11+HY%`c>E zScdO0DR=uoVqOaM1{?NH_ia|%hvctZDHD;o%WcS`yStXLVY|mRM4hT4Yj@7@>yz6o zWS(qsmYcxD{Iui_?jMq=bq^J8s(2M=g$~=>sXv)=X~aWvQLcsCEz%oSm7w{Z zJ+)*UKIR~Y$xyNRSbU`HI}q__^o`kMM$lA zh4Z@S)>vygwA3Z#1#Mm2X%mPSQVF(KHjCXbFaN0+atw*c>DaRiMxuTP!W6EXq{#G} z3|#<{i)xh<#kyUG&|tq1LQr%jJfQ)vA1DA-PG0sRcIIW~Zl$oGf2 zhQQPhH_agdby=1zx9}N0gXdyr*>gFo5ADEe$yRk^Crc=27O2(i*$RKEqAR zcP;=mVqbnJ*s1@5pXm_48&Qm5^g~hfx3%@==$uz8Ow>kXt=p+dluL^=fhUW7!LIog z!y!*$dxDM4x>2$cX)g4ic>&LrH{~-AGjFIM+|i$X5aaj+`*3swlT4`n1%Q^l2ZK$n z4=ZW$o}1A zjKOi!vgGNlGlk?YD~1gSyO^ap+V#owQ$;i8BL5n8$%gDD@m0EmyqH{u@m4Y%J)Ggm x1k?xy2USbX>+x%iEBg-u7|TN-hT4YNnKjN$cgnwmwb_N}#0y^hE7G`_{V&gB + + + + + + + + + +CPLD Timing Analysis Glossary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

    Introduction

    + +

    This report is the result of a static timing analysis of your design + after it has been fit in the device that you selected. The timing values + given represent the worst-case values over the recommended operating conditions + for the part.

    + +

    Overview

    + +

    The timing report consists of a series of sections:

    + +

    Summary

    + +

    This table summarizes the external timing parameters for your device, + including tPD, + tCO, + tSU, + tCYC, + and fSYSTEM. +  For a more + detailed description of the timing model for your device, please refer + to the application notes linked below.

    + +

    Timing Constraints

    + +

    This section reports on any timing constraints that you created for + your design. Timing constraints can be entered using the Constraints Editor + tool, or by editing an Implementation Constraints File directly. For more + information on creating timing constraints, see the Constraints Guide. +

    + +

    Note that if you + did not define any constraints for your design, then the timing analysis + software will automatically create a default set of constraints for you. + These include pad-to-pad, register-to-register, pad-to-register, and period + constraints. A constraint value of 0 ns + will be used for all of these automatically generated constraints. As + a result, all paths listed under each constraint will violate the constraint, + and will have a negative value for slack.

    + +

    Note also that to + limit the size of the report, each path endpoint involved in a timing + path will only be listed once, under a single constraint.  

    + +

    For each timing path listed under a constraint, there is a hyperlink + that can be used to open a window listing the individual internal delay + elements traversed in the path. To understand these delay elements, consult + the Definitions section below, or the following + application notes and white papers:

    + +

    XAPP375: Understanding + the CoolRunner-II + Timing Model

    + +

    WP122: + Using the CoolRunner + XPLA3 Timing Model

    + +

    XAPP071: Using + the XC9500 Timing Model

    + +

    XAPP111: Using + the XC9500XL Timing Model

    + +

    XAPP + 362: Using the XC9500XV Timing Model

    + +

    available in the literature section of www.xilinx.com. +

    + +

    Data Sheet Report

    + +

    This section of the report lists the external timing parameters for + your design. This includes; maximum external clock speed for each clock, + setup and hold times for each registered input, clock-to-output pad timing + for each registered output, clock to setup time for each register-to-register + timing path, and pad-to-pad time for each combinatorial path through your + design.

    + +

    Going Further

    + +

    To do more advanced timing analysis of your design, select the process + Analyze Post-Fit Static Timing + in iSE. This + will run Xilinx's + Timing Analyzer tool interactively.  The + Timing Analyzer provides a powerful, flexible, and easy way to perform + static timing analysis on FPGA + and CPLD designs. + With Timing Analyzer, analysis can be performed immediately after mapping, + placing or routing an FPGA + design, and after fitting and routing a CPLD + design.

    + +

    Timing Analyzer verifies that the delay along a given path or paths + meets specified timing requirements. It organizes and displays data that + allows you to analyze critical paths in a circuit, the cycle time of the + circuit, the delay along any specified path(s), + and the path with the greatest delay. It also provides a quick analysis + of the effect different speed grades have on the same design.  

    + +

    Timing Analyzer performs setup and hold checks (skew analysis). It works + with synchronous systems composed of synchronous elements and combinatorial + logic. In synchronous design, Timing Analyzer takes into account all path + delays, including clock-to-out and setup requirements, while calculating + the worst-case timing of the design.

    + +

    Timing Analyzer creates timing analysis reports based on existing timing + constraints or user specified paths within the program. Timing reports + have a hierarchical browser to quickly jump to different sections of the + reports. Timing paths in reports can be cross probed to synthesis tools + (Exemplar and Synplicity) + and Floorplanner. +

    + +

    There are several ways to issue commands in Timing Analyzer. Timing + Analyzer can be controlled through GUI + features (menu commands) or its comprehensive macro command language facility. + You can select from menus, click toolbar buttons, type keyboard commands + in the console window, and run macros.

    + +

    Definitions

    + +

    Pad to Pad (tPD) +

    + +

    Reports pad to pad paths that start at input pads and end at output + pads. The maximum external pad to pad delay.  Combinatorial + pad-to-pad paths begin at input pads, propagate through one or more levels + of combinatorial logic and end at output pads. Combinatorial paths also + trace through the enable inputs of 3-state controlled pads. Combinatorial + paths are not traced through clock, and asynchronous set and reset inputs + of registers. These paths are also broken at bidirectional pins

    + +

    Clock Pad to Output Pad (tCO) +

    + +

    The maximum external clock pad to output pad delay.  Reports + paths that start at input  pads + trace through clock inputs of  registers + and end at output pads. Paths are not traced through PRE/CLR +  inputs + of registers.  You + can directly specify tCO + for all registered output paths in your design using the Pad-to-Pad timespec. + Clock-Pad-to-Pad paths for global clocks begin at global clock pads, propagate + through global clock buffers, and propagate through the flip-flop Q + output and any number of levels of combinatorial logic and end at the + output pad. Clock-Pad-to-Pad paths for product term clock paths begin + at input pads, propagate through any number of logic levels feeding into + a clock product term, propagate through the flip-flop Q + output and any number of levels of combinatorial logic and end at the + output pad. Clock-Pad-to-Pad paths also trace through the enable inputs + of 3-state controlled pads.

    + +

    Setup to Clock at Pad (tSU + or tSUF)

    + +

    Reports external setup time of data  to + clock at pad. Data path starts at an input pad and ends at register  (Fast + Input Register for tSUF) + D/T  input. + Clock path starts at input pad and ends at the register clock input.  Paths + are not traced through registers. Pin-to-pin setup requirement is not + reported or guaranteed for product-term clocks derived from macrocell + feedback signals.

    + +

    The minimum required setup time for flip-flops.  You + can specify the tSU + (setup-to-clock) for all inputs in your design relative to a global clock + or product term clock. Each tSU + OFFSET timespec involves an input path and a clock path. Input paths start + at input pads, propagate through input buffers and any number of combinatorial + logic levels before ending at a flip-flop D/T input, including the receiving + flip-flop's tSU.  Input + paths are not traced through flip-flop clock pins, asynchronous set/reset + inputs or bidirectional I/O pins. Global clock paths start at global clock + pads, propagate through global clock buffers and end at the flip-flop + clock pin. Product term clock paths start at input pads, propagate through + a single level of logic implemented in a clock product term and end at + the flip-flop clock pin.

    + +

    Clock to Setup (tCYC)

    + +

    Register to register cycle time. Includes source register tCO and destination + register tSU.

    + +

    Note that when the + computed Maximum Clock Speed is limited by tCYC, it is computed assuming + that all registers are rising-edge sensitive.

    + +

    fSYSTEM

    + +

    Maximum clock operating frequency.  You + can specify the fSYSTEM (clock frequency or period) for all registered + paths in your design using a Register-to-Register timespec. Register-to-Register + paths begin at flip-flop clock inputs, propagate through the flip-flop + Q output and any number of levels of combinatorial logic and end at the + receiving flip-flop D/T input, including the receiving flip-flop's tSU. + When these flip-flops are clocked by the same clock, the delay on this + path is equivalent to the cycle time of the clock. Registered paths do + not propagate through clock, and asynchronous set and reset inputs of + registers as shown below. These paths are also broken at bidirectional + pins.

    + +

     

    + + + + diff --git a/cpld/XC95144XL/MXSE_html/tim/cpldta_style.css b/cpld/XC95144XL/MXSE_html/tim/cpldta_style.css new file mode 100644 index 0000000..4b82019 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/tim/cpldta_style.css @@ -0,0 +1,144 @@ + + + + +.cpldta_text_report_header { + font-style: normal; + font-weight: bold; + font-size: 25pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + +.cpldta_text_section_header { + font-style: normal; + font-weight: bold; + font-size: 15pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + +.cpldta_text_subsection_header { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_warnings_header { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFFFCC; } + + +.cpldta_text_normal { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_text_normal_bold { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_constraint_description_normal { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} +.cpldta_constraint_description_bold { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_constraint_name { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} + + +.cpldta_constraint_name_error { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left; + background-color: #FFCCCC; } + + +.cpldta_time_value { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + + +.cpldta_time_value_error { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFCCCC; } + + +.cpldta_delaytable_header { + font-style: normal; + font-weight: bold; + font-size: 12pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFFFCC; } +.cpldta_constraint_header { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left; + background-color: #FFFFCC; } +.cpldta_time_header { + font-style: normal; + font-weight: bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center; + background-color: #FFFFCC; } +.cpldta_text_caption { + font-style: normal; + font-weight: Bold; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + + +.cpldta_datasheet_pathname { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: left;} +.cpldta_datasheet_time_value { + font-style: normal; + font-weight: normal; + font-size: 10pt; + font-family: Arial, Helvetica, sans-serif; + text-align: center;} + + + + diff --git a/cpld/XC95144XL/MXSE_html/tim/genreport.htm b/cpld/XC95144XL/MXSE_html/tim/genreport.htm new file mode 100644 index 0000000..43aca47 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/tim/genreport.htm @@ -0,0 +1,17 @@ + + +Reports + + +; + + + + + + + +<body bgcolor="#FFFFFF" text="#000000"> +</body> + + diff --git a/cpld/XC95144XL/MXSE_html/tim/leftnav.htm b/cpld/XC95144XL/MXSE_html/tim/leftnav.htm new file mode 100644 index 0000000..e72761d --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/tim/leftnav.htm @@ -0,0 +1,37 @@ + + +Timing Navigation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    +
    Timing Report
    +
     Description
     Summary
     Constraints
     Definitions
      
    + + diff --git a/cpld/XC95144XL/MXSE_html/tim/report.htm b/cpld/XC95144XL/MXSE_html/tim/report.htm new file mode 100644 index 0000000..43aca47 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/tim/report.htm @@ -0,0 +1,17 @@ + + +Reports + + +; + + + + + + + +<body bgcolor="#FFFFFF" text="#000000"> +</body> + + diff --git a/cpld/XC95144XL/MXSE_html/tim/timing_report.htm b/cpld/XC95144XL/MXSE_html/tim/timing_report.htm new file mode 100644 index 0000000..c18b131 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/tim/timing_report.htm @@ -0,0 +1,2885 @@ + + + + + + + +Timing report for MXSE + + + +

    Timing Report

    +
    Need help reading this report?

    + + + + + + + + + + + + + + + + + + +
    Design NameMXSE
    Device, Speed (SpeedFile Version)XC95144XL, -10 (3.0)
    Date Created Sun Oct 24 06:31:50 2021 +
    Created By Timing Report Generator: version P.20131013
    Copyright Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
    +

    Summary

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Performance Summary
    Min. Clock Period19.700 ns.
    Max. Clock Frequency (fSYSTEM)50.761 MHz.
    Limited by Cycle Time for CLK_FSB
    Clock to Setup (tCYC)19.700 ns.
    Pad to Pad Delay (tPD)11.000 ns.
    Setup to Clock at the Pad (tSU)16.200 ns.
    Clock Pad to Output Pad Delay (tCO)14.500 ns.
    +
    +

    Timing Constraints

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Constraint NameRequirement (ns)Delay (ns)PathsPaths Failing
    TS_CLK_IOB142.80.000
    TS_CLK_FSB40.019.72640
    TS_CLK2X_IOB66.611.01200
    +
    +
    + +

    Constraint: TS_CLK_IOB

    + + + + + + + + +
    Description: PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    +
    +
    +
    +

    Constraint: TS_CLK_FSB

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Description: PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    TimeoutA.Q to fsb/VPA.D40.00019.70020.300
    cs/nOverlay1.Q to fsb/VPA.D40.00019.70020.300
    fsb/Ready1r.Q to fsb/VPA.D40.00019.70020.300
    +
    +
    + +

    Constraint: TS_CLK2X_IOB

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Description: PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    IOACT.Q to nVMA_IOB.D66.60011.00055.600
    IOBERR.Q to IOBERR.D66.60011.00055.600
    iobm/BERRrf.Q to IOACT.D33.30011.00022.300
    +
    +
    +
    Number of constraints not met: 0
    +
    + +

    Data Sheet Report

    + +

    Maximum External Clock Speeds

    + + + + + + + + + + + + + + + + + + + + + +
    ClockfEXT (MHz)Reason
    CLK_IOB111.111Limited by Clock Pulse Width for CLK_IOB
    CLK_FSB50.761Limited by Cycle Time for CLK_FSB
    CLK2X_IOB90.909Limited by Cycle Time for CLK2X_IOB
    +
    + +

    Setup/Hold Times for Clocks

    + + + + + + + + + + + + +
    Setup/Hold Times for Clock CLK_IOB
    Source PadSetup to clk (edge) Hold to clk (edge)
    E_IOB6.5000.000

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Setup/Hold Times for Clock CLK_FSB
    Source PadSetup to clk (edge) Hold to clk (edge)
    A_FSB<10>16.2000.000
    A_FSB<11>16.2000.000
    A_FSB<12>16.2000.000
    A_FSB<13>16.2000.000
    A_FSB<14>16.2000.000
    A_FSB<15>16.2000.000
    A_FSB<16>16.2000.000
    A_FSB<17>16.2000.000
    A_FSB<18>16.2000.000
    A_FSB<19>16.2000.000
    A_FSB<20>16.2000.000
    A_FSB<21>16.2000.000
    A_FSB<22>16.2000.000
    A_FSB<23>16.2000.000
    A_FSB<9>16.2000.000
    nAS_FSB8.3000.000
    nLDS_FSB6.5000.000
    nUDS_FSB6.5000.000
    nWE_FSB16.2000.000

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Setup/Hold Times for Clock CLK2X_IOB
    Source PadSetup to clk (edge) Hold to clk (edge)
    CLK_IOB7.5000.000
    nBERR_IOB7.5000.000
    nDTACK_IOB6.5000.000
    nRES6.5000.000
    nVPA_IOB6.5000.000

    +
    + +

    Clock to Pad Timing

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Clock CLK_FSB to Pad
    Destination PadClock (edge) to Pad
    RA<1>14.500
    nBERR_FSB14.500
    RA<0>13.500
    RA<2>13.500
    RA<3>13.500
    RA<4>13.500
    RA<5>13.500
    RA<6>13.500
    RA<7>13.500
    RA<8>13.500
    RA<9>13.500
    nADoutLE013.500
    nRAMLWE13.500
    nRAMUWE13.500
    nRAS13.500
    nROMCS13.500
    nVPA_FSB13.500
    nADoutLE15.800
    nCAS5.800
    nDTACK_FSB5.800

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Clock CLK2X_IOB to Pad
    Destination PadClock (edge) to Pad
    nADoutLE013.500
    nAS_IOB5.800
    nDinLE5.800
    nDoutOE5.800
    nLDS_IOB5.800
    nUDS_IOB5.800
    nVMA_IOB5.800

    +
    + +

    Clock to Setup Times for Clocks

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Clock to Setup for clock CLK_FSB
    SourceDestinationDelay
    TimeoutA.Qfsb/VPA.D19.700
    cs/nOverlay1.Qfsb/VPA.D19.700
    fsb/Ready1r.Qfsb/VPA.D19.700
    fsb/Ready2r.Qfsb/VPA.D19.700
    iobs/IOReady.Qfsb/VPA.D19.700
    nADoutLE1.Qfsb/VPA.D19.700
    fsb/Ready0r.Qfsb/VPA.D18.700
    ram/RAMReady.Qfsb/VPA.D18.700
    BERR_IOBS.QnDTACK_FSB.D11.800
    TimeoutB.QnDTACK_FSB.D11.800
    fsb/ASrf.QnDTACK_FSB.D11.800
    fsb/BERR0r.QnDTACK_FSB.D11.800
    fsb/BERR1r.QnDTACK_FSB.D11.800
    fsb/Ready1r.QnDTACK_FSB.D11.800
    iobs/IOReady.QnDTACK_FSB.D11.800
    cnt/RefCnt<5>.Qram/RAMDIS1.D11.400
    cnt/RefCnt<5>.Qram/RAMReady.D11.400
    cnt/RefCnt<5>.Qram/RASEL.D11.400
    cnt/RefCnt<5>.Qram/RS_FSM_FFd2.D11.400
    cnt/RefCnt<6>.Qram/RAMDIS1.D11.400
    cnt/RefCnt<6>.Qram/RAMReady.D11.400
    cnt/RefCnt<6>.Qram/RASEL.D11.400
    cnt/RefCnt<6>.Qram/RS_FSM_FFd2.D11.400
    cnt/RefCnt<7>.Qram/RAMDIS1.D11.400
    cnt/RefCnt<7>.Qram/RAMReady.D11.400
    cnt/RefCnt<7>.Qram/RASEL.D11.400
    cnt/RefCnt<7>.Qram/RS_FSM_FFd2.D11.400
    cnt/RefDone.Qram/RAMDIS1.D11.400
    cnt/RefDone.Qram/RAMReady.D11.400
    cnt/RefDone.Qram/RASEL.D11.400
    cnt/RefDone.Qram/RS_FSM_FFd2.D11.400
    cs/nOverlay1.QIOREQ.D11.400
    cs/nOverlay1.Qram/RAMDIS1.D11.400
    cs/nOverlay1.Qram/RASEL.D11.400
    cs/nOverlay1.Qram/RS_FSM_FFd2.D11.400
    fsb/ASrf.QIOREQ.D11.400
    fsb/ASrf.Qram/RASEL.D11.400
    fsb/ASrf.Qram/RS_FSM_FFd2.D11.400
    iobs/Once.QIOREQ.D11.400
    iobs/PS_FSM_FFd1.QIOREQ.D11.400
    nDTACK_FSB.QnDTACK_FSB.D11.400
    ram/Once.Qram/RASEL.D11.400
    ram/RS_FSM_FFd1.Qram/RAMDIS1.D11.400
    ram/RS_FSM_FFd1.Qram/RASEL.D11.400
    ram/RS_FSM_FFd1.Qram/RS_FSM_FFd2.D11.400
    ram/RS_FSM_FFd2.Qram/RASEL.D11.400
    ram/RS_FSM_FFd2.Qram/RS_FSM_FFd2.D11.400
    ram/RS_FSM_FFd3.Qram/RS_FSM_FFd2.D11.400
    BERR_IOBS.QBERR_IOBS.D11.000
    BERR_IOBS.Qfsb/VPA.D11.000
    IORW0.QIORW0.D11.000
    TimeoutB.Qfsb/VPA.D11.000
    cnt/RefCnt<5>.Qram/RAMDIS2.D11.000
    cnt/RefCnt<5>.Qram/RS_FSM_FFd3.D11.000
    cnt/RefCnt<6>.Qram/RAMDIS2.D11.000
    cnt/RefCnt<6>.Qram/RS_FSM_FFd3.D11.000
    cnt/RefCnt<7>.Qram/RAMDIS2.D11.000
    cnt/RefCnt<7>.Qram/RS_FSM_FFd3.D11.000
    cnt/RefDone.Qram/RAMDIS2.D11.000
    cnt/RefDone.Qram/RS_FSM_FFd3.D11.000
    cs/nOverlay1.QIORW0.D11.000
    cs/nOverlay1.Qiobs/IORW1.D11.000
    cs/nOverlay1.Qiobs/Load1.D11.000
    cs/nOverlay1.Qiobs/Once.D11.000
    cs/nOverlay1.Qiobs/PS_FSM_FFd2.D11.000
    cs/nOverlay1.QnADoutLE1.D11.000
    cs/nOverlay1.QnDTACK_FSB.D11.000
    cs/nOverlay1.Qram/Once.D11.000
    cs/nOverlay1.Qram/RAMDIS2.D11.000
    cs/nOverlay1.Qram/RAMReady.D11.000
    cs/nOverlay1.Qram/RS_FSM_FFd1.D11.000
    cs/nOverlay1.Qram/RS_FSM_FFd3.D11.000
    fsb/ASrf.QBERR_IOBS.D11.000
    fsb/ASrf.QIORW0.D11.000
    fsb/ASrf.Qfsb/BERR0r.D11.000
    fsb/ASrf.Qfsb/VPA.D11.000
    fsb/ASrf.Qiobs/IORW1.D11.000
    fsb/ASrf.Qiobs/Once.D11.000
    fsb/ASrf.Qiobs/PS_FSM_FFd2.D11.000
    fsb/ASrf.Qram/Once.D11.000
    fsb/ASrf.Qram/RAMDIS1.D11.000
    fsb/ASrf.Qram/RAMDIS2.D11.000
    fsb/ASrf.Qram/RAMReady.D11.000
    fsb/ASrf.Qram/RS_FSM_FFd1.D11.000
    fsb/ASrf.Qram/RS_FSM_FFd3.D11.000
    fsb/BERR0r.Qfsb/VPA.D11.000
    fsb/BERR1r.Qfsb/VPA.D11.000
    fsb/Ready0r.QnDTACK_FSB.D11.000
    fsb/VPA.Qfsb/VPA.D11.000
    iobs/IOACTr.QBERR_IOBS.D11.000
    iobs/IOACTr.QIOREQ.D11.000
    iobs/IOACTr.Qiobs/PS_FSM_FFd2.D11.000
    iobs/IORW1.Qiobs/IORW1.D11.000
    iobs/Once.QBERR_IOBS.D11.000
    iobs/Once.QIORW0.D11.000
    iobs/Once.Qiobs/Once.D11.000
    iobs/Once.Qiobs/PS_FSM_FFd2.D11.000
    iobs/PS_FSM_FFd1.QIORW0.D11.000
    iobs/PS_FSM_FFd1.Qiobs/Once.D11.000
    iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd2.D11.000
    iobs/PS_FSM_FFd2.QBERR_IOBS.D11.000
    iobs/PS_FSM_FFd2.QIOREQ.D11.000
    iobs/PS_FSM_FFd2.QIORW0.D11.000
    iobs/PS_FSM_FFd2.Qiobs/Once.D11.000
    iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd2.D11.000
    nADoutLE1.QBERR_IOBS.D11.000
    nADoutLE1.QIORW0.D11.000
    nADoutLE1.Qiobs/Once.D11.000
    nADoutLE1.QnADoutLE1.D11.000
    ram/BACTr.Qram/RAMDIS1.D11.000
    ram/BACTr.Qram/RAMReady.D11.000
    ram/BACTr.Qram/RASEL.D11.000
    ram/BACTr.Qram/RS_FSM_FFd2.D11.000
    ram/Once.Qram/Once.D11.000
    ram/Once.Qram/RAMDIS1.D11.000
    ram/Once.Qram/RAMDIS2.D11.000
    ram/Once.Qram/RAMReady.D11.000
    ram/Once.Qram/RS_FSM_FFd1.D11.000
    ram/RAMDIS2.Qram/RAMDIS2.D11.000
    ram/RAMReady.QnDTACK_FSB.D11.000
    ram/RS_FSM_FFd1.Qram/Once.D11.000
    ram/RS_FSM_FFd1.Qram/RAMDIS2.D11.000
    ram/RS_FSM_FFd1.Qram/RAMReady.D11.000
    ram/RS_FSM_FFd1.Qram/RS_FSM_FFd1.D11.000
    ram/RS_FSM_FFd1.Qram/RS_FSM_FFd3.D11.000
    ram/RS_FSM_FFd2.Qram/Once.D11.000
    ram/RS_FSM_FFd2.Qram/RAMDIS1.D11.000
    ram/RS_FSM_FFd2.Qram/RAMDIS2.D11.000
    ram/RS_FSM_FFd2.Qram/RAMReady.D11.000
    ram/RS_FSM_FFd2.Qram/RS_FSM_FFd1.D11.000
    ram/RS_FSM_FFd2.Qram/RS_FSM_FFd3.D11.000
    ram/RS_FSM_FFd3.Qram/Once.D11.000
    ram/RS_FSM_FFd3.Qram/RAMDIS1.D11.000
    ram/RS_FSM_FFd3.Qram/RAMDIS2.D11.000
    ram/RS_FSM_FFd3.Qram/RAMReady.D11.000
    ram/RS_FSM_FFd3.Qram/RASEL.D11.000
    ram/RS_FSM_FFd3.Qram/RS_FSM_FFd1.D11.000
    ram/RS_FSM_FFd3.Qram/RS_FSM_FFd3.D11.000
    BERR_IOBS.Qfsb/BERR1r.D10.000
    RefAck.Qcnt/RefDone.D10.000
    TimeoutA.QTimeoutA.D10.000
    TimeoutA.QTimeoutB.D10.000
    TimeoutA.Qfsb/Ready2r.D10.000
    TimeoutA.QnDTACK_FSB.D10.000
    TimeoutB.QTimeoutB.D10.000
    TimeoutB.Qfsb/BERR0r.D10.000
    cnt/RefCnt<0>.QTimeoutA.D10.000
    cnt/RefCnt<0>.QTimeoutB.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<1>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<2>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<3>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<0>.Qcnt/RefDone.D10.000
    cnt/RefCnt<1>.QTimeoutA.D10.000
    cnt/RefCnt<1>.QTimeoutB.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<2>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<3>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<1>.Qcnt/RefDone.D10.000
    cnt/RefCnt<2>.QTimeoutA.D10.000
    cnt/RefCnt<2>.QTimeoutB.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<3>.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<2>.Qcnt/RefDone.D10.000
    cnt/RefCnt<3>.QTimeoutA.D10.000
    cnt/RefCnt<3>.QTimeoutB.D10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<3>.Qcnt/RefDone.D10.000
    cnt/RefCnt<4>.QTimeoutA.D10.000
    cnt/RefCnt<4>.QTimeoutB.D10.000
    cnt/RefCnt<4>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<4>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<4>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<4>.Qcnt/RefDone.D10.000
    cnt/RefCnt<5>.QTimeoutA.D10.000
    cnt/RefCnt<5>.QTimeoutB.D10.000
    cnt/RefCnt<5>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<5>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<5>.Qcnt/RefDone.D10.000
    cnt/RefCnt<6>.QTimeoutA.D10.000
    cnt/RefCnt<6>.QTimeoutB.D10.000
    cnt/RefCnt<6>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<6>.Qcnt/RefDone.D10.000
    cnt/RefCnt<7>.QTimeoutA.D10.000
    cnt/RefCnt<7>.QTimeoutB.D10.000
    cnt/RefCnt<7>.Qcnt/RefDone.D10.000
    cnt/RefDone.Qcnt/RefDone.D10.000
    cs/nOverlay0.Qcs/nOverlay0.D10.000
    cs/nOverlay0.Qcs/nOverlay1.D10.000
    cs/nOverlay1.Qfsb/Ready0r.D10.000
    cs/nOverlay1.Qfsb/Ready1r.D10.000
    cs/nOverlay1.Qfsb/Ready2r.D10.000
    fsb/ASrf.QTimeoutA.D10.000
    fsb/ASrf.QTimeoutB.D10.000
    fsb/ASrf.Qcs/nOverlay0.D10.000
    fsb/ASrf.Qcs/nOverlay1.CE10.000
    fsb/ASrf.Qfsb/BERR1r.D10.000
    fsb/ASrf.Qfsb/Ready0r.D10.000
    fsb/ASrf.Qfsb/Ready1r.D10.000
    fsb/ASrf.Qfsb/Ready2r.D10.000
    fsb/ASrf.Qiobs/IOReady.D10.000
    fsb/ASrf.Qiobs/Load1.D10.000
    fsb/ASrf.QnADoutLE1.D10.000
    fsb/ASrf.Qram/BACTr.D10.000
    fsb/BERR0r.Qfsb/BERR0r.D10.000
    fsb/BERR1r.Qfsb/BERR1r.D10.000
    fsb/Ready0r.Qfsb/Ready0r.D10.000
    fsb/Ready1r.Qfsb/Ready1r.D10.000
    fsb/Ready2r.Qfsb/Ready2r.D10.000
    fsb/Ready2r.QnDTACK_FSB.D10.000
    iobs/IOACTr.Qiobs/IOReady.D10.000
    iobs/IOACTr.Qiobs/PS_FSM_FFd1.D10.000
    iobs/IOL1.QIOL0.D10.000
    iobs/IORW1.QIORW0.D10.000
    iobs/IOReady.Qfsb/Ready1r.D10.000
    iobs/IOReady.Qiobs/IOReady.D10.000
    iobs/IOU1.QIOU0.D10.000
    iobs/Load1.Qiobs/IOL1.CE10.000
    iobs/Load1.Qiobs/IOU1.CE10.000
    iobs/Once.Qiobs/IORW1.D10.000
    iobs/Once.Qiobs/IOReady.D10.000
    iobs/Once.Qiobs/Load1.D10.000
    iobs/Once.QnADoutLE1.D10.000
    iobs/PS_FSM_FFd1.QALE0S.D10.000
    iobs/PS_FSM_FFd1.QIOL0.CE10.000
    iobs/PS_FSM_FFd1.QIOU0.CE10.000
    iobs/PS_FSM_FFd1.Qiobs/IORW1.D10.000
    iobs/PS_FSM_FFd1.Qiobs/Load1.D10.000
    iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd1.D10.000
    iobs/PS_FSM_FFd1.QnADoutLE1.D10.000
    iobs/PS_FSM_FFd2.QALE0S.D10.000
    iobs/PS_FSM_FFd2.QIOL0.CE10.000
    iobs/PS_FSM_FFd2.QIOU0.CE10.000
    iobs/PS_FSM_FFd2.Qiobs/IORW1.D10.000
    iobs/PS_FSM_FFd2.Qiobs/IOReady.D10.000
    iobs/PS_FSM_FFd2.Qiobs/Load1.D10.000
    iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd1.D10.000
    iobs/PS_FSM_FFd2.QnADoutLE1.D10.000
    nADoutLE1.QIOL0.D10.000
    nADoutLE1.QIOREQ.D10.000
    nADoutLE1.QIOU0.D10.000
    nADoutLE1.Qfsb/Ready1r.D10.000
    nADoutLE1.Qiobs/IORW1.D10.000
    nADoutLE1.Qiobs/IOReady.D10.000
    nADoutLE1.Qiobs/Load1.D10.000
    nADoutLE1.Qiobs/PS_FSM_FFd2.D10.000
    nADoutLE1.QnDTACK_FSB.D10.000
    ram/Once.Qram/RS_FSM_FFd3.D10.000
    ram/RAMReady.Qfsb/Ready0r.D10.000
    ram/RASEL.QnCAS.D10.000
    ram/RS_FSM_FFd1.QRefAck.D10.000
    ram/RS_FSM_FFd2.QRefAck.D10.000

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Clock to Setup for clock CLK2X_IOB
    SourceDestinationDelay
    IOACT.QnVMA_IOB.D11.000
    IOBERR.QIOBERR.D11.000
    iobm/BERRrf.QIOACT.D11.000
    iobm/BERRrf.QIOBERR.D11.000
    iobm/BERRrr.QIOACT.D11.000
    iobm/BERRrr.QIOBERR.D11.000
    iobm/DTACKrf.QIOACT.D11.000
    iobm/DTACKrf.QIOBERR.D11.000
    iobm/DTACKrr.QIOACT.D11.000
    iobm/DTACKrr.QIOBERR.D11.000
    iobm/ES<0>.Qiobm/ETACK.D11.000
    iobm/ES<0>.QnVMA_IOB.D11.000
    iobm/ES<1>.Qiobm/ETACK.D11.000
    iobm/ES<1>.QnVMA_IOB.D11.000
    iobm/ES<2>.Qiobm/ETACK.D11.000
    iobm/ES<2>.QnVMA_IOB.D11.000
    iobm/ES<3>.Qiobm/ETACK.D11.000
    iobm/ES<3>.QnVMA_IOB.D11.000
    iobm/ES<4>.Qiobm/ETACK.D11.000
    iobm/ES<4>.QnVMA_IOB.D11.000
    iobm/IOREQr.QIOACT.D11.000
    iobm/IOREQr.Qiobm/IOS_FSM_FFd7.D11.000
    iobm/IOS_FSM_FFd3.QIOBERR.D11.000
    iobm/IOS_FSM_FFd4.QIOACT.D11.000
    iobm/IOS_FSM_FFd5.QIOACT.D11.000
    iobm/IOS_FSM_FFd6.QIOACT.D11.000
    iobm/IOS_FSM_FFd7.QIOACT.D11.000
    iobm/IOS_FSM_FFd8.QIOACT.D11.000
    iobm/IOS_FSM_FFd8.Qiobm/IOS_FSM_FFd7.D11.000
    iobm/RESrf.QIOACT.D11.000
    iobm/RESrf.QIOBERR.D11.000
    iobm/RESrr.QIOACT.D11.000
    iobm/RESrr.QIOBERR.D11.000
    iobm/VPArf.QnVMA_IOB.D11.000
    iobm/VPArr.QnVMA_IOB.D11.000
    nVMA_IOB.Qiobm/ETACK.D11.000
    nVMA_IOB.QnVMA_IOB.D11.000
    iobm/BERRrf.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/BERRrf.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/BERRrr.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/BERRrr.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/DTACKrf.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/DTACKrf.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/DTACKrr.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/DTACKrr.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/ES<0>.Qiobm/ES<0>.D10.000
    iobm/ES<0>.Qiobm/ES<1>.D10.000
    iobm/ES<0>.Qiobm/ES<2>.D10.000
    iobm/ES<0>.Qiobm/ES<3>.D10.000
    iobm/ES<0>.Qiobm/ES<4>.D10.000
    iobm/ES<1>.Qiobm/ES<0>.D10.000
    iobm/ES<1>.Qiobm/ES<1>.D10.000
    iobm/ES<1>.Qiobm/ES<2>.D10.000
    iobm/ES<1>.Qiobm/ES<3>.D10.000
    iobm/ES<1>.Qiobm/ES<4>.D10.000
    iobm/ES<2>.Qiobm/ES<0>.D10.000
    iobm/ES<2>.Qiobm/ES<2>.D10.000
    iobm/ES<2>.Qiobm/ES<3>.D10.000
    iobm/ES<2>.Qiobm/ES<4>.D10.000
    iobm/ES<3>.Qiobm/ES<0>.D10.000
    iobm/ES<3>.Qiobm/ES<2>.D10.000
    iobm/ES<3>.Qiobm/ES<3>.D10.000
    iobm/ES<3>.Qiobm/ES<4>.D10.000
    iobm/ES<4>.Qiobm/ES<0>.D10.000
    iobm/ES<4>.Qiobm/ES<2>.D10.000
    iobm/ES<4>.Qiobm/ES<4>.D10.000
    iobm/ETACK.QIOACT.D10.000
    iobm/ETACK.QIOBERR.D10.000
    iobm/ETACK.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/ETACK.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/Er2.Qiobm/ES<0>.D10.000
    iobm/Er2.Qiobm/ES<1>.D10.000
    iobm/Er2.Qiobm/ES<2>.D10.000
    iobm/Er2.Qiobm/ES<3>.D10.000
    iobm/Er2.Qiobm/ES<4>.D10.000
    iobm/IOREQr.QALE0M.D10.000
    iobm/IOREQr.Qiobm/IOS_FSM_FFd8.D10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd8.D10.000
    iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd1.D10.000
    iobm/IOS_FSM_FFd2.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd3.QALE0M.D10.000
    iobm/IOS_FSM_FFd3.QIOACT.D10.000
    iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/IOS_FSM_FFd3.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd3.QnDinLE.D10.000
    iobm/IOS_FSM_FFd3.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd3.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd3.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QALE0M.D10.000
    iobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/IOS_FSM_FFd4.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QnDinLE.D10.000
    iobm/IOS_FSM_FFd4.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd4.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd5.QALE0M.D10.000
    iobm/IOS_FSM_FFd5.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd5.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd5.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd5.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd5.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd6.QALE0M.D10.000
    iobm/IOS_FSM_FFd6.Qiobm/IOS_FSM_FFd5.D10.000
    iobm/IOS_FSM_FFd6.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd6.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd6.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd6.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd7.QALE0M.D10.000
    iobm/IOS_FSM_FFd7.Qiobm/IOS_FSM_FFd6.D10.000
    iobm/IOS_FSM_FFd7.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd7.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd7.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd7.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd8.QALE0M.D10.000
    iobm/IOS_FSM_FFd8.Qiobm/IOS_FSM_FFd8.D10.000
    iobm/RESrf.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/RESrf.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/RESrr.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/RESrr.Qiobm/IOS_FSM_FFd3.D10.000

    +
    + +

    Pad to Pad List

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Source PadDestination PadDelay
    A_FSB<11>RA<1>11.000
    A_FSB<20>nBERR_FSB11.000
    A_FSB<21>nBERR_FSB11.000
    A_FSB<22>nBERR_FSB11.000
    A_FSB<23>nBERR_FSB11.000
    A_FSB<2>RA<1>11.000
    nAS_FSBnBERR_FSB11.000
    A_FSB<10>RA<0>10.000
    A_FSB<12>RA<2>10.000
    A_FSB<13>RA<3>10.000
    A_FSB<14>RA<4>10.000
    A_FSB<15>RA<5>10.000
    A_FSB<16>RA<6>10.000
    A_FSB<17>RA<7>10.000
    A_FSB<18>RA<8>10.000
    A_FSB<19>RA<11>10.000
    A_FSB<19>RA<9>10.000
    A_FSB<1>RA<0>10.000
    A_FSB<20>RA<9>10.000
    A_FSB<20>nDinOE10.000
    A_FSB<20>nROMCS10.000
    A_FSB<21>RA<10>10.000
    A_FSB<21>nDinOE10.000
    A_FSB<21>nRAS10.000
    A_FSB<21>nROMCS10.000
    A_FSB<22>nDinOE10.000
    A_FSB<22>nRAS10.000
    A_FSB<22>nROMCS10.000
    A_FSB<23>nDinOE10.000
    A_FSB<23>nRAS10.000
    A_FSB<23>nROMCS10.000
    A_FSB<3>RA<2>10.000
    A_FSB<4>RA<3>10.000
    A_FSB<5>RA<4>10.000
    A_FSB<6>RA<5>10.000
    A_FSB<7>RA<6>10.000
    A_FSB<8>RA<7>10.000
    A_FSB<9>RA<8>10.000
    nAS_FSBnDinOE10.000
    nAS_FSBnOE10.000
    nAS_FSBnRAMLWE10.000
    nAS_FSBnRAMUWE10.000
    nAS_FSBnRAS10.000
    nAS_FSBnROMWE10.000
    nAS_FSBnVPA_FSB10.000
    nLDS_FSBnRAMLWE10.000
    nUDS_FSBnRAMUWE10.000
    nWE_FSBnDinOE10.000
    nWE_FSBnOE10.000
    nWE_FSBnRAMLWE10.000
    nWE_FSBnRAMUWE10.000
    nWE_FSBnROMWE10.000
    +
    +
    +
    Number of paths analyzed: +384 +
    Number of Timing errors: +0 +
    Analysis Completed: Sun Oct 24 06:31:50 2021 + +
    + diff --git a/cpld/XC95144XL/MXSE_html/tim/toc.css b/cpld/XC95144XL/MXSE_html/tim/toc.css new file mode 100644 index 0000000..29af0e1 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/tim/toc.css @@ -0,0 +1,36 @@ +.HEADING { + font-size: 15px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #000000; + text-align: normal; + margin-left: 0px; } +.Fitting { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #000000; + text-align: normal; + margin-left: 0px; } +.SECONDARY-NAV { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #FFFFFF; + margin-left: 0px; + text-decoration: none; ; + list-style-type: disc ; + list-style-position: inside } +.Timing { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #333333; + margin-left: 0px; + text-decoration: none; ; + list-style-type: disc ; + list-style-position: inside } +.Timing-Error { + font-size: 11px; + font-family: Arial, Geneva, Verdana, Helvetica; + font-weight: bold; color: #990000; + margin-left: 0px; + text-decoration: none; ; + list-style-type: disc ; + list-style-position: inside } diff --git a/cpld/XC95144XL/MXSE_html/tim/topnav.htm b/cpld/XC95144XL/MXSE_html/tim/topnav.htm new file mode 100644 index 0000000..00e11b8 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/tim/topnav.htm @@ -0,0 +1,31 @@ + + +CPLD Reports Banner + + + + + + + + + + + + + + +
     
    + + + + +   
    + + + + + + + + diff --git a/cpld/XC95144XL/MXSE_ngdbuild.xrpt b/cpld/XC95144XL/MXSE_ngdbuild.xrpt new file mode 100644 index 0000000..1429fca --- /dev/null +++ b/cpld/XC95144XL/MXSE_ngdbuild.xrpt @@ -0,0 +1,107 @@ + + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + + + + + + + + + + + + +
    +
    + +
    + + + + +
    +
    + +
    + + + + + +
    +
    + + + + + + + + + + + + + + + +
    +
    + + + + + + + + + + + + + + +
    +
    +
    +
    + + + + diff --git a/cpld/XC95144XL/MXSE_summary.html b/cpld/XC95144XL/MXSE_summary.html new file mode 100644 index 0000000..3c10c81 --- /dev/null +++ b/cpld/XC95144XL/MXSE_summary.html @@ -0,0 +1,98 @@ +Xilinx Design Summary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    MXSE Project Status (10/23/2021 - 06:51:21)
    Project File:MXSE.xiseParser Errors: No Errors
    Module Name:MXSEImplementation State:Translated
    Target Device:xc95144xl-10TQ100
    • Errors:
    +No Errors
    Product Version:ISE 14.7
    • Warnings:
    No Warnings
    Design Goal:Balanced
    • Routing Results:
    Design Strategy:Xilinx Default (unlocked)
    • Timing Constraints:
     
    Environment: + +System Settings +
    • Final Timing Score:
      
    + + + + 
    + + +
    Current Errors [-]
    No Errors Found
    + + + + 
    + + +
    Current Warnings [-]
    No Warnings Found
    + + + + + + + + + + + + + + 
    + + + + + + + +
    Detailed Reports [-]
    Report NameStatusGeneratedErrorsWarningsInfos
    Synthesis ReportCurrentSun Oct 24 07:13:26 2021000
    Translation ReportCurrentSun Oct 24 07:13:29 2021000
    CPLD Fitter Report (Text)CurrentSun Oct 24 07:13:42 2021   
    Power Report     

    + + + + +
    Secondary Reports [-]
    Report NameStatusGenerated
    ISIM Simulator LogOut of DateSun Oct 24 02:38:36 2021
    Post-Fit Simulation Model Report  
    + + +
    Date Generated: 10/26/2021 - 06:32:26
    + \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE_xst.xrpt b/cpld/XC95144XL/MXSE_xst.xrpt new file mode 100644 index 0000000..bc52cfb --- /dev/null +++ b/cpld/XC95144XL/MXSE_xst.xrpt @@ -0,0 +1,134 @@ + + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + + + + + + + + + + + + +
    +
    +
    + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + + +
    +
    + + + + + +
    +
    +
    +
    +
    +
    +
    +
    + + + + + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + +
    +
    +
    + + + +
    + + + diff --git a/cpld/XC95144XL/RAM_isim_beh.exe b/cpld/XC95144XL/RAM_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..fb891a9535ba402686c3a210d1430e181af1bb22 GIT binary patch literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/RAM_stx_beh.prj b/cpld/XC95144XL/RAM_stx_beh.prj new file mode 100644 index 0000000..03826ee --- /dev/null +++ b/cpld/XC95144XL/RAM_stx_beh.prj @@ -0,0 +1,2 @@ +verilog isim_temp "../RAM.v" +verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/_ngo/netlist.lst b/cpld/XC95144XL/_ngo/netlist.lst new file mode 100644 index 0000000..bcad78b --- /dev/null +++ b/cpld/XC95144XL/_ngo/netlist.lst @@ -0,0 +1,2 @@ +C:\Users\zanek\Documents\GitHub\SE-030\cpld\XC95144XL\MXSE.ngc 1635074006 +OK diff --git a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs new file mode 100644 index 0000000..938f4a2 --- /dev/null +++ b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs @@ -0,0 +1,11 @@ + + + +Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'MXSE.ise'. + + + diff --git a/cpld/XC95144XL/_xmsgs/hprep6.xmsgs b/cpld/XC95144XL/_xmsgs/hprep6.xmsgs new file mode 100644 index 0000000..f84336a --- /dev/null +++ b/cpld/XC95144XL/_xmsgs/hprep6.xmsgs @@ -0,0 +1,9 @@ + + + + + diff --git a/cpld/XC95144XL/_xmsgs/ngdbuild.xmsgs b/cpld/XC95144XL/_xmsgs/ngdbuild.xmsgs new file mode 100644 index 0000000..f84336a --- /dev/null +++ b/cpld/XC95144XL/_xmsgs/ngdbuild.xmsgs @@ -0,0 +1,9 @@ + + + + + diff --git a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs new file mode 100644 index 0000000..09ef219 --- /dev/null +++ b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs @@ -0,0 +1,33 @@ + + + + + + + + + + +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/CNT.v" into library work + + +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/CS.v" into library work + + +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/FSB.v" into library work + + +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBM.v" into library work + + +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBS.v" into library work + + +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.v" into library work + + +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/RAM.v" into library work + + + + diff --git a/cpld/XC95144XL/_xmsgs/taengine.xmsgs b/cpld/XC95144XL/_xmsgs/taengine.xmsgs new file mode 100644 index 0000000..f84336a --- /dev/null +++ b/cpld/XC95144XL/_xmsgs/taengine.xmsgs @@ -0,0 +1,9 @@ + + + + + diff --git a/cpld/XC95144XL/_xmsgs/tsim.xmsgs b/cpld/XC95144XL/_xmsgs/tsim.xmsgs new file mode 100644 index 0000000..f84336a --- /dev/null +++ b/cpld/XC95144XL/_xmsgs/tsim.xmsgs @@ -0,0 +1,9 @@ + + + + + diff --git a/cpld/XC95144XL/_xmsgs/xst.xmsgs b/cpld/XC95144XL/_xmsgs/xst.xmsgs new file mode 100644 index 0000000..f84336a --- /dev/null +++ b/cpld/XC95144XL/_xmsgs/xst.xmsgs @@ -0,0 +1,9 @@ + + + + + diff --git a/cpld/XC95144XL/fuse.log b/cpld/XC95144XL/fuse.log new file mode 100644 index 0000000..2dd70c4 --- /dev/null +++ b/cpld/XC95144XL/fuse.log @@ -0,0 +1,19 @@ +Running: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\fuse.exe -intstyle ise -incremental -lib uni9000_ver -lib aim_ver -lib cpld_ver -lib xilinxcorelib_ver -o C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.exe -prj C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_beh.prj work.t_cs work.glbl +ISim P.20131013 (signature 0x7708f090) +Number of CPUs detected in this system: 8 +Turning on mult-threading, number of parallel sub-compilation jobs: 16 +Determining compilation order of HDL files +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/../CS.v" into library work +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/../test/t_cs.v" into library work +Analyzing Verilog file "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" into library work +Starting static elaboration +Completed static elaboration +Compiling module CS +Compiling module t_cs +Compiling module glbl +Time Resolution for simulation is 1ps. +Waiting for 1 sub-compilation(s) to finish... +Compiled 3 Verilog Units +Built simulation executable C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.exe +Fuse Memory Usage: 29568 KB +Fuse CPU Usage: 280 ms diff --git a/cpld/XC95144XL/fuse.xmsgs b/cpld/XC95144XL/fuse.xmsgs new file mode 100644 index 0000000..f84336a --- /dev/null +++ b/cpld/XC95144XL/fuse.xmsgs @@ -0,0 +1,9 @@ + + + + + diff --git a/cpld/XC95144XL/fuseRelaunch.cmd b/cpld/XC95144XL/fuseRelaunch.cmd new file mode 100644 index 0000000..e5e9923 --- /dev/null +++ b/cpld/XC95144XL/fuseRelaunch.cmd @@ -0,0 +1 @@ +-intstyle "ise" -incremental -lib "uni9000_ver" -lib "aim_ver" -lib "cpld_ver" -lib "xilinxcorelib_ver" -o "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.exe" -prj "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_beh.prj" "work.t_cs" "work.glbl" diff --git a/cpld/XC95144XL/iseconfig/MXSE.projectmgr b/cpld/XC95144XL/iseconfig/MXSE.projectmgr new file mode 100644 index 0000000..987fd7e --- /dev/null +++ b/cpld/XC95144XL/iseconfig/MXSE.projectmgr @@ -0,0 +1,114 @@ + + + + + + + + + 2 + + + iobm - IOBM (C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBM.v) + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000da000000020000000000000000000000000200000064ffffffff000000810000000300000002000000da0000000100000003000000000000000100000003 + true + iobm - IOBM (C:/Users/zanek/Documents/GitHub/SE-030/cpld/IOBM.v) + + + + 1 + Design Utilities + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 + false + + + + + 1 + + + 0 + 0 + 000000ff000000000000000100000000000000000100000000000000000000000000000000000003a3000000040101000100000000000000000000000064ffffffff000000810000000000000004000000420000000100000000000000240000000100000000000000660000000100000000000002d70000000100000000 + false + ..\CNT.v + + + + 1 + work + + + 0 + 0 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000109000000010001000100000000000000000000000064ffffffff000000810000000000000001000001090000000100000000 + false + work + + + + 1 + Design Utilities + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000fb000000010000000100000000000000000000000064ffffffff000000810000000000000001000000fb0000000100000000 + false + + + 000000ff00000000000000020000011b0000011b01000000050100000002 + Implementation + + + 2 + /test C:|Users|zanek|Documents|GitHub|SE-030|cpld|XC95144XL|test.v + + + t_cs (C:/Users/zanek/Documents/GitHub/SE-030/cpld/test/t_cs.v) + + 2 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000f1000000020000000000000000000000000200000064ffffffff000000810000000300000002000000f10000000100000003000000000000000100000003 + false + t_cs (C:/Users/zanek/Documents/GitHub/SE-030/cpld/test/t_cs.v) + + + + 1 + Design Utilities/Compile HDL Simulation Libraries + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 + false + + + + + 1 + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 + false + + + diff --git a/cpld/XC95144XL/iseconfig/MXSE.xreport b/cpld/XC95144XL/iseconfig/MXSE.xreport new file mode 100644 index 0000000..c7445dd --- /dev/null +++ b/cpld/XC95144XL/iseconfig/MXSE.xreport @@ -0,0 +1,215 @@ + + +

    + 2021-10-26T06:32:26 + MXSE + 2021-10-23T06:51:21 + C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/iseconfig/MXSE.xreport + C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL\ + 2021-10-07T05:05:00 + false +
    + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/cpld/XC95144XL/isim.cmd b/cpld/XC95144XL/isim.cmd new file mode 100644 index 0000000..fff18e8 --- /dev/null +++ b/cpld/XC95144XL/isim.cmd @@ -0,0 +1,3 @@ +onerror {resume} +wave add / +run 1000 ns; diff --git a/cpld/XC95144XL/isim.log b/cpld/XC95144XL/isim.log new file mode 100644 index 0000000..8ad499a --- /dev/null +++ b/cpld/XC95144XL/isim.log @@ -0,0 +1,14 @@ +ISim log file +Running: C:\Users\zanek\Documents\GitHub\SE-030\cpld\XC95144XL\t_cs_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/t_cs_isim_beh.wdb +ISim P.20131013 (signature 0x7708f090) +This is a Full version of ISim. +Time resolution is 1 ps +# onerror resume +# wave add / +# run 1000 ns +Simulator is doing circuit initialization process. +Finished circuit initialization process. +# restart +# run all +Simulator is doing circuit initialization process. +Finished circuit initialization process. diff --git a/cpld/XC95144XL/isim/isim_usage_statistics.html b/cpld/XC95144XL/isim/isim_usage_statistics.html new file mode 100644 index 0000000..50e443a --- /dev/null +++ b/cpld/XC95144XL/isim/isim_usage_statistics.html @@ -0,0 +1,16 @@ + + + + + + + + + + + + + + + +
    ISim Statistics
    Xilinx HDL Libraries Used=
    Fuse Resource Usage=280 ms, 29568 KB
    Total Signals=31
    Total Nets=41
    Total Blocks=3
    Total Processes=22
    Total Simulation Time=950 ns
    Simulation Resource Usage=0.078125 sec, 4962316 KB
    Simulation Mode=gui
    Hardware CoSim=0
    diff --git a/cpld/XC95144XL/isim/pn_info b/cpld/XC95144XL/isim/pn_info new file mode 100644 index 0000000..f96c793 --- /dev/null +++ b/cpld/XC95144XL/isim/pn_info @@ -0,0 +1 @@ +14.7 diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg new file mode 100644 index 0000000000000000000000000000000000000000..3db0343aec71dd2dab8b2b7ba297950ccecf4d02 GIT binary patch literal 4816 zcmeH}O^j1j6vrPbh~JaMt2&GiD9P;jB$YpCTe2F|L^r)y}ddNZj1|0a(eDL_jmvI z^xfC@`ufGyC%69EoW8WUxcFue1k3rC*95_LHwMARTRMJ7@v~clAg_p%FJ~ZeQ4%a9@BY#V-_7?9lQ6aLc^tr-}na4=|7*p*X`B^h}x1ww4u;;Z-k-acC#*}{} zzaVFiJ&LZaQgWUAJ~>+GuKb%AQ|(1fo|dDtNxA(V8V0(=(K@uY$eFYATb(?n@yF8m zf{kRoaya(1hCX8(6K2AVG1b17#oj$|3F{av(H1-;u=_}CTyy?e{G38llOd6fThPhln{f2QPIwfgL z8=cA(=p+r}Ms$u!qiJ-GT!GG`hH)dy&&fB)IZJE&2ADJQL5~@~r?^oLYk8mTHS9-< z_ZW`riTUg%)!5?``Mq*9?VdinS#$i}KbP~|fF;LZiFPeLGWV4{CWp0W<+Jn%dtTln zhvoT%`7Ay1JN`jFBIkSAXCW;6~HdYa@&`N5lip3U*0vat8@W!im>FEughDxp!cn6+58_%Xh$hmV1Y5G%I#e5%zXD21~SOu})*yw%92}SZbKh-k}=oOJW~W zgr$c0?0VH;UlChSgr$c0Y)m!SGh&O1uy@KapY2f%_N>^FBCP#C;IqAk{XlHlu=an3 z&u%j8r(z#BEN6-N>}J*I;k?*`iuAx)Vm`}%1=#P!9#VwmEHR(ue+29=VxLfigymU<`Rs$L!LAqdlp<_Qj`{31)nK;?dRh^-M~?aI!>YmV5%i2AY_A;i z+3l*q76m=42+K9ce0GOwurq@8D#CKjby*@K+WVL13hZ$~DMi@(iFF^ZIl{l5vL@2H zkP4Z(Ml~2~)LOOadYBvz`^>G9o@=ziM89(O=wt(7BFa+rlhe;P!$dOdck}+ia3IPj us;x}5QV$bDS{~g$(fZ^rt#{I4E&nqe?9=KfwcQN%hso~BP+vIMsr(M2g!jGx literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimcrash.log b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimkernel.log b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimkernel.log new file mode 100644 index 0000000..6141e5b --- /dev/null +++ b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/isimkernel.log @@ -0,0 +1,28 @@ +Command line: + t_cnt_isim_beh.exe + -simmode gui + -simrunnum 0 + -socket 49972 + +Sat Oct 23 08:03:51 2021 + + + Elaboration Time: 0.09375 sec + + Current Memory Usage: 5144.77 Meg + + Total Signals : 22 + Total Nets : 20 + Total Signal Drivers : 12 + Total Blocks : 3 + Total Primitive Blocks : 2 + Total Processes : 16 + Total Traceable Variables : 25 + Total Scalar Nets and Variables : 115 + + Total Simulation Time: 0.375 sec + + Current Memory Usage: 4672.91 Meg + +Sat Oct 23 08:14:58 2021 + diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/libPortability.dll b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/libPortability.dll new file mode 100644 index 0000000000000000000000000000000000000000..3df10615c328487adf73c79cbaf80c09e97eba72 GIT binary patch literal 901632 zcmd?Sdwf*Y)i*wq%#Z*H6O?EaltBkgjkP0DpWvX*BojCz6NrF{A`-<5)*B`>f`Xbb z31mDRrH{6@wXODUtBfENfL%=`VWedZQGeSW{Uf4zJ@ z%-Pqq_g;Igwbx#I?VXw{m)UY{Hk$+g6A7Db1-|^}m*21c=|lFAk zjiaW`xv9ZDxBm7U>#w=hJ^Py5Zo56=zV>=|ee^c>O}Dv&lc&0Gz5TlD&nhe&!~Dt$MZS#N`iA=1NE6%vhcbpI4lCzB ztN!|1Zb!k>2oE^59f$8zhn1^D>i-k}G{f2cVxCQl+qJ~#Kl~=owjukU7Qf1qf4LU> z(2bIhprrnHP2Y#&iQ*=d-qT@^Ovnx$IH+Q=YH zw?9w%P81=#)XLqkL5olG6ounKPf0i)_P9GVJ&+&PEAvCXwt80-wJM9Wv4Nuc+%at( z&)7RQ_@`QZnaE!2+tHIftLzb{d9HMzgKf`9K?nTUhb8FwNhsar5TVlDQjs-&>DRf8XTyHa@)HcuCa{jsM~2ufJXRw|@2GLxb^v z0|T&dP-z5n67iv_wAfgCbYSc*hb@{5bONc_)4f3-I`$HW>!DP-4xDHH(*usI1jNhi ziB$0oU3N}#S3pDvN>;bDMTfM!937-G;+Hr&(p^Hn>Teokd}un%c3B~-eY-KOD3MOp zhnBZx_PD%F8|%Cgg2eTG>6FZ$H*7ecd=~R(6u-!izP&5xag)Ezf?-Z;8oilhlWNkU z|E3QcQJVB-fJ!xezxm69i9}fU=ZD8m$d8_^>3-1Zgrev$(uDpK&oUNoTFqLsI;4_m zA4v#WzBYDpJ}Fz(h-^Za`&URczd_TtYI@-h))b&BShTJvoh6~Lz83j+B0sFJLXi;4 zC+bR4rBwaPQuW=b92HRc=cV#X`{jqF{o_*btIOAh^q0|uR~7VAHh4AS^H3s@AiDgT zk`cgEt$F6P4%;&H@YU7q;n1+YKCENBZv+xkysqWjh?!b*UY)~sKhVayvDMzB>|hIO z{?hQ(3~1)7*C@CP6|d9!6gp z`}V<(0-HU0JjVGULX>xdF&9eS)#hgkJXLFSD zM?7;hy+?Qc2q2!YM@PiE?Q!Q)L^_>|@SD(#!b1<{+035b46wPDJ8wtYxP+_a2c%4+ z@P$7i>*OwmPde=>Fhxt7=BmboTu}2gS>&^o)IvV{O~enb`Mo5qPZS^gd7iDMEpjH( z9smGMM_P|cgP1K|_XjKOHI?QV)7G2wjJ?(Q=_)oJJ&E&OnkYW&ag;NP6W<$z4^qt7 zuLsyRG`E3i@zdPQF6ytTtPh^GgMy4{p6Qz2ZQinsF}*_5&$VOy0g&a==B7mP35@G+ zXgb1$D(_R_cEk_>E_2XNmbIL+=uPRCbo5ATPL<- ztNod@DfCJD|LXa%W9+H<`MjO;(}Q2m&utH25<(a|=I3>!Wz0_%x^CXPM&{?IzekT# z^D|looHajVl6ap9#9H%noQxgw6H;lMpZa9Eb5&Yuey)(lQ}goxv_KS}wJbS5@BZ?r z^KLj5UXXt422=-K)nW%t708AgOj~ z#>1X%LWkYvk_|cR!8DIQq;E2}r(&A1RLYpYS(jrY;l3)o74~T6IYLQ#S*l1CiiCBy zd2`%ubNzLf`B?{t*JsX<_%?GYzCqVtyUE-Y;BBuKU+5_XySr=j)tHO*L?b9uGf%kK zftn26cfK9XM#rTZ`Po|ODDzI8fl};pD$_2V#nzOteJ0J>9F+-!}gFR>Nxg$*0TY9OA2ThKxK03%%NyJyTu2QLbU8)dm|h1ivsN2 zaWan?*cpwI#7<4C!p>`}6m~9<_%`!ge7_1iFHt}V=mT03JJ*cuhn>dm6n2~#S$1FF zMP_r`*J9_X>r&WhzXI6V19c~)SKDIqzqGlUO~gP^(?tggdag>2xYqPwIxIYm-H2`k zRqiW=G&X9zYL9IK!ja9z5jfFhD;2&xp&lI9MCN~i`0Ab^3=4w zEToUrTC*#e>NiKIHY_u;F)@`+qioOT)3UW*?-0*SBfDu1KJFJw&ki*=2(Ld-AoGMC7zscVd^T zeL{Y$EfGCI)AO*!OVsA?icKg;L@*Y&Vy&wg_%`q#W8ax$eN zAe~7_1X9ZB79f{A!a24g=PYp8P}Gb6=dhSig%tb!d`u0-ho&Cmx|*yp1?ie@^Rd$5$3m zns_l%^vs^9Ez;t-{q~$;HgBNue-h=T8N-m8(Ue_kPCp!930ZM`PR0hJiWzB6E8xtKcX&L zq>Kcx4aC|@umeaGf9pqi5O5Q)`I?0;uCDkpY%=6qrMg#D6dLPC_iFU+8tjOA z9r!IlQFd>Aq$Gr)gne67_X6l%m2_`?`HmXj_J|{-Uol@ZCYL0NNBj^|*|H;2AjJ8K z&}*r(MnH9|0H#wWiR}LCt1s8A-5qdkZDOY9YwDQG6L%2^%x= zYxItgK2b0}DXdRQVY~!rC(_5$XmKQ@zh2|(hzw66-381i@jhM#)=}fzCQ2~JHXmb~ zh*tfNaBPVP)_Lm6Kl&t*SQaKvZox1Ei6W3@;kYMJ1{zK&DRzja7eQH0Ou=tgFM8M2 z3%cnF8$aIpYImL z^^h16#UnWYeP)Gb+*7Lg4n&UE#x~543>8V#@MGpd67@xUAkzY_@2?IU=iUm=tZsfU zGG5aSnxvB^B+Z!WPSlo!G3Q}pLXl=%j?qEKeV?=zpX-*U z`@RASrHpvIF`1u-o z+AmgwJFtU+-s}7UM_*N<#X9me-?qpwZS4H{oIiB_3XBP9(GN9WhwJ<8ph4r?m;Nr# z*1R@yjt1fg8xzsJDj;Dhkbnw1$pi5@{gE(`a1s;U(%|P0BLZ5oa8UEnVYCGO^XND@ zD%~UrMbXcmKZAaDADMm%w=?s-Z`t~r8U=)sf4cJ-6au33Es3_c^OuOghIE#3v1X3_ zA?$9Tad2k0c^05(apw{#Y}w*qPKi6CnJLM>@e9%7YOcfLVQmBsC*z-Zo&ovDegLd* zQSeNn&6rsl)?W#O@oOMzCu?AQEcOAAbbEaXeiY}2g!60ktu-QU@e6sjHu`$l_X;_` z62-ggUHBpAS3;zb^8q*H`#U(lJOPyryni=%pEMhMNX=KF1|?H=Pa6}pSo280%X%?+ zHIlvsN{5mJ7mWEWYH*b#mQsWaDj~S<6P*4!c*cD83@pWQ3)E{L!geFJsY&^+mgT}f zv`>vMa_%F@7*|`V6UY=pWQ60w!sM3 zw6Otqq}bdD^MB@0Hd0TtM2>C`8_iM~7{lU=AzHAyYN%-tpTGVd@u^70GWS`!-e>&; zgGGe?tQ~06hb7dXx|%&Z(JiWcn(l1+BS^n|wH9yo*pOSJza7&1#HO~J5`I25sYIpQ z+%m~hMCO`nRB)-sl;JtQ&7s4luRF^m6v&5#p%lSZTDJ$AfALaRjvS-uuP9irLSgOs zg;ain8n6#swn|qiN-9;TZMUPnA)GKc@*r*n$GT^Or5#u zap+&w;CN7-QjnSY!(WP4wneqJUld!k!vbaj0A&C;>HBt@)R&DiD=&CRfqeFSR`;7_ zwGLaX&kikEq6=?V_5G>?7ofD&K9x(ASd!KL-O2V@z+5_!q%$c$Q9NiFk#+TSE&daa zEOALJp8$4($oIP*#&OC6I|)`_yo;ZhiAAD2a=I2@>Uo?AAP0?0<6xO0^Fa)5nHF2T z6M6Z)L)E+)540g_zA^&krQzK$I{BLuuI6@7*UEfmzW3h{syKuJFi2JyQ#mFh-y*XHcgjG3hVUO3e;n*y!qmx$L`!5j;oZ@PTrX-9o3}{$4H=55pMBQVfxx%o? z77)kHHraeVEM&{9!Uxe7`jC^@Qq%HYWVQwld;-O!bK&??DxyQN*WxdVpyp!c!p0;Y z#ExWX%o?~tl6ebbwOhx{Z*3Ye6#UW7(kp-O<`spFr(^%*cW*?x}6k}zWMo) z5E~klZb;LiBvP#HidE$&qHYZw08NCOq$+HZz-20q0J>#069WG7Ra-Y3dA4NZEtm|l zI-$ct`vnIK)1x;DL&0>l{6jK;dbJzq*?>6EO{ku? zX@*)oYR$@s9lEU2Zo;rLX__9SZMoUg$inC~Nb^NcDL6m(`N! z-DIA+o5X;Mr^~2_psQ%Y&B79_=-DTk;y) z^10lWPq$?Bn@?IYI!L?NE*uDj^|#0DV9Rc^B4qVPGruiC-DL*Lk^)zl#cj@>0)hK8 zjuFc-s(H<==w(U-;z%_B9)h&JhqZQtuU{7G%0Ll zJ5Aw!*3U8}?J~3^$oHDR28@iV%O6aMS?N`UIgEB1wqLi2|HH*Ki>RY8> zH&XSz>|}-c=bvV_d|bNaGPE2*5fIW7_vayaONt#fntz3~(|l`SR0!!yWz7xg&7Mrz zI+`*S!Ug7x48eLHj7-ZyF||zR_Z}ns6#@s4NX_}jL$0YNzaNKwz9W+NCwot$%q{6* z+rMGTQTfH_5*fj5ap*>KxSL4EhBe>x>jG`G(1_TuV5wZwxH21^l0%O41 z4S@}8a55k{|AbWoi)zl89ad9=&=o8A7=svS8@d!4t40wiO!dD6Rjrb*wOU+NYQ7V+ zX0yWFdpD7d5oqRyWUAY2N06s>ST)H}Qw(raZ1vo1gT~?KZMt*I69qP<*moiX#s18d zMc_m8>ZNKG@^b}%GT3b%0ur$9v_YeP2t{H0=!N{CqMts|%hc{I{T?a@%B~hm|KSRL zdUKztC(y0*5(cfHY8lRA&8xdg3v!%$Fn zA)GH%BFm?}AEY+UcMr2ZS>6M*0gfeIj8#+p^EYMZlx_D3a&v#!OqXa~IJF@Y^z%g6 z;J*w@nOlq95T! zP&k4f3d6-^n%4eKZld@hDY@Exb@o2!tH;{~{IkvTIcz9@r0@^^(Qnw$MQI0xjIa!1 zt^G?tRuz_sF~nklOoLcQFZR+Mw2E26eGq4XTQx>3pU2TBqW&b{3J{*j8JV@KO>+=zq~>I zCC?LaJ_Monntl`JbdB!|%xR~&vsvbJDCCvH_i;U}DF-x|h{C-!Rkm0$Y)iTWMp>YwQQtl_wjzM4c1V?TO<5xAie%z&cM)oX$P zQwcIbNqSdY1Oi=eu3StJu-=><1HMo@3+0>(w{_HT1t_1RkIdr8p|ru!AC6z=@rU(O z0%-e7*GK^3cwg1;z<4`-AEUo(%v}Hs8dl@|;z;8S>wv;BK(rCEj=cj}m&-urY*_Mv zJ_U!7z#KWZ+f71f|HP>!x-?@#j?gSgtqz(frk-9cptm|L)|aoj#$%y{S_ctoO!AxU zU(%myJo+(7)5pwD{Fv_gs|C*PM#g#}}bBh{^F7 z0O(?TX(|JQL9zINK6TmfH<8yC2Bw)_nULhKI{mg&-(h&FeS~INU70d0w8a=S9SPm-(ETvcnr*m~Ci-(h26eh!Xq{2~1f^T2-k z30C32U^DteTO-95dRE?+(Kllpcx-Bk)i%wxXldJ>#MVTp!?LnoYEvug*d`{kVNhvp zIDQZIgKRjC@x>Pa`*{eaD1qk#j=e|$%0LNKDN3jUC8#NP^CSbu)139N{*O?6M#-f> zJBE@|V^nPm#nBu*a^rsRTV)ZxVAINykTG=y!e|dphc|E2;yGA;Kv|V2g_R}_$6YQ* zag@|&tk8UKMyf;c1}M`FTSD;#I7nE~E&RFOoL>Q*Pbn2qSR}8`*9?%T@q&;tCfN68 zbQ|@I`Q*sC)M*-t{@CienH@@>Oi6W`Nx@yUQUHuqn<#$yK5m%NKVr=AyLk?II@Chi z-(+YLF*FUGo`|7oMS)H~{DbwX=@{Ge^0rVMCzSCjj3KR)X09+t_Rou8hH-F6KCfU` z$>ND(4LuR%1uKnaOm~ykOZnra5Q8~X?DFe~4dGEtB2N<011=lRT`{a1+za8{D?R~u z7ok*3q)Z1glxrI1trU27YsN5-ni8}iQ{uIzq=HkT=?;(P3wrYDunOmEc%$}d&OU|K zQVg}N4t>(k;mf1)h!(P68|K%oDK zvU9p}aimA|e!=Dyx5wHPdbw&?l%*4m=OATKe6?Xcu-HDCXN zrl|1Dy~AwjOZ|##lyLEsbJJ zJYZ*QknSRLw)M^+HqHxU6dVml(*2o?O%1m9uhm4el_Ju%66O7So+fk)rTap?@JMTCyp=` zu}{F9ZtI<85&K0Jv7Z2w4^}FT(hj~S7^UBfbKRnblj&cN5ws)$aD4NG;wOOOt=t<` zt{VM3p?Jt^<*T4!4NMe&`z|#;i`Zvk!bA}|jNK;S<{38-+9x+8NAtL{4GqERvi? zg|eL5EH;?+XUI7`4%VxS>LH<|)@ysER%%8dp+JvGn9r$PMT?kC;i;_ox(7;MnjVu> z=qF)noWm47($OzTi(KYpnc$rzIX+PCMOa9o5Mbnxcs!%UY{j8D>CnhzkB+sJ8+eof zY^e1tg0V^wa3Z(y5TGa`Cy$n)Uo!)HxEBBi!3aB}=U8~RpnbpiIiP(smjgzy1S8D_ z=Z41SN4+owx#790P9iSJHwQy3QOURuEeVFv$NOMaSY0ZH-HwpY24cag0?iX#Ntc;A zD!%TpalvzoX=f&f!Y21)Xs`YJbU3Yv;<3m`y6A-ADU>L_k&3RYyaPgG9iHe5RA}8{ z;I)o>$mzoI=`Lib4@bAp$o`uYHGA8PNzmO7w?%G$8g%4sM7<^o2o-83M&L}5(s z{Gl-ZJW9%($VtGYKySfHU(28ARC~ZhZrYX|2jigm5M=TV%=gmiRbs$0Jcd)j*Z?+W_%Lauk3$44pG zU9H^4L_n;Q}s!NBZeef6CF)ka*Yl@ zuh;373aZ&C$~C~)%s+rndcK8P)T0RzJ8N?tT!^?R9zaLal|!-lRHHXWaj%bHpbEPM z#?6HBKFZRO7pashHe$tX)-r3IfWbZl1_S8B(t1XjGg;<0#EEZv6g!|hus#kA>fik` zq)$>90X9AXHrAK}BWg)^wbY?}z<1CUyIcXU(K{{RXg8y8o54XnAp$1>|FMD8T;K`m z_sAkj(+98=gh?!8E&XMK!Z~+@(ScY`U5i=~tR4g1465400D^s9Ko0Y_A>?XVjcmfS zrUY`vZMMMwCWsR_BJy`c2)0Xf?n+_#Iuu~$5@u4isyPCJTrJPSDo>LDxG-ZdIT%du zeHn8fSZ+5@vcS}FdmdYm0R|HVlxO4PZ&34k#}RaTqQIEuZ<+3?K7Vg?AbsDp_<-Ky zKwO+H9!Hs%qiLw>S{zHoRot=N;+cD zbSz8zYV@7l;rS+kF>*Lhv3tQ7!@(HVIoKw1y{toMdvMQ{!YkZ01h_D`7I6_mfGb!) z)^pdQN0}t~tLT)qDhkyQ0v0}84KF5&U%vy4;p-$yU^S4!zqH9Us=mgAFbLhWu!J6&qjWYWWw)f`h>_?RCYrAx0qvj?V7>IuiYN z2nzBX;_vync_1$IQWj^Zf4;5t!|)S@IoKMF(?8~;-cz}H`~L#d^E%g}KMQ8p5wnwJ z4R$V6b%Np=lm;E}QVcRhg@Q>i#eVICfhRnDMd3 z{uW%wHFgFlohv)_09aaM{cN3NiJliQ(-{k%wI9!hcOwoAzac!S?^k0dC0H0hG7ldV^RK;+1aKa+q1PI9!b5Q%YJZOR;W#m#YZP zB>uF?7RRu%!$0hhYdRQw4#>Gj4xfy>KxYRc;jl5fUhD}C^SN)cT>pN%N{)cCJaw-F zCBrI$m9U7;uTwxWklCYeV;``R^+jKR$0XW#(epTI6K*X};7gA_iNj%xacZvHK@S-x zqh46ED(K!Tc2H}*PWiVR&WmwWL0bqM!l5B_>z^iy|8NtMVhc(k(ih}&S4^+u&1M_C zDh^kr{Chg(&OAJfZh?UtR0I?Y0YMi#%)XqokJJijf``GxS3#P_pHiMVbWh)B{>vm$ zV%S|c6Vr#lx(_)7JN>n^@&|qIV?A7L?n84hdk=@1en`OgVLiMUs!EkY&OkHJQT`Ey zKq-H`Tp>Xd73SE}U@$?7pFbL#pBrWd?{Uo4fXGG*k#3M`$~p(efHzr!2V-z*ik_&^ zVLg3`1fo&J=~l^B8a(1|z?}=nr(5HTt**^7NaM8hhis0FN^FE_m1>8c2cO)w$XQ{1 z3e+U6v<8Bu1V0qP7mHx2hcIZ%zGCot2p&;r?_x-2UP=&{WcLgbLok{g!%ZTb zG8ktI@J8auvc*Sw|Byid+&?%MkWH{Ph_z!odD@NQwlT3JQ9RrVmXh+Qd8HW{A#gtT z3p#f5_D=Ds2~*3BhcF75+2R4=>$+Afn8rkyKfeY?Gj>lFIIfmYb zPdF}Zp*@KIfbXqIW5&5}srKRv zQm&?yN^T~ptFa7bT*_e9rA!ISWbYcnCR@|T5Rx94V{Uj+5SE*E2j`Bm zAHr%dQdZ^2NmS^T+ZLIMZsl{8I3FjR>GRFYuo7%EJu4x!^s8ZSom6Tb?}qi177MXF z>;s3;JOZ1+RxN%jsOeVV<5p1At?rN^>-YkI#~^8#;|7Wm}UsY;Y|PIL9^C=bYKtKPSmw+4g43UgfPW2Vv|EK>7@- z(LW67AHvUb7U!W4yy0qAYWOy-?4OW3cJqVl2FU)9JHT!@;U>lCW|5Q1-jcdcC+osAjk;!{co_>C_u5mH%M!&Asl1JqPf6B{WY)w91MYjF zRHvg1-8}c*i{Y}+@)0~Re@E`u4{193T6`v#1XuOJnwF2SK%9kG$W{F&oN@yzxFba5 zbF*|R)Fz{N6f))g3v7hCWp`u#6^Lmr2qwPG_PO;%VKTX z5DMAm6~C>+)(hZY9n~7n`{;wC9^+6eg%(?tA6Q{?U@zZs{Q&H0AI(QtGaej)PcZ(N z#PnE!BtFDMExtrzdTbbCffbDy6w(+m8vz1!f1*nBqw>ug3EQ>&(GgBSZGDU%*Yem& z__QwJFCr&Pguj}uyXAumju zACyOi8a-D{;=+6hm<{S`+=i~Opm3tAaT|{)EGV4lGVml81SRI(oE$?}Sa>7@3)c{~ zYq<>H8f36laN=4nXlNCbAR@>Rl+ePIITHQB4BW(HDsoh15iCgj#P;V$N5;R$c?-sy zia0$Mo}kLdR+r-S7JqDiZe&nwzavugJUzt%@IRP07;%`5Vtu)H=3+6(f&d{Af!~xu zH}9hQ38^3Pp?$rH&8E|JX+Irr{hlI<$lh^@}YRc7a4=_SHy$YJARyVle$Wb?cq;|Y~*p~FscJ*$L3&H=gR;P@@4 zwdr1{yaCs8|Dlj1ePC}596~&BsI}=nD=X0&NJP7n(z*O)NUY<31?!Sg>Bo6H;583= zW;?nYTLqY=y|sDhiI_1-fBNqjRP)duC*yxWImCyj;wt}9#G}iTEu^MS0&*Vij;$mW zU{T)}of%t63bfrht-KA+q|fJb&Y*pCHBs0|EO#Bb)N9VI;dCY`p7!^^3KrnS=XA12 z2+9SLaiFP>(rn(bAaoI4VC-tW&3wNOOnI2_Ko70`vz#SwSA8G=kbBrDzCA_>y)F~~ zKyFST(H2Uy2Y}a5VpY&54b}fEIWAC+aE0nCq>ywsEz`&-X&)xc##UHcscT`|QnuE$ zyr^-1V|{Mp2aSP>VfJVMjcJKCFrj1NyO>yqT5QLaM~l--?>KLRV_e0&V!Yrrt|B@l zGb7TQ{iYY%yXLFf`_56?J148XF%buPaHJQ*C~wTuL3%h2J`o+s8`tPs-d3L*Yio@4 z)kO1fcuF||N5LbC3z1jmzp<0E>JP}QU;T~hYv9b9yTSYAtHoe}C#%fw3&iMUa5TOa z7TEHa1>5a6EORZ-Z`?EzLz66z#6cp zJ%yI+4Uv#2e&sTX5az+5zJd<*_N#@4#j!D&_R&l$Ey;Djzl{H`e&dJQhpE2-jlkM} z6%3?F6!b$t^vu-Y-_II+^w{iymy9cq3?tQAy_=ovN%_kmeO*o2I*6F*}?5W<`S zMJ2vC-gZ^Dw@!6}>+Z4$#obz!mngn`Y90tS=otky?J631m65QuY9LcjX{{Q>@2RM_ z74=%H1~cj*p`m^OBcp?@GxA%j3K>4FwF;s*=sCT$%9SWSFeM9iYt>NZ0p>CMo{D-! ztyRY|>}jnU#_#CXD#&<&a~!{bbA0M{&F5DL{mwn2J>zgEB*=t)=1xK zqY7%#W^(~z+U#z=CKiI}Y_oYk9+9#PkeLUS789iJztz!5;-$?ExPLQ}nyu*}-4xwg zZYP6n$x!C!=K*T0uf)~z67);9lLLDfXs^(`Ndm6sl`4Z8iu0vgvGSI6Q9h^T2X3O@ zfifu>g7t7hhH$8=S7?9lZmLSR>kol+GVl?rJ#~`N*r!ZR~9f8#k6f zRM$dET%N(aTB5k&60{6UCS~$N;0ewyl7mRbeKc1KAC!g6a~lEkf`8wK7MJ`CDL9vr zYowdZ!5Ap>;Tz#<2_UQSz!=w}3JH|EmV5^Rb3%wH=wnXHXXqg`#-H4breKTmBmB4( z79--ljS)}aHT+ag!%x+V_zAv+pX$%?GyWy-Rh|hLNW}rf#_qz;`3v#mI|V=Emf+`t zUi@76HhwOG*~a!f3`fL%qP8Nek4#D}UUufoAybR85~1QU(T&RfJ^1;WZlFZP2>g6q zH+ptSADlfr9}pX)?)=CK%aA!1?5Qy1Dfp?n6+gkB;-~ue_!-Z%33LXja3VDJPxv{1 z0DgQ0_!;*X{9G^`KNo(0pNsG)L*D=AF~0%`U)K*5sdx-O-=H6Yc0Vwz(CS4fNJ^>E zR}1YB*R@DY_BW6?wx{EAM?#hm=b8#Sc8n|Oj$5l z-dWgWt^y&JxAi=!AUFiV4h7+FJl{78yYVp}&4kd&l(9(&jRK+f7Ffy52*N8sySCn$ zU}O6|E+)=mA}Oj@qiter<2^Q8q#XVI0StVB72T?}fvUA*2>aWatran4{Uocu$4F}w zaMP*n9DAtBtP!NHH(xqOhyZU1+RUv`#~^iT zpj6hXZ5KqF*Bs=hp9YQsQfU?Do{LyClXDPDOABm+AwjSS%SfZJNYuJD9c$F)#1wxr zfUKl@*pOnpE0(|fnV-xo*M zu$8_x5yRFmgNOEI*w^6-Me&0amKcaXQCu{MnGj~kEeaU$QUV%ZDCct4)q_hUCO*9p0L;#WjhZwLyI@j8fd_H z*VN9C+RXp*0)*1LcHSBdjQH7$RtcyU95D7R=R%iHl{ zkEF7voYz2OagFrTz&J5a_IBFdy|5LHDStUIrrn#X z8IH14%9vbll|5uQMj$0*1W#fumJE)8PRJz3$q3qmP|#h=3y?8pVlL7HW4c=>=BlEA zS%tlsV`Lg=mBU-*MAC31$;D2jked;Dp={@ zSw+{8^Py34OmjI~^C?|zLF0NL^;RG?3Z&ixq}Dj$Ha!4OD7j+AM4(^~sH-XatfuTh zP1*VyAO<*@%iZXziAKSR=s-BPoh^IqVP8kXpepFpC+3Z@V>@m*zJ{YG!Tx>W-G56T)+$wMI#2n;F&Fs7!=H(*q?8EEjGS7j$v4`h5nCC>^z|6dY zF;!#2CpxRV;S(|7j)vnnq_&KX4q&ysFhuy=P;6B`k+R4sNQ7=ee@QqyY@4gO21#@Z_0k{IANU*oat5gXCTBl;T8$l-xT zJAfA;L$Nja+TJe$de?Z@@)4&5T5I-|zg#_L=6)nrx6a&8gXk$Bv34xYYXhy-`%sVY z_Ml`R03=W@vrIS2^aaMOWpiJk%wCi^)UOQTklK-TkZrft?8yYN4%vGw5T7CQ3zYj3 z@Y>m>F{`S_thY*6w^o0Sj<#+zAS(b? z;Eh4Fl}Uyl&RLxe!(Ge6J|~KWePGK}nE@yR0_#*@90JBEl$I*uL=kYfA41mxlPh2x z8DJbJ1Hs@wlq!=WWgtLOaB@*57iA8n%Gjk0gioqW4$9=9%$F=P0+SoAI3R`W8HMa9 z1a98cz>T>tFW?Qb5O=STHOX?4ieqZmqRqf2rHXQ0KAiYT{~+$vdD&#_LS;p>n-BYN zL067|WTA}9iB#7TnzF$XC{+XvOw^vu<`X@9>XmDo&E$)&)i#ro&a#smf39-393$ry1)z0y9qWPd&ZPj61`FZS> zGM=l&7N%&W!iky&1AFHZo~_tAh z{7n30AVy&-4DV09JCL}<5AD!g0{0|^AVaqhlsw<~&*106tEnVr;pfj13rb676png?zmYgOLy%Yj59~pW7YKw}pH=8uBG6C%0Q~#|9Gjk=o5; z051M5I*4i8LgkBsl!6NhUS<=rpJ%>!h6J~D z^?geDPpqXJ_pQHk9OkX>a+$Y)nzxJb39R@X=k39>W!^NTh=VWeDjnt-7v|88(t`;q zPt@?b=MDtbG?CH0HM!kc@?B0~ui&xl*}&$*Zl2{rJGjCg;Aa1wW1!_W3_;G)Q<>BL zc0ume05o~uDwTSgN*#byL&N;6YZy$tOX1wDT5L@&HV;_w+s&fZ>Vx{Kb~C@V`cUho ziOyugxxAl_A z+Ji0sadHg%b*g{Tr`uBIrT35CqjRDY1UkFh z?pnS@y4!9nV}DXdJ|5PW^Oe@Ya=Ietm^f#}u8pTEy}3XdSKF1R(3G)MAs=Rkl>tl@ zj#6ZLnpSiA(pS`y^BI|V_>Q^zw}ZAI0o_i(&&HVP9WXD6ww zr~}D^#_Z@Ffd6;|jfi+=lwBSuOQYgxze0tKtUoG*$dmZE?&723l68;H>-m-BOu9lx7 z6uckY^i{Q@ccY`=wgP|BW1(W$*y!~E>0W?`yzj7Q^^QQJ*$6f&j~+vC^c94|LJ1re z#`YqmuOfPOIz2j?Gdy9O9O2-?H3nq44&WvC_2x%FD|j~N}v809%Plb2CMRWozyb`=A;Xk>UMQHO0 z4SQNBI&QR`IxDAuO0|zCO=2rp)v<6;S7Qps>{bS0w$*NGqa`_%+X2_5xGt&vU#rgHP3@lLZ+lHQ zF9c^{Ntir?1ID$qZgc6|WG8#%yFl9wxTI=KIIeL4+#lduG|}0(kM0lq$`vhL^H0ni zJs@&B#4j5AH7FO;&7KhiT6iLWhV=mBAEhxx$KrmB+4&ENL6dUN1{ z3?JLraGc|cO}UQB4~bjm*V%e+8?VJ!7aq(}1PP3q_i4jZbZ#GX{=M@Q+GWjHS0X zZ~Y*l1{)-tZsP9*3Kxx_^&k8?zt>3{^L#9BI{S-nj6>2NDgyWDx;QCQJ7?ii*-)5| zzlpjsT2M*P&7j{{;!8eVQTQ(&D;dR;)u^V+sP5#b?inpRg3Hu^%#&p(JzlALK&n2e zs{Tq<{U52ifK@A1)hDg0?_zI(ZNwKaLB$dWqu)WRb5+%$wSvnN@xf^`tLCYyZf1Z~ zpHNkwM^#XYA`(S?;D3E}n2#>u=;AWD96KkZ@5XjX-lgHWhL~+X6grfLPEEWjx06%w zIHtG!qs&tboNTNxW**dH2@u-ds1nlGnls+8+%#56z}0*WVPTf)cMzMK!p1oR%o`ZS zndESXHjjrS5a+*R4W@;JbyzrtxH~M6T2*?V@}{I7!YumnG6nc#nlzK?}znH<tnbSx zyY_cYy7nj4wHKvpZ?J23oFbTdo*AIZW6-0P9hxf$!?dQa>Dfxk?mHkbexP9FDatMq z%Kisod|Sad&Z6v1XJx}M1cu^{DGEk|g0WCwyiFKAqof~;EExCqgAq!yiOfrqPm*8Z z7tNhK>dST}@Mmmar$ZEN$7&bWKQNES9dfL%<$IDV?11<)vVhK(g${zX=B7Pd=xTC5 zOD}Xf-sL-lYxyfUfl2$Orhg(QmJ`5-G;+xCu1x1rPa`JBd{V9fZc``Gy!o+zD3-6> z16uAEn(xn^AEHdd5UuRsB%|Oof!zBPOT9V_YddG&df+vdaiw0pk)Paf`u81FSlc(_|zFIR6X33-J8(*>X7V*_&beNCgL$z!7($R?J_dST8Knj6z~S6~hKymu z!8W}hN0^Ja&zvsn3@%Y-*77LaYH^vxdC@eL>Yp-ka_==ffF=e?wrsc?d{~9mU=RXA4=9K6x|1E&c>BDPvP@?Remed4I&+k-!VhGQViB<*$> z--Eyv_)S!-)cDRA!bIy&fZR&qWi)#lF@d6^5(7Vk+Xj)n**ux!JCGCgr|!4N%$w(J zA;|*;>5-m#BuJA0iQ|NIccZyg5ZjqOI_|xS$KPW*>?umrQSntER z3Rm_9*nP8pKhM=x#T24ab^%3@6u2fZ}l`rIyqR^FZN|k#Xv- zL;?%aARr{_>WKpXT}wD~K#eUxp|*tci=9?{3Fo_aB=*L`(?xn+vA#rfP&$E1$1JEk zmOMGKbSAw+KKHZH@QH>{zPW?*j#pOAmsOy|T+4u=3pM5fHb_&R3H8qC8P=Kg>`79c zgPI*G(pOdc98C=l$hrB1h=k-+pJ11{Fx)b zaXr+oQp?w>Z>lW@z5##XC2?V~-NIr|z9lU7fDG!NgXFK2zWL$_muDozrt<@gZld^3j5LEBU9KN(2|AS@Hc1D?B>I^k?eN5f}Q51DeJS@ z?OKRq?Bem{RR+5if+hN~+Xt`rV>ehXj7e_uQxLcoU#!^eP2XAMv+?=yap14<*?hK* zEoJc86JYe*z7(IWTz4cs>r1T3;l7+3@TX>-N$3EJ>ua2L|~9+N!X=wz>t69gE4Jv_o$q`GoQ32?D-hvHIh1witlB zUF`Sdf8Q|Owdiq#63~+Zdp`vqJXBu{UJBq;asXnnhCCd;(Oy{X%dIbfb>DvHZ>oJ& zj{3&~#uWJGt~GxFagVth7%(bfhC@jTMBMX-!{kD2bo6}z{qHv{gN>AB9Hdp@<@ezO~L*tscn!Cn5@g+>epVySX+#b}OYj$D@ zR_h@Y$jMIp;c5LbmZ1!<)Q9~LWqzJ6V}2VYG~*F6yJlc*BJeu3%f1$qXl*5?Aq_0C z45ns^{c=xbGdrn^{mn zNrbM&FR>R?@?6VLfDRga*B(gV{xB|B8y9@%#svOsi24KP*7^%WEr_)m<_tZQRH~Nn`IwiO-&!?L2Zm7zr#u<2qpuJB&R4C%3#x#$m3fE{amf3 z4Dzp0`I%Ios=h%kNMp8wt_d&|wwLtuo|@$!4kp0UF6p{i<>4W7IxqI0!j%ge^6l7{ z<2oa}7I1YngzKs}UvxC_K6y{lc@ED41l;j}Cke5$>0_cX8Qsv?^dX|wAL~Ik@h3=9 zdF(Y-!p^3TSOnL%>(b*$hEn->?c+%K>OPwKy8zQ+znFGm%6{P$qx^2D8klSGWZkWm znQC>WJNGkKregbWC8H04#=o)?n3M5v?!JyMnQ^NP$)&lPX>-B(Obg74_>=M|vp%fv zuQBQd;Ql-?67=l9*ckRL^0wQH|B`e7?2O1LiF}FBDH1w}(5VtSgpfx<34}%?Iuc*LCTJ4zK!)QJrvzmzj$jI{eqqWmG~6RS`xzMp*^KZ3hEHKrjD5-2scf9FFBtPMw1=V548hBs z-9`xZUv!%xytEyp)m?SfwdhW$n>3eN2LQ7-27DhkctT|dgJoZ^dw4%H<p=5Z z(sPVYB>+AJk(ZW+U%H_d!M1Mw?StgKN{SZj>0zpqMTIA0{3{fJ%dxy_#z`Bm#S@OS zP(6YPz|?_Vnq!S%?$)MSkP1@#_H@!ER#HwnX^NFZL1a~&Y9%?+Nz<$(n9-A^F13B@WMQ5pK!iut^$mNL@3tyw6(32&~V<+T750mI@ z6@_wY%b@>2d}WXs@s%1rYSci76ArWDKYjT4+1l5RUtm)HC&%x__$yXYhQRV3a#e4v z)Pn=h35;zD16;I(u`>f_H?bbWUWD19!1FjwvAqDZ8@qTWQ{pSV_-HVc8t2}IcUg^_ z9o5F&35(thT+7hk(zjqz-jM|ih-vc=DoO{MmrB71=4*Y9 z-l4AtI;>@oP!?xHNdQXMS3~K}F{%!IO%aDtb?|G701bkriT z4*Z3jRSr5iYO#;7Xf!}ip-8L1gz*j!KI8C7QP`<)-+_R5>gq%S`0Nya9Bn;E_@i6f}jh0#0UX^)Myn~>I!;XYPnh-z#ae-AbGs3;1BC>fJJv%{7lY; zxj!GCFi72Pr3wSLHhpSE$-v0vy=a1xUbQxTZbe0Kv^ITVMMZG5Htn&ZA~;%`_A;uZ z9X^yI8Tc>iC-2y~B>wUD*jMSBk1XyIU2t>_!D}*>@Yk=XY1!jy=Bmp+QtBmTZlHAl zlPK`gN#m(GiLlE^%V8QNUPf9j)7asRG#rsC*_V;#WEurvMw+xsDVUL#M{N{_Y#d;w zcaE6~7}q!G6u4E!mos4zq0?+14CnBiPP)zpd}{-)$^0A8!8qQG?+ed{W#rx~MSj9P zd8858GH&2J$Nk;C#~Fdg#yRIX8{2=^JIDz94dL9z_O;;eAH{as%UAipr#aC9m8}IO zy{^hOPl*HZ%KUEQ;XoY9e1tz(4pCd-UH({S{KxUNR=n2aUc61(J%V^_BHM z+mc!fHS?qLl$r^h<|+B}=(I3y14Zz{G2YVva2%jvo^}QGcM`?#7EvA)-Xd+jf%jby z4`WC43~LD91ApV<7}Bl#iix!W-)7)_bNNT&*s&%&dn?d>wT4G0y(xNzYj8oyH<*BS zH34O-qK;NqFW#ldYuo7<1iikibpoC-Y6~RVYkaE~KEp0?Ba|eC1FG#bDM*)8S1x5& zD*h8aX#3yv!2O@-LH9T8!7Sn+<9^To?Y?Mw?%!zVwGQoZ_=CSG4iSb6ozpxOSZyQ$ z%#a(oiWU^m84#*;LT9* z7E0QA7CfNri14nJQbqmXO_S991v1{@3f`HL_Ag1pJq7sl5?`lC>K3ME$fIGB`aDzd zjQ_|+Ogr!k|M7}oL22|P7$EU>(!u=tUO-UeegI_yiE3MK5t6n_^#ziIJsu8+xvyD& zEUoN6@jMP-*xzI<1M>IX`iZ-Y^C>P0CEVpeIN`EZa$WfJY5mh`93=1E3Q46U)`n-p zTz>Dh$^GUxTn|>I#E~=5IEAqaI=al zL(+tSMF}R6LsYin_O8G%KZY5M2MX{XI0>AI>kY21K&h4NMzSZ1-n#txDi5{%Q0>|Z zurs`?r>m(CfSA)Invx1p0aBq6sZePubWSQneN2@Lr$W&PA~-S zKR5*Gt1{Q5dxK}~sITBdg-G?ytrDmxA)QKJm#Au1I^iLmYF$?o`)#GeSAyv!lFm_B z>28(ok#vs9N~fNR^4y$siAHFpQyoM)wahNr?RagHz+DH@HAzp79tpK7om*O%xVoBB z=!lCRg%WkhN-q3de{mjhi>D;H^~T!I75L^eQ!B8aPYCP$o6)5s&^EW3{9>DTFX#eK z$e%tH27m71`Qzb_mp|uJ>uaEYRO?sHt%k=ht|zYVx3PMdTcUuzr8#(lJD{(jW$*)7 z2H&P-&;?Q7yp#p`{wl-o2zCPOoQoP-XF{v9+k73CWrn=w28O8c{Hv=fU(HNe zFpe#yz6NKN-2dAX-LbwA4JW`NjsAiWo29Qbjy4Ai+_-nx_TG`uf=sW%2`mJ_5z1qe zhTaSz48dH!N_n^gF3&qw&H=%g-XRclXdXT#-4Jx8J#XXqS8V>72L#r8kNS31=|G{X z1jBl_`3x$A_3mCgcDh#QlVY!gjL?|@JWi=s6@<#RB2=JP;khVI03ts20nJoqzC_>}5+KRMQpU6*N(2R_$>gZkouK77862fmEH1Fu!uN3q}G z2QgX@5aS0i+P)CuN004dMDf2Kll_pA0(y+H3OW4fG1)Mw-JBk?0W;P_^q8h1{OGZ;itwYy zC~yFPA3ZirMflNU(^Z5YJ$99f@T14-RD>TrcAbjwLz6N#3rXvs^?qn=`3@l`$f`N` zUuXVmup%q?G()qgP-A_dQ2!Z->wEa;hoi%0?1MV6`GvMyQ+4@oN@U8`fa6ds9aJ{}X-F}`8_h2^M zpYhB-J#U%#9c}?3+|x4vmRn(t{vw3YUM)VrwRIC-*vD;FCA*x(8h^2Blhi`0T9P%U zAgVxMiinacST;??*IHHGruoT{sQ3dbpP=tWIDsBxS30`gJlZiZElJ-rd(49Ig41>_%DB?vi+ za28|_`;0$3frUReNjQlj?4lk*$wcwF37lC&t_E?AHK)=ThV6FYACVK}9~CNLCbqf! zYa*hb9@)R}l6T-=D0_G8q`c;Zd0GC2Zw|l`ab*9(M(MbH&nkPwY2NBUIdux2_99I0 z+LG^AJ14RdpSrW^oom(0TCI5AntiH_C%D69?V0;;zD^uy4d(N4&fTz;CN*)@CN%G! z4+f{ybDrPc@6RyPN&J{}9f=RkjAysszd!H{E72083-@K6TBpa`IMx;!+-To}P9hln zB6$v-EMevIZ&n;J-!F63c-JvN)^1lb|0YA@T}8OA@TK^LtA&3|*WbF}81w&7_vP_X6df7*TLRK%u|qId$*t z+gZ@}{l0%bf0Dj+Z!M=zopb8csZ*!Un3u?S+`|pCSD!@iZ^ZllxiT|Ey_YgAkszbq zk^0-?_P0OibixgU8v@CLbYx^=)cfcCU>k_OGrsK3%Kpg$h*N@}z>@QzzN#m2tO7eQ zPvMP(gjus}EPHzH0Xmo=zT%5N$k1kymIp??PceygB-|k&!tf7!`dD8EmL_1wa%sYm zSmB!u1Kx~!hyO^_&7!+JE|K)q!&w^x4knBAZ7Bf|Fw36^fG&|9fP~hAzX91erWty; zcs*`@Qzy(NI&_$Ipd>Sa;CnYqA#~GV+Cs@-{p}I^+vz%elT--T;u5&^qlj0EAaDox*Q?nh>{ z^pU!Fr(m^)xy=pBE+xHS5egFn@HH0t-WRwGwKW%90PpUd!QMj0I1T~|{+$62P!RD% ztUcX)3S7dS1v3!$Zg}|AKduAn!}`P83qf#`b-`g;dRdH;Cya8MTw7#w=>Z;*b93p! z?}3C4xfG`54!Dy1l!n8kHUo(Ki21Wi$Q6AOmV8bArYjSc&`p6O(D^rmhpV;NBotz+ z1hq1dH;4n%FsPzbUU22znS1*ic6=Y#k#AtQlDMwI$wxV!O!xMsKGOAZ2U9T;Td2WQ zu-hY=`~b=iq2mWMtC0mG1rwnBVq}gP&a)>NkTt50K#A+qpChRf$~|<;2ao(qUf@tT zebmAy&;c3)h0y{CKw11LVZo0zMliALqs~z-0|PsSzE5g~99!(Jng!UH_<32<&9($m z6MjKwR(BOxU2=3aNfy0yB&$6%T7FW#6FV@X#a*K6Gt41R{{lruPAx~g+^@nQ;KE_Z zuc-HZe3J7*7?w?Z#(hOPN7UQEVhmRm^%m z`;ATu)C|r}2=)P!=i-%wKL$r$sk7(U+0T-6#v0Tf_1;DigE36Ec=Q&ep?=8Rc`bJm zg4uqGAcJJ;RB(@59z$Yxgb2j_c4?hF7Va(>Jjb~h>F2wodnC9a|Pg+N; zVBvQ(woXA`a2tbU6i;{fm}lXP3A9O)9lk*djK&^}xGlo>y_=d8p}cKY3-+U3kW9>; zAYMlQhu3Us_zS{zFqtNAHg?Y_jggd`dnS**yIy;vXf2<6Fv zZfc%Y+bGnA<1yWCO-xWb@CTU8A0I>I|Hb&6YxjR|+y1wK7n{Et-sylBU?S8d04A($ zMtrnY<3CU%V7{;(Fz0EQ4L8jHyYXLakN+XyrKJ=Y%4sRz?+3tP zJ2?z76dnedF^sA}@bLV!U`A7s4^F9E_rU%&4+k^sXQwhekl#=Y_Ik=2pBzc|@v^rG zUr7|at>xhp!&jx1hc5@$T%LsqA)QeWx(2{2iLKbgTsbe`gQs(Kqy*Cjlnx$bkVO>F z4K+fO_-}A`oXC8AZIlkEy4DYQFs6Yvv2RL&taxT zo7qY}nBAh@Es~3Ego}jL{bYQD{emD6Rosyyb|2Y4bPdkvv99T><-;cyhc9UY;B47*)Y_3=R0g*m+3^dhD5>10g}zJdh|?)LJn>?dGPo4yw)9Y>c8gA$-%R+d0$hQ5NahnO)!`?7}ydw-x7TBrgmzJ zeOkI8VwI(*P^|@LjbGteoD3ny`%PY36ZJkO^@Wz;?cm;_(TG(WJgjDBbS!*zy0(_0 zm8Pz}A@xB1G#kU@dD&kGm~;^amL40{RUJ5&<2AAS+2= zRm9w6i@+vk=ZWMFywa1@w0bp#x6obLPdgyQ0q`1?xtl^92S9cWXz;uQ^|9z1%A}2D z<~g_>fm3RQ+^P4|X7%|lW<`wDO@^t|1z5DBC)oPjpzZ*f;fo3jxvf`o@Ed44*T;w% z+_mAo6l9egPu*hxCC=aE_2z)*^_9`a!Zoumn-r*B*;8OHH@_+Ky#5Bl;J2A{ssMxl zJE?HRO`xFzSde;NYR1`i?GIy^DM#@pNduvMak=2$&^J85wd*^U!eZizo#>tC^=2+I z!YLa7^rYwtH5Usitb6M|Ao~GUPyH1@Rk7#wR>1Z~AaY@1;pW*B1CjFM5-sQsqLQ`k zpP~0`QSzDo`yXV+y1PcsM*Qvm}fIh-Qzd_rD-fKwAbc zeAQd`29fxQ_H*Gm2-GsP4~WTPxXF4o1OltnoQMUiP|XIlLq>d~dLO@(5pUzy8m!hA z+Yz8`ukj)8))1l{i}-{RLL*l<%$GW?MgxTu%O7&%Rx}h{m0llHo?`K3mxuMKc1Bi0 zD5Rxou!UTYNf7Lb1JCA%`B}k}o%01T1y5W!zcnu?Fu%EHq{JHP6&MxIX4m0 zwL0$`_T?yJ0;Jv=MimH`qDT&kl$+zBTA<>gO)1ABk8oV6OaiW`0C0hSB!G)rY*|m=L(ue3@T)u@eghPYxh{IAe05FBaA z;GlM30`coW41QPS7H+`4h_k3%_(!}S4U(m4)O+@qM3TacO|f+wr$o3h!MHV9eYqkz zVS#^fB0Wg(J^@HO9Xq&jpY8LCO+a3fw4bKf`k!tn$6Un%X z3ZcAs=!Eaj(eD@N_o4B1+dX$9PyzFv{zx*iuq&Sq)LpUK{p`Q&O6V_u)$h5xNdt56 zVLSITR&Ml-7<|NQs|rK_j{|1d!oRpB3|$D(Tdo8BRaw6As?&*WO#5`wfd?M5fY z>P{GuF%_>fpx%I;_WUC^u|qG{`=Qv=3g^OW2tpA~K)lTUxdH4x4}lTi(D+#~Bvd6Z zpEthc0Zh{_tcd)KH@2b3zNPcfrh4@&h&@cvey|ixcx%+FVoI0eo4A|}_j;t6r9Hz% zJ zONqiN{1T0rWlD+4YWz;eub@;q#jbQnFmb^E(9iJs>BaK`u?;L-7R$qrEia&JE;Klg zSlYv3snLgH(g3z)J%Dt+@j;i*Y6*5y9uhL{{AJS|q9V4R7Cygc9Nd;ZYTK_U&#jeb zdE|27Ww(renfd;Jo!0tS#vg;x;rN>$6pTxsc088Nmb_6fBYFkYLTJyJwDuN`OP}&} z!JpHo4L3iK`pV4oY&rtq7F#Sd)1hwRDThxcZk|D?l+k$zV#NhIVh3U&Vdd~gSAphu zY_Rap=~I?j^Iqp>ItPc#6XNmNasPP)k84oG3kYUciOmuR7HIb^frE4Ao(w+}Z*)cB)+tXy$zlKf zdKv?#Vc2Nh$AqSR+FCXvyM+Pkenq+6Y|huD4eYqyob32Ig7mkVWj$$ZG+qtKuF3DJB6Np_;q{#Fg~l(VErK7bEaOBK+)t%Dq_nnA4%CYyEXQgU10g8!Oxt$V=wPx;tAz zn;m^K{4ZMUylZOLG6ahy2{skpkUr%xQr@Y+Fzh80181HPII}cGD3T|g^UpJn%O`z7 zOKsApv}<8i13}WhK+JgRPZol_mPg_y5~kdKWIN&dk`-Vm!_N#y->}X1yG*B)1m)HX_xBK9ruDI}H z(k&X`9+0{QwFjariwmzzpYkAI?7$0@hr$e+?aPD}-%Y%G+fpp|1e_piTI(n!1yD*+ z>ktpiQrxAuKw>px2Ow_x)b?nZE84~X+KrfRF8`c=02FupFGAL_e@^vjqCl$Z*P_u_ zT&|TLvtMFN6eEvbtjPQ&yH2=A#N4Nj1E_meB=S0$jp`05BHM?SS42#o%mMt2cMTFKq6q-Rooa(Jp<|3 z7@4WZxB1=!=|+`=^MbKlu?o%9Zw|!Q_aV}_1EbUYueHhll;ltDBtQEf^)B9yUV=N& zLpUR4ZjCu3#l|!0z2C~kvxjx^j2+R>wy-FyR9n>k7)98_8|#Sm1ciL}8C_!tL33xK zDkonD`gaAEux78;UoZl=#pa^O$V@fxBhua$hbzuPwf{!7Fv>?prYSE!gJYZ^=l9ZD zV!{%Tfwo$cxrGfQJs&p8|3=bkJnJVpsp{!3Y9__3ym5B`HH zV&#`JQy4Li<0_EykLmK6hb(`KF3(IZ0Hpktx;$ZXpDkbyV(kyr<=b>X#xvepffdfR zRHC5CLZcJsi54^UsGqx&W9qf(C*y~e_)kI}2T{0Yn($;iQvDqP2{Ul_$Q&O{eDlSI7yu139!K%1#~DBgPX z>eOufa&_IBZ`FGgKj4b1OOCffQBGFNH^R;k@xBK@b)NHIOeW;(PzZMw>l#+c*NhGLQFGP`0nZ@UT=`f#nM^$j?Nn)s|( zQ@ry8bxxgxNF^XwiBXylVuU`7 z6%xXfl5-1cXudAIx=_q8FS!!SdweZ*0aMgm*Ayu6(V`o8|GKbJw ziT6VEy9=gbvDTX5bZE{qBnS(bAR1~3P~_NeM&XScFGGR&+Kq`0cvjubcL-WA)`mWx z6tBTxJZy!V=hk2jG&mF#OjtxF^t{9T7);?ZF=A$*lVqCZsXfYY@N0Qa?p zcTyVwnhp|xP;Cn%>r18B|9zXXP>C$$CXYs(-Q#S6+z|Xd-$FIts0Of&z$?gzQ;82- z)E>jo4{QN$vN)~wKFKk#XC+Js#BaVbq;*dnof@4!6yc>)`MZK&7X}}}SHU35r&;AU zqivR#LFfazks%RY7{uBvWPSoV*2p|6o3hF((0{gzqEn{|N=G_hi~r&x=EvyHX?OhW zwy$x-?Evqb~o2Eb}rHZo`DMyY@YYWqj%ppbI(gmU>7YF90bv%Sh>3 z4f=fDQVHsEXaBry_7{-BVwoB?-VXuP4u8|U(;Y8|&;qtaH$#a1Eh9xYn$st+} z5DPJ`Vb?6VA|Sn{^LM~CIqC>$-BZW;!f7A_FG-nF`65BF<{GK)I()UbCP%%wUt{o1 zv>7{}z?uk!`Yd7*nw0zznH_AVov7JH^8I|HW*bSIaej<#E4&|C5_-d}NzrxfXSxeA0|#8w|jNjhb!xNOWMh7=k-|svh}bl>6R3wk@*# z4Ll0lfCH#cwB;G-lQ=p`6k}?ctf!WR*_krnsiogSkspBAzIEBVfHSPsR_($Ys+FhE zfJ5m9Kj;lz*jj3sM2J;F1^w@!dx;UF2#ZGO+)3 z4qplB31W}zk&v*!-krm5Ho63P zoh`dOzBkm`%>=r3NF{3uVeoQ+7+X73Ldam zBmPCry0UA-Akf+&=>k>V^(40fq<{H_b@!uAiXA#{7l91>eNk_BRt`9-)%6HBhaIZZ z@U1-Ds~IIU+()xYv)3}~MUG0t3lM;e$&NRK801;uS(bA1HTl3^a}&myB3uY;&Pozq zyoL*XC+?s=FzYBhoFyQ|>2M4;rDFKkswYz^!4=2FPYJ$LQ@*8?{c{iaK<(opK{#0b z2W<~GKUdvk@w!WQ=6wyjt^2H3t$Sm9D^u&TpM;sLS#%bvq!6{W-Q%P|*Ua6D42VKZ z4wiX(7}EZ_sHg64ppQvFlLcEXE5f`a_C22?d)_{lR7S1mmT5$@=s(wp)G2Ywc5MU1 zeQjZ$)CP#A9|efe95KCd_B{@4!tHqS^6^6RLuR1qrM&d%`$s+X*9m{e`uVnC!PU=) z(1i3xdU*vJaHA!)Ekti4gBvXaHAJ4eE_#Bq?XONBC$L7~2dF`~OjPb|ImSlKl_487 zL#`Io7*4UTe~1TUR$I7-wE^TmD1h+^-RjJ8z|O(74tDD7SAXXm7^Ty>h?W#!V)%hoFv^4)pNaCBB*xZelETW zGQno*tM4rW+lc04Ba%GVnh}JEy{s<|n=c+dRF$QRgb?aDE=+66cT;2%2T56&oVdrkG_2I!PG%)$ zrf$C5>&YnNJ?uFxz;WKTk>lw-$*cLvr{+E^In=Xuj>X8qeIo(?D*bhx^YwmRes@p1 ze5uayxRYa=lz&^7KNVkjLy=T=91;ek8uZgiwp1e$2BIVGZm$%i*z@?k`0DQVo?X)I z`W_&xmai$kSY_0EZWCvmU6gA2&L1IE8K?`0sfOU0q}@EKrhjA$!n0smBGb_ta&*=% ze$V0$v}wU+*E}^#w_GRwKT`3Hc;i%>5eN21_++Vkd1|OtvO=US`&`4493^W?SoBZO z2}Y{w8IFVXGPgknYxZR`DDnfa?k5LJ>7Xe0wrMJ^WAlsI^Wk% zyV`sS`MAGh!*C_?$Ou|>UyQV2T8U*7WgN?#-1}_WvT9O7a=o`y{vL`|7Ee$whCq^>YpE!9k=j$pCu4VnQqzbIu z(9*-z@Rr^;9IA@A-dF#yBs zqoLDK>l4g@!7!BPcPS1D_|&tu+GwaI*d|~*K$}-snSI#75ihl1Mx-K14{NpfqT$NH zYk5xQ+U$Jon6_ES*}F`Bs4op(nVPVG87lavl7FiBXEOg(^Uw6+dH>C>0ngLnuosSJVfu>SQJ_x5Y#FZtI2^IG-C>5&fPY=Awb4YN+_64y?PV>wwYpzk{HLw|npCc!2Wj76fX za8>XG+n=@eTM)CAH%AT8pF;}qOmUpMT4Lrx`zeWi;+jLKpx<6aIsd zyb00zM;VQuCJWiW4(_daMc_@~<+(Bh8`PG+Cnvy}qxCe9_b-gO3GyypqR9)7Tk#oR zl8(?{)*%VGLdRj)>rXXT?D900rqwn?L%ry0i&KUbX?Qh0y>C`>>j+ z1R*4-K{vrjjszM&_ux*nQ6;fkrflZI4Zz;`GE5vAg_m+TNsA`#AIa(SNb1of_%Ibo zxik1`cygg!n++u@eJE|8N3iaT+5p_Ozb~qiH2{*cms>m8*ko>2rz6Gf2xvaCIh}__ zVu$Uee%YV4psHon@F#-1&Q=?=jju6u#cJ8LZdThHSz!ltQLM6mydDg$VeqK}_l(MP zQB&^bP6;aNy?%KI2tX~Ky61sq!U)ck4VE#$$hEW~A?Eu&(zzkFQ-1aHi=nP~gq#L$ zphHe26NOE|W8wd-U)I6}iJ8?9{V4>oj$IzTe}i+t18}Q`8*X#Gk^9aeKwsRbG2hTY z)DSch0OKqG5%KXF%sb`@&CDUkgDg1sEx&^vq$ee7|1gqztgr{;c^KwU^cOT_4#ixA zV;AO7K#y=^Lvir}U`7?|z#}sGeEtdm3Bw0fwuoH&miCkf3rZtdlGT>wv|J40us_Lf z2j>sb1WtOD<*3tyl4R*HER9wVzgj;MbMm3ubM`~!eBrsj1|IMgh~ogH)7+Cf(lKXE zK#a5<3R2)V13q_ldp|ak+RXU75+CJ%g3o(iw(yDlbXLGaZgGyqz~}YyLF2P4cq0y< z&Ug;{U$yUzwSQh`?eF%)#&a$RFs^-Y4H*CY9N3>i1F+lq3uClKycsML$v7TAfhFI9 z64hP*rLN~ajvvf5px^wKXO>an3S{xlta1@zur>j~;?oE7AmWd4_~U$Tg#QtL>wTK% z&I0r_8KU0z|E*1hd61fUX>4%Os{j=+3`N$}sd@Oz|4>VFTp ztomoQtKVSpCPftN-Iy0Dm-f zCaaHR%;JxR{a;I6u(M?yUj>v>O5~FUd9XPV+65-?KxTQkEQ^dB$vBIZnEPSBKowTU z9(qC}t^$aw5X4=n8&1*yZt%b#kBPzp!DrERQ@4{W4FD0GYb{>2_k2I`OB= zV=wfCq?(W|=2KU_)KN7opE}~VllHGi91U0d8|<3&eV%{ny!~emi?x5QT?+Fl)@@7v z_wA3cYtrrS#3**we^>iW$mZ&QyY?;mjHJ$FA<7arh2G8xrjcG!O4`%Tqihjl7^W zxpqyO9ivL*J}T3|3ARmJ@(#|Ik@KrK_fWENXs2FJb-kT{G6SbW z)iMKljP)8A2=QzN@)6_J3=F2q74U}x$C&|!XEp;+!m`Z(M0ifDRh$p04D4x038M7r z1%b3+%5!vYc@yv8-hwg(f$R|KFE0q>1XFNQDye@1PR@0Ke?-#vD`NH7h-&+$Z906u zKW+j8gmG!R|L0ebSaAP98aLT4dWO>Zf-1bYMqZTQg?T}xlO7~#m}4?#%J-8beLB*n zq`gQNBhamR0T!JZ_sP3N{SNh($ z3bwwjmy%vR&`HaF=OT_F2UDA9tV&WSCSw&1%-TE z!b214jd$48D+FAaDT?KX_W1L7bTHCug~-vdI6cWTND=Pn^b5;`S_{}o(QN{=L%hGwP>V<^>ZdNxl!xyBP6)E8h(#?vr z@CBJ>MSA#xo~DtBfE=dLGd%1#)1QU&W&yM4xG>@kBlK{%2xJJ%A}FDSJN~q(pXalz z`cIeo^Q8WPQh&bGKUnJbOZ~;VenZz^-f{hNzK$Oc(%Ic&T~V_UL}>xm*Je@W<0-=B z#o+PBlXwNunluj7e94IYtj9qpn3j3V?9CXlg{iI)yI7A{HQr&wHg}N`+a^C8vHR)b z5$ScfOd}XE_^m!h@@&9}taNhqMoe4Ru-(Owv6xIaZ1*j)hpqebmObVf43_%#8XjhZ ze>yuDhgJu};?F6&5I#+etP#ugY97<$<<5mOGCg&?m&Q7uhmpqW4E{)*Y%$Vl;gP4C zMoM^O9`+x?BL|vBdU&La#7G&5kunmcdL&BqNR*bF7gs`FLdcY!UQh}l^DG6)S_)4b zwKEDzDPu~@3rb@$W^E`1=JP89W-_i?#(1WLao)}>N(+}};wL>^+7mySIJbNLf?W?McjZ&+i`+MX@~g4k*EE}HPOjNGH=Va5cnNutN;HHcxeoQ4VfJyaQao8 znux6ScoO>*&pglhdI5-vQn1pNf;40XXobdc?#2pr2gYGR_%aCf;Z^DdCaj@buNFg9 z(zqKg#5Y`sZ@3WOa3Q|oLVUx8_)0YKm1yECDJKn;Xc{WfG*qH#s6^9HiKd|vO+zJ` zhDtOIm4JpWguJ6U*#~!FN(YLIHSCPM{pxkRHO$znJoOWNngw_tv*f8S|H?Uhixed_ z$jdjM7HmS|ZsH5SYV=4&NTL5JQ>FiWfAnPQF-P^VAAKs>e#}!@|B&Y93sCB-E3NE? zivC?{6el*Z8qZnDL2w;cw(MVJ6)=2Nn1Npq1_GB#e3ep|6zW+X@u#S|r&Bp8brn&X zvqA!IxR;<}f6=xs&Q-Qd@$bLS zLf?{5<5rlCXp{%h*TudFD?}!BkR_`|e7%zY8@X5p|MZm{9)*!Pm4PxFTVP~fhf7C( zY8!at%l}dpzrcs^RM=DDc`>7KIS(DLQ+EQVhRHYKnLfcVPcM|4m*SqxVVS`b-RGwa z#L=m3oLt7!so|o%%n)kTvPo7LZN-w-KhMu>3Y5^o19`qK*hAflqeH9)snB=UQ0jiW z@+10TG2qf=y!Lt9OFJ!U;S2HPh%Y}`+Zq1~&M8%LGTMj*6^M>Rsk>_ZY4E%f z$%vcPU&7qNU3^oMNk0%oS~S$hCJ2i_;K*qai2t_Ltr`52#y`pUqb;G3)m5Mrw-dYW zAB~Z`g~&*2@&hlxiPOJps19|A8r?s!566{9Fh<}BbsP@*QiZ=#9(Jg3MNG#PdZo=5 zrcuN<6iPGC_lsRP=n%t0v0(EGsGje7HFO#lH!D70}5(|hbc&_yMxgX&6e z3yfhNPRqiSkF6lM68cdi&QpE=sI2?yUqcgoo0wlz?5T&@9-q^{iF&vHT}(q+lKEt0 z)=* z!?n)Gj}$+S=3C1PbvWN(pRb1SK>xp&ilp`J^~YrifIj>MB-QG}w(=%qqYV#PoA1$C z`AXf5tZxix;GPvn1$$OF>3j|RV5W*64E>}nrQX?}ikA=2tM(Zt_eB_qv~YZ85M$A- z#(``Xv|@v47SE;dQ!Qwid0MwE&1B2_(Xw@NtdgSS#Byw5MZE7og2;wKPjW*fb-3Uo zdBED%R0?329e^yXjPlD0w*-$Gj)F&&nL5UO88*Q|>-dh-sMyn6%UvWV7=bww>iJ6` z>jkmdhfqXzmAe4M`3o&F~KvT3F zg3iTyioTtHx7PM6RIkT?cIHj2nO&R^8r*a)h5T$lKMpM2%sH=z`WsxGv_RX4fMs|k z0%4-Or9i+O*mQ0&%AvJKAF_jeRgw{_$cXX1<9yI^7N&Kplaf9PbZV!8*fcBNb+3`D zIR9q&@x$#FF!x*P8hH2_g)4$si(}8H@U0-s^DD}8mj?kgyboSj2wa%GyewJU3VP^u zm^^m2;1+t4sHL&_h6}jVYb40G%z5etz`KBRYTMC-7SU!|g!AQ?A`F0VCyskj6)o(w z08a@2429(|Ba8#Py>8$q%)o%|T6tV);M5FU4K9*0aDAouHFsS(^tfumH5oDpa&;f# z8OfBi4@ax{h+~yG7{HsEJA$K#UxXCXMSKqTD&1cirODHU%gF5H(dm_tVJLnJlIK$gPLv?N>1 z49tFsCM{;Jw8UuL0zHhCs^TG=ndu4;gaAVyQ0NHV8^m20+W|vr`p0^TyUUKoC1Pa; z<$gF3S{(jSFbsLRQ6uC!p1Ey6dq4=#>Y(IlO<{Q`=tfBuNFd0fouH%&C>bqPcYu;Y zeqcYoLgj5bKld+o=P!6r$QNTyK>@kg>qsdF&xDLbJgI@HhZGw(-H*PSy*_L}V_Iyy z#YeXLCMN0yMq~sC<2`vaM~GAhBim9Ph#m(;bbIhbt!W~<0>~k@6u|_b(=ZX3BYf%; zppI3hfvEGwkGr&G4}_Yg>`ul5yZotJ_qpgeGOSXagHDr7syG5z39M1yNYL(GB*yP8 zVuZd`xVtk-yg`;xN5+vMK!grV-qpalt!Y|+a_LT%1+o%6RSgwns~m_l=BW$evyO^p zW|l)6Xn-J*?Q(Ge!*Tvn`T-TL4qa!Mmgmo)A#}nEjx!itw6G{Ec$xucA{OMYI3uBt zJ*a&6+0j7|X$y?nqRh#yr+`0l5X>B_1wk+Ih6v`unHpn(v~Smy5yUSJ0Y;)%CiM34;s||IFFzUOb`Q>KhCHb1fZQi4(Hc4 z+|K%BX$2Jut1HACOA80m%%SPr0~nggJ%FJ-xd$*5`?PSoIF5S&;(TEa&E_7!&>ZXm zm_xC1aJo4ZI|q5@Q0yEGG>2m6Am1E{orA&VQ0yG|&7s&iC^m;0;Q$tJ*f}UitVr}1 z)|$`Qd)P_Cr7+mgGC#6PZ4`{e^?_8~$^O?JU&QZ!EwXl!to<(}JK2BQ@}n@8`uGEP zJc}RxPWiTiV$<4tBNf==jhO`e4l0F!)=ZCRA>f)A(|5DenVikUL;zg@BaazToP*qI zVXeInufK1$vYf8{hwR0NVfznZO<}K_jBDP++&l=931owK6u^(Q-%gDfKx{J#HwSxh zCIW-n5=-LtbGamoBqI!`GA9)yc08414P)Y})3s1XWHE!wP7zo$!6--yUT+i(O$$!K z-^}2p_?s21z=fz7Q6E~n`2*e+mkTiW!m6zjgW2-;2w1oiLw&3o`Y}|f_1s54r?G1V zhXvtb*Z4h-H4n|=pG^Kq!(gj7*OoDskb;mZ zVE2z+`cU46UDnJtw!mZ$xUjCTvA)O1TKJb)B0a zWArWCT~Y7M`?@4J0cHGuBhQM=vq>9vm9h@y-F|Bs=f?~I`{)Ih@KyUqL2g>hL_e1| z9}#MULKz-yj=mW$kFnN61VJ6)ommXBYRu9HFH%_W8>1k&)tcb;+Wx5Z(b?JTR1W1y zy1w2;T?G5|0wcQ7hQnxmk3eA5>^Oz?tjrivSr@d?-(+&w%~~sd6%Hu4v!Ml3F?9j( zjvRijZNlPBFPOpE8!;rZVN8|9Zod7WSoOY-xX4{qZbNpCo*kpeSG8?8itS0k} z$aE#~yCbE~P^SV_K*#;2f>dE{RG;Gk5hN!Y5kzY&ToJgbiQG|1Eq!fQ>pLv3C6S)?F@s+jMVR zek(WMFT;MVbq11*S54JSY;0xWOS2GBuiShSCzIe*msXzJ&3M_F+{_ge%yGCwRcU3B zqMR})al_4Pa>@$dG3+((V5llwB`%rv$5Z%!l2N#K+918y#rk&p&G7V(3|oTpy$fWa z_7!YnTNY{# z*caFS^sQZWu)ur+@!l3uI-|cn{KiyK1_OJOu_4uPQP8(gsa=E|WjJLYI>k|SA$Zdz z+}#>AT8>c*^DUIDt!ECuX6NMcWYw}^l)7y^+%YdLvXHUO&Wi?KoNECyZ zJh1f-ki^Rg7H;(1R$U3>hq1bd(nMwhk(m{sq4U-T?+n&74M_ zF)+e&ws5b=`@-EEH60fWev~gF>7G8czCg0{LD2j}s~*&(xH`;jxJOKC!QwB32M7F5 z?`j8r%>GazI3^5anaPxY)ncCetH1{nf>AImD})(9EF;NAVWOv=$_7^JQSayADs0@r zHVhLnNKJ>(j|8IS$;+u!df#A%Nb2AJlY|RO3T~I95eO&7l^=u>7EJ|3Qh76%ZrzQ- zwBS)N?^d#d5${OpCu+G}YLP8NsY2Z(maT|^@dxV9DM1sLrW@Ut+}j65lLk zc5o?JPw~DYedoZX@Oh#dWcRtxy25pyyBlnFvh~~8`G?)L8$ssl>v2)dc5@TmMGnV? z$xUAqA@8aW?!iTCM?b<*LLS~ss@5c%gt>(+*F%Y~M3WTu}s&nrF}BubiWPl>vrz%yf?>j$Pz zFLT_K#Ds{ZYaw_o01v@wK%CFJx0Yi%_E_NhEj_hGlVhWMlh$RskvQWW&o`!6!Y ztlKrj=*rRVuD6wMNGG=WzR%rthdyG$^AmjUSa<>l9pqnnsQlVqk?|sr-(m)jdRKss zFe`-ya#Ep8Q1?Yh6k9OzxK|4SKVToXa)VS5M~MZ93giVD#G_l&7eeHUPk;{$2A~K?IGge-H!!vxfF(E%vDmPO+V=~$+R)ko)tciN>A)k@pno=+`c0hD7eHU#- zy&q7{VnS{XW7}?vmZKTCCk#)B$no?DimjzcNSy`w?PaR0KdPu3Sf}(E$Hv@D-Z#|E z2n@DB%=>6VR8RcDVr*8n59jg%U_8*%be;Dh?Rzsedph>((PB7X=ICNPLbd~@{&)gR z#|lg*AyJ#3U|oYSU7b`c%Ud>j_KgV%w+XsATUWJ_|I&XdFM@FB%Ho*05BtzyiDiq0 z%r~05qTbv&^euQ5Z?QgF4OvT6EEc{YG!Nqm&_ssw^?gWaXb_I+hJLtsQ5<3l@4j#(%!M2I^qM`xks-8>t> zT5~C*24tM^d6xur$L*L)d+E8fr_80uIu==h?=Azj^ZHBNG@>t>n66d~buheTdgmC9 z$FGm83UfE~%v#RpKu9<%>a9jXkLfr(0gI*O#Nzo#M7_iCS0ANj)-=Ck%Iq2bu% z;D|1DKcR$21VmSgZuxNBlc!t0hAo4co`Oo&f(EJOM!~4MC9TD%78WDyYuFT%u2FC8<5iWj^fye|3NU?f82f_uOdw zsRK4s5LFfDIHYs&-Uj610Quoo9`&99q*xO%=3t*}?%~R#HzzGX{KypCh=d#Nj^cfF zw!X()a%0^r)?;Qo`51uU+``-IqTX?ks#e$RU-N`&uGJ90;R%XH)y9ERXB>^3c zD|n~(Qc1)Ye2E(@n@iY^a(Pr@sPf{|7dkd30kGAMJ(_1l!|=zp+o#?}+;f!{C( zz557<0xeyJDhMbjBgq0VcCxkU764jo_Iif7u{Fau7wjl(mVM{{3sFPrx6eMi+Kqio?C~1lrN>0Ep2aXt+~_#cdZsar7iyVCI;J zv8P7)6?{~XPXNkD5LolWHZ^Vq(OQz`6iS+>(QS4#Eo_hyAG9)R;e&g$k$rVh8r<)+ zq{TTEbvwMMz$Hg4J(*&@syOz^QoLZZxlv|@pf~ERz!OAKfh*e*62PST;_Q=ANd_x9 z*7a3{QU~W@I*>;{T=m=KQPy3KJo>;bj~WUbdGrpZhYsZt_yeAoR3oXeX%<x-^9+abzPMz)jvY-C?u zDtNzbMN2ALQb&CwXg^$|y=5q2ZD|Geh;``I(DPDmv}ed|5P-n?3Y}{eaxF>lYownO z1Vu=csT}ptMbdfi^V10WFx^lc$*%AJk=vY zOUeed80cjQbd0~#`Kx#31&}Whg3H_jd)E{mn_7b@6Z^+>1KqQgq=xb*S&-(b?Z0Q0 zO(HX6+hC5&kolJPZB)ojirgde$hbtk&)y&;bumR@74#n@LDw@EX}UJ^qu#k7I=Aj} z*=%cmgI(UzUCOQOQSTp-IXn~Oj@lRm$R!F!quxGF#xvX0+O>VHd;V!NS2{97N>P*; zI90(*cfD{$j!?axs-SxzX1`p&B=aP z1#CHGVP-NuqX(B;KoK*40vWqyZP87{jN7I#z{)K)g>#YJ#*L`8ju338kJ;-TL+zgU zt0Zm3O9s&x>WJHgs$o}{pIrlVsl#FWw-zjtes-Wk-c1Pe*r7NSeOA2oF~q*U8@L(JS~5mj2{=;43NyO0r3Tn{p!6N+tS)M1H4#6!;pSABH*kjq63dcM7eaJpvY}?RV+=w@ zMl$|B5r&W@GvKaRGo;U??zn{F=%&V@qqOIVf;A#e;NttkE{Qc(*IW{u>aGg z|0hZNziUc8jt@M%+pPK?vT=+-8+v!l4u-m=>QLk^XZzgZ=Akh30uXyz)ceezC>q4_ zWD)zhc@D8(I;k^JBNQR)lNdd4x-zJv%OVBqK^)@Y8NvE9Ss^Z=Gb?_9`Ci_gIFd8p zW%##VzGI<=Sg;0e{_E{od?fZ8LaF|<_XjgPi}&jSd4EO$9Nj7WaLR|2YJQfSdA0?r zUoGgz3dJXa06>c1d`6pdd2R6Lm`;nw9}0W%rqjvuL{d=Y=!JNUBBPneMEjzD8S11f zI>A~S`js7O%RcsOx!_3bMiuHINdZxnY7Kmeh=B_A8lLpJRz7&3rZ~w8m4GDkRjNr4 zUAn|rKFLb6w(%E6w5fnw+%IM#4p1I04pl|df8mo1Bkj}}@!7d>n1iJmFSOA0;9^Hm{db z1R{9!-3?fiT_7CjeV-qJkZLsr(>auCn&=!&bGh^N;alKCj1?&^-GiQK8oxx>)(pl@ zS~_;4q<9~krKd%s3}Srlz4mN#Pc^F77L8MA(YPkqX5NM0S4O3i{m;m5tz}v-qWl^D ziL7MO^-AW1-$TpCWyo?45->--Lqt??A#fy~u#EX-5V9QWtYFRvrR!zm4!%#u^?w@L zA9Lvvy^66$t8f|%{?OOJA9^ZIWwr5%?u^gxyzViN%q)d0fc z&-%+d<4>+9YoO0_ipxQ1IgWNeUzn+-e*vELdXlO?jD`KTt8G|R76Z*V zL;BNtJ>WjIxrXfN{mOaa1B(;6d1O!@?mwG^tnLNfQFtK$`_v1k$$~Bk)M?I_g$EZ} z=S=1BF3cAA=By;#_Cm`&Uw5$H8hy!c$LI7~2cL24b01)`@Hq&RPlpOA{I^PQ%O_9R zTPH#Usc_wbh669g-S+;Q6=@XLT$*dK?FQ9{jw=Us{Lv_1O)iUE;Dh&8R-kYVHsv;cWBa9nVKRh_cf;mUU zZs@iptNxAI|E!x^=6<4PgK0D&fv?{qYszB1b*^ zkv_kpx+5|$#8Dci+Lp6f7@u)p20zP20{7?es!C0{i@|Bp^GcoWeV>(ve-OXlDQQ^3 zk*ZXq?@fWOzL$x~YBdrPa;V<^{Zr&m%Phy zok|AliO3{>NE4gUAfNKom8f6FgvlzE76-|?dt8tVu^_3RMoyM$PLskJCfZa7ztpoM znD1q1hOj^$5%m^K7Tond6K6d7M$qT?yfe9$5qQ6_RKGdzoAC1ku@;$B}AALiREnsIXvmj@eF00ldEloa3@p zkW@hd&nK!XIMtE{R%segzW3Q1(89OSGU-kJxX{8?y17wkj=<-r`*AJ-%GgE~#R&(S z7I@JyKTlUP_}QX`>3mhKCf(s4`)c(&jR}iZs@1KM*0eBL8FxD);8QOnA++!y5W)K% zNFb9q24)V1J`I8G5g^{ChBNVM-)jBJq6XYJw_cTOXV=!Nosh^7wrRM-%+grgG%{H| z2|(CHo+?7Sq!FL)!44$R=S{l(M?u>bwffZ27KHUx7L80+U8HcvR6wG(eXeO_x@y>F zJw?5dKgwWY9_$Vojlulk49s`k09Go<1vCRiRxo$1<|5*CceYJ4b5W&5Gwu?TmqqqT+nE%ge*Fe5uMGK!O_26zTbb7Bi|zsD~>+v2W$eSp)SO9 z(V=|rtogHYIk zz>dgVdFQQjK5e)*IiWZ*A_?E7%1ev>rmK~hE6J-g6-IG13{8+ag}-vt7gwWMG?oWt zFB|jGKxW8uGE}Qo%s_!tjX>u~p}d+I&;?KPyoNw-4+^v6FiymqYypD&MVi*CTj3yT zm6~>1O~KgENhmrtbVBXHq?@qYLgpUR+d?z$2f||u7KRBb1z|P7XxJ}b*mF zDO9MTX+F_`rU8%6WkG#b68{c9gQp5U>z{!@XvIL+p%M)5pK?WL>#AyERfX)w>FR1c zT2pTaY}JOIJ&R-Zyc5s=Rg!iuu!r7=?4HFjd(!zfZCi`%PkdHS4YlW@PRRQWng89Z z5AG9M7lDiZ2|K8#?p5G3|7cI$iyXS}J0jJs-H1z1W7RD?@Ws+lt5vDq=p9nDf@?xrkXFwuxjDDB4-XU?c3&D@jo<;~^I@cRAUgKz3(ChSIXb zjZ{mmYBRpE_5a9U9bCu%U^4u1gc+x+%iQaWOmxPQmnU7=$$-Z6Id?3YYiW(8(bGEd zn%eg*pa6mZSnRuY9uPy};GaiF0meo6P)|SZeck3!_P*|YS2+8+=8!rT#`VdOi@}RH zk=78LViZ*RrgX#b7^Xk76}PVYv+y1vy9+l4FEk3r_@-bNYD`XfWMo!(q%;%f*TJ+b z*+WMIrMBxO(?>`8%O4dA@P&J%Mva8JTbQ&eqIx@i`)19&-pffZkXu8&qNk>0Lv@ zJr3WXj)p-0*bDE16gG=Ml6laukj2$={V4LnW#6>@m0tKvbZFX-#(?N8oykz?=#zBh zQ1&u+eOuLEaijLrJM{A+#CM1E;QP| zLk=C#78|wJcPF-kL-u`C|qP^ zHdKU}X;G3_@JC`jG;5;E*CXDr-TfEW$GQ*qom(#;@6nWr{(rE9%CqBf$ePrTN6Apl z*N4Nt)G5;XFT>fO0J`52OnQZHBAv5*4nILfMU=kO{n+-$V}AnkiqqM`Zes$@uotg_F9%>V z=V#}!J{T519|x6d&dMrQi}QGwIf5~!k81y3i05i&Qizr~l=-WZLLWMO(%E3Tc#)~Q z5cvz#g(xT+)miRYVwu9nB6g1^cy25J)e0|;?E$e%*iO&+SLg6?S%&x>aGiM z2As}vgK<9Xa$2*(UFB8>_0?F^ibIe!Yy}x`tB-fdS`H@a|ELm&;F1lTvxlD%9}b|6 zWwUkF&#)vzGg?*aC_z7<2%-cHdP6|6%RGuQOJUzhP&c9!B9XGGKVV2jMZ%vvKIQX| z-%`#GD+_lI%u6l{Uz0W*EQ5bpc=mY~qq)GmqMX*`a`OY+b6SL|!+i%4s7UJZH+GlX zmt*|FfJ|KH@xtob20wn`TQd1${8RY13;*^S(Po@)j%57!0{zX8ywIM7cshNJ8YV`p zkQW(NN`~)5lu8dIGT3R7A(+a|j;`2gUWU;i>W^si{@>R7{aQ*N#pCBkAY;_)znBA( z8omjGmxZOnmh%w6=b`Nh2}_Rz%A($RXz>mD;6Q*^V-~A$$sO$fz`{U@6SyeQZYK!7 z$veu!RSS4xxBC78JJH=m@Wk|>C4@UfyKkCz@6{Ha(2OAK~c;pUbXg=D9#! z7l}fMIVZ2>eUb?R+fnSITtZpy5AYY#k-BtfXCtD&+A*PxS_JR%6ya1S=wfi<@WQ>G zxi6t4ZW{~sJ1>%Q0MF>XJ|ApEbif+uL6?AlOx)`DO7(bg~xcLF%R}kW|aB^8>YFb(D*GA)kWJ;RDagEhZHiWPdQSV-`&9KO| z2Y9HZcu8!fwQ~xQZhbCf24gacRQK?jm!muBpn`L9-NJ1{k^75 z1D|?MC?>ewquvwFC&Ig{rDIYPqKLz^BCT-91XM8V6Er(YY6AS>K_mGHzpBGrfnCgu zQ~5SL=(G+$4%8o0@uMz5^{QD;r1whadvRYELzA(2*V6g<6hD5ZPeHXG?(3}AUsRB8$@O)O3lOW?oK7&$eEE(}W zP(%vSJf$WACI&97NYqgr2VSgYFQjN%7{gqd#;A1VrgspkFEf{yndiaDe{JyS1x5kZ z%UDb_Vn}5%U53T<+K?(kFx@UjVWn^CAWbSTD(0hQ09Pk;XPqt83DG;subI; z*kbcjSf+@DG@avMLt83*ZKiO&*JWN z7#Yvv%{BYRdWz+qRaCixJQZEh`my9!FCeSvo%pl~pB8A7toFXGhVX%n7n_5Uc!w0Mm_Rv*M-NH?_6iM83Dbp*!OWWcv7zLq zgnn>7M>@1imJ6?eA<;2RdhqIqdPkzMB@lnnmM77HfcbvyXGy^vYC;F#UxJKKM>eVx z5%2^^$+QX`gF?V>>rEwfJA*xIe@+T{x(~WZuA9PwWY%zWm$ehJDx;uSfI`~x2u8%% zGm(W}s%7}a4itB$&f%*xb;|Dm1^ez9@MdvjXc8yUx5}-(Xu$hVa1=eX#Gw;5TxyKZ zkA~tAS(sge9_Vf>Ciy6o1ZR#S|4Wngb3szdt+|?Xtp>#wWyfh0?D4)B~?*dEd*@mLH$QLDl zoNSkbcdua;ghGeqjAiZ;S0u!p9p|Xhf)vrGUG@N(-ypv#IJZ>d^a~~}ECgG4-&j{% ztO!?mfVfWW=$?cX*X-YG*y={<4jJrFCRd7pX?HeZ((yFS_t>MSVLm~`pw{y#1%`G_ zf;x=*T(L8~UiF077MzDt1~jzh4Opvs_oQxg`Y=ps(QrO-hov+2x|>y6SyDplVeELb znnk}h-AzBOdKDeJT{#5M(fR?s+`@B!>3Zsa zK(#Ip>7%vR%Qv{f!FF@z<^udwsfG?AratX0!+{a}=(Ko4yUYW@r85JV!PgC_iaqTX;ZPeVjQLn9T* zbPNPmPZo~f%dxGyT!v|l>aQIwz>WBx!tvdxX7>}5>ztLWa6GJL;s0hY!XqK}b6bZB zN_qI<>>B(6uSLLXM27{EEI3DkR|9qiUO^)eVb~PFP9%k-zr;i|w2joZF-2o%iRCvA z<+$t;pQ64DWJ$WihB>KlOys3H1XEJjiM^5f5_KggY1Eaf zIjci|%;JUD(3MiJvUH`o>}qDwm(Ehgtig~$$b0H=*EpD6AKQ79_bl;zTz!eKdsl2- zD}3kZjlwI@S_Y8Ff!$r8iKzE^FqgdU&*O-Aor!j$-i3TkR!Cs8SVJ;5X-m=tcW2WU z0=32Z0L=wA5Mce#UVWLL?X#+2&8$Mws3OO%LO!61BPK0qS003QCc&yeW}N#Iu%I?* zaOx~@>TGcIhnhmFiZex3oGGee?Fy)hb|p(7lc5+5(%Ry9Xp5&oTSPd3y6h^nVk?YZ zDvX&_80~^jqo7t7PkUWd#}km$Y9mfz*}1~V1+``6G{6@}wL0BFh2; z-OwnhvrtlJqeOq`v>UpT-4Lv?Zj5nv!{13abU}rJx*OTpaBdW}ceQ8nrWkxpl-X7n zKCoY_MJI9mgzR-k)CTA@A|lEC76KHPM7SOsQzmMJoQis{gakx)%c;BGg{tLwI?fxh znMkT}xdfG>NV7spjj{Y0ycz#y4lonqm$0BG2NtPGo|{E`<{xNfK8gzVtQ=%ofnNv4 z`ymO`**@V;=r_IPVS~zfNpHYF^%@Qh2hiB#vd0kM?2-J^PG+K3_+i;e%{gn4#7?;u ze|8No*m(#@)cc5?)HU2|Cv^>Tk?h~lT88QIhpY937xgBAPu-K_7DG>t&dJVA$iB+S zz8$!YZM%SBtBC<9*rUoU1siE7*uDO7$TgWm_lak4^oIGfyTJWQbK5 zF0^&dTA?yFtJwm_;{kibzW(}$uwzl{cyloHq_<%IG51EjtB?r1#z_?RvU(35Ce=12 zO{zWEW%l|32(7nXeGdm1KEW`!MSXz;4kmc&Kj&NomI3x*S?K2{HG@~gs&pb!>2Y&kArp%pS1|S4>2U`)w|aiPZ%cx+FPpu!+xl`YAGpj0Mx-`tNe*B^bkGuQj@#h&Xi#jhh3anf(ZgE|^+ zn{*9(fp5(X1EQ*{ya{GdPurlbqB+yt8`I*kUO*I6EmJ&oD@kVDz1a|mR3xbft9F?&1&KSp(jj+Am-RHrC0Yez#Lwoy7CDPYW+T)eUM}i zB98P1^;gu$D(=89JY6a6y5IztUZ3|QOSqN-Uln1K85&T2cslk?Gd{pkCuX!anRou7 zjkFU?LE23$*3ynR?OfVf`d-KMbv=B+Fzgn6OC4`VcGja}1fZ@c{?+C>(qG)qa)pA%E_hL)LR86mg5?QD-6$2*cPm) zjx>El*gIU(E5Sg!)f51-8?YpTyb>^g-*RnliMN3n+WHdpj>gneZua`|9Pa(#y!S|; z>w+u@EKJ#IJTMK;Nxk_$9LcVRS(}B|MtH9Ln6*BglAp+3IZQ?V!k?)36;ueG6*~*# zb>vx_$v`(9KB6C66cZbN4H3Kt5Fito!iY2CxD5qr5rqDCcs&4G9pVVXwblJCDU`_% zAT;;eKv_wHaJ1_hFZDBL~|hvgh8G$AP^v~g9deb z(p;j`oTpuLpABi-+*{-AnE<-k7Z_#BB4n`)r;84ngWlAw{O*7I!5Fb7P zCjE|HP~cN&MHn#{F6N;XszO%}hI`$fCW~FiBBgh#I^tQZ=l>6F-vS?1as8jyVt|AV z!eUg=Rij4H8pTIKM0X*9yRy;v#`;R7Ka2HIlwFMq8cb4Iu3M>M)oNSnt6HsZ5qvcP zBw&E>s1L;YeoTy7!7AW`{eQn_=H9)#31IvC{rRxDubDGv&YU@O=FFKh&hoFb4a4jT z;IGHwyRGBzMsW7-NJxk;{VivZixH*2^=9edy%T{I zue{Ra)}eu$(4&8z`KA%l;N*-~(UEPD<}G>HkE09LSuuCcUs)B~KO;aBpyA zWZT%L=_RRi;zKf!Xgapyu-I9>Ud4+|`3mgTvq#2v|NNmC+<@i^e2Y|nedQij)wP~z z$xy^UB-mPi&Bs=1Y4?p{8EBtn z$Z-I0IM_-aE~i#G9wOZ_5wNEneWWo80Ea7fhyzSsIOPNSi=*UV9Y9VRsBqS=&jcA) zsehmZx>Oh!WR!7>!2OPHAyAAcr)0UALc=-i{y(JWmtX=9J=fgdi=GcuvbA?aPx6PU zO44`p15CbEl!ZI%rQ^yOFVMVpe#Q&cv!w67`v5`@S{UI{fil6Mixt-L7z$1h@0|A3 z9{wAQRaSC5 z2KMoia}}lBAVvxQc{J(Ua6i8W*6_lm!zq$lSY?%ZSX*eUJ|l!5hm@iUgKtLT9>8X4 zU`-CNJ@Gn%HO-O;)-+K#rrtdTjD_!%e!9*u(aG@X(ZmL&Bc(cYN2RwRrBnI~xJT&& zG_X7e*dO@1>SEDm_Czn?_8N3KHb`8soYF9nr?5$KU$Wn)O7S-H^BVN zqd2q)yE>YvSAKCmp{|9mA)ISIyjLq&cC*IjM!DF{!nKJTHS@YB<7UEiaN$+U6L1a{ zWFC))^Dv+W9?bgv2&P9OBX|r@BcGDKTY;b%(38I3-Ghh3Ozr)c5Vst7V`!-hY8eOL zsTgtH{15-x+U zCvKb~9B?B=H}H87usUvR!~=vLSOs2hzDESd8+DC&l$x1VSTno%uKQ{HA<3YL7Q}qr zwKP~IeSh3rYmA~UCh}mHf!QH8w)gpz(85DFHY)@_iBZxCCI(Z11#-(C{3z}?7pxcs zhN9QGD`Abk*?e^n3ASSYi00|b(dysCz~SUs`wWQiE;Sf^4+q;hA{?t4T>|#2ED=t^ zT#s>c0=Q>F5cj3&>h55^fPrJZ`WtK|XpLcL>}UcVRI_nHGqtVRIH8#u1~+rgGZe)B z*31slQ4XI>`i`DQ-e}g;#))?cJCn!E$lfgNCWCu3+m7RVb0cm*`VRyIRw;ZNy})GX z_e?lE{W0l#j4AE)TAfJx9{&@u*glu5o8bbPo_F4QID31RZsCmY?qLUNbmsV;%?oc* z@2H0z0+kR0|E9x<1zd>`E+oj4X>rc=z(}HyJ6R$KO~{!Iq zX?$Pw`qCZ;-Z>6D*c4G92?Cv3cC&R_2(_CEj6IA5nGm!R=jJS}EzIpX3;H7Hgx8`L zR9V4k$63{znsyjdN17W;b7GxH?@n_)Mb>~;=P+Lt^1)}lo6}J2VvQeylhhGn zC^u3S3i_K5l^%@wa7KaqF=GxhCVtL!?EQ$PU5DQ=tNP?%*ni6snloyws(Q)~A_B7n zv3HT~ZUyFjQ9;*FwV@rm??9SV;PZp~(K_Ov+m65S$*da+iU78jvuA)n^cO~1Zs0YxAcvhxWq zWoxe<(p!J|JsOU~zwXg4enFZ4Ainzko)fm3%6*`-cLJ0#KMn~M(ZM0%8G#f%WNL(+ z<4Y_dvuYrjUWJHY?{p$JVvj|I!st4%EDb=DQi|u@hJgkHgrtYvCz5C_6+T9~L~9VY zkz}%*Z{RA^B;4?ea-H>8ztdSG9jz7;@E>kD*W$m04fvxTk}8Nyf~$O6>_`WUxNwco zkR}GjoQSxa<~hXYeNurR4n#>Jkg)WfOtKVp5Gow8<(@}5@9s?Gxz=!W6bgV1=io<> z(MiTf|XJ+LaoznTi~PX`{RgBJybjOZ1qz#q`d zFgBex2A)1`FvJ9-IA5X;{d@wQcD^M1WMJNn9ID{QkqV4JdU5s%in9+6)soJ&m<7RN zOz%3bm!h;~YIpRIa%2}Trge%;>bD!o!BRkDxG%Ypv}E40C_kkS=OHMtdvB6S}XLXc;-LW7+O zal^zMIHR#l^xy%o(8qkwzX=Z*X=J--!>MH_6liHb$1zw0v!mB*{}{_ z{{X5X^))pVcR)f_V^D9j)BI{w3Q19^cic+7K_JP%bIrO^Rw{7SetlL-S_#4Sqlnye zEVxQqX&P&1&o!j)RQFO*M`Z-HnM;T=D>FM>fWPT~w1?Syc6#_LEyXu>-F3fqZ@r)yL{I!c*>ySf#|NOC7;lZ)=iwb9j3+%C5U~4K>w>> zJ~Fa1n0w$lw-``ohdeAqdf;7CBS^X{bVaF$wyXa67SIzD!mY!0LQmvpy7&4@w*&ZL z1OLvx^11kG{0M($U+L2Nie>L^f7^5|>Vfvq%SPVznD$%U9@YQoyFJFCgE)nHt{L#d z_A>ihRRsuA&IqTRq0px3Sw52K1|Aua%aq$UdZD;LY?(F7u=+ce+8 zJ_&ILb8om1ilvY#U53>Gg-Y{M`lVB1-{lQ8 zibem*s5Hwj<@pcj3-CA*hX(r!Y;C^0FgB5kDwQ;}qh{k#GaJqiXjY7JmvFIVLSZVt zyG3_`u2g*?o{J>zjebV2Kq4+LRnm@T$j%v9(7Q#=j1y#u0m8+xWPMAH* z;_Tr2rjYv00JoytoK7yD+#uno;fA@`FfmX>AklyKt-S;6|W=ra)$Gy(J zte>lI==CwmY-!qcZxQCHC34REQvCqS_DQ8`p?(PB!CT@zc-^~1(_YEINE;Rv5^lXJ zU!TVfS+Tv~;j{5?k z?6*8B#v)g#$Jgf3aYv?-?00pDkKljFkMk)9bGJ_HKzX{P{UO1O4-N ztop=9+rGh`8(}XQ>&2^cH{!L0lNSdsa4PURO_zL9i8{gDm8y`r3#y~dUAa1hcfbo4 zoa=xWqDphIBzaPyy5LO@!=0`lG{af?K^RU|^Ffmugrku@gVegeQgi?D9rnQio(_BQ z=MHO0i)HnXlL2@q9rj=V@O0SkhV{{5U;9_D4*S(-8RZ&wapyyH!G6<`UutQFP0dNm zt0N!(f2H5?`XCUjm#!Aaq>B%jRYHnzij5vVhlT?2B=1rmf&z2I*q{upe! z@=n!3V=FnQHdYim3rf7#2JWtYZI$%w1}V;rbrdY;sOjK0o}U%}3C7r|_{E&DkZ4U+ zhd@|6Z*&gwuf2t-lM_r=kKud} zB|*jlDblMT=1U(Q$$|g(**VDx4YTlU z3`Iv4a&v8N?3Z%9y$bIta!Vh9ji#n^+2KVHZ0I$NZzTRnW|&JY$4HkSFPN3z1MA?a zd0~bp5TnH>J$cwt$;Q+HOQ)!h&^yRNe;Eq+Xo4vez>kC6#9A{Mu|2Mn$(Ht9xiA+C zUh1jAt`wc3&zh%I@XtUw6P2dvyKg%uR=Big_tZJF3ZR0?9)zIkQqr_~dxZ*T6^HMy z@H@~dAzDIfM27dYF2~nsS)o3^%p>(}F7G#>emUx5l;KoD#>c?nc^r2-RW61r+2S9Y zmX6=#AUHZ~pL{A!RR=ZGossgHE@$fFc8If@sb-%p8&^m<&@OWu+`vlS&|sqBhTfh81&E5pbrqxGUq_N zc2Y$XA7{?qb49YbGJS=Nd`uLjbK0{M{G?f>iPpBPXqPA?sW*qrZlzqBz{8$IVcg;{rVz7xM=FA`&iyXQNdlYPEZJvlV{ ze5bos8+=3R@s|l?$LBjqa2Z)xGUsKmKLOuKRNxyp3{HXjYlI8m81mu&g5?vgxj*fY zC8+vCtzffa7SW|{LPtlCH%>3YHCQPw2NN7ZQlpW~+QPzJopxHKKJPNvR;WB;i!{=j zK{ccwqsBvp(B&P8UQ3|k`T{B#UEqRUseYFR`|y$surDAc0nSW#Xa)rlx-^Kq5OyRS zI~0!!Xz=eL2G-o?<2`Z$dWGg+ilQ79zO>G2=H)PcOF~^_Kr**_dUY+?23I4rKxi;DaYoDE;S*S zjmq((4$Uz~^Ngh`@Y=aA!#ya+8d}V<#E`D)LjBJ<&k-c7$L};I#E{mdY)DmaMq6i$ zOPnlAMHfLrQ=XToC*B1?GA2V13t9E2f(fweDJvVlPjxkp7amuqqrb!DqY~T~0tukx zaipmsmxK(?ovH~FUPr(^4dE$GX{qJyPD5lDj`C@S>b?p?r4doGssf`MRw2XR`)|v# zwlF>BZZO8}cY-5PTki6P(<9 zU9TQ`$MeP>Y)u|Z5#yJgRk1>ppuk*0uX=SZ5TMWtQQq}s&w;lWnr3Vvk-?@^`-Bp< zPaFoT6H4U{o6JuT7K`UUo(mhBBPdP(3~{b4gK`6B+j>xj6sVxdCy1@SkQWl13QE|) z1wN>^&o}fZM|G-NJYqPrUVQkk`d_4ZMGA^;;mp*hph5gvEd0;I4ZJzT$qK_ZBZu%6 zJ)Pif!`GDa6>51tL64Dq<7}PCg3s*c_h_bU@9{zHzzpz?emwPk!vb23Bbz^n_(KS) zv`jsZ+`uF`{OtA*3c9aGK|rHuW-1>8tG~4${=)uLHKy&rfjRMWk|$NDe*<+Bg?B9Y z3snWv!BWUSP)B6C3(mD{9fh|Vp{PAkc&`om=eLy20S-7ekmiO6Re~7 zy8{O1sE_v{;n1md>?t)&)H^3f`3q2VH6{{Gw4(Z3|4lJaMbrAsJW^|wn&`u|8PQeY z!s41J9D5QLE7p^z{J(w-DEVK)dg*##%K%z`l$r%jh#LcMr<#DFnR>x6*j;wz03!z8 zjp*xqdy&;VrUqE&#QiEsFNEeXNAVF`5jj@{CA3ZiWeJBJ^Ik|BN6vQ1`k~PCqwv_6KnX+b+3zZkK<5AT-L?DNM z)AVvd=`)}NJ1qRc3(C-9qvL}aNeto$6f+*h2qAIV{+LXo()+2W>CI#N&NVM~l@n|- zlVd0bN8xlz1S-)U+R%F~9(M4Hy4KIJ+>kuATn{7M33V$*SyJzCNV9VP{AocxkDqpBPagm+jgEL05)De8aWD*Mm&}`xSN2^D-e4+aM0$8f+!cfXM1n zKcgy(Xoqlc(=Y1rf+01!)EQ79ToWNM+KGKV=%{%(A{s26Ua&E1HH}d5q{v7AjUtnQ zQ8@SA4A>}aJxzkiz%_VD#S3q)!U&zs&)C2DqJE2I`rYiY-!s9ksbx*amW+m8D3g)H zHnT=uWRdf`pQK+IOy&Bi0CqYr9i4m8mySW-G%uX~mub)awa}y2;u!K|wujmJOh)6- ziT1z@il$R%fKqp(C_X?Y0@YP&g=|DJYWVdCiKi95Rce$LLY#ZO@vI&NF_f%QcQJ!! z{UhY`3TKDDQ!{S`Z4 zx0Px=Z+Tz@X08~qIa~$luNR_gAM=qimW$YI2{>bdbwG_#>!IvMxe^0g5Uh9GwH{3@ zRo89Qvklyill9ZnXr=B{ui;=q_LXFy=2wt24h@diJ;dL_Z9^C;TK|r#9uJ%(Z(;U} zp5t%551)|#j?0*zD!?i0r0NDyd3F73P^=e{RY5OE1^{9G)G<&x#ka1JRmC6X-xroa zgyOvvs#znZrZ~6&gqhA6jijn~b3X*>2c8mz4n$b3-oQX*^sUdI){KQLrmXM2CW~n8 zkK?T%@BngjzXnuXFv-B$OQBxZVHp-h*W-6uI@f8?`ko>jU|Uc64Uw-xF=+;Wy8Gg$D=Z?)+)T2`r+I;leHd3|fB^*85(rS5S)FkqXSi>IC= zT_=UFVeoQrP%8aUsy3t_^!g?{=Tzz`y?iezVJv$IJykq0AnHAym^uao3`&S6@TH7Q z-H4%IBM0BTqUL~NI#Op%;y!6uD|tFNBCn=u%J;ED84Qe+uVDP$0K`fm5#Hfb$&fG=yJ? z^>UKEf*JO747C-io`s!-RH?v=o1ia$iD|Z$k2TO{D$wQ9XCqch%F!ty$7+@yRFACX6K*TjOevAoB*0rU06qg2_LMe0 zM z%IDmct8X6zsS&SawQwKeYO%zSX)z| z{5I^Nwc)|)0SRs8J4wHYO*U$8?5A@=E#JgPr`1iVV#`iu$1yFbebH|X| zfi%S_yh4pZ;Y}~dv=IT~neaOu5}FHo9X!sxeuv>w`jDTZ?C6~bI9CBNbV|Wn?}{1; z;mH^}K`U8*hdSjQAqSYCHhEcYP1V%z{Vl(jL7n~S$j3k+JqDGlPrfGdf#IYIXjZP4 zuF5m#UmURkI+Lgpt|k6X$j=)44eHm|f-Cl_fhrqAn4y~lA%0% zHKuAO2?w-nhzG-|&eSX6repJ=enHpj8Gs+2(06<&&3aX-L`EWno*Ri2)(;fs|9v&1) zPAmmCsNch4$`u9Z_~&Dlm7~g3>ZqIEBo$zGV|8F6Xn+n@k-goiul0tE_L3MQoR7L* z0<#|y?tqs-lesHZSDL#Lb&0uye;DqF$2ngXn6tBv+qj*^?)a+)S)yJvcctnna~D(( znY(iJC*EZ>_MQ^tRg52fHFh8NcXZl%bsyIwb>FU6$9$sc1}5!PuVCyG?ypyWdJykH zHIIXX(N{CAuH1kG@ibqFin<>06);leEDb%FyEHT}$La{tP)gAO!5gFwt$dh;qj!o~ zUhKTFgV=LJM4)@U>A9G~h&{1#xsZp`$YNrovx!mIlkNTNY`N)dulKBHQ$s;SbEy~>z-Uk~;Eu8nkVZp*(RMR;rK;H6m8g7kSE#l= zz{+*1uRiAy^bXNJkhhHFl4&4y1eLkE_6?bKNJDbX4L#^hEMH^PY576q0Ucesri0*XA8-ki39nLILgs*8}q z0Mc!o2DI(tLK2m(vU@ZgZ(NW&)ma}Bp2Qp-ggj6;gdM4FbgG+h z7OLw%WM*nO6T_vF^qsi8TjB_z{HCw>KU#InzU2Y1pRrL`}v!fIU8CYg$6f;0u;kFN9yyTORy1v0Q5hk z2|s=qWCk9-e#Nxn6m`vg=C)k@%DD}ypX1hyK{PqL`@LPldihVgOL`~1;6TWeE!y}8 zzsX0+nn)&CWA8#e(R=tvjtkAYgiV5}8~>n5E|FCqId&##7z@-3z-|wDvzltcLyro= z#&-4myjq!d*(u(oHEgnQ|I%cGDQq z1?v!?qXk^3oaK6Xi3J<^>pe3teR9?mZQ`3$2-l1n`d92i8_yQue+7*N|**z!;-&>U9*Bp{e2IiP*jSsVg-b z=<+R6bE<{iFO-`9Mm;FJO4O(3u2j8i?t@t_|j6ZBNdz>YO5~({>ovPhxuEZP*L*n zp|F&9Ps0N`w-Hw>RV9tBdTb1-J-Hl=y~aP)T`8nqL057zNgkrr6D)?r|0QUm!!59AB9Do3WhDzp4ao>bG* z<)4gHQmv30&s$+74t$MldhpPO*l{xOmFt8U`1Ue)rD~|TD^UZ?U7^as9Yy1}ACnV1 zIOXk-c_a4;w}IZ+-ra=D4vt@x{Ujyg(=6)@-z>_Djj6H2Ti}w={%R`qTnWK|=PT4t z5R*pM*82m=Eea+zwBI<0D12lsD$!lxxvXX*TRQsdd4ij0p(a6w_|W>Nj1BJELOr`J zhky!}4K`><1y+8njR_cPrr;dZAcr0qe=1@_{PX>@3MFI_H#STpdAKHwu%L|Xq%~ky z29wlK$*2^G9#IsS`}RsY6Y?sR0l$pI|?|JjsH&KO##oG(S-e#|L#8GO~E)M@uuz}r6t~! zj(VGpH+2q&^{jYPCo$gCPiE_IQ{l>-=}x$*!$b#o!`gnhsbU8l4MTl~n_BlF$uR*f zY{E@V!kCo`e2CYmOcB^DIAe?(Zt5(O7dCMzBSIesbsp%*2hGmB&9$X0V z;M(HKs$L%(Lk9D!G!CHI1&s( zl%v;F4)sT{SBQo$X&2wgv;u1ZZV6lT)XeP=D`Zild;Y{+v-<7b>&^PfR!VFOiDA2X zUMwH}N$^tQ2lJ2LcjfnDelI*?Il|k`ZCm_AuD&|S+^aR&ms>Bm2#gftQiEcGQMCdr__3 zNsms)UKg2+*jzTDRGU^(Y4n|nH{yUrf1hTArI?K~s8LEyqPSELFKV)V18^5k)XXld zopsLaqHsse>=Jka=7g8l%r3~SO$?vSoL;iJ1VGVS-d88JJUL6~ui_mAP=CL&Z~zBGqlvd$93m zvAxL6<069_loOV60v7-X(Xde&6jg*-ovu|zzAXC;&%(-4fp=Wh8ipsHkEP=aTXbIQ*BjrR$+Wd zxM}*Vg53B(@W-s8+;HNwSta3)0*0|a(s^>DwP_QJ0(+5lb`3+*^AICX5sMVZ!|6?;mXry6~z1VQWW;rtgTH9 zo#o+;|7-am{1UW%Dm4J(&3bz^4CuZMc)+@lPdzF!t}j0uhaO^|hCVc=SpZoKD^#fv^+;yKzQQrlPwxcFeX?BX;U4F(N*cUKA;WrBv?X(h%imONAy zp>Cg#z%iqd(FLCg|4&G3z!#;#=k@~s@|&!s-I*AZ%!d9Jcs>oC;~6z(%N{XKQUdWO zkpg{=^!rOkHvKL(keB-QLwbbnK-2=@{A(Agw1;PO1dS(w-aq^R%E%ZnW{E*0go)b8ND#xzXpDSNYL{E5Iv2>A}2%wUkGLo44f0ig>C84$ee|at!`*Npp;(-_4{S z#`MUP7b`M~fTT9cKvqr-!>!M{?@WEh0wGhMV_w$vNq3a*Ue}zw)b|w9=faN?snPDw zV7yxhD~?;OL)K(e%SD*@BK`dvz;u*%XyVIRGMZR)0%tsJ8gnvU^YbbE48{vGO;B=Q-?${ZIgTazra5M>HqD{FMM0^+w`h7CI^sdEWH~Q4!R0-Zb z!?zv2Cz+JB%u3MVo8x=|?*u)RmiD;{S}okYZqse((t~s!C`(k>#_;>iw zx;%aq2J}5o>W9Yp11m|cq(4AFT;8geCyFmaI=wZdd@)SciQ;qd0z;znt~UW774|_I zSAoFuU_4{NG+Xo5c_m7k=NqP>89=kY1770Kry!j}3!zUKFLp>D-Tt<(lt?17BFK9_Jy%$ebqSVSA@h}oX6)Kcey=ml>e+QGf z(@`3@7H2Ej5UNYmZ9bILEx zDE|Q*5RUq1mH*{8p8DfHv;L-hQ-3^}`Xk|wsXvpY>o4Wsu_CMfZuz?Y4hp*dYx}6b z0Cnn*1Wv8fSMYCtq;eYu&s)C+D*uQ5;~@>tEh1ew?oeKW-?!I4oc6hdi5&g(d^|uu zJtKJntd203sv}W1S_C06U{=B0W`D3QB-aCoc?%tHH>8*NzDTP#5L1GQp|!*J&A*Kp zgQh8x41!@h%u0Mkp8gYga=E>z_yyec7IgPGFf(K=EAG_iKITie_X*^;2Vco-@98YX z^tY+F&)~Q48c%6Zi;Qy#;KT3j7VMYzFyLUd$fkhppxylRIL| zSHua%!FR}bu$)#Pf-a2U0J~j+aHRH_er;c;0HGkkS)Ijo5mu~gd1l4#MH;Et;11vI zxM#(BCQ>OIkD0_p0%4l�SECD1X*-}XZG2zgc)kw56DYRQGv`wH@%RO&Fhp!pZA zdVcr*OxOFL(;h=5yCeU2PBQv`7TTX}O1H==tpS`1LB?9#eE4#7ph`@7tqVfH>{(A~bx&n_ZUK9z&4EX^&lSpV=PkHxhME zdo%zY(;hy9e0qkre5G!Whb0%<;}&u?Mv1KUxQ*#(4`zH2^eT_TknDr7s9LBWZj{w) z30bAuRus??ZpgIV=EXw3 zI2RQ1lLn%epZ5+E|+SIJJa1|LB6nkpM2z2HwSN; z#=QC&bP0d!WZu#OC##ungg*v2F8~GyQ4O!s*K~6u%v`g z1np_aL1~Nf%Z=6L=4bSfQLfHj1}Vz4xlLmx>B3(@H5TR(L9Vl_+dB%F9VJBJfs>Ry zD|RV}xa-0JARAYNK%G`l8>IXVE-C@n0(6zA-3hzt9b=8TUF{2E$^u7Fz1{@h-Dj~F za%^6_@WOUgcx+zm40Rw%(xn`jF6EI0Eag63%JI4si>2)6E#*zOloyt`rCg3u<_HN+ zTEcU4;f4hlt6hxozl-zqo61Ocw&8~$1efW9e4e?4E!LO0a7X@`MtCSzgKATa?yBd4 zJP*`>JdhqQ{Q)Q`C00?t8oRV0Co!QAzRK~VEqia!^rdH6+csU>^%S1T;zhWqqnwZ`QS=qC1yBeaasRi>(=KG_iG11$p3>woDy^VFIAr=sctCrxui;J~t#f@*pj| zzP(NhuYbP`$WWa!y9D|_wsmC411zzXx-aB=D46wJk@Z~ZKKEHah}L=$Q5zRh4N#|p z(B39Fit=0n!@)t}uh*kZ?1?3blS=RoPQwV%c?P!RgI7LBz|zJnjcX=SB+SE`NzLi+1nKt{j& zZ9jcisBWB*m&4u1GnZhzkQz@W@zJdH9KHrw_UXcYu#lT}?dNqU!!UHocHEKSj}6@_ zXxWD|?Cx1ndpvaFGeDLTA!caw#+;#2T?L%*3CVEsUGl_I^=njtY1HSyQQlzDoHvDP z!*sI8D)s7Owh3}`>=fg>k;s}3b=ytk-H=wF6-%@{>7}i~EWU*66;ax5axy-`x zOP}omVd(m|VBn_jqlSC17p~JEUN6dkDTBS~58VS%9~WDrkM>!{R@KHOUiB$9pjozG z)hu#*f3@!YZBKc6{|1OO^!_EdsAHb+^!{7;=2b)(glu!%i#W<_goIupYXMbo{M(yn z*H*8-@@P=#EPxmJ8WziGDiC~8#*)D_WF`Z9Jf;VQpq#Lz!axtFC$2?w?~QPjsmH|knx2W|MehVZKYN@tudwNQ8xZmR;7_$Dt)ey)BXm-v}6<> z*u(>cK+6V$*M-n}zPS#}$U}<2ZAWU%YSWm32{FH^vkxhY*sl_^yFkydUF$(C(!9jw zlLlbf^RVF)!79r?Wjp=BqKlvI6l_{HFwSE{3-5zEZ?WuA9A2b7pTAPK=QA(r_5=f= zDSI_w3$%IC2*R6yK`5@k^;S^?ACJ$EiH9hi#YUXik$YI}-@!##LZWu5&s9D~vNoCQ zty0Is`)H2qV?`gHsZky`cqXPheswv0j}qf?&$zTEq~P}JFFMIaPt^ll@7LAuR7>Kh zwiY#mOl{9dv+M65sZDJO##2$!wUmq*l%PY#cM@!Xh%Rw#fyA-pm#|00Kq>G$0=tnJ zDxAJn85#KoWgcnJy5YJ#t&y9soQ^4Nm)aHTvz3DJx1$iBu2&JjKwaOls<*K-b*jNc z*>rOwAz4fWDgn~T$S#L;hD^a}dHLhp=nTgrQ%*U19|xV0 zG8owx30mid&pA&>0vdrMK~0M!K^?$UE?xEkU5val)G6cEung*4s)_RhSlYwRZ*Asi zze;`loFfzeNtuXZ*q)(CVusKPyGoa&@o`5dnIA_IrBo$wi9jxyn4$Mo~+TiV&?< zS01Hx0*tkz9v%Swa^iwiAom#=gEztcMwOr*AHR7(55)CqU({y>!|aScAzARbs0SFC zpcq1f#ret4A&>oT6!ol#w11wjOGJW792IHnAWJ<1Ms0}NACMg@qlx><=26AKLy$qO z+VD^ZlGuaP0MVDW&?dknk>+i=@dNq9G?ZRN5j|^pZ<-8hVAsS8lCe3w3d;4`}Y za^vSzy#slg#f_imhtEq74&a;Z${*Q3<(^LP%oU)OJ+4F!mo<}~pP~QEaVI^C^&H%6 z^0;^i4V~iJN`$kSO!-7c_u5q>tV$QA9Psp$|pgIA-$ ziXX-*!HOTT5vd6}thnC-icXxg_j9u1XJAE^NLF+iaTi9@r@S(J3sjEfXy z&4UP6U?q;T66Y0yBAso`CvD=;aZ+t}t3vXG0cL|* z!myjKfVpl?q#4a!*mDRBUFEsby1Awm=E=21@7uOl>nZjuVSPxU2k})Md>JR#bx?th zg%3*W#hAHd&(3cb`~+$lBak!GNOb4@o@wIKNA|-EsrV1LsFHipz%;zkNOUpZJc?j*vYQF~ zpraZ@FzN&&)tG(6qLv9LC?c5Z;Sn0Q0|Yk+PSBSE7M4db3pIffH8|t628_imQk$*B zD3Uh#BS?$WIBCatQ8X%N!q9aIb-gaN{HX$vxE8*=$Myq>m%+<~M?)6#Pa$~*UojSF zW&{h@yJGLWYz4k<@-nzeURMfDU*H2tgM8ibkIK^S`zfVtu1R~ z`!sz!AU3?|+v3=6v(L=6u)A$-{4-99IaPsLcK+g~<8xwPaOQ^52cqkwTy^x5>^qRZ z9In7SSWo;LMH#XzEx^Vtv@+UKEGHgICG(3KQ$kGiej3=+Mtu%#COSD(ASk^VuDYbK zC|-^FhAKzKMnPyGJ_uy2|D}OA;vLmq+yl3;0o?!kPV<)Hc!8BXA9lMz*5s25sxCRA zCq`enI#P5W`o?f1?&rew8+UJAvX1#b4skTC=`>J7#*$wSE@GjUG?wX1Zjf43aObv__wvR z#lg-i)nqWHWrNsXq%q%%mP{;40sTB+ckF;z(Xqv`!j?9F>i`JMsI7^>Ezu;3ESVWP zLA4U%!U%u<6M#H(390V}(DXKr;UBQJGwIQhCue>pl(&7qTaj)swgs$ul=SM;M*%o_ zXx9<_au9?^w;S|RHXUdstrtH&nmqI|Bw$ZOk3dbV$JitMx<_>Ml$ajz1A7F--wz~$ z({}6;o+q5fy+Un5siWGuR{;eK1}$uUA)J$kA(2tcnn&SQ`Xxx#FVwWHm{SwHT!NQ9 zYcYS{IlufCNr~Ea`K1vF$S+)@&g7Sf{XMvYMSx#$f>@egu*j{R{u{+I>=i09a>)+) z<%jL@3&}7Fy(MaYWadvED#c55{UO#uv(p3^C~p_zZOj>w9!Y z1i0i;sR|5&peh(wccd`dvIj3OoRe0#Yx1_#oaZEZR&uNy0TWU+Cu~cpz5lcg z6jGS>nMJRasrt%RoTdRq0pN-^{{&DmS7{_FcJUVTI2DgeTjcF6O;49yjmO7vy@s!G zy%yK&aGj3p#kkJE^)6hm!*wRE*W>yj@)OKvJYSA$4A(1gorvo+T;IVphU<5@#&LZV z*DG;-AJ?mJo!>1{acy5raMH+tIC1mnLGd7DA&Pt9N)-F!N)#91N)%V(N)%_|N)%7U zl_;KNP&@zC5peql_=hcD^V=Ql_*-c5=C_XS}fK{jR;&QFaBWPOWsF3) z6!$^=%JHkfuM)oyeinWxzSjmhXT5Yi&Usb4Kg^IWeuPIA^wT*B-En;zbW`l#jnvy%q0Kx zQ8g(lqkdHyokCTiZ%WjG`leLvrEh{Npl`}mk-n)=`TC|(eJkxfF{C!?8%rsDQ?K69 zHGN=YIbCoS6ZG`5Nm@UrK^Ot3GSpwpUoz(p zw4NHfBWk#D;T)8pe19pxZq08vv_L(zq6x(Cm|BQm34W#c1@S{jkEwhQX8 z9=}QWHQ+Y|zp3~&MiY-W0V-#dUmzILE9G!)NglcZ7b`iU<{*sX9(`H#kyW+!HZ>N* zKkW+hkk_*NL4auT9}e;#%V8hdG^RDp_h$_ILq&;0Vh;PeU}Qaq<-n*fO^3WOOf=I^ zam?XXsZYM5L8X?~#&|8Gw*8OYP zJutYQ`aAeV2JZhec!rRO@DFaGUWC`)*C)cFbrRvlEZl=)Cc^&KVN%{Ib%aJ5#(NMc zS6=o5d2eNQRF))|o<*xO`!3q0)uf#iJq0u%y`J49^eT~}PnDM}x;(q+eNHc+UYluJ=pA2dmU*T{!n^`&%B;%z?reGrPCmVX+US8Re%tDZhD#<;P(pS*2cL zoX$jTCChFFFMyGYuh}03Cj%S6$Y7Swa0xGfvty3>*>9=c!D^HYe2lj}laRPhCq7Om zK1mXP!o)}F#3e`^Vd9ZU9Mp;Ll%7)jS6spi-euxlbYd)f2ObgjUZ(E*1FUZh9}Uyg z$947>wa`Xde+A`*X#WKy<8+7=E0TAo%>z_Zgf6d56=ufG3_|^Bo0^@HzU07~0R)_s9BXD0+xr@JLC~gqRyJdN7_e#HO zUs;T4EgB;JQTM-rA@2MwJ-DH1=n+KJ1w|VKx7YMnh=nn%y1m%nvKX&+1n^!5;O`B< zvo*je0y?z0nsI6y{Md5iFBms$w( zDXQEk06)M>4e*x^z}0xQqbk$RD>VFz0XRhiq%F_ia*GSF$^m%23vgIpjnZ=gpvBH* zYwV>VS%4IaYP1GwD6uhmey0)Z8xDe?VKWxty!M$?v7Q*Ut^iMfn@`!79VB2=NFC?KyzUL2cGd-RU#cN??MVfJrmymh$tS{OhG zB01tlR*_sg1+TpH>boTC^=EbMQ2SARv7YaD808(`Ph9>_w50-#YwK0#KDB*EpcQz~MNp2eJ#amQcGn*;r7@OF9`EjN$>VFh>S*N|B?KL@w`_Ve zg6;KQOI8GH_;|)qx%u$?aUdk41M<~tzvm1K6i&1@A-mVU)kc%3MT_W{mi;2X>PhOR zNBz(G#s|U60Cizv0ytE@(zS?4k6MoC16lUc?CfXunH}e`G5du(&;KjrXVKkds5E5T zo+CuIJwl0?EPfG}@PhNe4mF8qNE!7VNUv9fp1`-B%aKJ5mn{8tmOUg(RA*s3sb%;J zAv>7q$8ZvU`Q0=Gu7u{GF2pTB+^<1gDT1~5MqI)R@&N*xg-Yn`H{d0B3_P<;9dMhY zeOPcA0GqB<>m;Q4O11J%_^isT;xTCuMUjiS0;djz_?6)IznW680*~J%6;Kc2YT3hZ zL>T626__X>z}txTP!}kOr^w~d$+(DebPM%o&XmLp&pjd$6G(UUX)zMUHn>&FF;)8Z z4wkmGXG!+t8_#|EpJMu1kI9uB!2wCP+}}bA-@WP3|bC7SZh zJ=l16pz+Y=D|Lgujf-mkHD1x4vI>6uZ{dv?5!Fx8mU9Rg=6M5_UowAMS~de8z$oNLJ}ar5)2Y@L$h|INN>R#|2vpREZa8; zsX;>Hw*1-$ra8>D8`e z+tSnZ33?&83aY!1R^}}@m6mpz1`3Ze{zd+MgItaAXv|}P6iqfYv3&5&6!BSuzVvs& zE(gitW8t+2Mr)QdTqayZ<_gksg7_JO+01yO=uK%sOk%tS6?5;~^>b9GtZkdZVW7=FTHZHi$Wjs-04+zUjSZy+l z|8ijU4^Be;pjRIt*1~Q7&Q&$kFshD;^a?X>6A%py5=M z3Cqz%0EZd`5sG#m@GvAlwTUFxCn~Dpw`!_Sh5PzV+Fiu1qxVK&IT3$@3j+(J4$IUn zuphz#&He-Oe3*VhPNq>$JeQM$1=E%#s5O*5vtH_BiH5j^*KuE>|T z)G7wTJ>yZ0cLTZ_grzoL%q&@O7RgHkCy)i_W$(vERD*;zwOS9nGir6m0#B_5>RPpq zqJ(sa{Qw#SgfWFFq{kB}l+?F|-2M}Yr$|K8hMyxN7rhy81xCNiS_b5({!i*93`n6; zygP;OzJ9`a=Wp2)L$6FxcI6lhR~wWRQse$8-gq=O%kwBqBZ0(e@N<^uW;_drokq`0 z<_I%|xQDIgiwc#4`JUiiul^?@+`=!I|Y@j(809Z>q_pGx5$Q@(IQ@o66B z38JaO&C5{j5|@EK`z07?92n?NLp%&L|03Nmpq=5ur;*NW(AR;d7s!p0+_d&+e$1%W z9Y8#TfzCvaa=XPVcwlJaBMbwPNa`8(IhRyS-xJWNqj?QV;VGs~D%8>m<~FFFcWy&! z^$dhHKx}o?I2p+I)~_G3GV;CK^C+ta52ma>AI*s5AMPq*_G?_!mg(fHWe@>_07MJw zxi(DGTw^uT4Y_!GA1?f-M+@Yz1Wvl?rByyT5z(02Vi&-Fh-c)@Lk6-3zqxhJznN9C z4QG4}*U2*0|4Q=z(doa znSGZ4?p)dAmJJSUeXh?q{!>=+iBj+#X1U4VDBzO{RNsUO*uGpCB%5)HX93(xqHC}X zr9D>fj<^i9sjfzbGEsQP{6)y zPdE%MusX2wk0Z>xzs*kt{)asxaXvIlc-wm$TIM^R`3s!! zz}xkN&+(x?6?k8=g5RUbP+=W-6^?%cBMB6OJq8J!$J)V)Qj_OSOBU+Aw@C50^-kJ=;cNT3-BpA_7bK>^>*|)0I7-S(-PAW+SjzX_N-$&I!E`%HPl=stg zc?j76bSJRmNB*7OJt_{g>*9AX?{;bbA7mJ@G33b;Mqy zE)~6Dpat?`=c0XL33lUbMZ0zv@`UC=@CQre(TSgXSpH2stkigERB%`k5M#I+Y`w9> z|1y#&!mcj8uf$pA6?$gxA7s@!!kR3iVKldcrfY5_O*_VylUyC+E2yO`{5kGZfkCs- zhE#9sq4=JNv>%bkV4Mb~B_B3mBZ2wWEpTL$R7JKp7JdP#;m>Cdegd7lL1#FKzYCYu zRA4f4N9-fZ5I8lOoP_15RW+5vV!Ju?L;pS*{ky#;f35D{bucA_VKCkNm1Pe%9o$~b zxXshFKe_4O+;Db8)PC(h>)!{s{X1C)R``c{ft!wOr@>OSi1-XOJ-vU8Q%LO2IzM|8H2HBm=KHN*M!Qxg0!R4_ac}KE4$k7fGI;OE(@U7IT;oQm8x? zI7B{SCt}1NUWQKYZ_%S?tgM{k*_Dq`#Ab=u>!3*1R_4bBVN2~I|4RdE^4np|{VHtJ zt7!Ar*oZHBGWNW+#U?}{@+s|)tApIHirAfXBiFg`K$QMm-yB>s@)erg&H5(9Js`#g zf*tB)1dlJoc=Cl+-BLCSNXLsH6QJkuzNZpZ_yVU5AV4tsZ*w;WoLmZqt=7nGMkK%) z$g&4xqc$Ppc30fSzpkr(H|7VEr2@ybu)m?M5O)RJ2v0_>A(RKDuuJfX@&G9u`m}C> zDB)@AmaUJSi6n+%+p)%vJc*Pb`CHBGYF3%>GCYKPsg6d%@FBe5gA zeM`R8ta6!RwR~RlmH)g&{=?q50=p5zNR4} ziulI?shmu(#5e_vQ9Aas`wwfsa@be?bJ}q;t=L)!!th@c+@Fx`kY!dr3@JIFo6u>s z`hnsAJ=wJpYI~x#=B;XG*Ac5#P%JQihaVzz&d^)PpBT~qFPZT%zka}DQggIGZ7(hU zC%$ptf;Z}Dhi`E@hhr^;8Sf#yb+Xf}cPI1yAI9g4Xn1ZBj_F17gY7$r-?tZ^Z&9ZC zbw&2Gm0P?O`(0e%)XYu>?>ZjWu`ZyCg@dppX zLq`0;r)QEgwon{lGzUxF506!F#sP1VGsgVuz8%CLT!oMPN1-g72j0wJ!AyK5{@~w` zN5>zWi@V;8bd3YEIos^+)$c}}$;^;roVVD(80gcYTyd$M5v9Wb|NDAclyk@IB^jWc zIZ7U^c2B}dH;rNj{LS~K;g3wiUwuh7{J8@EpfN8=hD`XUWWs0t$9#SToU#0^H;`<} z;--NNYWGVxZ`@ zo*SCD=vPQ3 zYKwPz;hnE&I-`?{@Q9SCYrs{Q<&<)J=(Q#4V*Q8$uPs&Q>4$PW1l7rWNL-5o9KLpi zg{OX~6<{Nt29_)UeYk&czVfBGoOJ!fFk!&=IvgA!1jaWXu9aFxZenTdJ~tHnR>PiDdw%vK!vlNjRO zXFO8uTXpewPuC+R6jJ(E@E}Uxrb3pOUz3;&+N?|kPJuJMCJoe?{q;ks z)iEw8La}3wsRtnhyQ)* z@J2LSChL>_j(uvYY2P<7@rQK)?DH8jfPHWp(=K&3+&389Mw59LO~%FU#IcXT0Qd<# zVN@DfXd#Y8R%Q9!V_))?Un%8ZV#)`f`O&yh8vzD{Vbd(N6F%E9{G$KQ@E^HK({E1) z|1fCyA5~z-_(%Wu@S#81Fsl?#`@JZR@gjB69@;+&s-pCkCFNGCAxLJ!KmU9t9&Zx+ zx{pO-rb^A5m6w*9IxYVS?A_et#cuLBeI^IL5dOz^YGi!fZ|&~Dr$ZjXqUpGr>ALTVv=qzdcHr?)2N$uvPhptjXWp?^SZu$j% zr4Q-!!cMoFq`vMXk_x*vSYCL=ag(B0U5pgXSfR_TV7*SQ`3Lluo1hfF^K+TNAheDKG<6>HacQH6S4YElnSlaac)GX(>?WMI64!YSC;ybkkO z%KV^im;KNF1=KaW_((V7!Pyx(oBZfv%vjR=GS$W3%%?F&DBgIRuJj=FNdO07A=hIj z7Yfx=q=rT}qq&jxeb(gkb^i@HrG4i$fe_h=@r-g3ZtCmZ)U*0dE$Pp4)1Ty~rzNuw z=q`WkZ}JqWJ&`Bfxi!(|v6Xaov9C!GxF~$GKvaq+ikA=OI^ALYP%no;JTY)tC}OWo z&1nN1;Zzrw3{aIC)EzFU-#DNu*?9>EySGqm9qh9ddjPpWgLERYrV%>DglSjVQ-~oQg7V3_vO~WbL%-F%=m${5 zcUKowp%)aERt&xxOOR7Q5z8!CBmnDQqw9Z*u0PhMmRSFfwrhcps<`@j!U72!mDQ*q zt413^G#b=kM0d#s?#iO^jrBoVEDgSjvQhXz4Q^<&URTlLt50gRYJJu!54D#4md_zvPpyG^=k zqo7WpMo*;M{8jLO>Vki^z;7;VLTMAdc;4li|~Kyf`9fd!{^;3J@qap{&_C=U*kyXUBka_7vbM~vy(sb1-@JU zE&bgit0rbv<&b|D{Ew%BG!_zuhk1lC6A8&*eeyIdsHx6Kxf4hb_N%>(Y|`|R6GA9JOAQd z@{h9fA8qGv?InLdJAV?cO*LET>?Qwtw3nI;uD0{9=_UU|cK$!w`PcQ5f2N&Z?H?z9 zHuRD|Z0A44hHvzkU&({dEK_6*K$(p8-E0?E^1}(x#$Q|J#UFFl~Ykn69ML)~`)Gm)3)*bcR+7Wt@F0%3-${%8v$2Jp3`SkiL zGRlW!0=#jqO@Co#`JlemtiLL~ygA>x*Dj9@b*g?&-FlmE1pvyW?M2_4@Xoa1X}!S% zd+hm}mI+V%9nSbnwWwm;_!D@FF{26469Xqrr*SN##`d;ziN<~Lg()@GdXL=K0yp^p zXbM*p2_kq;tQWU`Du~4)aaQ^DOZ=F17~dhQS|2<}mRQU+LImi`GGV^GSfur^DCqQ8 z@6T8QgdO4ZJwa^eX!b)mi9+C%tgZ~H9~0lZC7aU2Oo zV2;I4oSVKcS?WbC2rrJ{m~Hn0qQ+3GI`TMu6{DB^ovhEimr0dCviH?Xd=s1 z;L;#1j!l{~u;9yhb%#XZ5nRX~u(zgj8_#g)X4wk(b!{1Z@ZH+EMT* zUxo67mJPO-$jzJ&W)&svC=+v%)|-m)h{s< z!_|V*H18*bgccmg zWSr}SJo5fNzw5=1t89y<=t@Z&@>`YGOrEglYwCTzRrJr2tvITZualy;O4^WmmA02v z^ltTjzE!kAvK5a~$z!DG*^)NoFqQTP!>sipNq$Q(_>j_)@Lek3I?<02PpYyf*O>GS zR8T(nvE%C^qRwu#^MA&XVEtE_CB)%)M9Y^{>5_%@aN8zd)vk4V~(>r~o8 z3(u$3`!!b4xst8;T$MaYie9DC#;COMl6H|wJ4&UUAZcNhc7RIz3Xz!D7a(b~%ly(+ z+6&*k4uNmz&E=MhFN?koa9k*57Z~zmN~9kkU4@#{|!(BNEnh8qO}CX@ITjmNfi+w z0H3@c=ewOH@q%Ng0=b0m^jcLhwl{NS=Ni_|O)Uo_diV|CCZ*~)+|}W{UWx~_-!9&l z1c(^iQ%6ff@ol5#g-%#yJQiaQJj=uFxx@G2MJm{RVw3}M+}ndx1O|bEFB|t$yHq3? z2i={!7O-G52?vWnAFYb$?MC!`s+)p?*luPHoPwSiM+SXRidIQ96y|i`oN)Zt9xO$( zA0oZ`1N^|#I20qz8gs#R&kIL0!3d}4e#qf`&;)xj_!-n3_qWT ztj4#P_{`(M%Y5UBN#H4VWv!NzO3geA-(w4RQZ|9CuRn%A#@25h$dx9IGh!$6tj4U;+wOnH1DdaZrG0 zdy4{vq8+*|O{nQFW@*8RuzwoR4W^+^*mS6D!~g=to)T=U)fO1Fs$T5xLcOm@z1ZU- zEVC;_wL^JVD+oGHVe8Gr)+&WfR~DMM^^F~Y?Cs#m!{jRtEycdL%W&-UbU#iWPO%yI zYuKd4JsCXL9Gv7f9VLkRw#s*SxZ#pGYFMe=-ZRO6s6TwkKN(b%3*zJ}i<=t|qM4@K!bCQr1Um zy7o3puLB;&HBjF3oHZYZBNFEEz5)W*^a?QiHK%>u-K85V4;Q)wc!sStzg?4qGn{rx6e#ZaQ zdn^^{`b~W=aiv=?sqdv$lIu71-S0}bUQ*x7tt8iP>bn_m;`*xQ_bN}Qtif7{6)yof z><=c-q%H37|B^pKZy*hldQH`*?c}>1QsT;&DxZoWab`$;uXiTf&#CVXcAE16Ef3i; zcMb7^DYaJ4HPt4xF=(z3H#?~>=hHNc57WSh%q33?9t*Q>hkKrD!!8iFOC~oIt9*;Q z{4U@#W4G|hPSovEtrH^)pLBY9C@*919+a2Ce-FwpAlvq!{DXT~{)s&-zjzPJx9)0r zE*)jaV`qA4(Ff8$n)qpF^1Nmb%X4gWwcc*lzhMu{8+%xO^B$Jpx`*X+XgoNjAN;fQ z^8yb(IyIkqPJJ)g$@kKoeE09*RZOd&#(eg0jp0v)*BsJs(zfnI?7_&%RN1S=G@F zyDZI*-=qD9DS4gk%^LleV84DkP~LCkB<=&SP%OxN+u1`GaaDfnMirsKb8tibmR{N~+(Kh1*wZ5{K^dYTWSS=n0A2w;+S3M&l0h=Oc5HMs$$Kt=`5PBsyIoi z_(^Y7IOS(a6UP%1{wkC*Su`yJ{zwJ?NP(Zb%kbwp;9r)4Uy=d;^^mX#rZiaer(iEE za^Wx5po#y06#OTSN#{>g!LJhd!Ci*Gz=8k6C}r}eE(87`1s{ts!2iR*F5>Ty{}k}A za9JAX=PCWLs0PddWNS;Gcm!i`7h(%+BkFQ!2aM-V{gaY^WV7Ty1O9n7eDNPDkE03S z*DL&#{HNeoX29Pv#=^fG{Di<+NALjur%&kxf4BUns#tS$I*aDpRh*Pj#hI=uocwXi zKeAc!p84%4r&658N_=9ZtBQxNy9o-Xu9P*z6{?&+dfHCeFW$aI!oqTo}XyWk(*JA9`+|273bkO99y z!KXfV!Edkb6@RBZSEt}_7@m&*eJ2ZlsLx&Sr}PfrDbMd9tI40H4EP}hpZeSdzfTtU zXP4oKZk!Ki?Sv@jIV*vr@7NPy>N-~1RI|YshSS>M{xjWg+`p74e)HABoUVgkP9|M# z%NMntJta1Z9Wi>)Jny0bIN#uS!M$WktQvPI;X>d%JmoZgM1vHH+v&llZ}M_EkA{m@ zXb>!`&Bj531((6Kofv%uDqeyW7(n0r)nB+0Rnb^UV9^mT_>rvYJ6-B)ERo7jRWJEd z;?;J|uyO%Z>Q1|0mi1#B_hFBWDnx96z073O3I7qd(O>Zz&u!QQ(3lHgYi=gv%o134 z?5coMJ$cwLK(xWw4~q{4){bh}Kd@Ml^*l2i?-ta%g5HH&^|zD0PpS%WF6ckOh(L2P zS{D1RAUY`aU18K4`>v0QFnR(f3U!Z`WvAaGbN8{#)1P??k*D!cD|TUrihsaSN4Yq% zEH?YJ9sL{oObNv=Ic*1z5}g>tIiA=o_FW$DB7LRS8+s|}I~xG;f;BE9AHqkeLu2t0 zSy>&V&w93ar*TM@gFJKpU~w&aOVT$9f5D(q{y_4;qzQPmdBcaBf&pjoZ1EiW%A{{Q zg_j%Guvp$Df~>+aa4~9Ab(wj^fOqcwphLxfe5bA~NFe0n?xxwL$ujR;v0f+RWXAEB zTD=Lkd(yX~l7icKRnzRDxhFPW>U};kG!NHr4$VvYJ{l=9y_fg-)8QRQ-nEj@_&NF@ z&VMj*K{MszuJ}x(>y9mDcq_13v+Kgx8k0;qY zZdWlEGJUYOvWTfxvnJ>`=M2lNRUvfVijck<_ZZ;NvS%3A6oD#36it-t4QEXwpV3|F z*^Zb|&V~yf;Y#XwuC9eAVx(~V_XFrz|GJx={d=V6=-sCWr~2(WF6b=N z__?0iXA)X+ciV@7iMv74zG)==fc6>nn!9~wKHC#Lw}SHBr3YTE-Jxe}mAi?406kOJ zy6Ji7nV#r*0hI49Jq5c*&ow9PF+KOZ>ZWHsf_bv*gU>-Z>3I@|D`CdFOZotDjl0u6 ze>r}S=~=PHO;5ib=^6S1=s{%b?$Gn*aeGY9w$*NWMlJ5CeNIP9?ykJi)Y%Pv&?h@R zmi;C-eFo3r0E8205w$fVqIW@9g8CO>-7u~MDI92;P^lg%!~AWH@iv-kf_@Idv~h)x z2o-~MB4yJi=ojIXDdyrZL+cnBtRaRbBQ_Xc;u>P=Xpv3iI8z_dFTr5Lapt0~Ge@S{ z!0hk4i0|{SWa2w)ckxC1`EDS4e`N~U)cCQB_zuj1@Be}4yT@M+5xax(m&c}1-aY07azPql^sDgI~-y4s1^B1On zD&AEVwY&=vr;16|ox^M=O7#KhPMYMA0E29Smg!Kqb{MPaS$_72w3(?g(%HY=^+DgR zx9U4QRo|-p)9br6RUekR((4ch90wzwtd+B+; zuc=&-^fewX^Gsv)h=QE%E5q~OeS`r_dtJmBW-u>K8>z`o^7DR?;+Us0ysJ2ZrgJ7U`lyv=QZ zjHg0x^CI=s$J_iUp5SS^7R*cfJ_FyvdePV3MJ2e!8}Z|Zf{$p!eVJ(d>Qmf-xoASp z6r5o<*Mo9k=+_$VtH$k(i{J&5`zJj(cRCN}4Sa?;<>rsTnM6%8RJ~c#N%f zhJq!-(6^rKkJqH{0TH-W#!N^pB;2?Pzg-#H?&h+W?e2nB2B7 zM5XF`OK5+b(2e>YM+bsj8U4)qa`D^!<5Y<(G?iwh=}uJSr0HA$HfeedLdiPr9|nvk z^Ky}7dobCqRe$BZxdU;nbR5*vzN-_I2BBmGN&X0|y>gm-6JhODP{^I`Rki-$(vJYL zo`DFU>)OL?^*Gp3SqqTmn(VfeR2Bs?d`=IoS zt~D!auL-~r$C^wPT$QDg?C>8$PSaU_RtEh2Qt-iH2mEyqdKdC|K|D4NQRU(IK~hmq zojXzKTn3dRpgcYU$18Q!a)yXo83OAdb^xpH(SbL)@gQ{K6-(HO%h_qo?J1QjjM(9j z8eh_Pusiv3Bv-bEaYh;X6Rx8$g(-0c-^04)tWB=Se^nB;+tMZp+!n9^C}gHSXs0GZ z{SQG$TTI`Sw&laHH_(b+W<(ET_t^}O?C}TSyk*}E>2cV^aA8@1st&HL**KP+#yjRL z^w?o=fxxMYm}s}M3f_pNzheA)6J>O@@p}NBM?s!1(Ay&kxV{kn?nmf5CNQ(0{6A6` zbY}5pzVs3nAO-f=TlY=aSzugKVW#^h+LmPZa+WeAnVn zl{FzdDVSj#iHnLg3=IYWB#INvFt;_}y`w$Q@>E$XQjL4Mi23|7wj_4pAlJ3X6#-+s zwJSLgH3XW!Y4jSm@giZQBBj22VA3~JIX_aEWILAdV1$ z*iWTs@kiA4Z`h829XB`@cZZ*nVi0s)H}0S;E|mP69LW=q8CwXD`BkPO=S1x1NECmx zn4|A$uau;1&jZPyQ%WmBsW?@2Swir z=`p3_)kC3~U)8p3w4~&;Lm?%J;u}zp3`J+6stMe8xkjV?yw$kpW7YEA(%>LyRr2;0 zj4TlV9)5>&8X5aEhaDV6fFV zz^wjqNA<0C^|(SXCs3tYI{MQPWOf6a8M09k(O=fz;*{DR)r}q4p#UOl=l-)18;tWw z%Dj0ttfw#$084YRu7D@&``z~U`RaQgluh`SKMj82p7e#-r?Kg8B022iV1;h8A?ad+ z$riJttNt9qRmfg$TiF||!n%Up%R~7q!jo5|_vm{MF34$mmc5c~6`jJ8mB8W^V3G9Q zeNY;z(1RimOOc;BidgOakeT~C2u9a`P*v=?vQAdncm?aL zLg8zqaJdv-ooX#I8uf`?XZ+Lz^Ay26MvN21r%AcZLx^Xpoa85-N1H|Fph)-itSo>+ zM@XS(QAnB*VM*61YXMrsrQS~i1^(`ek6YLHNYz+~qH){f z3?Nhj4Oym2s7MoNs4HU_Za9M=Q@!Z<$$3xMz(2-t08|Tc>tVuIrT~A2AEOF@QL=k5 zz7~iufBd9WyP97QP+!x8ndZqvmpIcZl&igEn1bMjb&>a!eD@^qgLhrv|8|f|;Guez z03HVh!A?A|2Z8_B1|H%1;fGyiZ&!4Ln@X_r8dYX_a96&g`5p18}@8*^BWo9Rn3|^91bL18lIF z5Jd?WP#(zKKo(wr2>~St+o@RwL|yg{|G2*eQW7CSpLJ zBfkT8mhc<8S42AB}Zx(eQmCQsOK^^Ll0?`Xi}J>f`y**F9*FtUvU$~%E_-_FIHR7N5cX>Ha z{x&bC{vP#@@9DNI-?hY?Cjf|BeRUk$4a=l#)EWNSn6$PbbiSm!0Dntqwsu9TTcdji ztLyv`Zv^+IwMGkr?SZnK^mvIqgqNEJFZOL=^wik5eWJAy1sUU97$@{|vU@o0uN+ke zWMxVi5M%>DwzaV1uRbUn*XM96u?gk{+XC~Jpd17WVI`epRhcskn)gc+- z@9D`Jl1q$l4@4ip%^UdV(Goi4jPonCN3rWkh<>I-n)_YNTPDP%W$wD3h^bYBpEJfp z;b~8(cEL?12zkLBdA!%KA4DVR%iouuaMehncpW+%?w8mH2@;gk5_^y+BpxOv2*ZFL z!74nIEC0YLhOj5uS&D|z;01{~2a=SAc{o5Fqt4zjq;a$hFj#b1vFmQ<{rg zEd2OahJWSwcM|>`iGLONHxmD<)WuPE>`!H|RZAS_yIPRp9gM*B1|M-fp*ug!YsqJ6 zD)-OL+#pG1o9NC~r?LgovmN8kHc@5UKRsK2ceZ+!?aNYyqFw1ngIu^ZsBEj!vpwg| zHce%_FFo5G?rbwuw(HWfUFpj9EJa=AJS#nC$epvv&Ur+7&I8;z+3^(2FT! z%_03)<$NPOXS+M+0>FHs4u9ga21D*V55o+4?F4no_Ro>9<*!Is$^^FhpS*=R)g9OG zhY#=b!$a1G7JT5yKOKD#^SEuO1kJ&_YG-%=97DvN`N}ym!}#gG@V>A*<~$lX5uQ~x z10LGhIOZ7zc%(zQhQVq$tT;lzaF>(iGj?&yXZ&RQ{27X|H0;c5pI#{|Kl+kbu>_{O)S_} z{RKT170Ump>F1Tw9f3#+w8o^8XUc(__@KUIzrbyuxY`tFVo3O!buf=(Op^0P{90_L zADOpB&tX+nntl}qq;H~7BvS5NRI%E)N~*ZnssinFt5oq-S{2kpH$cPScnrC2>4s8q zaH)Yx;wK$@#m)O&1?vO?0CE0@^k(g80S{jL}y;S9Ol41VMygVO{dzk9eJ^e0W7>cF#c^$PkmE1DhubFn;r`6u6{_=&UuY?Z`mo(!Z?t$zQ z;9MWX|H(5X4*d1Rug9HM_ecr_K0X%Q$R3BN;(x`@+t29Qhsccg7#q|>sqq#bYH{;% zTu%0)+`GKfFTE5z8(vn2{DWNqil@eo2V5|#4AbMcS?&b=aETxMG7b}>*Qo&>J>n=X zCi%LGsor7zV@c{gd9Lxqr$biYfPPuMgN$Wz2A^s?R-;;wlUH;fckC*=joDvJ{-MEx zr4fDa3Ho|QW=s>qLJyXRk)a1YLFMg*Vunpg&4eqjxo1%3G(3D@hNcfRmJ0f(d5k6M zq11Q+4`35SH4>Kt*w~&BEalY?OzIj}l2U<=-u%)_snBi)QDefkcL-*Egy)^@#alHS z*fb`3uy9}zMSy3RjSgoBj*sUUj04_`5rPZau1r0Y8V6cPtumX>X!D|d5mVx5!#%E_ z<2CDlbT3nO?o$t?#&7W;OtI?^{txO`Ry^pp>MyhEKQN>Iwt@eB`WIOAqlKmK+@~Hu zKOWNP{~y%5 z#lQgT1&92G+TUy3$41sq_ZYub52eQKco0Uc#t}-9_@q*!3Wyl5vH*6APjZMO`okXM z!`TDyx&0o6&maq*0Tw=a8TdR{1bl+o@v*jE{J{R%YPL^;ZBO0WtR6~@S$J^E$H71& zt$(V9dApXwbRQU8xT-F?ZxDA}MoaLwZ?r%D=6UCKvliNwyvpu4TZ{01$Mm~5r~)0W zC;gXu_6cB6$==a~Rf1O4D0E>S0 z0Q&KeM*shy{tXuWR{dvN^^eb}{|nE5pMJxlpKUAcuO2`@9@6N~R{yT+FD{X0(WhI1 z0rc0}`AUDCE%ig6j#m$*#uy6`Tb~+zp}*$bkwu@H%doqo-+RDkv%+VLiO-8{bn5qK z)kCTABp!q@Ha-)H&lfC!j_T6yd)z;Apaf}ejF#HbKS!#EQe(J99rKF3RrSckw?;?hx z*jUO^mGW!OU&SmAdnV{>c+fA^BCO&NtsKUu)b%p6>Z`%D@RMKE^xD+R8U?Rvf)L1!l`86H)ATt1^pYf(yv1V0#idFIP z=@lFA7D%IKPNjw3A6EZDv;IyB6qUjY>Y>zFhzA$p#)bcb`tP*px9UH|s{iO0t~uQa2+=aV==*x_jWA@x0I*7pos zlJxyeJ(L;`;=xVdY5#@#?l$W?)v7OO)mN2K-}^iEoW2&bzPH&nr0-SrP-=AI!A;+l z|AqQynDt$1)%O#tzKI$2?bz<7&tXqx)o1*kO`lzYI-$?5xJl`c&)H1WXa7Mo_JOx?xerZ($m1a7x=SI?Q=VJ4-!dd_q% zGb!EGbEd0q11l}v^*-f{w7;PqN{yHB;HLfR@7%Ogh0A(<+92Xo^jIAJ$$a{G;b6^n zHZ%&y>2Pm9y^{$}&~s&i7|_+7!q z*5UYVRS%`cB0LCFdUE`p_HDks_C>^cSx?Bt>clf14r{WW@EAZl?uVGbD&>9%EH*fn z3&60FUkgLOS(+OyG~U}r9D7_)(BgYV;`Fj)V?OXp=ckNCW_xmGE4Q1-vVWCCRm+ih zSR||f-Uzx`!!g$**1%RS8b; zOj7tGIxe@zdC3Xi7bFWX)*}M1d*4+0$7XsP(vj_b^YxI|a&scse$v9K7}Kowml)rg z*Z_Dy)_0FV)v`<#;_?A7e#Q9L+FTX%ya~-UkLbLQ2d&3uBmWQ$DlIs)3=oIp3bSzFszqXODGLb*R zLVlQq{J}QzxK+i0JlZ!rxiyj~Xw@b!jpPT*gEtj84>L*s?%&Dx^4gZ7Udv~GXV6mD zwW-h&dEbN+Vo*2#llSwA?f0(l5Dcw8rM@ppeV6<{!ey3b9(ljZ@eX6qBeP7$x$Nr= z-+er3JW+VZ?d&@FOEk2OamyC8Im85UG+3p@J`Zr*7@ub!7Foi6<*=PtrPTL;qdw9% zuEdSc7F;&#!Veb?JKx9o-0wffrR2`<=k4o$ABWg8=l2sz-S0m-!2RBl_Wtt&-QV{c zoO&m}Xi2!&^w3cl*E8id(KMPqvxFTN7f2z>4h-V&CI6AGBWGopAw)y}>}orrEaO8) zdsMD9V)v>R$(Jh37Wp19*&+yGO0~!mw3WJu3sFRA&7t6D!=KBMuJb$hhPs6zzx5d~ zFUJU=?H$_ogKtnV&+i-!s;AA%q`FMpP^*nAzgAQmmB2r>ta~1kO)U)8rH=0u{f}u1 z*6)d=pKOiq)e)rH&*=!_(oX)2q_XG;iq>4hPe%N!{2X~==#(*wZ`fl2-qe&DS2*6v zjY)ii{PCOLc$Ro1Mw~o>s&p&4s6v+R-wZVpKN@UInwe)+8Mg@1lIu`WHaDnT2z?5e z#6Su0%%GTDCL)g=H33v+5nG`?nZk)5$NDnXjSz@dXvEm4`glYj@U2n3m8-X(t2e)T zJ72w3@y!`Cr;mrmt*MfF(>__~07JLpGf_hPS}3mhu}6s4Hkg|;&CNp7s3J5`|gA_L(seE9E{>cU*nwEPaq*ccR$&&`1EV}{Ag}jJQi8G zWsw2kJ#JYnMkc*kqWF`Y)rPnEd(^GAEQa+rWCJ7im!cN#7}|huvTu=_7O`~^P=_`l z4f_$ZZeJuF2q!d#051~@D}UDglZ1sh9_%FKu0OR4!x*`lg1FAyTc|!`*<(`8s1OjJEmCk(WYG=XRH6I&v&K% zLwc!S_97M``r~ExR8;mORMtH!9bMzpU8-rZv1^ppv$BNmKZuxcOrJ|bSoHJu=u~J{7m}Qi+Gd%6qg6|rzUwof4UYAR)6|5 z={su^P&fP2r^e6LIQx^5=7LXq?N2(`kQl4B0N;RTXIJ_Z7WFVx0Qf&gH~JI-B33ub z)_ge)h0pW<&sZw$a3nZx7vAe?Y?Viz1niz*-jeoh5O z5CF!bPBRCWKI$>rhL_I|Vffx6zrXOQ{r}b_hTM$34IuUwakrP@ce-Zp&V>ZxsD!7HCuNM`N&FAA zKOtz*GQVkr&cs}DeR%R_G>$g;6SR-gB|MLP*_(=gsq>Pep2o4a0@&lqmbL=Oo*m5Xnam*JB)u?Sxx@GV6WMa%IBbs8e1 z(_tkS^b5yB{kG!84>>RJ1Y1I$E#_Oulgn4@W5|=oS1h_p-jFAsub70HuLznCdA_q> z3;FuJ{o04G+wIrBeBFUP1*|qy!g@=R$z|bKn*p1@``cKvKqr1tkMy^Zs=r|zk^WX>_P27gzop*I{^m@O z{^m@O{^m@O{^m@O{)PnUZ{_V~e{&{Ce{&|VzZLb|-|qQf5BeJ$Z0oUhgSq16{Y%{QF%AaSi8Fwc@vyjIlG%#UOyc+d#b;cyZRg7 z9Q{qb+Wk$v+Wk$v+Wk$v+Wk$v+Wk$v+Wk#lv-CGuGpfI#UC`h5eLvOTzI=oI?RC}P z&S{eVHU&S%J*%z$_H({I^Iq@$%{&V#tHQ)UHUndAME^-3k{D5SOd&Q9Votzw7%f8@ z8xj1#Dy{wg@v_x^@eOwSCG{=v%3Ob#rho3RpU1;ZTyzA^XGgcCiMQNSJ>4^VlWcJ~ z{5={emsLrv)Zx~!3SRsb-_mLwXVn9pz???YB0Cr;I`t)ZS1}5^7-dMuMER6*Z+J6yR~11dS3QgKPu+t>qSuR zz)x`Tmk=I<=L6!yulWI5+6}X7_B(Eu^zP9gQ1kxBM7p?@IU7sjPHzYmz@_dx&KdqTh2{y^TMe`N;! z@9k3igACCBZ(wh%kO6dO^t1gjIr`^%i~d)I{!0}7jra*JK0@fn8H}KRIzMD-|Mh!9 zzuEpk-lBg+2L12uQu~7p(0{SeFGoe}jDEI1>0f8jzeebPoo5Cnia*9raB)cJUn%r| z#1C2MUzbV0tVcNJgMni)wWAV1w{IK>=pO)@Vwz3mWM;wwrkE54K8`hoab^S!{a$qAD;2!p?{82s2QAl&vo z5XN)~wmcF34JtAje2R&%tWOAo^9A8^m6kbD)5n&W{_=D_mr#j{20gbNKAMv-O$F>f zys3ylO_;9+Zjx&PoMx*%XQh5r^3faqeTaXqg}-9>+o;3D|AuzO@D%&ftQ)hI#y zOLq(Z_uuFVe++J2YpuZzRA^k*;54L%cn7S$xxOKOq3bv6fSmascLK(8Jgz*}H~y;c zTYLSkJJ!f$XQAUgqUT|YPFVM7qx$1aPHWm=SK<18N9Ol*{F8-${T_wZ12i2`9ITAXjtp2l<}FT7s(JPhy1!H;Ym4^m$@Ev5_-`}ue;azH zg2)-~5&-Bx$VlG_x+fC%sD)wB(pNQG3aD#auN(g!;m__JKAL@3;LCctANtgRzbsxF zgH8Bo2QOLxvG;5W7t6KY+rinsned-`Q}O3O3w{th+N}zteT6^V7@*s%59>3~DLTT# zbF_!HVgik8NMfIRgg|4gS?eGzUX_w7Yt`6AkC|vUhx8{NbTizewQb9{#n{f^pf};$ zbS+0c40<4Gz0vkjZcgxtqo2HxQ`^u}2&~cbp=@>SdoDCLRUIAOE4D5F8gJ7K+zr#O zX-3}BjroB^xv&);5I9s6EIJc#ctsCXt~$)XYRM83^3`9w^OrtP zRGQZ|Ud}s96TT8=f){^=aSPlL)$LK7ZHFSU8QEY1nwbjrD* zvZK5U-|^PIuZl%)&NZ(Qon{?;1U}SfbTWJWe@Q85GKRm7g`KtRvioUqxa#UKws0vP z9oD>BN{eICU_RTeo_et0?axTX61Kx9KG-|>{pVW9I-0`0?C+2leZAm-6<*^$EE|)z zz@r!)A`%avU*Lcu9Q4vE$m|Ex$!JB0g}XDR@UWhs`O2F{AHD@yqi3=*D6%0#@k;!L zF_O=(>D3ID0kW%&(Sq!1;{+lLq>q+g$;6@^g&V$MTnCQhC|t`Y5LE6O6NG-5+p3eK z_5C$Q%T?EuM2FH@TgN~3B&;7v7=dP*r^RYKp6-LOQdFKRl1cH3p!Mq_`cerZTV;H` zFSrQbm79Cezd~OvaY@m4G+wjQchMj=#%kldR~3Dy%ddmJ&Hu6J`+`qd=tG3zoKKs8 zAyI8$G)B|t<;?4yi)eN*W-(rJm8N-Dz;D&!&1DN%0%VsfL0if%c=cjeejK(U4}YCl z(p|_+`pdLd0@DXgOtHUzzB)$~4b^;;G}JgW)Dh_o zWuDiXP-sYltu|^XNr~cV(}8whL3=ak^)|Odi!@aM(SP7UXhAz0_x}ap5qLtU5nCSz zkjoKrz@6wA$Fch05)ll&@K-OACG#aWyL+8+4$gvM>a=nhEmlRGcMhD(QspIG(R$Ra)}CrLe7(TcA^+$~44{5X{b0w^GN@cmKM|0d~oI zN`J$wbb|4i>d$8@ajVwyhoAzjm+Eh&6=8=LYG1iq5#8C8GxV`(lrCvPX-_m^8wf>T zV-sH2a}%oEYuHJe_JP4KN<*XO2=EUEOezBWDd!(QJRR#noPAg?bi2?R!O3--?-j0l zj31pYv2#p&_m|+Q)s8PLO>f5pMjo`|Tn6ug!YN;pLJZ`H2p*oRwQbFZ#-GHBd&TJ4 zX~c*F%@ro&7f^`mEqv}eP4H22YlMN)5=3lb_IhOp4;QvrU4aiGBz4AN>d_2?+7EBt z*p^lN2UKK)0c{D6;6wSNr2L#0oA8z%*{U>hK%Hek-60CHY4lBBi0-%x-9agh2VSOb zg3>S*rKvIIF?p9XMgS1o3y;NL7!SoOiR0YQpg7q5ZU$y%uWK-l{-im*pSt1Ml#(CQ2sMm`a$;d_~~&|s`- zrd$^vM+E=*sfpmN=)op}uqW7JVAV9y2^W?{ZP97Q;K%Bx5$PGmIT%OS|8dz}4#UlC zeOmQ87h76FjxV{GIs zwT(DMDcvq`+9SpyWP^CMpj3KB!ZY5&NQLh+vVBM2$55F%Z)lV{S?UX|`h(~`Q^1a8 znDwkOqBjEX>JNB*;y{LHVitXFi8lOIEw*uM(l>Uc?If|z=rXMs*-F6qgxD}@4*{x# z&|@VOCk2IZQc$d|L=2O?ja&K<(RJ(*DjpfV%d%Wr&4bHN^)Qdj!|GG(sg}_cI7dz> z!nv&*8C6sLs<*jDdH^;IqTY!b3@B8Ml2?Q5+3ZFq(mP+F4PO?~|4o$`8UA^=ZF_#C z+PLO;$_A{aS8&|{bJZC~!amV-_}bSQZK6{#T55>`9M5x6jux*i>3Uie0`==M0t;6! zzq&>nzC~->k&knomR* zzSp9g2uFlJVAiRLB7-NgVtkr_L1Q_Dt15WmMHa6qgIE?D#W5jn9^{GlBhLbGDtBo5R~&%o6B} z-sT(8o{X{gH>qoVr!jg}b`@dHqpPb_jpLW+=QPbiNaMn4b%pAh=>AjY1)7q@(LRBe z6Sd^hz)hpHWE)^Kb}@lon)We}D-1Nl*IDto3;QEyOJtv9^NL0|fI5x)Fu^D5aO|z6 zlpMbi{ayQx&pHa9sDN<%0#7)8SxGoPy)+!3<;Nv1SgAA+;1JQfFh@s>17a>~9`)%2){_F5JeIQ zp}&u0>>P}%NZH5`^MNM03a3^8MTa46WP3p=%B(UTLcfma2jO}foD;{;#b9!uKr_x7 z#&T>oJq*nB7{m^d1DJ{5)WHD6KFrQtJg+26kYt^a=trDZx$`E4i+Mz_gHeIABqrT4 z1*${!sG_TBrXN;)Ih2>t@j9m|n4>RjrJe;@&1J11o9zGXaXXT%ca<^cNYD)7o@?Nf zN;;_0OnQU46NN`jWzXSJ(23$<@(gPN&xf1O4U7=P;^?%Xj$rTaah@-Yw@wyDsBSr5 z7`w_BzhyeKf=RqOsQ(galf4*viQ9!k-%kWGjW2Xxgo7B*k4$bST#bKlur?x9_y@c1 zFDWbMA3xgmG(Gep^z&=1h4T#~2gj|?({yuQqQ7kX0(^i0X@33?!i>*2i3*baL~eK9 zv8_0Uxd|od*}f=!W~+o!^`FLk>>J$DhODiuDF-)1n{6Wdy{tQ&=6uR+TpH$4CHR$g z!9;2zo*}Kcopys98n=E)IY1bJW#Ba$rVgJGGfC5;M3jA}ao$U8zs+97pZ#|M^NqZvrN7D>r z(R|4$)<)9z`$cRNZURx|u}LJ*UHAfvg<~g`LBL*vog7Q%dYf0`0kt&YlR#J3>#7PK zDQiJ8abj#@k`L4PAhoJ894TQK!uCq~ek8RvmgC;%r=ehL5TQ_1MysI+PDMF$88`Em zEGFzO#Hm79Ly0jBY}f@zGCb(Kz=Y2Y_$M6jic^dH-HfE}eU`w!OD26!FGPnh6PaTg zU$!C#e>w97oOkh>i$`2a0Zq~T*_5EScKH;1-@ttOc)zh9+XtxEQLr@&557QFc?diW z1l}854#FuCXke-$Xc0<&Igo&;pNxBR6uFv?5Zt7%1aEu_yjKNvnt+(3k?7&mCyLQ zloYgZPdeUA5Vr6tL|R#MYoix>Pn8J%gSM9gkg2$dx8iPq(>5 z%908|>scytSMHHi?5(i>QPQ{dNmnPxZ2nE8YA0=Oj=%a@gQ~xk2LCHaRSkYz4-FYW z4o5>?1vJo*aCM@YyBFHccD_O{dmEf2FxUpis%$;9K^eZ9ZLmKcZCQ8q_#jM3gw9ie zLQ}IN$$|M7J1k1ti!jXX^KNO?RDKpeV-WW8#i#o5uRPFlM4)AAZhUG%e5xltwWvL~ z7hW*Go9X9*l;wI|i(9y;R-b#0YV|U|Nnb}zg!(l;eNcN%30649%T6@cZZq8dfC8xJ zGS~^+{)WA06nXpxQe_r^r_`AWdrSSk?29p7u4txkyz_s zDc|n~@%V-&RC~5nJQDLCPBY20Qw4d2<4)>l)%rb$4=sf&};-&iXTG*aXeQrRj(#L3{Kpcu40np^6;TaKh2#uHGiVCu5xXS1qm#j4uuw5mP< z4(u&;>@C1U#v91N-xn6=!#K+Vbl_e>Q}w|ufSztFI&LA!$ctT^;&~m5VM7{mtY1r<1~18*#xQK&59t>S3dJuj zf#DS&J1CO44D0k)!WNuT1(!q-&ez7k(9tw&uN+)Cht;LAe ze)Icbe8~*%Y>cN+)ro<)!7^S@){Pi|O_+{jj`+H+^EDKVv<2!C_UWn?Tu`IoY}=&AbU{iqyXmZE zlP1j8bT>ZTQ`3DS&ecwwul_e_y0t~lrbDYlsy~e4&NtVVb?BUO*$?4r&tJTi-kzfY zb6z*XLEMd*QYiWbk+AZ(!bh5#JMma_S|M&@%GAZF(H!TE>+go+XO%$tsw*;6ORaK# ziRp+b<$Si5hqluJ!z(Hc$X`3W|Ie~NEvDLRdQK)xIo{fJp_bE2ybGJ<2F8|g6+xL# z#%_q@dz(wq*mU&28cvLV!Z_+#nXq%oaG%d{2kydmnp-jZ`T!fkwZzR*iSaGA(qdB2 zJTENY&ZQFg8GOH+2wTR=au=3mgL2_!s#pzHw&)umqAXouv^|5$uud>76u-8B=&ix0 zmT`O0cXJd*Fs%a{`H`89I(Tbt7IHXgM%O=^E}_-N4j>8ZqjJ}B`!TUW?!srGicB0X z`iJSEAX>&Lm)WF+@PUZr$0tQh7dlQH!R^IwVL(-_8A&KJ!@OD}U~lb9?Jj}8Rh?&m z=!H@QQaH~uNNZUxfzv}V87+#7gZdqn`X38+Da5>dP49{fV)8ycW^}D7d&(>!6P}sd)o! zG(Vquf_-c=oFgF^O&szRz)lR-!Ne7LX!_xBM*Zzv9<;Cu<5U=WI#K+4d4E>k$9h6K z?KBpyd?!44Iehl;svi+fjBJZY5eaWV?s5BCR7p%A(6qTDM^ zzK=)MbT&5m@n1lLDEEt!xHl+q%b`DHGS*5YO}AOfnzL1i_`~Qw7w2ujnsYSN@}O88 z7r!>F=Y(l$l2i_Nkr?Cd-~gayFLIdP9=iE}T(Od&Iw7qP5HX+Y2^EZ>as3 z`6tNjHvdlANh7E84=vlkmX%{#;Bk|w0R9BnYM~Z5r9*6|;F3|+fySCFfCEs$8NFkM+Np^dC3gtQA9f2}^Fy>7OSJefYwNqz6hX*DlE^VUWeaf0F6Ugl8DD0e^bZ zx8;7QPgI=~fmv1^CT>6i1RAujw~{ z;M4ua097o6eQGFooho+=%gsrZBZU{DoJPBDm2n0h=OHP~B)z@+1Yo8=4GI*FbhBJc;$M>WKv>~_JR4MCbmZZLK|~tPjt5IWI@~ zAEN8A%NbMbccLS+I-IZ~V0otypas0_z!MA4gn0hn_JIJFfMQDZy_keoF%_SjbeoPm<=WulBZ)0c)cK&vIGa5W zPO8R>O=I#E;~1Em?0CqAyRlG9RMu3h*wz*H`Axk4Oos8bIJ47z1KQ>X(GArNXzQpe zx&a70fe*amRx&9bq3+j?ByHF=hTJ-5S9DdD|9H z{#PixY5F$(Rr0vpxDw~N00oBKfxvRDdR=tfs9FB#ks-atk9Iy4I}UFGHKwx*lfLhN zE0b7jF=PZ@h2{&P2&idIFpWzz(5mG`Pf(j1(8MFe9<}H#H@=*gPH&08kQ?sMz6>09 z+YTJCviZZIvap*9@#5UJUNfGW*w-vS#R>cb7w7loSb+1Z@j>SY7~j(uu=;VH%Fo1q z2%T1?zlU=#gg(YXocSAiUa8OYux31k4jAw*l-*fyk%|h!vYGOSJ5-TFpXw0r^;!of zL#VNzR=q8AaxS|+FmJULT86*;ZL#CZ2?I?Ax7U*#j3ATteR_p*pI#65=%w?^+#T%S z>3w;(|DgNwMss?zll$_nw(iR-VP=lbgL}F!@896MfJ3hA_vOvM58OzO^QYaHcR!NN z`|_q|zc24<>%P33R2`+nj(2QI6GuukMXimE?j5D#gIvmj8bjZ*~ZR`0_6mcAP9 z;M3yQ0^C^wZZCw4i8IqUUu0w)V8Q!%0fr?g#qLGQ0U3L-!X4LY_oo0l6UIIC0kk!h zG5(bEj|^Z{9P+U46VI<~WdKwha51F93F^T=zjxu7@|K!%E%tw&oaluTA$6uFLN=~4 zR-*kQltN@xBLYW?ee8HL86=R@_%)WEOTu~$$Vq)?Nf?jvJ;EAs;tFdt+o%pIEa242 zTKtR>ryY(3Am{5#SS)hA!z#N6rz>eR$uN}1X*Odhj|WTiF{Nk_eazr^aIikc9}oKV zF=g=}mcPb;FTrwsOhp_kPib3-_7n>6=_mM{;R#V5;<=TrKmfI~YE&zz6>f)?%~HFq zzf8@%tbsMGBkd$8}W2Se*gn8!e)=BllDb#J0DKc!e5X`^c3hM zsFhX52MCl2^!0>}Z_wWst`Q6Xh zmU+FTyp1*BrvJlawZCk_>)ID~2A16+XXbXNiv@wzh6V&wRMaq10|7x~nS?~a1%;5h zP9yZd_9Ww>mWQd=YB5Rm^Uq-ZL6`0{Vgky5{CJxmf+dXuL}9@F9<9s$40qWn4?jwp zVX6Iw(nc3{r}3+SDkkm~p!Mn_lb12b2=Q^3J7bRciugD(hw*XEFG?}xw`?#rOp^dO>={tZsRJw2 z(^zm#69iPqB$X0&G z44_4R#WD*hqI@{lWgg5AG-R2I>Dqzyg4F8M29z*HLJk0w&kguzhHrg?a^$^CXE6N` z;CQbBR!k4z7o`RhNhZPCoorE}4K_eCY682DO8PFn)x=fPRop<* z_j4r4Se^7;h(|@lC=ij#5<)r5N_3YB&6ic5WEpr!AF56jqcr4 zl@l!%Ao0RhVQ z_njajpC{n0#G)=)!nnK(cS8KdwwMJKu{kUF%?W>V-GeV5&z^O#1G+*%oQ3i0`Ht z-E2N$`Fsu@O?mkX+E+>WStO(I6?jk7LhneGy~tw(pRQRPsXFv)Lo+&9+fIxomQIfX6cGl>BH>Oh35YB zo6BU(gzsX#NQOD8&cTVXP$4{*$@WP)Z4&Wc$Xbi-zo@4Rw}HV{(_})k9)9JigAoiD zp9+#3qwj_V)cUK9m3KfC5x~aCBItv1Th< z+Lm-f*y}9jeA=>K?GyE@c$7Ze50?YjGgW9C3$?_*B3& zT};cN%*1^la8J$ta!84kRY+FQs&P&)l)oo6=_9&!Jlq0R#}YyBPr?+87F5x=>JX!_ z7$OHU-YSnCM$U)C%GOXzg{ziS3z_y;qOgX*VPl7+z)-nm94*>^YNs{}FI>eAff1ITZ8jfz%v|4CrCMO%Qs|F&{tqPFH)qNB(5L zZ)T#Ly4@R{sTMo!*K9FiWA^!aqHwAO&YIsjk7`NH*Ho%77aPR7e*LRl`;eAYb6`E3 z^t<=Z;GhAdhT?&OO#4^z=cLkA`BX-}>bkpt-dSiqh?^vpI8F6R zYa@b5W43rtE?FcB4>?(SklOyf>~^vplX_FY2WSbc#5g^IeYJVq5kJX;T{;v59;j_IRW75T|BoB;jIR&_hae};I(zF6V^(&Ne)=_vTKHlS9^mhKb zw(m;je8lQ-{xgPYCBc5+SnjT0(Z_Jx;!%RbM>41j4lUOy98%|EMTi5k4n)@YkaD6z zs2t*F+SjYsJR@}if^3@I8#?#llZ@xT0TB#i(h!) zsg|1$dA^vz9B_{fU#h)oX!%REHsc^|YU{PkIJ{Gf7oUTY%E^ua_6ZDzmeq)fyqJty z;taLL0&!IM%ynvD*#|ZNWsK7%jFgXqU5sfGieoQMgAPV}!)H;r{g2orP*4U!a@vE~ zrUk9LxahP6-lozqI7kN~xOks^n$IZQIQ~ZZV~A?(#ZtB$rm?R!_e%+p3GdM?@S4X* z?>~9X8}JMgUiIjo4f^;3e*05@bUNXCk(|5 zZU$b75PTs6;zLeA_O5<}mAJWV1CouOVFUyTYe<6MxNGzGc%$euj}8$Z@%`^1p@{Cq z@|v2p85>~*&x;_eizH!>9`Jzvd&HhYN?DBcn4d8^EPo?lMyPR-eHF5!0<4VF8bI(r z3U?;YL>g>VRq1Nuj1!0xX6Gh^whwm>p>!S1+9+8=-cYa%CYcs_wUic!?v1F1M(*0+dY(n%_iSKI} z*iW8vHe%dDp7L!T-{=pTrx(T@;1dMjk_rB20-nOH;BuFVf}+7u4W<%2;*P+c->F4J z@bzRRuv0f=@HJ6f#5G0nsi1+dbg3Gsl#U7F#{X=r*I|dZ8N!sY=`S0<7B{8%^&Fm! zMRdJlO6cI%e54D%p2d_aMHlAJ&YCYqG*F6PYxt%Yp6>vE5y4N)1b>`>Pr>bhUlgYd zevSGd3%}mQ>fNsL>p{-GRmfK+znrJ>m{SmifxccZy#9t1=WfKaaot}{&Mk$ctrbc8 zG1AGo(R2P}ac;Fp8YkauvjzAy$`A)6oD;dblW%(APzU%!3H~4pd`ghNyPn_`=LnZ) z+LAWm0bH|LYG zCc2h>2|8n+^p9Q?`(#9PMC{xA=mFu_i8{)1>yqGnBOgI5iph(*OcGff3KG2;X~Q57`*#y;%p zS|JjCsCXbKDt*0op+`%$VqL9i+lA4+z0YsMBDfgA=F}74lfF%;I@bDbZ0m{MUj?A1 zF`}Qn5<}hH2q4S5`vjbse)Emf@T29gOn#^(^IxC^mIjE)w79b*(;t{6nc-PklKt6} z;VjIS`@pQpn288szr0>}dNyY!$yV<|4a0Z7(SmURVVT~A{dk1JPbH7H`EfwPiFt6< zc^96FeES&n%$FR{wy9viR*;v0EA}wtd!Mh$@`=E6v5+$Tq>9wO+e zOZ#{i=EXMkMfzZ*mwKP?)DmNI(TYGUQLr62DaLTZwy}*J@wM$IY~hQ?t7vS_c8;bObz*KCIYLotzs(rg*-Z@4*z*$6hWX|s3V5vj@$?Eb@_AO6MfeytWJivHL%C9mmVSO@(b9OK* zzZ?vDu+n!u=|7>0mM7+c77Vj|a;aEnm7g`8c(Hg{Z~cmE! zDX(n|Lv2kN({E6XrlX3c6GwRGK7e9aP=^_FDrD5#d>7sc?pA`ss8OY0K8Z0bq^}E{ zxb@m#@Wk(?ALkt!LKoc8fs22nF7^jh0=>jaP%WyHs*wBYx1_#qgg>I%?h~*RZk3dW z-d#39ZxaA!$>5G&0;0~eqffrDX($`P@LNzt{(c$0$d%{=6*)sUPZKYiI=1- z%13Z}UaTv3%9b@#;x#*F;`35>D_E_8{UadIpB`l4=czri>vRIdt3T&@aLeK5*(tSp z&NDepkQPe(cz7sr<|#;C?rrXi9(#CSqhUX6fJ zsdG+O8S*P{cdV;_bY`q;MDzq4=83H#bFm;&jj{;3m=df8tw*t~xvsWa8k-6|z$@{> z)$1{M;DBY8;AF$Q5DLgOGL7ZP3xJ7bCaS=m$5jCiRlJ4OB=^UxPt<* zbQ*;!@c+!c34B!5`97X)AV6e-IyRt4&{%_U37~B-A~Pg`J1~(TE>T2cu^6q|C^H&d zAef+xmr>lRwbrUt>sG7PTF};pMFJwL8%R}Z7jKLxYDK_he$Vrsd+*%YlEK3F|L5}| zbC+|^Iq!Sk^KR!o?=fl-4CDUuxSSp$+Wzzyi-&h4zxV#45JUIYI(aw|6K7(^Z2C)-KyrMF~+}3SA`x>pr$Y0st zXL9!xm4s5LTYYM0>);yo(H0fyjvy{t8)xBgmj)e75wPXicQ< zIDh4P?$(VUXrKG#rIs2po3lXlk8-c*A4T{P{bOb|6Ak~91j%_%>@wuFlV4zGOsMzb z^U-iu9yra?nW>AO#>%4|En~2gdT~ioX;jL}rPd=^R9~lU+zl_{0Dt9rcjSkB6q$(l z+_%vWbmt)B5JU>MR7}HqnR|Yzs$9X39v*?pke1eyV}h&dT>~tDHRP3yX8Z28jkq5@ z43~3;jxOVqTmHr;V|dy2JTD0qLI-e(z$YBpR+57~mbGOo{2O=G=C(&YgJxl8xBG=5 zzLu}Y04#pl)qi9=Zp$#4UF2ATFMwwDE!r{D7tUh{%FK2;Hh@KrN7o3>)jGgtJdGXb z^V^$$%qdl;z5+_6nuupOK4N(ytNLa)31>cY7RnUCA$!C4Q zqxH1u)0PXxbs-m1R`}H1tb#GQS!410JpP}L|Bv84%f)}$*)CU(Tsi*WS=xWOE?1s| z>SLFrR0vnBS`*%4Nv>90tN%kJz&mgOQ3B*)M|i!E(M%uwx&8gE?KuH`wedHsYIarP zO1WC}PUH7@f(aZNN_FBe(qeQ?Kwm3sG3znUjfJW}@O=?k{_rVS&SAK&EzHO?9T}9o zQ>06!jC7NPJX@4Axx<%-k8pKZuWI@+`ezd(r(kdCb|vQpI9c1BIjSZrR27KS073*TVYz<2@irJM+0p^N zvTuB4E268ymEo#9W=<=XwGcEzaJ)hmGMwj4MYcHrjDT9!5YRt0Zda9rUZR`pSwYRZ zTB1f3c*VHFY~}r~dr)iFW6H}}>O)=e<0bjd%E{$1Km)jXkKAYCg~b`SzOtq88kbg6 zu2)C=P!rY|e+CswM@6ED1hnd z)Erff8R^q^&87<6gFfA>8HHl{GzR5Jt78zr>x>UTsYp(MzAbeJR)r_#Q>pHYg+J+9 zI^8;>{(Z@&d+OiJtK<5oHVGHoT{Omium0KNU99P&s28eXITi;8SXHkwJ_IGkh6R)p zJ{!>6Hk3(9lPHBX;t~fqp^B4cl8F0 zsDoJmU*Hm^5f$eav8HF-dG7hfVnJX(nRuN~;>Ip#UC8>JxghQ`PVIzyFm4Tv?qH{b zOzvaLGiv-~t%ITwLRJ)|OCb?~xJDl~2l4RrEzH74p=koiE^3LQ=;v8^--DsUNl zJ_HVVi0+amcq#Rf+&zxvGx*|MMk$(ruQhzC@$e@s1-R~zQb;pOh9t!X8ree9*P3$_ z@Yo^6yo8g$F?nss)P=+JQ%gW%Y+wlpt4tIcpJOCME2)Moi+HljNT=(Jqi#_7eh;DF zbOSJK9E7D`YoN?Wi%#cQ-C07at{SYMW%)$(<=d;Z55RK#hU+q6}nBNt1db4#`lMiAl(8UQy+;ZHdO3Z-(~ zV1ZeE$v1KFUkFaR77f$TxE@Ww4ZIm0Vqg}$!db}K!zx-|$%(FUFE|EIA~o4Qh~H|x z9kHh%uSVliba4^0AMoaVSq|TUMJs6gYf}o4lT_FqHh5{Fm|ACvOI=; zSG?!?51ewtRZUj{psxOQQ%AC5)oMN7w031T9}93h3rH5yv=5KX5G2rE#<*4J(Pr<>Vc(#Znw`rJ~xbs$!M3Yy} z6g{Vw55i9%hU(aJuW`|M^xS4DUgN__3RPadt}|8%G~lIcgkI63_l1kV^HPJr0M<~0 z&fL7bE7#;CDMd$Y{+m;Oi%2OSgXfa&Pd;OPTU4CZK81~mP$?eI8RvX%_S z7P5{xoEl!JaA3oQs;{%h5n8%IBcAY4n7;zSKPPa=WKFrwI7^Dexa?c72uV98zJ7moRyp|LJNjgWuqSQsZ*hT*nBchOWTsH-Q0%mP<6Y@_f8$O0U1!X{oRF+Bu9shy z#-UvJr_7Nw*p8jw8y}Jez5_{u=y}~W67B07qF9n0hATJ(=5HmVn2>KorKMe*@^!@B zT!l7+k8#`2KG_0UudpGHr&?eapD88Y_y_oZwO_B1Zl)F4%HNpckF222wIl9vldU&ns;W)F0eaw?L z=vt2N-H%l7#z^lzyEwUb(__7RN8}wO*=i{l-@6}My+af1+ncvpeOr1N$18@t*{W|( z@G-ELzHj$#6U@DIBr$iUw7FR@(qAED1)-Cj_g<@KCs+^+5iu)x`?VCCC0VA}vnuq= zudm=9hZPQG0xD$OxogyyT8|ry!Vp_>)-p66Q0Cw-79pg9CO0V<>ambt%y@;xTicqKA zU(E85i}g{@)@h;}9A)1A7q^%lc-MgSOTLSS4u&J9(D$e8F8IaeoAr23j(CbzdD5&~ z6vI5}N?ai6xqd2L!-@c(m4S8}kIV0RIn(lTNvMp9BqK@%|k zI3_mOydR-ayPSqe*w47}bH`9ZsY)EmCQ&aIotJ7Tn~dh6YA8c>#5(;-92=@k91$p} zd;|rRBM3y6M?Eu96OaqVMQ*QFw*#Ole5H_$GC#pX&h1JFrCt$JI=OI>Fx#syA#&Zu zDe}AC`1m3<+y8}MIX+Y6xbVi~)lva8eTA8KQ5@J#{33EI+*qWAo9NH0)sgA{b2aq@ z(+3jMFZ1XL!8G&^@gsdBexyKTc2saLx@42_qf_H?{{r*{YZ~?aEUGL7&ZT3hT%;WU z_f)5ThmuXkH%N2BX%re;4Q8lvg(JbRVEauIy}Qnh!*(Ck0_4YI`@bk-Vf!B4#P;2| zNWk`6jSAcAXQsmTRrm$Anb+Nm1Oyd+{b%@{r6CD{odh%9vQ{IIfd2;BVx{Oi z0slJ!`W_}T2}_6Z_UGp;BG(!C?L`=L1Df!BEE6z3>-jdF02 zT5|@pA+wpy%qq+oFc1sJrcvyb8F0%vG636cqqtMmeAVF`g>S*k-Z!a#kxBifBBQwN zs9eSb&PuF^Mm?9JCYni4p`5NF!IpOBM3+h`x#ZMfzr2uW-o<&(i7vm0+h>j zENrAgU;+PNTO-z@`3I@gsY+v**)6h9F}7(J3NLkTwrWH^bu>jPdI#@eUGp1Q*FOEd zQTK^0>AsQlh2=y0d?GQoVTURK-(Y-mD8Ni#^C+Q@q2ZrqxNaf8tp<1ItqV2nZI(dCt9 zUvFp-j|ngv_54)T7?ZwuHW|+-eIXD|`VyhyG*?dgFD}TU4ktB2`oh07QfRE7QD)NG z)(bdy))}wk7xLDTM$qI^|Fn|yreILEJ{F5ARh}J0-%^`MA~r5?8jpgfD`GP#OX18o z9%COniA>DJ{%8m;b$h1nAyU|15GM}gNa*1*#^)WmF&%m zjIf1|=2ji4%pxqfd$|7MpgZuuODTyi1qI?yqMPT=GZf{?Hotd4ZfwYX<97V5hL?i% z`moAX4GM0+=NI~-?IbgD*~X=_;eJ>66Hvg4?zL#I+|Cz%kx8!t>P9BLxFh!#hktiT-??R z?>#9-lhh~%L07Q|@^V8FBvx>h56q-V+vCVHE$CGZoi2iL=MpqRj#LfDJu92`0}96P zvO|@k{HaQ!o;fHE6{JYhttUbC?HfX_ce15EdUg02k34aFGZ9 z5uCk0j=3mKZXn|N8zbZwD^SyT5Bm?lDBKJGbJSTz&Gc6%VA9e@e2(Z;#P@SQjlPU_ z)_{qTgS~)OTlzs>)H4fq4Qh#xPUU_C1hG0cY{~bnOY>F0s!{u+Y@!EYp~;_02Z&&` zo*3KD0E-v%6S6}5-k%wbKQLfjP^^YStG-7ic<#=+@m z%nY7`LGSdtS?ifwWE0hQ_ynO3`Nl~e&fqn`O7YarQry`3Ie-^@6sv$Lo~K=E{0CaE z7Qvz#zukfxw2L+)XQL+*3#%7QEj4B!9JD9r9~z0BFZ2K?mpEsXCgupv7b?wi&z}T# zE=lMIa0+Zj8Dq)Z95Mv+Zfi?U795*x9u(9fcb05pHP*}1TBWF!h1dLKu{`Bwj)hX( zxEcx3-7jFv=|YwA_e0#b!D;?HW)n^va>{S2qu^lm_P2+&u?c9gpiJX(+%RGW3dMy~ zC@xGoM<{?(!6U9Y2b0yA&dVhy5cjZs(IL*+K0tpQc^P1PG4kT^hckzRM}!|PX#ueO zU10t$!tY9zB@I~y;C;fFUfF7kjgehS?$;|F)?kD0Dgh9g|7aO-9gwC5R0OxySDq=n7F;vW?3pfr) zMz`2_32JB830PraEHb*mrj17hS~cLs#yuz^h>~|t48YjJol;C3@ClpKjORd$R6)y; zlMZ})ZlM-w$~PBFyvCcTkSj1O&yOqEJ~-20&I;1jI^%TI%LNS5h*sHL6s$L$9?S~p z^1{tBo?rY7D_mUkx(CIu=ruv{G;_zzC&x=qtvq|{jkUnG8waDIY1V6Ohdv@BT5NoP zOE1J{>xxFlVu#}A8<~F%>lpy%^g?a%lTXOMV|ZTUS08Ch_rQC~J$z%dTnzZZrz?&> z4+aowi|6>l&%D~=Gu1yAvuagt;K7KVU)I9U$e~iaKk6xlEDJ;i55USQUJt77tzHK( zEs71_+1v^VF>|sO$vd6j)oV+)$7Ck6b(=9P(@` z_8h2Ri+SQ#_&Ia;h{N5aBYS_G-y#7I1_yZKn&<{2A2(ox^%!y0L*o~2jvYgh%bmeA ztRMUsr#Z(nX=r(v+Yci61EF2zJfRV8M=Sgx{un&T|7%-xW;e}!HF6B*r6rA)mupKv ze>G6H+W)dOUVeS0|Eo?7!G<`GQqx~iLuTMEhmlFQY!v`z=R576#e@oylEDM(jlY7R zAdlOAgNJIE)T_v(a^pdH7YxQfZ!p5@xj*jttwMg6t5-$Zyyfj|dtQh9MAxD_bKAO3 zW0+mOq4g&NYULM_vr;`BAy2CsIw@q-qao^1dFLzkqXItCmv=tLCy0J3TLUC$Ktepx zwtkcyoUZZj@mlMi?9eqF+iHE8KxcGd4Ik>4TeY&}0I9NTh716iz|AISrqHz z7}tcNSHu;aVHQ0=HF5@Sk<(Mhujvu)Cb3bTY&0ao*?Qj`2go8x(ns#Xu&I z7VI~ncdo=I>cbE>dk{|&K(KwFEXSTm$N0Yjy~Is;glRP*8B=%|4pYj<|3We}^cS1H z`wMe|Vub@U#({wNJW}A*mi{BppSwy6p9Ys9Y$aMOjmT_?5?DpRNU74Iel>B5#&TOE z#W##{oG8wXhB{^XhybCAHS`48F-t`J?5t)Lq=my~uPJA;YwNQV@;2CzJ z-~FZ^DFgO9es?a<#?}JdEIgfBwP<(cfF)s7RpxWbRD(z(d>-^pu-I6i!EE7F<kz@k_m-O6(bBpf;fuNC-{sqtN8-W5{YGx~@O7_Sogb)ZimO=&8+uIpKo zYuQNz)9AN#)UUx$A*qnE0`jiMep>ke(cN)u4w3h-rPNr;f^bJ?Dn0G{?@TP=^DV%R(BJR3-_o)!`S#ZZ(`esky5VWCdn)BEplo9m1AlTIG(bPEw2jvTEHpEY?LPE=K;R zi6X;lFTjr=IpUc-S_v|&7S)Li+Zn8Z47NE6pK453?~xKi44=SXN**BbLnamDR}_tQ{^}imCfF}1G3GPyo$KmqO~~K+ZFUG$ zWQI#{kqSrGP(wbpFGj{6ISUFWOozkGJ2f(l7eJKvI7LYum;0pQt{+W`TzXlSf9b#T z0=Y&~H*it{jcHW=8}!1jziWMmbWf|;-_Y=+Zy0i|iws&Qz#1zU*y8{I{@8z@I}Gsk z1JCHLiO}2*W`rxGSKt}c4N_@xvQg0=ZSH5U6g3dPjY;Q8pQ_d`lwKD@FBD=X6X(=h zXB;CvTW)f0)FKSba+R6qNh22f11^s6JZb2>7@7%SokGuW%K5<-uLE_dqogY!w8YIB z6Xe*z6DY%ALY!ln0Cf6ixiwr zWD$o{xKbcusG09ip`&I;GCI_G+gKt3XFRO!KZ<%jtFjxFU``y_iVX>p4FMj>YY)~*jRIzp@&OE5s#UbKD#CPFgOW%ehyns zrK_=_RP|$7dN=lakG0W(`k^}`QIV=2G8bqmjZBPcGq%iM-R#t--7g#rCn}<6bwAg63u5Ml1NTjag!_jx%5`Wn@9*itxoK{|f^c$(#}h;MtHv5l@D-L1{= z=OMT(cfHoSgo+G~%V=Gb9X_XE{y9a#-ciqReDs>TR6Hzakdv*S>7H9n4QUA8fXga9 zP`Yy|ttC_DVpk9L5&a_;bgj&N$7UakK2*HqjCX`CUiDgN09jPpWFfidnomJv8Syp)vFCTWX0~@O+8c?OYdAFoQC!Cf1E-Vn1!jR2*vnSRCDKr^ zRxhTSrSitpTkFRaGUpV|F?6NEh$_q;kpGx-61l_ZB7tGs8>fbnS5dW{q2-O$s|OZr zG+MW*r}6bO7GLIbdE9gBM)(EB2n0TFi~p)?c!$(8Z z-i*3Z$T15HNBa++lF-fX+M zpQmna$V=Szr|f|ke8+s>_WIcEMMtFsFLiq_LJoZ|_XO+Z(bs z?Y#r>ks*9vxCbC5bc{g%Twy`@dt9X;n87lF?jc?WeO{7zd$tn-+zInRvzt3Lb#sTm z)H``T?16~L5Wa-=HviZHZyl~ufS0npn=^0k^8|ceWH&f1b%TRV0G;?8lzDq`{Ad1ywvU83)z$*KI7=) z8Z@HRl&I%&`#K$coXA#^&4zB|^B}uU$bb7fUHxxBIP_S50zE7qX(8-sT%|x*O8I;V zTl!w|S+m`>x>@ zfS=$h1%N5}`xB5o8R9dcy#;oAbM~UWlQVBGQ9l2`Zt#HA4W^RMuR`=>2w$T7`dzt& z&|l*!1wvEFuX8hRk4_pa0U$|=a1#FvLoLQeJ;$--t>GfB)+=|5>7aqtilxBz;B`s% z%_U;m6hLyc;!vT{k|Tr*6;Y3uJ(^LU4?jn#Jopg&7llFxwp>>kJs{K%xszrrUzt~t z)s??8uM)dO7Yvbde>2M=5+2no!CiDY&m6Zr9^zbv{fmTL-d@c17O zkT(W8_Q$?*`7SQW_Fy_NZWrB3JowFNpQggUGFE?oJn+9WTt0vMoU0tJA>}c=FbVgk z3+@+zD+3S@P>*Yb)DI zk2sFmhn9W4=eQVZEf=Tq`veEGb`BcrhYA1HMx0saCsJJ|zLHj~Q~6JJM?H6?Xv7@9 zVOAsGv5~jf2-3#LsQd;X{t+~Q22a7Il1njND}TwXA!1tGcVr^j8U&GV9ZOsPAjYyo|;}#jj%hBTa<`vXY1qezv(l^-m<|-_kEk}m?&#@>T904v3`HwHOvhPrmD`K{!gml4* z7-Ec+b9%h==!@!#BZGcTugR~jXebE&_$zNdmV~1`v+O@dBUfD*{czTR+$x^mcj9a# zS%nqK{SM*|edwIY2q(%{Q-(4I01L*JEOjiY#Mb*N99%ngY5Gqip7Cf2GsxH~i$DyO zOk6sYj~xEi;plX#fq=LK7szE-CFC-$9{Ij5w&J0LQmC)S6=MS~0@cMpb@o5v`g%Kysq=lT zgKEZtGLUK(qvzVuirl+VPwfw5Fs8&wGJOuhC${rF>X`y|X&ZlB#c;PR^jugHRz0GT@sf$Dt$wEr?9qZD5ypTEtK}FJZm)wL4ITXexdYC~J>;21xa}*4r^! znKNk4(k&A-O36neyI@tUE<%u61YFlKn#oj{{=yVapOo0>l~D)xzz8;?e~YZKHSPsL zLi3bG0R%F7wNcFOMK~EpaH;5+B1>-!^&tTGX=$tooE_@ahG~ z0{?O?T&C?fGXAWZwrHr=_je49b=~zf#pB41>D?xUWbKACtPFF+a*Y`lJpYg3fm!kz!lw>o+OJ>+kX7g+$cRR|1vmu3ONyDR_i7!-|0xOd)EGgQoVQozFo-D%Nq? zU0boz|2F==n>b?1)HG)UMhdgR4tUsBY;yzV<%|N<__5;dOnH)KtX9i=wVvo4t7rLK z7z`!T#hAyjpogw^6UQnR_23+=N_>@iu!fN@h~b7)V{7$SK7ZODIpsuRUw`|wNMIPk z;?6->%WtrZzR;2%;G)=-2X*w*Twn{jwrlh8>-^y z`WCnFt-jW{k0}v z@Vcs=qGKB?jEpPPMvW60{3ESwoxW6A{V`Sc?V})Q=B*$W5)XY$FAoR#lI7uhgKbPn zPnB4!M;~MI@aFF2;l!etJp2JZbjZVUcC!b0DD;rzZ`r{;Dh$#ZZFg{G4N+}LE~Un~ z+sK}ncx=XmJpGL9f{h>TTn0(Q5KJrHoRZWH$;X*E1hqlgLJTS%=HMYSJI<&=lvR<6 zvI=g%krEVJDgx%Q?2s1&-u50#!cBvqWn?#E+T0ntTCO_*F0vm;Reu1>r{4^uhKe7@ z-OB0{qFKno-J`z-^o&5Pc^|h6Ag<6Do1&F%^btbUqn;lfM(SN_EhGGVXdVP?0KuLr zR7G5W?zW&qbXaj??)m4-Q;=RC^ov*+h{_Ze@bDW{#dLTx#sUlFF)Z{_SQu_ji-D*_ zceTDt%7?|p8L|UC4rjan?7D(aF-3Oa!OXBPZ^Tya>*LYy3|%c2O-fA_GNq{up`Niy zi(FSw-ZEFA5dF9m{V-98yP512lrMzVQthhj2$q`TiSvBAg7EhvE&iuG z#i8=;(EHR*E8lkCwY+U>FmL7fsL3kPUP-x{kmcfnsxCk>v$avr)D#GCx1B5oPp!;N z!bLJBz~H2qn>9tjJWanWODkI&o18LU&;n0BLMCTBN`t*lOE3u1&(CW{C(qAQ2c?>y ziy^mS^YcXn(RZV-KB=~dioSX^K6K2_MME>0pJu2=ox~r57f24(CdK{vtQ3F(KiEOm z4pj`wYAFu3$T#=hmg5*Tj8Z9|H^}tGmKhFesc|4S=q#e)RLU0Uk%|F1nkGHMGSC(Sxn#Pi*D4cvnbCKxmM@YyG{OL#g`&P_)4;G{WF;0;cRu3 z>sv#J2MPK-G+dv*(p!*?1c`+LSH$&`f0Mj>V-KY(SYf@!3V3$?_WQyyaJzZMlpr>qL9^7ZC?<3#pQuoO99)4#Cf&>Y{( zaK11a;79B!bO7?bczWk2;}XKPljC7`SaN*R4{Tb4)cm=F*P>yJolKW~U+~xkt&9f@F`#P3C#r?uT*dn4u{n1qma9GZA--1Gvy3!CVIgn$ScZujq z7(cOPuw($ya0L1*QNZwdn4xFJ;Z|2x`YU(LIu!c{+%Ftrx8ENpr%3xdlG{%;9!fuX z@esO1utx(Un^Mbp9FxNOJcWLAO;6un-2L|_%l9fuGG+V2y8nKUhyCy7d*oE^uKzFg z^!?-Ae}7F+-(TGQ_b2!C{jl!8-*YhG&rd&ootU}ZjNgkregAm(-(S)U}s$f%E@08J%4mitiJbf-7Rge#hxk~H>vBp*HPasQeQW;c74zFO>oqA zYEShY*K>V)4vfM339h@H3-2ac9Le~5!cpJ-J=GWKxxRCy4(M0u_>13JM{<7#_4Iw8 z?!SL$Ko2yq+G)ilw|{R>--o;Z{#^OK8=CQV|NWqzzVFlh_wN+;z#mpa;kV~N3ix~a zKHUBH=i+;7exD|Fb%Tr1&bm_1$G(pGzH|2g+jeN|ap<;|*rQ0|HO! z)3^;6MLmz2zxT^;oT`BRj6%xs>Oxc0b7gW_q|#4tONTtwkt#W`s$9JK^CA57depU? z%0A73JpAER@<-bj^bJ%lyV95m#S&F;#Xrtt%<*%;_iq0< z_}1Z;@U1j|UzXp@;JZD!Y|rpL0^znl;rsRg1)mzePjN@^J~V&dm)`{NZb&hFS42I} zC6`SDUY;`AXlky6yh;n+VT_keH-2e`Pvn_n{05^}q)!E(+k~sP`5P@DyqUrGdUDyG z;rkEd(|(0-GDrQUm1RiB~}2?L9zg7-9lHT-zrOo|kb;cwUg-p5eJAMY$f~c@P3? zf5G!@zJkZ(f4CF=C%-*|^S2b`QiJ2*d7B{T_8y!J`Q34G@C-5G`9X?)rXsgbduPfI z9Ps4qPk5%GN8|@2L+BT$Ne8ihoT8(t06IKHxzq!Mt>PAg8xBFe_X9*vRSJb7Vg5vV z#lbTNw(HM#nam`#q-zMTt-pmEvI`_Xy zREmnMc^pDYB1*({>)JCEmg=Zcn!CD#E=iPm-FT~2e7RHc)z)C~j@GLDXb5>s5Ja*D zTWN7$5ar^Cx-X4kAxD*0 zT8w82UKxq=(}n^T$=T>I1jtfFE{OrIs{M|dvM+@ zyr|;eMDWUy7#sw}Fi|_Qa7-;mlJ-(0X?OaVohX-hBQKdvu~ANEc|^m4fhLQ2a7Gr% z@=4UQ9UL2}Rj~-05HaNZeg_3)bmuD3Yg#4!k?MjR^@_}5Ez6fbY)xS zrlya}*BkS_J739mxADy4B?kT&tMcg$N7#uOjE6Cvip0o^@U8&=?bLyr{=(CoLIZX6 z4i0{R?aDhCs~EVh=<378feL&)Q*$CZKyn=-fk>)~ivFq=1 zafz)h@#2p$3f);mLOTkF)R=F=jrroF4uGnY*kRwb~Ya}TaC1WmE*V&;6Y&X0)Yur?C|sm#JY2_ax^{fMh%6&ufH z>ZRG}!tBDRC!7WTQK7$b6f=b=?0$)s6m~D^ZDV&8n+ITL1H0|Gwy?`Vzi9xojaQkjA z6SqIq6x#X9Ms72ayW%xLZXn!Hp=#7O_#?IX#v2$}V%Oc)jDDxWaOZTqX#KXod;UM! z;5Pg*E=7YTZCTJ`p=g1bb_%(B+F7dkMbiBNR}AWdOtFZ(!a>}~FqS|?7OZ8zbi%4d z8Ud@Of2ygpQZZORbD?P=QqqzGUM@c4WNWbg7yF}i2E$z);O{Oh^$4tuHmnQ#rGa%8 zUSO#6+--E_C}8KZMKzHuV2=f~zDV5g=MQ=5;5kvj^T5nB@Z5wW?lXa>mMvIg z)Ezu;O^Ac1<3|=eT{xpCB{6Qoi`HEY?zVn%QH=cFa^ZEi?at?`I(OR+xoC3FXZpy9 z)@1xOw+D#(r-S&eUt|XHRJM=_#Q*ZgLHy=P7R2m*N{F}N#po75V!_j3Y|rTdp8L~L zj3q&jWZd`WXj2<;L%$ zNGjJIJnzj&Gd}Zg&kUZ4Y$22R^F~b^Jg*G5;OWHbK}vqG0WU^xaKKY&y!%}b@LZk_ zoEMBul{I>sz_S8>A-4PX1WxoPX@=)Nn1`8=+f(0W z2+m2>al`XN8=MKbX@+MwUbtWU_rdPgn8Y2;=DMS|ThamK$q=Be-((2Tg;j9?oq2*a zK1ivUn%)}l0xD6yQzg2T&2nqrdKoVi7;o(9 z0gOkcrUBzA8gUtz8dtJ~Ou+cs8wbY6$0{&VTXBAFU_v<9Pt8C`sP;wj%#4eaMaWi! zW@m+twx7dEfg`CLw`vViiUkK?-^J&-SdazxM~vkwT?g@J=)|Cj@>IEa*v=(dTZx4-)O!694yEz~m*wZ883^Lbq?KH@8 z;O7{=hq8RE*kv%N*J1w&5~QCCBgV&czE!?R?HFUvuDFV-7c>^YP=;madg5I`H2MQqbak-m^!CBf@5V%po(P~*=zwmjK|FC%S`i#C3pnK1Ng1c*9IcW z&7th1TgF(#M|?J!wC8Sf0fdxO=;H#@#(fLbskyY^D`GqjwBts$!|-#z0LKJjyJuk< z*rvzX?TNOmz*dKLjHB3u1GeKGuxa6^5@ECL+E3%a8(@R?LqL%dyaVt;nYA)>+t~PH zJCopZnza?imb24<*K%`@Lnq*kV+#)OG8(#r;$S;#4P9o_mZ1+RtI5rv&XRybxm-hiBme#_qLp0b}<{ zxqz{Iv0T8|-GD)*vAf~FJplh;k_<4Xer8 z1lp75rXktSZ_Es|#h-r<(B@YE-Vk(!LgoQ>t_ zK>I<4puPLq_W`PvUH(EiaY4QQ+I!ZeH(XkOz&HryR(e>x}4sGTxDvr)_W zKA^21R=-p;lE!iO6hwL@&s z$^b!1HgX_ABTyEHEHs|}v@8$t;HQoa+66~ikX;2^Ipv_?;6l@gjtTW$+k1d* zbvk`z!@SI3`vqIrTi9m6XB~rW{t*^z_rh#W2^)`zQ1)~Tw!?aY?f0jpp~(NXWCq)d zAAb+nZh|*A2HWF9E!bYpP6OK`c%e+|U*SsW(O$OP9gn=?tTeC{WeD4QUEc$?C*j$R z!M3*8g6#v$q?F^f8ZQ(?m$SX@Ac_XkKs0V{W}{W`eIVKb-);<|uZJjzQXAYjE|m86 zl$gDZ9gzL4ZHNwY8!K$;u~^$j#_pWPb^*@E`KM)UznFz#^KnaF#Rs`rqqDr&zup_) zt@IUS=^OCEv1Hp|o<)k&8~UNJV*x&GyaQJG>bP&e-luOCGaM%HMNi1$t=a{)p_8MN zZWq{je_&Cy3k=)8sE%UumvI~nB((!fZVw;*O>|Mza})a1fnld@m@}s@VY>7mxmmhF zD|4N(87GM>ik&XXj$zxA^Aw{PKv>H!)${>8=nm`HIU4q+YPqkQflUD|Z)3hd*FSourlNZ=$wxP?zL?(i@^)G^hBFoxjsc|bd zEAo>vRdSXK%jI2&S+NU4NTP5FUSq5}7_(B(&G8Mucsb9aR2w@PPh_3fq4w8|z(@!5 z!LPI4$Rq@OOeyj$&H`HtgohZTWfTzWhI0)^J&X-(VQdTUK$TXSJW%wge>o@`_rnwJ zhb7z(O}HPJa6cg7zE8q^cEbH`Oi7yn(f(%%_nis%|46vsl5oE<;eK_({nCW{B? zCfq-saQ|S!{Vx;lZ%eojC*03VxSySHe?`Lm#R>NpB;3ctlRzIeAmuZCt-G>=lkhhu zcsl;(h7fETQ&K1dEbBECSvi*f83_V6AZSUE4_QQ> zo*nlrdH+|p?f*{N-|}uZ?YHgE_U~5kch`P!f3`nK!T;T59CrC?7Kq z1?2e>@E50izZm$o?@fJB=aI+d(16gN+fd%t98J|6JUtUp>g+>8-rJ+H4-FEoSDmF2@yeLlb}ftr*yU6Oyue!gu~0bd6i2fL^wl`q6e&73&G8|L z7Y=xK4{1YPFu2?=WLGYAx3#EiA8vwI;-N1G7Z>s(7Z+z?d!@g1X&x$=yA~T!IO~0%W?Zdbz6wHef;`T9D$9s)MZ~Fb(arGBO<;W{>jD( zpG#q%(B8oTn6NUDo6ru|walEoz+ZH0I{bC~GGqMhMSHiVZEx;=X>Ul{_I|uy+WW&h z>G1jKJ^KTnW74+wyZzGM`Yq|;o4#M#o1V74A7tL1ZNKMsk_$7xsIvDxn*mJS9%>c(*fytM3iK>i6xocs{O9?eweBI_1#D~$S&ZR(6(QJ$<~tF-i`}8qssk}CL~Jy zEHucNB8b2v0#sqA)}6lG7=<@X*j~9jIAu|7WD2q~uB&BQ#n*#X;HU?>U+!PIF?59K zABipvRIbPI&C&J%J~#pez;<8GuG|p(7m^SH)qed|<3C7=psEZ#4I=5f(T_j#94+hM z@8Xl_UQ|Xs)f>dy@$WbB6Fw9fn&9v_+jMvslitoj4zR&>chJ#+m#)jJ$S7KoGA>Kg zZ{?;CEv(BA*nKZLwCaj9{iafR(JDXsP37v2Kl)7-_#1BJUsN~#ZdR9ztK;O#tXM>$ zxxq-A*U8p8AI54wl^lD%^y3#YU-0=`V_DHW)vs`Thd>@{h>WRFoyGx7TUC+^yS}YH zv%Yy=)ww5r!8);D$JLx+?Zz9J!U_HYoKw0^Fx<+QN&ckmZ*rEy2S6?0CWTNFeF|?8 z*AeJPhu{r!5tFeudi330?AuKWUZ?v>(J$vOz=k($w^q3YIiyLdBX@GYzCOO>vFuXweYMY$*mu%RlXmBjwZA{1UJFrnEpZJ>W98ag(vEnL>N){ zqt=T`zERW|9;1cl=9|O_jJM3r&O%-{Uv`#EcXK>jW*4LVEy2D~&(@8(Su3k>%OP8C zzb~}jUkh}utir8&FZ4Yno?nUb+k_s0c&m{wGvYaf_#!jGIiH)z^h`Dt^#svnsLD+k zbF0LF*M%+8)ta0SI?_6pVti4KgI94` zZas2T08CfNRvt=*sHX<(V-XN#9Z&jNK>zy`*}>+JA97%>@5Lu%mHtqE5Z`#P$M=->56s*3$!L-ej`?$efPGeDJhkU$r6jB4g@^0{l4;ka!0(syg4 zUTVR^V5Jg!_^vqW$tFGndMn>(VJV>LQ<}AKRWqfZn>*lGU(~aHy^J)p?={gykFhus zu!BsnOZGf@6}eVxnOmF{RQa>Lc(!tUF)FF0xs2(ZPlah6uJQr`F|Y|nT{CtEvII*Ph7M!FypRVLwpkGK2$af#i*#r^x|^o@ zAZ4nTvpyf{%a;0B+28~_-F(ej@S6G}`-`ot+!Jg02VgpH5%U-c8)+e@P1>l~u!Row zy>srvCWdx~w$NqCF?leby(!=d7gunD4(-?=MgH{>*<1yf>zM|0nhS{&ep>|B>%sNcTRZ-mgpd{!R7% zKk43|^r6)MUAp&osrQGhOfz0zs`tmIdw-@O^^Z$>Z|mz0e=Q`NKDnM+L}5VpHBctN z8?x*bi2s&r{WMRi{GeM}jpei&pTQeTz}fZ1$vA2|6mgclG4AG={=ZVR5akxZx#p{q z?2QMdghPf|ZSfaGynC%f|8KpZ$yN@1(CncP*2U?Az9w54Y=GKhPp84 zFe0g7+QUyPyY-iPk!idrTdMtbw8gDb%qLEHL}7twtiQLl^4unrLr>vnm+ahNuGM&q9yX8=>j^bzV7)P(jsswdiwiVD9RD(bXOO?L$cBdmpy^Zh z2P~O@5=a0g!})lVJs@Ecm(P%0*`>&=MooWJE1QV*uQoO7kmbLDuSWIP!VA?ScK|ugE42C1 z;2{k1D|?&aaAoVY+==y3Pp`$8B{*GxlUmE20+L&%h0$nuN)bRGNH$~`Sr`B`BfM~-n2nJ(=Mz^l#oVf}r(E28 z+UGOwqy#dx5s(Rdaq|s{0UYzqg6-E!_X}*9%)pb=fhI7@d`w=WfIUp1D-M{00?KJY zs)F2|@UqC=#;jm}xS#Q0%U|i?YXsDAEh^Krd^*JNFceh&inR5MYk)$%$*g=k>ViAQV6u7eS%TXu@KEju_S z5CMOWc#3YYxy7VH5PF?FA z+W&R*53~BZsONJuErJSIE3AcLjdg>5eyoO5+XDBJ^&e9o5d`<7lFUcldg~(m?LjNq z&?yWz{FKFT|N58jsg>NQY8qqLG>bK**GlZ^|C;D0Cf!g)X-ZI0z9DviD5xl%yi8P4 zAZVJq(@#|WEkK4+ff^F(zb{sQI92^IdBX{-*6~k+(vlO>TK^($^8C=L(EIYWvZXk; zWiF1jtb#1SqE-9cANpssYq?9={bI<5LSsEmGt7%8ErbVNBAQySEzM%yIq>K={{pM2 z-TDITrwTI-6~ZBm|E2hk9Z9N|O;1?0^kOaMKc$Mbeq3)mC?ypXm9Q`-`Ph7am~&H3 zfpmJ}_^6!idW}(-S&;W|QN|W0fcJXQlSZ`1sY}#h;~pf_JIGxF`o$K|0R5{in018p zVz3@luK=N&{nt8jz)zzc2noeM$XDxy`CjTTh856Hs!``psxv2xm20jjg=-G$BdjgK zH^(Z?`mmrGxvl+M*{)EoT1F4QS^|m_#m7E_6Z94*qQS%~G`+7j!-q4L3Ja|HiuI;| z?yo?KH!hfFGhka^?$2GVjhaVI#eHXc*jF&$C&$sul=d%?i;k?mFbdHDrt zx^p{p9CYV2^kptC1N!+De)y9CfgcIyVu!n}$qt`WF#j9@;e7QC{StEEiRji2|3r9l zKDIs3hdOdK#_l(BK>}eeuOV(Gi@Yveg+{Q{mTp&oJqWS!(l>&#D(tbED5_b~et>7J zKrs_V(SS(S@=Np?x7Dd1d z?r7ay-{AV7QzkKW=94le*}C5g<~FBy)N}eXGCik27v2=au7l);AuwHS*GV72^)Pzv zLCnmFMXAp;vgcr2Cu9C4iH9@J!{oQa;fB6I)!7*ir|=)w_w@K%ARr0;fK?>e69U^i z9NP9&T$=#AEDm42aDLApIB}CuICn+ghe54x=8)2i4g5}7}~_J2dBHUDM3TjBE* zCq6empM=je`l&`1QYW0_oKnV*3{^=`5x62tOI8w8nA+;T5S)A*&aK0C9^G~I3qVD< zdUzpVntCN+f=&82xGbC@STZyMtg5>ot9hxrl%B^8lvu>(ECULO#M%^Bs&=UBa&s_FGH(nBNK7 zazM{kBwE=XEC7~p05vs*(3jc_oba~vNd`iS$RD=3?U3nc;a&j8tESN1N(4} z{1YQnh|GxR$G=r7fM(=Amy?CFNwW|T*|+s0FxsrpuC4fzK@9_1C!KXi)0N=?NP>kOU{+RGx{h$S3)wBN# ze4qY>SakLdj%5dDXADz|ay`}$bF-8Ci z0MU94c^qTLE|x0`h)Q)QK-k)osUNC%DDcNa@vT~qvZB0JG_g8HdEJgrf^BrOH-c@zIzFMfW~ou;3u1D{X%TE2Y;+Z?rx|53|si8Y!>hyo^645vs_u= zou}>y9>!%Wr9&Sr(rVtf6SdxWNux9(aA(D9ZwQq3%RSe+`POiXGFX0;#8oi z_U9@8Yd5WJ5yJ7@exKFa%BNIoYzvCaWVD6DlXJN|VLEGL4aDH#Y6ysjUks5i2n#U7 z=-L!k>+7=O<~hu4wb-abtDr~3PtjWs5-2uqMTY5EQwkm{-+P^H&{AYKG9-eTGYCHm@Y6A$-Vtty#Q@(R<6VQV zKs9F6#mcqd5SANi1NPM#{}rQHu|5rq2!b5Jr|gKFJ`KHsJ3Qi!>XiPAJR%tH`>r@kDaj4VU9kCKd^}FQY-lF_8sqV|0u{#a zR}b7Z;J=N>j`=My%+;sB+*7Y5V(wfA=GHwD!yFVl?mhK_I zI{{y{=m4W7)8Z>e-`&ihyWf)eTK7w92DLpdGpNa)uLpn(Gn%g^KksHSqYoD|#B;&n zg4y{h%|NXK+>*6+0cCKqe^ z>{1$mWqY9b%|Ql>r5cw1qMrVQTA$b?dkiqWsv1LswA@u7b_%>wOd~*SN9ACuHNw^} z^+yiQt;Qq@PeG}P<>C#-9m>bb?gRK%X9!=!_4Xw=n1w1ZH9W4+(qq$;*EFW#-TpA= zVZ4TC%NK`#gxbGqhTcag74RNq)A8sB%51k4HSlrh5S7g`b8Ja=5b0ll7ja`O97vB~)Zh3}vGU3wp?`KK<^Jmz< z2Ok!pYWDBRg#NX5mUiM)DQkfM9fNUg!&Z{kulCCu|~05p%!yJB_7^if4pIe1G;~C0&pdbJZFiwmu91fXI^+ z0uz7-04%n*RtEb&cQYzN?bS3KUf05KB!sU96j#GFa5V(P)es|BW0|ur+V!C&RL^F( z;15L^L?0|^@arqc=OkN{!i-IyMvjcH>pAH*YMkme3@*=8z^7Sh*$MB4f{KejLGUv_%B{x8@k_5UPo{T2J9{+zV+ zd-nc1gv{aO2@{v*=X|IF|93H?t>ho6Rh zQvXlV)?c_!>d#4AfBU2R0zVI(mJUBn`=tJ{Y3ncEC-r|&o38&G9@!W8xgl-+E&HVY zBhuDizEA337D$JmZ4d7Y{QM+s{fqWV{W)pt_wJMWADWmBKRbTAFYq%qZT%1IllniH zkgorA`=tIG($=4~PwGD+ZT-(Yv@h_#%%2WF4SP{P{Cy08i8=2P*^m9k++S{Z*N9_g zgIWZ+UAAL+zHYPJeka_?;5hpKllHSiiCi;X?D;^%-{jkwogF;Qr)Oi^F!pg*izx{Q zV+EtW+@&xwXS(O|I8U0Ge9qXi*mLBr^oiZ$Wk<@5VcXFywdJ${0Uh<`#+a%GzJ?R- z@!Mfv9;c zsHd0%Vh1qRVITV)vJ_o@HF&S`zg+KrFh z^qaX4Ka}UwFDYKaAPHkW`;8^PMeHIBx`V3qwbh8{)8F7qI)d(U%oCjFibvJd)%V}#|69;^n#-Sm_EH)wG#NU;IhxrDwhQZTKTL3y0_5R+TewC zizt+3p-;IYjm)_ycse={f79u(GuKD!n&=hbGC~j;HW8;vPeuMBhEEf*2%j!R_;fi= z`irG{Rf}-p6udGWKLT_--@h2KIKSycbSR~76pY9cMs27Haso+9-a&0;c2wwV3R2aA4K2d_LA(lDQ+)` z4NMCPT4E(pJ_MZdVfexx<;lm+^4ZDd)qG+^0pfEdsNhvBFDciO?N6oaP~xM(55apu z8M26lFnlm(zm8xzdLZ>ZW>CI2LccRoZqb1^QLB!O1NWYVLbD*FW`Uv4!gQF0=`ahz zXyVN*d>*xp-t_UoZKqj#4dJp&@L$sVU2G;Cw>+4i!Gp;SHSl0wARf%A@L)FNht6<# zFtIFk5j>bHOb_NLcrYmd;hM&d1BVl{=0?+rNw;Mgj?1n==jdIn$=9-{Wp$nIbX?Yh z=vu!^<+yBDj>~nDY3xnODHdjQ6T_Ou1NiX(emsDGYrX|Mz^sC4vQ|=W-Qk#JFeV@s2W*AzzEtkORcznh91>&Gf}!p(8%xOIH6vSRriOd79j}s z8d~WiSI~FdsFK9^!&4UHn7r#sPOOboJqDrpw@G=(?=!JI>X{a%2-PLnn)rafb$t>( zNGrfepI-}3HeExU7-|j}1Y;OUKx6coXEf@Aa&aO=zi3Gs_LboglJs}tBwG9eTMA1! zC>@A8$kI0?J{71Cd~FSao`@+PR#lsCM7c7Lh6*0^ z7B?@D7Q0Gf{dxqC5=#A1mEr>f&NvB!( z-VRokDZYo@C-@%wE5Y||H?w<*_+E?p65x6VkN!t||GXP~f02yuM%AJd-zO#aYpg0| zm#iUq3>I?rQab@(9Stin6FOLd%Ium7u`@f z9%tD@vCXBy_13U8l7!NABC5bDt%5F2gbma^D^+e-Dw| zx8;szLbW)R!g)VB{vOOblq+0bw*Oqp5TzjaFczPtFV`Yfxn2Lp-<+=Z@i)6`3yOEW z5kmsx^}LU_v5y!L4Z@6FU;)cYy07FhoL2iYj9=@vZ^J(D71=>q z*lNbC-B&`ju6n_JCENua2rDMS-^a{*VvP4(+3#p8X~&Nl26!!aF?n^}&Z?4Z_x$_u zMnee}WC<|B7xN173{4hCJ--J5AP-kt2`gkd{>pp(PIW}5eSVUeUlboT-5}J2J~Kf< zol3C=_6o7aK6C6=wn^8sTNg^-vRfY(kiLWF4Th~1Qm%o?*NMF+hF;Y3Ap4@}x$Hf( zj`K=NeM{)!;Kn~MOAGOq8dRVr0sawx+)g~C|4Pbzz`5%CL?e{duAi>p_G#m_zRp-&JlR(hWHUk)_3pyl3#FX z)z){(RWeg`&jg7EK%B+UBSqEK5Ha&Mj6En<$w9qQ&%dv=6+V?tBYC|Z{yS+u#&SV| z&{DP-S>LdyYmEA*Y{SW`>6O@Q6FfSmk2tpCL|f3BV=E5AZDMfEWQOg}{XXrR^0Qd7KJHwW6C7Qw`*uN(8y<>AWsec{)^cMV4n*_rT$#-{ z_KCZU%Ww;h2{C||G$<;_QO{p)>6i#pYDp!OM0NPKBb}X0_rMRekFZ6Gk0nMV?-dECs zIR<#@;+;|Gx}85Te!M^z61V2eC;uryhc_rWpZrCqkQabtd(J1n7wyeW+uo4O+mrcP zj16sw4A~kiKJCws;`?(^H~netEbrur2j(=9{)yJ5{M#R| zB$c}^BVUV5Du+J+@z1!iecq94iO96^FxX~?c)RrV7j#Jd2dMgiV$`4StpB(k>gRe$ zGC!@OOQRm6J#s52D?CqdKfBh8PJ>9q)}=7ceb+-uQOkn-#q>BoA0;jm%IyX12APj> z09@2_5KH0BNck3fnZ~Jb$cSrz(vY~T^L$Y78eIg=qIcG_z(53%y%F^s$$Gkw6k7TW2Mh_jUK}7~ ziNv^D$I|4{UGFwK z=y5Y{YZfV@i)MP)j40z93=$qm@i+<1|$H# zERab0edLMkf<1zs_!ew za^aco`tA-}0bhkuerD)-XXJKBY~~eMEOWB0WE+p2g^ElA2m99v2*#iL-Z0`%m28&R zt_cL5PICw^<>JXwaagVl~75K0&@Nu-e*zBF5QJCAc!h8(L$k zC72oJ_k50Jvcf>*sJ!vawg0gF%BngLId021zIsZku8zQ=$=zEEWh-^m^I8yHYh53e zOmFH9pmPI3cXqduSG%cf~QJW012oCT;a}=c48J)v9YLUBaY`C)tK*Yn-9zo zF=9MIKH@Ek!2w|W;uu*gKLna{DI{kTa8lKRA;5JbR$_toMt-q#KJzkhb{EQxvG^Bi5LhLv z@*0ntPgL23VuKsE;h}U|o~9mzLaBUV34hH&@TM6A^bbBFa}cCyYY>Vt2;Y>eL8xa% zo>OO;gTPxN;~sf@Q^*_yRjN^yay`RuF$gSV6*gwQ7dHs^09I=d%*Im@6^ls~EWTk; z%9&_RK@O)(WIcbU4?8IkEbrh1`oe+*!e+jZPQt&t3)3gVqz-WvxdwvP0G`QGTzgJX z&D1Ri_u zEjEu`jM{2-qgwxbZk>veBF!U&6S5Ck)d9oMQ? z1s1Z%;fz&KWyp?sLw2lM!i+P&=X1r5M~84KNB~(Atyhk!C-A@!3=1EjW02&4g|aE? z>G&C7XV)~7+I3d`)9e2o()OHz~3}6fzfjkF`{-VU@4rI~f4((V$A&Ulg;0In}Ihi}$ zdpo&aRfKB{ynP96>efZhM~fnmqY2Tw6TZ5+KnHowwmJ z(U#1OTaOfc9|kd0j%M41k+`PKCdw7x@5<+wlmPtQCIu`>Xo|j5AdcR3*f+cYrwGH2GYid>hX28%0T%GDmDfENA%4DFCfeK!k%S(A^FS$A9($E?YO zebasCr()RqzCG_d+yQ`7CeILM1`>wMK z-Q$J%ud{Mj+70|XeA&`WN+^h=X1#7D( z3@8FZxX$}s`mhX;<_*w5e$7`gdVUt}Yalh)8 z%=;#4nyP`M&v=h|3Av3C%wC8ppoDoLNN8i31p;~_>V{e6%ae^k-!AXN8+o=25tkJx zZwcl$67(vF(3>j{d>gzE|AC7j(UBF<%x#kCxTJDxXu&@3{QG$o*4uIR2tIOdpdpzZ z8_3CThjDf+ez{JF9qldG_ffr|zRmy01DvKrqBDZtmp=~ZuON@@RO;p$lIb16;UC8O zppQX`TJ9>lGuqs*E7}k&M{#{r*_mO+pI3q9Odp~-r_hne()`@ABZ4sMg`#9sSOR_4HVg2ohZ>QMG z=JL6+mla>C5;rUM!L91;Si5gO^`Tzg(MRVhMR%{D-fHfjY}KpP{O16vmsIMjR7%Vd zxY|W>w`t`akz5mE6_$!su{Kh^D_Okx#!ULPac|L2T;fiy7Gdj^wmm}A+FxRwD~}fB zI*(|6`;$Da+(eCJy29=YtIF*wYWC7QlEtqI^NNrX0XC4&SMgi96~Y9n&M0-Y7vhYe z0%|-*Ve+|GWX0O`W|dSsA8K|@f9f&PAECv8s++QGn(w-y`)rRu1(ocy`bDa9TDv4v zP1l=;Y=wIMAv@b&K&h>yaH0TNpU4sAl~Pr^LKc;zB)?0AkfA?dTFkI|&r)o?Eh4te z_R4+!AxYU|Kh?XmXR^4GIthMgMm!gmVt-Kg0b%k#tBJzqb9Ny>Sii9OtFUv#X&#pIfP#C~8iy1rak9G_%{1X~xFB7X@M(03(@;C1VfIZ$zye`&U=n(#q2 z#lZGf!HEUJ`A4Y&xmWHRYfOBAZcju9JNzv2@b(FGgd-0X_nTC%a_d#bh0$u?Kat0h zD&S7l9QGZFnZ7;V(eKQ)+M6ZYEUY~iRP->Q#Hy(M$eebn8Uj@C&oTtoXhz;ZE!T{c zAAl<&<)3($Rg^Z4#HeZm8keM9sorcBL(!ABlo>0c9Xu^D4jTDYiP($|08b7j$&ZxEr~O&!NqYd$wXi7 z`|z))^~@e?vpQodYufRrBRUZSnD>s_H4KoWH zhGjokFr=|v&MDUK^)&o5TYs}Dk(X>Xs`Flb-xzlo3u%!#^d^q?)y>u{Iz21s`!L`g zeKd_sU=#?_@(OY{_QLgbNq@aM(i>*k}fQjihc28h(6)mlvAnOp@tU zY_PwWhQ`CUSz_RSBmJf9t0c&pd|E-O%VdpCNT zRA>1-%>3TakbgLD+wZ}Na}>=V(IbG_pC!{Q^8pBs2vPA@2z52OFdXk8Tg?c6=iq03 zw1>16g-l@Ppqq2D0xiKy3$udpIiAq`e?(80^Kum}zDjv1T0BU?%nr}+f=JWg-|K$? z&fWC?sH4<4tH`Xp@kAM*vavp%9f9Y%Wr1qeIl={lVJM3W{%5L-3#QC!H=Ydg3Iq6u>~r3Bd_yrn z$QZ$1IGX`xTMSSX!RcpK*a()w0ii4usB-VH0PPQei#%Z?5`+(4H&2;+h@*9NG*Qg^Ghpu z<8m2jK^2J>OLpZL^YI?wB}|QHg!g)pj{+4n18*w@4vl-!4cwD#_;8nTRiP3O#S^4A zH(25!KGkCcuV8?WEsT%MQ}UoUA@}+l*JlUy<&@D&bjB6t8L*TfXBL&JHfXonZ{QJ! zbWwii)2D3zCs+GeWiPAE%E>an3nrBYBK6cR16n#@MyjQLl8AysOuQBK{K4w9N&FII zLgN)uqXan5(O)ld5{7EGKUw^Pt7Z3;v|QTlchJKs+i)gy-`tWF}s-v`D=s0hMv})4LH+354o%>hXuTf+3 zyI^0dgU^bknr(Nmw6$3JbZ)v&eb?r~mR6T{>RNC=GlcB%?CZ4M?(=(?*9oPIb_4T8 zgITEPW-e5oZ+DfJAo)0LJj7$vV7)cCzk;0-(*~<6{ixcE)A~S>>UkA6?)7Ra!2!z< zh!bt}qh=r49vAVC?{0HTo)@yF8DoQxnTV^3F**~*7J;4VBh-OAVqbNrlN)tWhllu& zL!EPk6Q+_<9sUN>!weQD2xp)txQdDvh*Xm{rRgOsA+-lpvP&B3tT7+)3HGx&ngZz2 zQ=N*_qh0!QqP^5p<}~Clj5}$1s*ca9Crp7jhVND+7wY4;BlR**LYb%OJg_JquqdC~ zg6TKl;Y%1yY8O~GS7o!#GzLr5PZm-|Y=bf1^{Uyb&ydE%Ey=dIv-2vlqU9Xh7wezu z_sT|VDX50K>L%7wYs~LaaN-xnRY~EJlU)RH7LGxpMJd9NcnXPS7RTHz)qo&9#zSf2 ziPA>y*?L-8n;ft)!enRJ`!)_jCB!>HJO!Mjs2q#(zxs)DPGpGn%?)g zZ6y@kNNH=WnW&Pss!!G@i?6E@guYqYJq6ta>+*=z?!R4}Zub;v_r63v?GBN8jrqxS zY*7YX3kCK}5ejq&1=gFtn*{}c+H(H6xrS8!M_PmeEkc17hXPjPZ@$U3EKHFg-QOwq zHost3%OMGMr}X;}ETvyj{l3BbkUH?pIP-R?ht=<=1@v*Qe&0a9FVF0ECBroHstfIY z4|cdVTo-?T&i2lfx_r*|PAdO=?JaP(chd}4dq2MBf33aWSFC>K!}XuPz2CUt80{5* z9=n5k;E$Q^;bJMp8PD5dt`;Bp%D>d&&(F_xdw+1-=Wp+W=YQVzo+G6=?cEi1wYSf| z)?Tp()mw)a8ht*-Flk;O?%NqQp)~j7zwNA7{UY%yob@X1V0VGQ5%jar_q5}9&%#a9 z(F6TroF%cC|A>RxNL0~%iGDUn(+}B`)jdi5s0*3ikHRwzOCwhdii>yatiqN8B|YmT z7Bo&MD0$E|7m6De;_IOrgq%Rd680jTLrbdl6|C7riq@Ie5f;$9WS1=i-<>Q}?2v%c z>&@RzhlYx$MLC{uKPuCQ$|`-q#lGXMR)4aP(ZiAZrG=D;{nMxavpl^w+!7Pj{ym2;dQ6 ziRs%F?^SHXY{C{r`X?cT+DK==n0HAJbk*l618-|!_=@OR%Jz31b;c^PRyXm>)>1+J&4|9Snws3K zmKO05rDAvLaFz=!!A9r@uoogUF|LW-<@|tmNxwx^MQFp4tid^*X-|Mfg+8JbVtJYa zoD!8>9`dc3wl?E2N#wOt4df|?s!b7nl@xw$M&Xs4oZ>^2|HYubrG8OZUqks4q5ovc zAGXL}RTVwisle_eLBE;;zD?6M6HeKw376>-Pq05mrTSy(Nr2Duw1yTAF=)-H5BSzb z2Pcd31JWN$*Ls)IAsv1&xsMK+b}>IQ?G9P_FLj8}BcnfV$skF}9s)rw*ALXg`%Zsc zNPYS(svlOwG>1J~^~fg~q^Cz3mgh*9tjc)q?vl*@AkYQ66^9urH>HY~4nZZb#nm7E zDSsH{SHTde^68L{wpca22#WfbT`uB>$JU-=c zT*DSpuwZBO^hz`=ui5+6Iqyv4Ofs~J*-7G8;qt^dtB@h)ZwclLqLXb2*f5b1T~^55 z*N=XoUL915UGh%7Vxe@s6adl+?MZzW667R?TyM2s?KSh^F`^jhVb_DwTk=3kV&kJu znbmOUn5P{kj%{L#tQyP%AjPvp@@{*)_llTwmJ@u;(<3-a9$BVpR zAv^Lg5l-w8jMR{$(a%R?8)921t{`E(O^g=aF&ngv?Sc5!hr@bKOI|j51b%X|_N0cs z>O-NAiqC-miYyr$)+7UBJKr26i-#VjU&uM2hgmOr8|KPVgXMGu+K!;_4J;N1eFvsr zQ{JJ!o^16U^^Sf6gL+MNtS>6euu(`ToL9~JnMo2}*Mn)08C=@acXURv5{#X9sQQjh z-y!-T$`J}z2|;geu51h~SOq)Do76+W$8cN)RFILPM5Y4QN^k{@FhNjxOpwKf^xd~}@Amm-r=G#0y81!wPmKQW?lbA`F4O)5E6!Zog1HP>@ z&kL3lDyQ)DfN@Q>_-`!94h(Oa?FkHTd@wg4?mmiHV;@Q_J<1ips}eo*jX^_8Vq^)Rv5^{RNer&kY6CTBrUn}VzKt_W8OgcL!SdB1 zBarP~QWO~e>g%n#;CiB zSE_}2P5^YD+p6WhLA1D;r!4X=p=qmU=Ld$j&FL$0-nV>4f{9F8=4rM==BK9A}JQHN>mCYqX0QxgS&R6{RIHB>5MlUqowFDFqr_fv2j(JsdJC zzOX4?-t2JsXSHAN*NFlF7>ve40^jm!UE1Hq)9L?4`wLzYQRk+B?^qOIib%D8TIcq= z>hT%v*L$L}MTE5f*M4zqM4s69IcOaneuPPiH2pMd3|7<(S~H%drVkgtd}jGW zGRr@`bNQT;VU4}rR*`?}u1KUs6(8bl6x2uN>HUKA@>vkYclF`vr&a4cSvHpi^uS@I zKE6s0c9W&0Fub+IleZ2F%CZpB+d?Ak^@AeR$|@WAln?2aO;)#vAEijRUs<`}g{9=VrC%U_ zoTMV9o=i{660*ZZ1vd)pktUchX5o{#Id(rsM8xWFzlz-mxExRE(|rLWbkw(tzsZ<4 zPAQ?I4Aw+^OkQHNZ&%D4&{z6j$c`64N*+}jqmuE#@_?Ij z-DW8%Z^71Bt~!Sb?PeeszrifM=CJS0=~w#a?~L6jfh?vBDe-cGad@zrn%}x|cZhcw{gmkU!N8~^M zBWz<4RR?oh$_)sOuVipx(3gm9L|DGUisKZfbK(eH%Ayc_{-@Rg$=J?>Q~X|PIFu9n zrF^iM51t6?8^W@)<#Ic{9v@6vDVJ(|OYx)3Kgz$6C~-kOr&r@3X%Z-puxfrk+J6~2w{R4(S$#0>&R}4HNQG{A*gfvMEi;6k0x73KLxT;!j zHt)h;1LMm@`j|YPkHA~D3S-2WHoX9RF>-@%^|XHRljGwclhC6==rO6;G6dK+X;~px z_y|^F9M%pakO(@K6{sqT7*pwk#rsJ5$jhy1L1`+@LM;wR!c#knCO zIV64uGNvQYu`u0eu2!ZKG@wMkb?2ggR=qo_11sRdQadzD;5F#_3>!-(bz{B zfS=FvrW_COcdD<@u|&m3ECKT4HRquI-G)=!Im0a2AFBLEXVgz=Z0un&dtHl*GuWgN z65Zw|hXVln^`Us_{n9_0rZb|2CuBrVbl^uS{zfMLf9Fbi!ovSIcftR!$jdbT&({4N z8%-xeWUTJr>)fgNoGHcVk3Zx&i!Tx0Q0oIDZ={vRULRb1jP(KCWG@kfvKngy`K?Kh zA~l~V9`0^^Ab#$y^}#%Dh2oj(gKLkqJ_yMAAn`HlgCc8vAP+b{)F&=?|DgqfGoN-^ zA6N@%XMu2PdVwIV40)Gsj!dS<`m#B2>|rsDjSBiU3Lw8aSq~Jd4|#)YLcr1sL**OB z8igZ6#>i~P|E(`NidUz3_`7i?l1aE(LGphpqLTN9lng_&@g}o{$G95&-v<8QAT`3r zh84&vDK0FGC#pQ=T;*^wWZ}++l$S5@&_O`eK9R zU!$7C0)YVTc4`1nUQq7`loOjKoizP&94d0LXG=dxzT|(6YE_tJ6Vr{Ix6>l> zZa-GuB2TfBO9;!QtHo9>Gdq2i$k#Ve0)<^>7e+xN_2tqWjOHVyIDF+&Wi_SSVU@Ky z-43P$8;?Ikq%Jj{?fc!RMKK%ijr5n$u1jG%TDG#J*K1)raX zKB!9RgXlF!A0weFh$X)SZ|Q9QkoPJnrfX2at!XY*O1IoaQP#QuO~aKG&N; z=k7|xBou_&@n11fG*lF0D84R>Khb5M;SALx;8s?{a?lBOKp69!RN#0=i2H2 z|BcU!!RI)KTL9e=K_8{Dg&L1aAC|VyWZ?6qApxvi@%i}cT=@J3Zc_Ms=8%5}pN~H# zKEF)nQH9SHGS`d|0~JtjHShnD!suwaEP$@Sd40SPEj6fJf%7yAr_o?6Sj={||Cg@+7f95#(&_ih2@n5y+Bd{Jk@U3h zfl;pZ-OkMkwQmdwp@?chIJ1hU4U1G z(&_p(;&QbbAT+%M6+>O9e9e_c-f39uutY1ZP`$JjjWx%DD$NrY;=-XKa=NL_e9e4T zt>Ye#CMd+R*etg`PR$MZvP#o}{|eh)xmxo>~aJGun`5i2m?yok+VgG2#Q zV7B}nP~I=16FZaRzZ>EG67!+9By0azIU0xPxebSMqi6Z{r!@Gs+nyb`4^_Nxzjq|f z$$UjR>#mk~3mJ>(1&X`f9^A*G+T26Vro<%OMSDWM{SmRA{h zwa`)#@-nvGlVe(gr^x6Khx&A(UvKA&&qqH`viQF*#s}6WU@<|WIur1$)&Btkc1u5J zHRB>+(9s95zZ{GyhXLf9eaqDnzsxmcBl=s!o9C?1uN8$ki$I)g7t1P+&CDD4WmjGN zny13?QH}CsvwG9cEh~2`m{fIq)U(`gkb8-bQ5_$*jC+>a^GkPeukYb*o7{D9Cyd0u zQvQ|k??T?0{8sR9DF3SXH-dkDT#W4fU`Wxw)mUfNC5{`&JF?ElL!;4Y@pEj_YW+~bB@#0fYYnlK%eYswW@`BQiGNcE?EGF9yUcHuirx%zOc z0mcN!N8a8X&{39h;i&aU%B zc>`?eQbJ0NqHfz`75JT1O7)3mkwQfgCT{GHjNY<=3d zyH9CeMin%@9|9O#$l>;lY*Vn-Czh*>O;4MKlC7AqX^XGMGyPm$&OoQDHf}ecVrHPn zG8?Mr`WFrb1VJ^oS={a~_HWy@1VDuH;T7 zwU)dx#kDm;pLBpthrS$vy+x>G{)v)Z)4uaw?UKOrM%*<}fHB&^)^DHF0n|nPDuWvZf_(5EtUikGDc?Fn+t@X2;8tPA?15w*fE(I-+wx z#P}6pzG<8eKxsq0ksb0`9^-10fcdmkp@GxS?fDXunlRI2JeDVtAC@xwi&XcXA=HuJ z;7S;Z#DOFwYR_>1r*)hcR!L^<*V&&d`Y(S zVk}43gCJ@eXXJU)XoQoReO2FLMWE-W9UhZp~c||#) zNC+`8);J^En3Y|Ra&SI*Q=OM9)%hxLBU4Z>xjw-4LZlAU&d1rYccfbwGO4;*banm; zJ(UhvbZY~}%u*qK!D4u!szc4Sg1V(Ul5MG%Qm%UWd*`K-o5g?sHhlxo_lAFizPGRV zPt*5>fob|a$MyeJ`Z7Pcw>c$!YMwT%Jv#5#)8yrOXKCdKIA;-#&BUXtS<-a0#HPG7hki#Xhl+H>QK z4-$~TFt&}}fd?64&k-N(x_CC`d`v4E{Y^9BvS9%TuhH z;^P$#tA-wF3qG>EJ8u4&lO)Z}wmenxLPp7(*r>4Rs(B+loI9GN*^C^k*&}H-6P0(^ zgu^omTJm~l#cyC%kao@ADl zq+oU(+)%-OQ`g!_YUp=|#O@qUK=|(yx=f&Uen8)mI5{=*In{N*wo}_(XQXO7#p7BB zK)SQ+%AN$|KABL%)D>&ln!&2BEeT)@X6RHT0BV~?F=-xl@Ja?ucJTMRoEy>&{uW9V z#St2ehfMUH!Y|@lEt52Z&JFA_38e7%LuB3=e}^Y4{Cy$l8(@~ZN+5V=doX~tlRel4 z$fauiXSpDGx9ziL@oXy41*UdxQkU;L;qgEJ_4t`|`QE{-73RF|K0OW|pND1C!G|vT z+B7{59v{$sLG|&Wvn;vha#Ky?&+hTKvv*ZL=x}WJfc(jqj}6GrN&)hX9RIQMfxcSq zPNi5{nJnI01T61s+1QN>F($7x&u0i^K=aB~=u<&GvdpEwGT7RocM6{mr136@?Yy^l zF=ya&t3?h#|2r+{3eeN^5jWa_zQbCallAjBopP7lzg+ko}^yi)LS-%_~KfZ>fPvi4| zh0g;Dwy=YofH67s4IQ^&B5mlnZ^l4SOMuuHIW|atPsm||^l)r~7Q2PVg-@yk!&LX=$a3b@v#2DV|R1<_Fx=%i_Hm#}qI+V*OYc zJpIkNyFA7?)|udQeGL(Nk~p+A{0|%{j$AlZ_>+1QIu-E*0Hcph0dzY)QcgYRgyziX z2d9sX~S{f#rfpyf7} zuLhsnWQ)2e-&iYn!V{>XgF?04wtv}1&_WxD=f@U|RXVwpc z_-EHog^I~^5LP>ltr#%`a~H~m^aDYO3xqVr5yjRoDxxvr`8EW-V|E1z!7Zd5ZCvOH zNC>e&!;z#nsMZv=io3X93fj6<+_i-*tP&__E?;vQ&_4?6LnXc_%0LG$A5E#IIns=< zR6zo)sGY_oX8H3n(=s&+vMzp!I>iwkw~6uXw-%>?qQY zsBrR}$Fs)#?LzV2zs!>Lqae4ODF{+NoGm)7Uqn@lh{TTeqE7voV?ck^e|M6t0x z)?+hPc^hGG!nyrRRj?Q*LtD|FWY-@-K82=j3Tm_q$aV|zoHd^C~z1|Fb8N)amt*PvK^JbbSfwaW<=SjbL~0T*>b)s!s2>bo<1B~-$V0QpyE^;)F*>Q4tpE!;XdHo zJ1sw8L`#$Uy7Ki&gyN21`A31|E2u8ZH|D;EgYgu76wZx=SR3DP|K98euMYb*&+Lga z+Sw7j%|?Y}D_BThNf#Q|4q)?C&?joS{K91MpL;NW?2NL@WF-zDK7vFgi=W~dm}^Q) z-f3C!afjP?!ck)HK1VFO1f^#O*Ll*jDYkO9!?T-75GcpL@U^++4|u&CNhJ&GY3_qv zD9K9p2a?gk>E(oM3g@0G{GQI)>f(rTN0RDW=^r9}SRZWp8qXHjZ|Sm2noFh2j#`e# zbB0o5;s&b#XY;s8IX3*HFa3wRaTrwvsZ|HpNdl*XACLsFOq~e!sRB@D$%-}A&-S{t zYyYO=YPe$x=vxRW5R{Mt#De=$xZ$HhIpF|Z{b*~vnLjBrmEp5zSl zAhr@`5vvR!SZERFSabotrwCo@%=<97HXI^Kjx{`7Q79+SEh<{0N;i@*5#aRgK=~_J z!p0i?r^uj6^`)~uu}78UT*McSn8<_rLLLzlK=4tBi5{|suFh=~gbUR;qWUEBf4sz3 zN)4w=&vp%`-9uc|_7+*xo^pI@i@m$sNP99Z9(O!_yVH1j@NjUX|x(rqjKni%iycRamnI4bJd6h_>I6%gfErw>{OzvJ7+s}& z)XnIMo#h%`C0}xluCuvGjV?bN+j(^5NCIbc4VDC-J-S36!dYp8cQPXs`H6xo{_@Ig zwx7Ar3Si&cZ0#fYB73Y=PFH`y6y9J*EKZ$jeTFeHZLw7*B^8S}0bdtKDm-kbX_6W3 zI~3wt30siNUeCJp3FvFspPN#VqFfeXiLXWQ5~Pep>|R@^Cl4H2l6!l`}L`%(+|0F^bG zDjR?J%`e%tb0K3iL%FVMbyn`E`doLteg0Xa@UfoX?>tzj;)BDpg|sngd{kP9j{Gu4T0!A|?Mg>PFPKt~!au zCKYc?g_k3Kpvw3ZZSu%G{br9&@JrN@Mf;laqQ(1~a=Z&9>}aAF`hVJB@kZL>8M^-;XLJYc zI~G6cv(j37D>8fq2Zdh#+pY}B0$HLsr%l>vxUm3R)y*2t94{Ei-qIJILt^d_L1 z=^bOTu{?gKwHryO-6ORPA8#6WJ#qE4=sm%r_vUHmRO>|{hCZ7K#Gbqg5)%+%3)Ef{ zEN{bW%e-IQ7;Rz;eQkQ*%44CoMd2nK$P{he_GBwuMuxho&2lI!Yd^>STz!v-_VX`j zolwkx^s`Z!p;(n=9&vTG6sOXwgV3!ce&P*fBsnp8|9#eCiwN3izESY`dy=7VLsA!Dcc;7OiIeri5An-*PT87=MUK&_;d#7Y@dTqs$lgAdhoaEdb>s1xX} zGNKn{jWhCkNGyUus3Sq;BQ@g3pz~kP)T^&EFQnY|pUJnz$h{HFzf1>s)CBeWT+>0w zD7_+BendQm4nNuLe1HqpMNDicGe+Dgg;eJ@s!8Fx{W2+FS5%Y2w{v7tAp1bWVPcM? zXN90|8%m&U%nQNzS7l;Qs|Bdvqkd$(f1P-0SyO{dDXe@~lu6`X*$L2TK4yMU^Q&uq z@P}-7X={FX+C_Y!w>>{RX4AjZ{NT1{FhAJxGwpDcnjo%qeecWk6F83!7{`eYnnYH|ic{Jzcz$zE?5`Iq)bVv0I7+pLlqkKyB< zSA^H)zxMk97}llw+x_@;tuI_now~T&rn;CHtuJz{clLD+{QAl?wDOMwD3W0!_^<6#)?yY3b zDcwr+%-f+f+i2{kqME1wVmV2KjVpnz z`ERM$oYbP}CnMA_vz;kclYkFT>BbzCzskkfVgg` zcuK`{BuC%sXrVdcED?ppck^Ihb57LP@ct3&BZW&>?$vzTqi4wK=xh=;Jdl+|ENAYn zIGUXWrK=LX)ZaY$D@UYXY%5mJR0VW!k1o|Lv3lxsxyk2C+H|#(P(8Ct5NL_ z>W`MT!4~p??i~Fob^kzOs$x;n#5OM3)R?)7UMck}o&>00oq!KL2E#Q@z=xjPq%=e4 z9+vJU%mYO!^?+>57w1`tZ_O8MB3NU-G06I&r`+s4l%2Im^L;9>)|r2nSC^}3r|Zlu z)~h3y`u1n?%26=A%qy12GG;S^+B^E6H{qx!Pg1n2A`Lx(B;P3I{qQ4ct0SFL^7~;D z+wyzL@#Oc@00Ni%J|X$IMfspu^6`M%YivkINl92aUQex;<&KKL4@MXwI?+?=wDlH=`_tnQQRSq_m7*6!y!yFcIh-0^; z{IVq}r*ta%7F$wc*6AOR!Zma0o6-drd8A=sE|R^ZcU_|LoBy4zNEcCAAFrS`N=&x! zp^)aHzi=U|#uF2fKlo6x?;;|LX#O!p6VX=_3TbQ-iaGsH}dgz65H}o zQz^Q)7ShN(Q-U zDo1DeANK39)g_Ei_!Hzt! zkSVx3>E<-xRieDx@~5D6Rpoyo<M@E&hQ>KR9Hza`*7Wq+0pr8nSR3prxRtFi{Zr0Z{hA42rc8GSJ zwfY=po%5xCgO&Q01v|a-zb_aH-GPR$vc&o7!Csx57`FB0AY7El0*OdrWodPzxmt$vSeE)wVVq8UXpx;9fe1;qxlU-b7FlYNIXq{==aHFd57=FOzgm{ zvUw!l>wdU4Xnvn1izp3P`Pio>O52F0>}CapS^_WjqVnypu^@Ec=l?wO{t{Nl?)SGj zsOQA{^S_Am-Cck7%XjtXU;4ZHvyq!rf4==m*Z%yeByjrk&ywIX`_nIrJEtQ#$YU3A z|MjoYkq4wBMG+(&Su5(JIuw&3{e#XOx`qxtCEcMzlt+y~WN^JKsmv{Qht^o8a^<^< z->ReAOh|XW-Kjg*o7do{R=(Nyw$+{R<9g}N8rbhz^9Oc!){6Q^2DR!*bwZH^$ly!Y znjG1M`d1bcnk?$2Qo;qx*UQq!{e5xf`*UTn zq28#Il`gri$>;WKGVVkpb1R`|&^oC%#B|&v4U$Ty~4Fp>b4M*cj)j=GdQV z-#X1Z3WIJICn9oW979CIUrzluIO5wj@RURdHx66kKeN+aP6&j{-U z_B;R_T$L>Ysg3DiW?z{Olqj~gU?(oV(ipm{OF7kgtGMInZRTwU#Qgt`_A{+rK z>%y#<#Z_{dt{XCaJi_-;)fn?>zI0Vm9JHMp>SJI&+mXx znhAfj1Ny~j<|Gf~{XmP4DH2_`T01tZn5z77u;Do~T5w@{eZtJDMtAx&<5|+=8sQao zO1-CCu2^*)P=~eyC>SI%J^~VhQEdTKd%E?_=>tEUJ>3(M*7hFT74MPlUDs)vF@+U8 z%E6Y9a0F^6X6jSeh-M%Ap~UtproKb48;6K*gAAG+{ktTe3;lHt^Gz17{0FTUljzTQ zXgTy~w*OSbxTVvc_q!2T!+ ztFyw%m39zkIla}No#-Kv4{O-7*EoF$2IgF@Lky?fJxr##uSR^kXL1Vtk{-m2&Kuq| zr&l=HBF_pE+k@qM5_y4!bxCo3jP?mU$1$5$p^4mZ@)a21HcqGhhj;!k7Lx>Uwf6f_ zKH;0a3!cfwh~j-2Tk)eRa6_{AzuvdIVkoZ~<{Zw7oy@Sch|sH;nOk5@%yFEz4Gek`mMa zQ_2|nt166U?30U*uqqmjk$S$$SoB7gzQ&yKh>RZVhFONS**)+cG$q!8eKH#@gihC` z3Eb;NDFy1XUL%;CxLp%Y#c{dTa@PddW}M48z-=>6BP#dszc>IUTp+1=oA+9;n|b|~cm9PrBA3^g!z76>%e!Ep z{opGkYsaB2EASWC2_8k+%?vVvYYv!4#ss{fBUrR$G-GHK`ycR&wWR1ee)LN*AbRJw z@+t1CMbwolA30EvrS>;yG6|!l*Cp65ftvg<6L^ra*{1sx?1u=8;Qc zquk8eFPw|*2bDQ!p^_j)8HIKipl6$Tj-2j~?6Oo;IY#F9=(V~mwbf?C^I z{6T#Rlj=%)2Z-Oi7GbC%L`AET5+_wa3zp%fqog(0j>-w*5D?L=&T^)__ODBafi9L7 zj;;^-6uemKh8L%Aw!7~#61tdG;KdLg)#Ka&z7V0U7{<*JD#P&>?a}>ouJlhI`d2Ic zBBi;zG^lsM7$a5YZp>(REkt=~BHyzUJWUv&h7jAq8WWFM=fu2!vCe6fQ6JK8%ThyX z!C!2gQ77Y~GtLkuPRV==XE@{FmEF!bxSx6X1joT*(OY*v4vJN)Qp5shOemDonR8{q z$XtQQzkwoU$^b^JF^efxrVOQ?AM&n387<5PHV8rUo(3T&Tdx%e@y=h!E5RPGN|Gx; z88ht%JKh0h+{ulDGM-V=6`jF9gtZjP_%x`mQ}DtDVG3bv!Cg%d#(9#FapudPD1`Ae zKa4-eP^3Sqve7L}fKz#;LKxHQ2;z|TBv?MZ1_wiBO20NlW@1b4rJ{eJ+fEFXnOr)> zwK93@L1Bj!;TU?hWnHLO0QEAbZ>vkMEv*x|#`Ha0Gp@3y?>~^S-I1N9?+jtKl`zjK zNT*ctaXw6`4S)5vOy6CJ^gJ3onZb8BGq}DB4sy@nBPE;E41P2DoX8Bmt06nv(M^cL zl>2nBZSJclx1ms+GXSNV!h0P4B1^0aLJ!Ijblso7VDJCrqzwI_dBG}bvMhUU^1&?r zMfA;j>wlLo-`GK}Ba z9hy}>PTc202NjRe7N)|xtC^!Dt9qmr@b{QFw7qhI_ynFbdU zMQkFN1YKo@MqTD|PdA$;E1b=B1jcsUbq__v$HpLBoO0I{Rp+draSz9C?`!y=AlgH3 zEpO3x!NQB^s$lNEfN>?NdUR<)y*-Fh6E$d=+WDRQ9;)x##J4wXCgZ2^U5+?z?nJf;x%AP~J)?wo+{4t7;F3IJ1gt@O# z72~QR9(=+B{L7QYck!%}Ko2dcg`T@Di(a)iccEM!#z*5!-{H5J{Z|CjUAPAGS*et=w zqRkx8L$b`jdoSH#`7bw;L;^+CqB<5voGRA7c45B?(u!8BieJ$iQXBLo`^5dYrkb!) zUq%Oh#`AQHG5Rv7GT{YrL+*1YKjwm!ELrt7o_)KAmiR8kMx()Tc4I zeU?v^wy*tT+F03W@t?D6;%YSN;-6-#_i$UoQ%OBJU@QD0y>iWGUqdL!$)3u2qD=sP zxrCH)_zTU@LM5^2?mb~PDyvd<|IWKKKLly&<6|fy^|W#o@~!v6pf`z(<8;b z$dCDEv+63*%a@5X!XHmDL#v(6yki4oe3{9Ul|4O=urTXDpCNR$3%<+nlSShT_Z(*W zhz_HeUK9gI+{)*_wu)IM#Z0$~LB-CCCGw=NGvyz?KE z$Ee|Pmv5LotPn`?kV}qxm&@Bx z{?yZD^0bJjSR9lGsz|aL)RYB*W!9IEsV~)I;=H;Z%ctsbNmxHsF23S7XHdF*$Z;*B zbMSL?55iW;=C;JXl`mVYr-$Y~U-}%k%lQ2RzhB|^kNn=v@ALfrnBN!p{Vl(uPnY*g z`3>;BWRuVRi~RnP-n^yfCQ^sL{g1~|}k z`gKahBL42^rskK<6%K$CnTll;>2sY2WefvG33Dd?hDd~fzSbOy{U%5{xd*-`i_d0O zYhO$j#8*?R<8N~<_R3l{pdI<)X3W@RF|+zlqylP~W>nyh9LJYYfuC>6$->P(cYFCB zHfH%&ct^j3;Qe-xD%1#@*NSJQI54<+R5&%o&fL6W&H#sN`l+&kq+xbdVODfFL;Sqo z5m#Z^pJ)(c`A*?ZqtE^PnBV%VB}*X0R9?-LXBVt>#D@&0xqM_keD|SbLIn9c|05ZF z-O6a=vnr#%^J9jrj4WxfO`g2JCY24~gHY&RYp39PW=>V*WD%>>1i>N*UB(~5BQmvV zY!E2`+sTBcCck+rWKulVEUNIBm=4sY+Y-%qu7sHrHx%Pr+o)!;cq?R$==pB}lk8`a z_&2Rr8+fLmp-22|_O6#g1@lQ|#Jt%V`^l7zy|~O=%I<88T#qq#qynGX^SOHe#%H`Q zSakgFFa3=7V?N{k_+!6U{!A`gd&6aC0WGp(m%La6xy-GK2%BXXp{i*VeIhda(zQao z{5oJ1=33K8J^$vd-BlV3>)7yWvNU# zFkb%O+m*+z}6INj6je!eB3^%h>LSk^gPpYFL9eF#pK_*`T;= z=c=5n5hdc&)7*XsZ%BBjlQ74faD_@3dxHekPJ)b_eqkk8qVkqEDB^cPyJYc%7MV%& zPw(b49q)%btq;eFFX+&SfiYhhBpBAa82;((SYITILnJ1z?dnbE#+0a>tj0V>^U}?H zeWjf2wpHI8(qFA6e%auxEA`-7dJsC$%t(2YcS+xoK%VUK4Y2|U2b9^#%J?KX7F^C8 zI#4+Kl|*hxe-nv=D-rrFq_?10KM&YChpz%RA{VRp)r^M6kkedU3r^#wmVb5TX5@z` zYlSQ#HWX_iHa5J%^LZ2!EM+ zQT&kfC9oSY(mtf+h}#j{B~QpNX65&R>ia%22JHOSzNqrssa_Nhapm`Sz;(J_&XwhF zBLvZ8oneLf(S;CGgb>vEj%SeGD}ScwF~y<>E(+#kOdN~Modi1-;DbGAs?5fB?-k<% zce6x`^w;zqT|3qM_Ue9zxQzND)#zx|0^NoBa5Y8I*DWAj{(-X;Y# zVrcaF!Zu7g%Q(G78r?_gVe~mg+J5gcH7W9Ru-u*w9aTMMnP22spR<;kJNYq4=mDbnIoS?$jPRc;B(f`wHaJ1?|lW*A?#MXHI# z(}2j*mJ;(Hm~T0-oCxWCtp}qhbx33T_4E*4d*W+lpU7#CdYh&d<^`*Tjt#4!z0l}J zampd~i8vA&W3m}gL7|V|%C!#`46EoA^THReHl(HK+B?P7r-;9QZ^$Zt+&_X$2U zIGbN~^w&(c`YyF}vkB{6uvxM;E?cW|iDkK|?p>guWb1NKQiPEL1?@@h z#ZSn0sypse<{~_aCzp}*K^n5lk zuD{qa6CE{*o*6|64sV|^fDeer$eibwts>ye@g)|c|J+VLBj3uM%2b(sC`@oPCb-l$ z_#upk1J{@}RLTzNtmGcY{nlkgFHhBLsM0@Q4{?Qn%kdf3^f{38$};nXImzTp6+$xE z$o&ImYE9qIA~y!yZBEodkRSfa>W;5H(On_FR3Tr|bf<(mcL~>IlyFIA366)Ew=9ZW zp0{EyHR&3wSoll%yDDLn3RXNz{P^SxWDv|Yl`EU65c1~JsuIFg-uW`j9S$lp&tiYb zBB5fL=3^#_vT>L}oh-hW0RTfn(skw$YK`!jU8-e8L)OkcRs+7YK8F5M^ei){i7T`| z33^^tX5RKdik_^^#z>Cr5Mez8JzEkt**T6%f;+$BjQsX9+*0`&#pnG} zx@MiZLA*i*{7;nW%%(}hiaPgHZ;#KkpB`X$k&p$-smT<7TwCW>QLTTV7Kq9*v371) z-xrBbU1*P2k9oDKpc=2E6{3`E6l-WY74yz)CLPJAL`?rCiK>Y#Q@N>f zmHE@0BRG+Uut}_JXUUQ3n_6=LvVcJ^VaDj7T84&q{v1AC6fwroUGGFM6y>7oPktp+ z@%Ag^{Ad{nW1tqjX1T&^iHo{AdZ{m2t*YvJJThV0%T!IRrhnWl6z*^ek1bS(bwKlQ z`C-`>klEhzK2q&fLG4~K@6GD2!N9OE>-J}$hBR3usxwGuWkn~*Mg@#8JEM%B>l8=T znkC|ltv>)qRhOA3-=E?rO!}WLm->BdjZj4)Cc-JoYuc(aw^QYImYB!}y7*Q0YCxhD z_aXXxZ^b?qN7|Fa<$JY;4|stW?k8 zH64ms{>J`=&y*%qBI}qbNw>?sSHIkM$bI}76^;p(mw@_mTg0yoCu@b9r<%{BIz_C> zWOajDkf3zx@N|X9jF>@9JepM4(436XEvL$(uN1*|LL0USd{IbMaSSTqT=NyJ>F>G8;(xr1F6fb! ziCq53`2>FY5%5>xzU;054m;3l77X*7BAiCOC#an*6De@vgYC3Z!rT0qot-Pm&B{j*QL_CRZJESLgY+*8KTN(nNbc{~npy_=x#@ z=3mnDZvv+;QMOq%^P6jCNQWEal*Fr&Qp?N&r&fM5LPpX*iy#{PL*IOjzTw=6v`f8P zdK^71v;tg$Q4OV2vtXe!;IsiT}Z+GrQT9^~$ zF06-&D%Ca!CCS*XQSA|WmRL1jS&ZC&Hk;6(GFkk3%3(;U@bUZ`WWh;`E39U7MTZOU zKlC26ka#FazZq2+KV)?rj2)Gwa8zk5UG^>MP9@t&v-o=~)_wS% zMa!7XJ497lrYuJ6n@J^GV@`mii{yDl;?&f0RoW!>Vc06s_2#CiloO!&8fkin0c6VPGyaJASe#&D z3x%e2*B_QI((S4_e!C>;Q__OZCV`q_oQ&MUO(qQ?O%GIf*aedv`i# zutS~RBE@>YBsEPIU-l9sN44bLY5&l4QFS1`)S}}HyrT9NHO$g!s`WMlpW@sJYnUGH zgzAzd7)3^fI{vH6;UJw3i_yJ$IDlA490f(PUuj#6Y?k1k9EuwMQkQ zW$aOjP+(S7zGnljJo8()77s&p0SxLV5?`cVL_g3ZIwj^6PId4Hq(tGj#nN;tRbqaDN{R7` zW=t`QMOh~D2eE&xOdR$$rD}rfs^-DofsX`N8@I|ZQ4$v~R+$>gEI0+}tLjNRDC|3< z6gLPP=x+Unbn+l6vnKJL@Z!nBcXgt!SG(?hyHxR=)Z+J~wcGimTy`PEw@zlS=1*vX zUGXw=qG4B@N-4!%pWm)6$TGjTJ6-SiPP^XB1|lt~$tx*|%e%sbmub>~3cSm6$O!L@oJVsjj>%G3WCVWO0Pbl29Wfsb%l0DdUY-Yw9tg~>if=Ni0+QGuZ8hE#QJ1irZ#f=l@L@L?rmT} zR?>ZUe~<2)!#*dMMrrP4C#_K(_JT^2KNypM1@!dGezaLSY<8-{fJqykO?6m_-C+wB zTOC$nUj4^(hkf`%Cm+VWk~l9oZLctUnTpaIL?)`{eIK_a4+vp2?W8 zR;TZN7ZMr8*YVb3z{v_8h`wW;c`BpKmRmdS6ggWwjNX$sXYxiFfYzD)w5e3YPq$vOq!)NX>eJSlzz^y*7w%*D!X^B zm9_vvQetuw#Fgg{SKnU8N$-YM8PE^ieZ$G62!W)$C|Queu%AM<-Z zwQL*~Boy5e?h(4U`b?JBzj_*xYvsE24ymrx0u4*8R2Y!5!tdzB9R0UM7pssiFvn7F zhLuunyL-|aKBq%P`U&^0C##@OBSe`5%^-KD!+cXxmwd zm9CHdRRSiEBb`}TZE-&RJ)b&`9Ba&SRY`Vv%PIu}i^Hml>qL9jUT}Mg2gPrDf(R4a ze?$V9e4-XZ&U!?AJ=d88LxW28YPPlBy_)^a?^3H-e0HT!28rc8vZpyco>TgEM$$nU z;B_)y)F4Y1U!?NbERzAltFc5bSgSFnqQs~3YH<%n3jS#x^S4xfk?0FX0UkzCIn~k{ zdr(T%c}{$HnM_KQ?Xd(sP?0itV`D^+H`1kq-eXM?BouSR_$vQula-D5h(`N|y)Sca zsF61}ObEW*rfT*Y?a=fqfWkdU)kx>OWN1FY<2@fi7S>T>$^u0C5!nBL7?a%fyQV~i_tp29bAM=AlxFnM zINV*#NjHi{Cbm`c?U(h~^(v(~fn=KU5>w`<{-(@AT6{F5->ms&4u}m+Tx|BYo)uZo ziemKOn4-k#)_3N=k7)vqU$z#1+*{fs(XqkJI6tQn$Rsj7kmkHesncwx4r~JV0iO7N0;Rus1OZ`bXs0 zfc55Y6{m|TDUNmtB2s}`A&_@)kRF4i7>tF8>&?L`(++&77ZNoAQ&@Z^*(c{PKwRQdUH2qSKY}QPf0@O}jjCPKTEEoz2pj z_$DPIG`sbp;42UKaca-i8alEGjQOnPJ!igp9+Ny$q(-gc0RT10;tzi#1A82l2y|#t z(FPOBh>U=IqdZ!^N-KiH&^okuZz;S+{?tmVv8%peMkXr|AqY$Q#yRh*;ocH*-V$Y3 z1e9-Oq7w-uv?p6>skE9=n}OY=iE6w`fBN~HZ>T7VgVvuiCE?^xm1tT-tmjrXvS&8c zVNUkLMtY*xa)`7375WLHt}%1rJt4lNfUk8weWcEycdn(s#vQl6y6GxMjHU$6vHNEW zQq`k1JXn$yWpylrdA<2NnQ`L}771|}>G22Y3K`WZV!B1q_DAYclMkhw*!eIj@&_~1 zkg#u;cm8t>2S?sU^p7 zpYh_zFU8?bW?B5w2~IADNT~UCEc0!S%(rsp11@r$CBFm1@BTfQ;==kMX-Qb+(CZjE zoR#69e4OmICr+x?zu~Da|6;i5h=dfud3daT#x}thzAdq@XvQ4RSiNwY=JUq#@hM77 z9&60r#)+-oSWkkOG2eTm`y)C#jkkrH4wJzOO}|3J2;*ytRyq8r9uX^~DfVgNY?6%C z^C;kuCiDL0XkP-OZi)6*iR1zMA$)_PS4m9qsSe)4V8g5zAFLUiT;_Nt7*~4Cd#v@% zI#avJ<~H1hlEoK5mvHi`GIEM>E`%a@0Y`{!8*5yP_#-~vscw7{KTR1>eXB)YTiutz zg`Je3o$!VmR53LICczt;KDvnBx>E1o81eOu6|lWQ-;(fO>ASX(eOMD4JA{4-PsDd} zlo?Rbq8$00s|?}E*0686Oegb&CE-c_AIPKFr(u1gxl`siRKVcBIMVM*BzOMf68bvgz&0$GJ892oPJAC-W`oc|DyH;h3Rz*m4aW;h*(xS-Iu%3u81ju-L5;Zs zN^yNPGNs95*2v0*F{oJ;1sInT_^9b1LH@*I#m=u}@iRYXOty|GQ%Tg5u{^Qd*^rU4 zT}%LtGvq2JwI5_MNr_uEUm!H^vf|a*A+uPWwo`*56)bCevN-uOQ5l^o!F?mfG#kA} z^frR;N57zkLkf=yoJHj?aJEUo*|upr!uSNJA*Sg=Z756B3Qn<`-$1pM-Z`Y7>+oX= z&jx%e96IWtKuw7|DJwBrIS0>)DV+ht%>zI#UF=rvORE9dlTPdfNCth?Yruxs)N2Q^k zptjb4C8^P>BHNnin&%dama}!V>YLWltUl&m@#3wtI9dD=uasM74I1<-NxSkF(v65) z=|}0_IxwI8Zk5UhS>6S_QK{5?&!Qv$-8fS@NF3%7NTR=K8Z;%fntW(+=hdY09}mZ` zDN6g-1Oze@9-08(QhFOR>Co=btIkT2><1TSPvO{%rZI?MD)_n!*uHiRdqJTwXw*a(EH& zm%*|<&v!xW%!m=n3j=r#n{#L>2ob>tfVx7a(>KQHkx!A6R#fR&VdYjaWIanQ6IE9k zkxx6qzMiq(_0&^_de7*7aT6_nTWdNb`xUG8o?!qJg10x9A~QtbMtsTWV6-^!VOU?4 zEME2#+4?}cT=I{D9^U3iiO8o&#-?aL_Mc)bP8ta})glX$iMW-)o#w6I_36-zR$L8Ws71ms1 z&K#c-=uDLp=pXUwTLpvtAJ*OkKFaF)|4$%+fW#*v!H6hPQ4w5HQ49v{3?wj-AVFMk zB^FJwZm1cJWs6SG3=gBU)mFP{TidFwR%->ctptz|L#mt>&uJD^E`Jw_uPBWJ@=e*&z+HR?!)kwX1_CC@`*2;qI1glQ@H<;oZE9gZH4H5 z5pqVZhWEcA?+a%J+;*^pi09y-AyeX@yNP5KjeSYF{(D)j4+6b%Vcf&R@mLwS^(M zLD0V@_6lS>sy)=3v0VEr%}#j8P2THT4>I}eko%N;SsPR%X;z=nVKAX7BjZvdG{mOI zeLXs(#M&T~crSdag#|owhP;DJ>eLqhxzvB&=|At$lg?&JFR_Ujd-6ZNM{9&qQE-D5 z-aw7;W8TUY5dhFll!;i9b{T|;YIy7sFi2uyzk-Sg z+qKe&8K?FJahJ(4 zLV-pEVq?KPN1u&KSL?le2C&Fk_USS_4EUyuBczQPlQdu~^&X+Ll!)kFvZM_jZvLfu zFm1qyQyq>z=?{x~n=}yWKr|5SS1yAkzZU({J4SA0k`O%);HW*=b?x2f!d1it&B}bTwa@&t_XP%OG_rn>qPk8d~*X8D2@aDPj2* zc?Td!Ae7;=ZUphLN5$tZ(xS6Lw<=WrR(%SP&;|=B zXf|-^YRp*ipPL2kMz2ypYAC=RFb+yH$3P~6{x^6Zn*M(c?>7+(@9lyA65j6e;FP^o z6G#-+%hFh%4c1xS-*WTs`e)yq`*OpFM%r_u`Ahgf!4%{euy^_6h&>=$ceJ+TUrTsr zUuRkV4|tKskp2`IIPS~QA9Fy>&41)CeRIl78m6P34_}D0y^7}b4WpaJ^)3q!bDm^* z+`iPP*H}LDZzgG{Ugqm%ZxZ)z+FsUBaMFN^hTef?xrwzbx@64>|5c?Gg=RggLr&#+ zW1Z$ZG!|mTU)%^{ppSEa!Mj;nWTP$h175rubj6DIrU|J;t8Cc#%&-RuL*psoFAJ{9 zG7~ycJnv^_fi>QG&DV zoV>PR9txfeP+I+j^O6Z$INp&Fe-H6M(rBWa4R)%TS5OKYs1Ha+hj;azoDqhoYKSqJ z${?!cdoU6+@`en~8B_***;}mmwgFP4fyH0{6fDMy*EMPI^Zk(hzA72Nz9}hkT+HI zVRv}!eg*z51Ly8m??n&+PA0Q2^Qte)Y)+Op=cGM!b%D3}$GJHV>i|u*)w6}a=>}nA zYs10X`FJf9h*-41`yF|NsN~sQ#FcHqlAPmOcSBo>JA*GW|Vite^FjP(uD3b1%)E*V*Rq4YxU#ehqLf$VEN0B$O}rn zk{UyeHjumQym)`UQq4<_54qg#=lw=G7PU&I3V|hg5As}NkB%ipbpfIQFHDXkHoHM) z>qzehg=sUh$V_cE{|P3)Y8V_A9BkoYNw9lT=23;pXX|I~S4-); zMt_X*qtbnwy=S2OQsi+L8A{CdZDGBgbgqY= z{{}gH**4DrdX5G3dj`;Q0ebdA185x~mF~0bx2xQzyw6>k6iB}zRUUE*cAf!^p_Hsi zBcca-8&dbx`T{3}+@F~_a`of6kbdt?K`t>rT$gkoy|i-STl|cZWm4-gS{t~~q9f6? zfH_zkT#-X2Lue5gK65L1XLZT0L8SLt?{0PCqQpWjmw48@<|tWvf`|#sv4?XzdqD;O z9}+HTe39^O6xgSaT`XFWi0^B(iHscajUP;svZnHZ}7dcAkhzy zX3r$r?~jc1HCIciW`&D%$&EyNX(G4a0n02cuhM^~hQnl(N5&y{{yS z=_3vPDRIrsbph?Sp-(!>0E@$U<;R(mtlfndfd(z_wYKG8o+Xm!=JX!$w?cGbrY$;cnq`Um}LK3Vg59D}Ux9gCMKb~dLxQFm94b!e49_M_m&YqJHnEJ68Q!D`>R2(C-MGUf zf4EsBl_sz>pI&4wmA55|b6i;3ZX8rLKkAE^WkNMW!00?AHI{lO00U!O@{LX22n&$0 zF0|3h#_tIr!=L!}46?*9niRN`6zzW1w52zCVur1B*;+x3wS+thWE(Gm(aiGj8_*6( zPZpJs=o{TZtB`iP8T)26&ML>aE=)_;A$Nh)CfpbyLuKI3J`auhEVOD@AIZmWMR=W| zZ{}`BdyoN@N0E2AgpDq(ieu+siYR$Fp|piQ!xx2?8lj~rajikep1}MUv;^^d z2AJyew-9jvWB4kHDpOH95~@4NNiHF#;*#{ltnz68W3Q{kE-0<$Wa{H>K- zvZqQe_AA-jRC1QBr1MJfw_6Qy*nvGaqxYU_=#^E&zfUpE=p10`d!H|1H1brS+v#0V zl^L4P8T5-SNXX2Zu~H8QBo;o@@aso6vy7kw^?piukh2aiW~%A%ZdpVO({!PED!-Ow zF2qi{7Nv$-BedC-05EEpW9+%K1XssqGtrH~e3|!4S|On!TsNXf>4+zkRI_qPo1{tM z%aT|RH+ne+QCmVVzxf7^HKI0isJb@gEWq+V$oBhL!Mzc`a`VSj!4NR&Z|q*Wv`)g{ zl(Mw2-oRL9Ej2Kw_PYqX0jBmO^h>N#XmnT>&Elkuf!QxgKR?sIx=vd>5J*!#mu-z> z?9Kk`iq@$jtQFeAZ0SrX{+5wj>yq4}=qI-%@7{j_U*>~*4*oq}!XK|gy$3sS5RNt! zye=?650>TIu4ZE-?QUZc%tdQRXg9c2v$D4gJ@Rwz4HzpW8ffS+i&jv1sNogAzEL9v zUh(2_cF*pe$BRbFnGubc4sVKiCP$3DkB?3(&loIP<~iE3YDXUS0gIknFv>6|)o6R7 zICu>JH`4VqFU8%!NJ+F1hB`OV0B*%f|1;w;t9;|FDXaWrrFSpEf~z_Th`30OQb)Rc z3a^f|VhbalCh>IVGm@AmtI50{>r8aLX{K|wMtzoU6R4_{s#*_j(2A(Wx}(T!Nu=ISZKf5P!r<}%?Sd>Sj|oujH( zy_Z+h+e?XbbwCFFGiqNv8Mb>LLU60y*G*zB{P9$Gcw|=UG9z9%3o5e2fb0#<0LI+S zh$Ihd5=M&A{QFYpI`0i@-QcrUXQf%I-`^-S9v+HbSRjm5_x{G%gSx)z&Ub_`(;hR- z9A9!KeOQKm+F2$K`Te+WyoLLZa4o$Wfi#I0zMnaZ)8i8@*l*?_hG?lSXbbk!2*-dh z*q;|2jSiN!1@~f1t_nq`F#vSzd5&6lN2~|e#k7i(|Axni>F zGOZw<=iE;cwfOn1!YSo^R@FBdFgSKTh1k$tp;4xX*be0u3%l1ul zwCvS_El?|J3*;Rih7x#`{A%G1*b}76CzrAEYp2izNAKwBIpBFp2xSKsk&a1L%Tgm~ zY$ZdTWqUcxiYULJWnT)X3YCv7@0`v&{aw_`r9@w@(4ebysUS`hBR1)$8r!J|h-JAMDM09kT`xO<7 zHd&I@T`=>4tcjrZLI$$z?UPnnA@}N1M6Fy|#_hGa^n29gMBNA*vbvjoTlj>K8+kPY(BBzKw8J9m+`3Rb zwdlFV%R}y^rJ;%!=g*?RP~urFCG0`YWb=+QpHCnK^Nuz1-M}vowrTiHqZ#IgRg`3wCW-50hZ(AvRvJcVt-?EB7stT4hH0e>A$8RKw5js?Qlzc( zk6LQ#L)et6kCExuceSYxd2=VHB1-20lw5Jq8y%*Q^3I!*NXmGc@nx|VVEHOlwZQpLsJ@b!?-3 z3##n8?atz`gSE%3K>{Ud(gp?#l$fPk%}o@Knq7B$=25tiM{tD}DDU=5%n7fkJ&8tw>c5`f?Ws24#KMyMe)}0Kpe6Y6}d?fH8Bx5f4XH*^*gCxA^*K zZMX7j)9v3Aeh=Z=nQZ)iUie?*Hv?9|AHk6k`p;CGy2ID4KWKmdR9fZtPZ15Quc+-m ze?Z7tiP74CRm7kZP9^jUwfk+>jMikf@0)BFnQS6v%IlufQ|GqSo6g;89))l72(GAt z_4Jm{GM)P-A*s%tevR#1hJPtNJYr2fSB#mL%;u?olYn%CAD=@#!Jkg=7{L1(z+-u< zdPq!7nm_FWvgQx{osB;RND58BLwvgb1z$8BZO4E1d>f(hJuuvTJp9)AZ^lE9`}LH5 z(z3p2obwwNH*2d4hCNLJ)| zhSsb)%e@+RQW^D+^6RhF=5YkpW-#*H5QeN${&41K&kd8ChU!;XxMvU@pn|ti=|D9b zsB{D->z{$gNTLGTj|-mJf@hB4X$%^8aBr1D$j`5}2&of5)c|DOxEYwrYcSMVO793P zXt0$~N$>ymX9A?KX&}U1%2xit@Y9I zgEM#THok=TqGWtYc6{#W_4UzEas>b%<~w<)T<{fiYyS)`B*=t!O9s3>&*!A?2=O+L z-y?eQ_MD7~%&q`t&8Sp|j_cl`A&oq3mU^7Q5|zn9mKoI#1KCnze^Y^P7I+GYUK{^(6OZEdGb{NzD}mpe5M&?E6B_U@e#Dg z@+K76@;<)u|E9d3aKKye{p$ayyhD$$|OL&vBM78GaA>>!J5$ zBK~5z?MeW+EG}l<+F0XqBjyX$h`-NZ1NUD5&kSgkgSjRZ$KtS?U%(#d0V|;2e*sGi zUb@`&pIKB*{{@T)SWFsfKnOs)_qR}H|Cx2PM~bl9cyX3xj+c9Y)2sLEGvno;^msuK z+NKH_Gwt4ltP1xyVD_NjppB@Gd|LPAWvTIEnm9DGYXW{N1K#FI|1HWh_!-O38s$41 z=k(}G3QGItu?t^b97GhdiY%Wn2)K2OE60>kH~YncyCPlvoS*K-+iMP| z_Gc0tZ#7piO$e}o4~%|}5AM={Gx~)_YfbbQE%sqKq+i^_m)extiW!n%@Cy@$3_}7- z$rl{vCfQ1bCdWvU_US1)2FK66x6xn`zk&Qp_zi9e7Pka5Hlltelb(2FmL@%0 z?JPDb*&oI0cY{C3@kmREb}n<1oCrKg??|DK>aRERb0}5;#+?5$Tmu zj-|Y5JdYp-evSiu3=_#ygXdqc##tWhuYnI4kTcscNN!kuWB&EV z-{TZSkh=s-VSU9GPV+k}k?D+M``F=qszY1Q(((Fun-65^)uYzx#A~v@p&7Zz({n3F ztq%9q1uV~nKSF&Hc7o2zr*Ix%ZcR8Q(N^zP^{-E?p^AA+0F_>=Rj)zY0MlH1l?ET% zvCh{s@vHvmhF3p2HQv+seRsA-0G2bRqhHcj#;6urEGalnq@aBNhH*ktnYq6Xd^Eor z-rsrBNfcjOr{heo!mn1n!7Od!&ii*3Bi16VVD_iKLQ)?|m@=O}{pnGjT{ZgSnptmg zX=gB2l^b+9fc^0l_uq@wFSs;~-)eX0r|MeWjLFS}xIU3t%U7Fwe+I=Ut6wUEk;9!Q z5iPEs*()dDEK4kEU6IS^cdEJ;Pk@H3frh+7s)pX&-Hon=8`tMOfK6^+lL|59tc_kL z_R7`ne=1u>Ae7W)WVh2Jn;b=<4YGKa$~L5rW^<}}N6s#`pjz6V8qg&962LRv+)Qgo}#{e{fFns>4BFC0n!e^M^*S=e{FzJ0e#e?gG%ycF#K6&stUS z(fn?u4)vo@`f!!CJU}d&qCKN*fwLs(W@u%(eMof?(4e*Z);XUw@Qd#)aZOLzoVTmt{>-FUi@ znk*(HB{ew^qedYpWpyxw;$38OsvVUQD7SkHZDwq}3g11;WFAcB zb8O}xn9LvenTOlVm!va~H7%LkSf9HPJO3C)AFx-HV-XiU7);UM|H5WGAN8E$I&^ zR=D*{1Jf(E+)f_B728a?|MCvBg}zUqkHZQ|f@_$Bt0rmP+ z1JoM?`bPx5KF>f@pIGP3A%8tLJuJbww;EV$3tD9hQhC7@Ur>+=at?Edt4V*!Ua}fDT~Jk_#D#T6x6!fEw^4(Fx3kb_}JyGkviauO-P`7C+^g>nM8Sr>Yr{ zRou7UGf!nmiO*K;a$?Itml>yTWRBA+J5GmMGz~x9py@F)5DMFP_~Z1l8iS^%2uY!- zFB1lZlsEJJ6hF4XJDm?^arLOD{#$-*ZIT}wD}HPWqpE5k^H>8*v$)zv-nX-6Yf`M4 zL80YuwTk}eVVd2BhdawW!+=Wr87zf=js}MkI-(^wGJ4~^LQeF?`|RTZIel-nY9`AHZQjd zR_kPJw_0j@!zOKbxHNcDYr|2_vg8(RTUATtz>)Qd@gq`MgNaquiPe>hP3G{^)w5Q$ zRThoR$y`bO^;P_+Sx?1=mZp3wxcMJ8vNIjc>4;_{xp0=>fP;f`MzY+7VhF9B<)2C) z%EjW#VCz=5aF+=T6np)Nr9jakp1f(t(G7by?HJY2Z{5V91t6$%AJN*xIzIdHSsz_M zJ2!e;ASb$)-2Iw{p4QXWbRgT(6WEr1r-yB6YDaqgzD8;Kz;sT{-mGqZc;C)LtS1Hm z92&I+`klPraxVjIee_Bou%a2A-CuCNJxHse#6j8;4$_wH>14XapTECyR!*a!U@3zF z($Nbpw7{@Y?46pnmoCU}+P+}H(E7v#_0VKePAFYGAeU5cCsV=RZdAOpeEJCI$yJfo zS41kyB3)-Yw|osWTum1IHEQ5Hon_5^8)xO`xJzyc@CfzpNW5kEUy+$Ik(u+>_&3j` zzqwGBA)Ee2R+;q;>CgJk%l_8fe;zYC&YUmWN|ZYR5lXr=#M4>15vON;bNVFf8^u)C zcTu-*ZuiNIujlLuqV=ciqtn6M2Je2%dYxqxMuuW@M>1f}+tYv<&wx4QTL(-jf{Brd z;>Kx=n21Kq3Tru(ZW@|~EKrvI?tA$;FJ6?N^B%twc%Q{@I=^Cmhw=Ll;rTox{I2Eq z6Mm2K%PGw5RoFYPcVWiA{K7tkeKWpydo3vJw_8|dK>xi4*io4NYsX{LSfJk8FaUB0 z0kVU_gCk8u={Yk*k~z%&(3iIx3JP^9Aa9cRF|Z5la9Xzx`pnkv?T`~Nyx|FF8&QYm z3RKYuLm1qC>aX5PCfhrm3<4RwaVhC*Tvypx{#RFzomRSFdX4)^(jG8aUJY5SbO*Hu zBRlqO7{=d$4g2#qH@si9YwD?vtm#!>6|L;Ot23`Ym}vEj(<^yft1E(~^On`P5!F#W zwzh7;v>NxhWch(c=HSzn?f}Z)ZpzR$E1=o!6sb@r}LtoBRd zjhuL_3)Ib9p*7yjwrq7A_9d6&%1iPQE|tQTWb6-0om-Eh|AlCTSo84hj%`z*CU)4> zKbKj@POYBl{dUN`kgff=iX5l;17@L{SCMFsbPaKC(M|TDJA>|$=7)cfpHtoYnZ#Q# zau=LxmhoR(cJnWW-TV|%>)l&v_{Il)Ms6*9csxQ8%K6t9`*0J5}L^38rDcR0MfGf~}5op_ua2+B`q9d46m1S)`lQz%K{5=1H zdynHhNqHvNJWFhzUzt4nD$in@=NEpSKPk_pHjiWTEVX%lWAf~$JZITFcl&v+SDq7W zo>wOsY}{`1{NCg_KzRR(s=E;k7nTR(lRr zo+oUcWP4tP&5z$@^L)35dX7+@o3rcrqw-v0^X%0_dqyeG={AoU&kVe4mFGJ)&&v}H z4(_x#(EJImI97QMvU&cf2=aVad3K8a#R`9C^O$iQE7Uv^1ylT=ex{Sj zlp%_@bUh@5sFO%iCe2s&~VJQG{^h&3Jrk+m(J3#SW^={0GxKSy32$I zE9UAt+UOm8IxBm0Cht_=Hfe_0)O1_ud;qFOKi3wVkyAN#S^=7!X|t=`)eFW{yU$H= zpZ3o)1p_S0Itf}89Rvo0n24{+t6_2N;sd<^FO?O+f_X1ynnfg=_pSDt$lR*~)oxrj z&Vfnf?fZH4(~R^z3N5bT8Oh87yIR z%|8SeYXSCuG-+B%FfwjwPJ;tO2R~OIrNxZD_j(+Ez{>uku?Td`5jvdZgKEiv1bo^M zj%YQa(Tt7H#kRV-qPlec{uBx0SUaTJ4VIJqX>pm+P+4bQRdhyK&<&I!Q>+~lY2Bq% z$49zKoLhd(c&gzZlBL?+aW#%^+p9Tkvt~~* zBi01l0%LLkr?X8}@1Bqnlo{yCdw_V{7i;R5esNWP&SU&K_;vC7gkPNB2;j=e?UVY~ zt52WadFCs>Pp{0*R)0(WXZ9Cvu*~S>@%}rQjvi=kXy4%dg3Z4>p{!zE7^Aw~d1~cH zKEeGC5>1qe33l_R&Q6IYN(ph6Up+*9VoKau4 zmP+^IpC8K7|Byt{DaFzLHCA!t1N#Z9mr4ykpcH;$RO8ril-5VD9fD%4tul8Plo)|S zqWJ7dG8$hpTtG^^Fs#Ok7t{Cdc~Jl;DYK9<(N=LSBzpDh6m{k ztFv-C%JfiUXkFfBt!#d?zhcL2l7?T@s+_5zH~Srb|G1B~Jli?5&=_)WY9V`D@Equ0 za87;215JE7F$_NlFl!nX3(1Yp?AVS(QlINA*WnJF+IcroX=Gs;8=?Klm5i@+CzS;w zUA>%UU4R>m1rm|2y`5Wr%ZJ?^eRHk9J0evd$DB^GrTl>;KC+ImMfVB67uR-=ltoVS z&AinwRoaFHe!2v#2?0gnc;{t!#Uou_!}m;z^ZgXB+Z1`EsJ1BvGz3hFll&BawKYY504nYXoiu;-X z)>+cQAUC~jxTe5jY)H)^44-?Nxklz5sgI@if|~jK#`@0>ud(0BaK-6&p8rgK58^vH zfXw*Re6&hz^|#v(iwsuN!!wKtUfhyM$o>40Y8En^j%qdU#QNys_a7VLgi0-OU@Xxp>;R5gFF4p z(yJ!RH0zriRT>r4qfJjTt)6E5n*$^PG!`M7Q(}BF7b6Z%6tz|5!uDK_b({{`am$Od za>@vyu~ulD5pu(&5)0JDZb&#!p8p-GXKp#kaMUZlYdGrlJc26@h30x2k7sW{E`0Ci zuO@hk`%F?uPk|#SrqnxE8&_8NIt>Pjr=sp4WHcb;`=|6>g4QyksKH+5Yg~fTzi=6+ zmm;VYL%Pm*vBespp@7?~+(|<_K~abIpAV5OO&S{M8t5##pN+26mgYVgKa-K8#ik-` zn=oE9kpqOu1U{?0Tamv_7MbTXOJ7Z0k*<7ak!Xk#=Tmx#^k(BNhTYbYpk*<9k z_K$QOz(qo_;*U=e>)YX-NxS01dH{?|d!g26BTQT}yoq=3?+0)Y{bB41ywR(+!%N)cei*io>zHRh~VTdv-*Dw!%0RA z$j|d3{{>`vX)4djJ9j1GXS0ju^6jugvh)39_P!hIs6;aLO56!Y77p#Bt8a^E`iT%J zBsy`P)Zx8|>QvOMh}et#*zaW3^!zu}^f9=OboF)?y$WhlNM{Onc)in&6r#uZg?t2Q zjV}|KPj#>zHbW?Z1rtL@41y|U8l*GV{6Q#IIh+Z>5{jmzCN_z_C_b`6L*MU`P>Jyk zkZ#=fxh+#LIDRYC#&=G(J$)Gf*fxGfHznKno*z4f*fcgj{hBuRGi@w1!{rS>+2Lx% zHX!ca3M<;~@a|CA3x^hPWdm^BV!!q^WvuqgcpLH^|1)K{ci*eOtjim{ou66A&$K!A zG2Qd9pW|V2bk0|SW3q}Lkt|;A{F9$JqQtfC&s28B^9vP~EN8Z&uxi`r-Rf8OKAk00 zl#=QRzku;dr6F{`a80G(At33G7k|t>-!t8VJ!z7TeTEZ@k=ScH&G!IP$o&_Vh2utH zXLvN15GU54;qkw8G?jDJs64J)>O8p_p}|dKb)s!kg+0->7x9aU4!_-bo;az1_YxgN zx77>}c^mXv={(t+V+a60K&>%pKKJC_@C<2QH+q+F$%3Du{|-NIz)Ni3mB8~|pW|AS z-tX9&ee3a*XOi~78Aom`>E@KIvrOke*l`@#bVFW_>B`6Ond^BRWQJR^U!Hv~hzs6hbLA4`TwnP9Eu1yr_VN zSweE1HzkS8+1_});wnhfb(fj0a%Xn=2kM1Q#;8^qKI*LjZ)|$u0x&T&y)xTt=<<7| z&byS?_y%Yi=CF^SsP3FQ@^Pxq`Qi^@zuK4RI6vbCz53PuB~|Tz-p{T!oLTLRbhSG% zT`&dJd7twdKf_cz9j&IppjY^bz}?_IhH@K3^=)8)?2cQ`TjaF$rgwhQ%A3+3W=XQs z95V7ud{^ZH4Pqw94?i#}H=N**@ll=SD1|@DJezkY>$m z@9J+%Go3}!tH%c$-fe?-N)mjpyYpO4oqm27bkCdKy2A&-%pF{^C9M@18;Uh#yZ1XXT{+ zTpi;qd653b_746P~NTrT`|c=!LCun!4qTG$&Y4VZn|kGYMQ>=w;` z(;&j|h6N3WsWqjvrfrgDXLgH3DC68AoAXzqI8Q{2Lhfy?gk})hbvf$x_~m}>;S$>F zEE+C=@i*>+Q2-d-OD`f@+a!!fP#?sPwhfx$r{4lE7T@0#nQt3(mLKyZF#w@C&^9R_ zxcHx34VX7TwmX0dr~3-x>!3MJ3n{Tr(?U)Y2vG<5<<_Um%_@@|J(j6(0LY17%Nyl< zA~Fn;4ie-T+F~{_DW6qfNYlbTjCfKE@N4=8RxExpDMVVN5-Ok6$60igiXs1%47f^n z=Fni|>)eJxRmd#_rRSI4N?tlEzROUg;3;P1ON99Vbn9C!cB=0} zh^GMgvvOypVegTW*0%y@djFA@)@Ki`L*6h$3ofrOd{b6o>vGHrFsVslV8pz7Uj*gd3X4E4^dtnetX7SPEHIwe>uDfv{JD;(k)?`e(TD z4?efmc&n8vdOgzu>mGAXUL_*4%2NE<`hC_VV~o)}6rMU+&)C6(l?Nxo z*a_XZs)auEia&wxu4Y5OR9}7dg$?|a=%4LDNZeKtXHtHBgr?_<`|e4l3SZN28+_1q1@0;*cAl6PoN7|mdbIYs zT+nI8766!0nlKy6Mz?Yh7i|X?mkBN_+uqB$880L`=&TqxVs+{IItleh)bLr#AUi#W zGvBps6K3QDwWUG6E(ZBdwlJnpw`+83vb>s#xD#0?6p`XOQXmDdI__OrVvj%@dhL*w zn&HzF_k(wdn~RkGRGn8uEdd`pZ=g;j-uk5w#?GSoK=B{3;tuU_V}&`$2)tRX61GpS z;}EhJ#0zgII=2qMFs^+!JW=KL5>zN1hwudb$0_WSw+Ty?<53*$hP{%EqP{#XT~u{q zZPVNtEM)t!b@(7O`sEA$paDtWGExV-Pt|vdWV1krY=dMObZUR2Sy(^g(&r8vYZMOnse|*B&4I z8|orp)#mAsvLs(nm5)x0om3kAo@_t&yrqi)=LIftJo?5 z=LUz1bafonXoJ_i8P1}25Qq9De+3&WIGsf?0^{rXV^5jKijUG>GFEsd4=?A*^bmUk z-{Q$37XK9)P{rUCL4B1WeMf(hdEVUmorjb*_Hf=Dw|kp{ERG}F8I#glbG_xbRuW@%n{jzezZARXl@t{MQ-On{;ZocRMf9+QeT|=%!C;H zOglam{4s}G&F&4<@4nT8im6#PamLor4{tTm8R2~QnBImXFMq)@*ivhm?e~)#WlB9M zx8-InV1^679a=KQ=)Uj@ViAajrfBh+&R+JgX&{Sl?(P(%hM@)js72M>BAwNZ}5J^EM$Yk(7i>iHU6Agy3L^JzI2oP zg^^}3@2z#dD03`ve8-G$U$ApQ){NF%D$Tq<+jsEm=Kp+B-{g6UCaSMG?m14CE}h{1CQ z+-N9R@wyYc2eAzXU;AAtg}Q11MQ4`R%vv+v&0Fmo5-(Ax42dHT|4dS%4GB|IV|_64 zkKs*3<}SFLp?~)wF!|C>K}U|rXM^f21Gdg-!N20CR&SFMYy9}otgl1vpdDV0k}W2# z3Yqw!9L!=3>M9r*#@?BbPZ_e zXT+y75WTpSPZt=O=43w1`aa&gU<5GMyrAbdmLaj?qv89LP+zTTbdBR7pd{~#9TqmA z22)Gkh4ja-CO7?H+7oYJ(XMgNEFHamO2z8=&z}|>uo`=zpqq=#<_3^h z@dP5dg1|>aVvQM5-bJZoQOYodHSv<{MDAu(Hco2yrTlw&_l`~GV5FKd;!_2VZA!oJ zxixN8X<`lTKh@S&hM$3L&}z5Wo^aMDiL*Z8e&Ebmas^ouxVYe=2vf+sHSu!^lE!pm z_u`*p0&^d@Ek2x=Sk+lXXN31ktT#nHN_19HFURjOIf`FBJcX=aqRpGp%SUj$$;PkD zjNj;$r{e2u{O{87-T_2v$kI8C`y}!-{Bwx`d+rZ=d(l-$yul#zal zo7a^n?kMe*6ThFShGl04Q#_ zB7+XX6%?;LFpa5UWM}7P716w`OFRv!IEGZ4N!5u=d@xtEvmzBF+MC7|m13}iEH$Hm zHxg1)Eg7yZl|k6vhiei0rEyyB-zk%Fw|gOeG=}9A|2RMAKYVKD;Z9es}&9nG-*~Pxix-aXmd7$(zNP`X4>$dy!HgW+;AI)SO`* z@LHq^w4>=~_o!ti^z&W2lD+)LRMOW8#0N~p-NL~9G4)G>kL0okU86A?5JU72baj{k zWQE;FnDO0Va?Qgh9JO+v=`hAN9Du29_-n!}yo*jHvoa@z7REo2;Ya*MIg24N>@WM* zZT`VqUt00~*UgSSW`q^z>O-zFq7NFjAy&L&uv#9|bo6T2>wyf<2AK?F395`Xn9N+z`MrqDbb^bhuoMc8{XA8szMKx8#LaJ(G~ql zQPu8%;k$DpYsHBeZxeG;5)Q^qMn|fJCB{!eX%p1d} z;Eh_W=__zuh&{r4W6_)_z6&Wr{3&>C%vOy5lO0x85xd$*FH*7)JOagPa{GFRl?omN zUs!sy>tL+z^T15HB@gq5)u8zcmeJV61jfRPS{o0x`d!ZV?mzC>hJDAK-{6cprlEj~ zg>rBBu(LPIcQrgZW4p{7A*D5Uhzo~r%zfRH|~wp;P#-uiz~@wPh!ZZVX{d-e|UP%#(O}o?JbId2%;`!X&84d2(sD zd9oJZm?t~g44b1&f;;ck?j9}t^wih$z&BZ-c{tgSGD!&7$ zJEK*)i%c26d4aieBVn35qm5`s)8;+)O$a!CI+AfZ_D}veol@uRcaVnZd?rnmoin}> zeYN|IOz7*4|DI?T5@P%+bel-{o0Mm7m!7(W)j-`5_cvDb3 z`al|rA03zph3S;zv&uc(FL!^+wWH7gD2$E(%8c{1^7qsY-Vxph&l`AsAh+@*AfEwC zsUgTkAQN-p$IA2e_lfj>It!HQ52Omk&dN;9YAV!t$G0%?>FQmpVdVumCR1+x6#Wuu0|o!KUK52y7zqgE_eKf$`c!v7 zJW+hlKy9oxX%t-n0@;$YJDND@vQeE@>@U2j$dn2y!-_vKZfKa4UCVB zdtgx5!3xxxk9|p+&I72Z$kxzjZ*I)k#QoOFw3W!j8o$A=ZLDjXNy8?e!{%J}MAfq> zI$6NZeS8q@Kz-?+6ygPnw~X(g`?8O$U}D|qgpWl^+&+U6Utz3=W<71Yy&Z>S-XW%p zEx4yiChei!uVZ9m+Ks*tuy;e?kMfB)!qjx{b_pOf96EA|1j&%<4Bxyypj8g=xnh74vJ57 zo*Zx-Hrl=5Ebcylr4BDi#jg}{v5ER{Z{`Q5N}l&h&1f;x#q?SZ-}F^AMiFMl^-%LQ zx9zE=hXQ+NUmpP|y`Mrp^Arn)v&7z)>91aP<-5>W`><19`otgdbKbx2zyB4GUcUi< zv_IuNoL_4FOy4!jhV#acwjg5GU3BJlKaMWmer!q$&`Ol(J=g4b+LwPOF-2Vn`F;ST ziiFkHxc3eZ@nKHNDW=ws386R$x^J+#FGnB7gdFr|Mp<+s9@UVkmj|0ZU^V*3@EIfz ze7P<++<(!>4Sf>NR!7zrxa%Ts_6mx>OaXB9oiuR#S6p*ZYQC8*XL==z{2e(WtZkaeJ@fTwr= zq@9V!3p7lw$gB%Z1~jAH`#H-5+W}kCn==2IeV36+^edk@D4aJMTm6S~DF1Q16VeQE{=?Ay4(~Tbxm@S-Wy9d61%q=V%U)=E+EH`bpKvl7C3L*9H!!& zJaL^S_-KOF;k_$c5aF1h2cRj^`OmQxQ(>aR&95X+w6C))|GT`jOya&WNhBsd4Ug;9 zo%}V^nW=phS?NMza8F6kRR{I(&f2T zlID2601$r{V|ON&rsWIDTo!5kCMP^fNmz)U^+HM#*^sNzFJcTx0dLOpy(} zvf49&va{RMOYPaInnT_l6sPu_W&wGLPiZ^n*mnG-SF#68`!P5 z={E|Q-4`#JFb%fE4c^;(OTf{+IXm(Ylbmdj{A{B58@`ND5PKl+<@>%i^x%Fnn(A|-~1Tz{wpz#F}wX@gJxFj_*SBQ5rcq9Ng!T-pa+y|okAlquMjld_>`8dlz8aE3>9~v+xk|b1qoT=Jz#T} zXiJF0Opwr)!jy6P{fyl?t1T_-$O{FRd&@2Bv-vV$(6n8waFVF`DWY2ZyJl=6fJ(QvE@;? zAy4~FN#14jaw*lq&L~G`e~mHwE-Qi!2WuHL_5{4KHmVyM*~><&kd#yvV0wp7Q2yYx zB3f0VKZAoURmJ?wlDL;%ygP7>qjD=kx=ZtnMaG^`5x$~7r{QERp>uK?POud}+dl&xxVKQR z!HG914^!wK)@3cA{yKV+&2(pGrh{dbWZNR!p&6ptGnDrX!wo0%nzhv!2|{~)w0C%$ z%(~CoDqvHK>&RcI)()?dgPL0VXDg;8*fgId7VD!9ue#JMvH8fTGS=8fDNJi@A=yZ* zMYbL*ZL`Q;zzZX3lNd}bu<%fs3+(m={CBKpi(F~f*8%Kl6RYt3S+VY#Pu(4r?rO8X zE*btXh)EP5+#BDa&ExbTI``|H%&=#oko#aXJ@edI=6~oRU@~6-!>G6 zk;(%72Jha%r-;hFsV=Eim;8nUzf0x%zAi=f?IH_0p7GvMY-D3D3{b#d&}zMhuwBkv zl+68%g^~BoDqHR)j4}t;u&)s#WhH8nz|9dNi#% z%TUhb+B-D0_Fnj?dfaxei1~!he8c|Q(SHKV)cHGDBv-`kGBwlGT{wq__q%_aWy&Z< z5WE*(tH397#B4q5>4JBIs#n~K5P8>Zio9~dP;F+Ug*!sb{yolq^L{zhww*FljQS91* zw)j0I<@^T^Z`$WcrtJ%TUbE|)ET&Dmkwozz3Jg9ermZ^iOrn0N_%)+7N%CvVTk&fO z>yckWl8f;^KJ0o4vTbR8O*srPd;Du+48PWJmgU#ZVj@icN?>EPB(Tr2V1Hk5{`@na zUuz@cB89Bj`HkV%Y>6Aai)oFOZE5{FUcBF&5DeZ(vp9thwcOiUkS{^yTJ0#A@B@59&9%_I zrQ-D*%$W^e4hMo+Cc|3Sg4?@YuiG)q3RVlClduGx)nK zO9?dN=EjLpLCy@UgeFj}_uYT6EJ+gKEdQix(Z^2nC+b!6FRAaUHg~<$3@;%~<#6L} zzryp~lCSOE4x?V}<_!5L6QPbvle={0X zaX{L9I?Zo0qTRqZl~FiXUK8zbsm;7#s}g(|FVjAkWb!uta~J+dB3+4wsY|WWktA^8 zCi1dgV%N~b1l`Hs#3uCel@!q>do1qAWO3oYGD!YfYRicbsw$31)qtqg)X!o`y6BOhH?vC3J3 z9*Kyx&hoXvinY#7rF??XHxNcw4#y)umvQQfkWFCrb0=o(1eW5gzrNy?`THS_Yq$RT z)#H`i2@vxg_W%3~b$Qr!`C$g0!ZAF&w?0mG`H6hmE}w5Ujvd~6x0o)s%`14x3`2Z} z_o_Kg!F**= z>nwcK`nlTZ-9W)j3ufdrjB!>@oL$isKIRGdbMUj#dlJanCeFZ9{FNnb%|`#2t8F3C z2Eh2KfYSV`(>#V}(?3iCChHKs>b0FD9RkTASy}cYQe_YPiy^TM$JM(R4)O8n?R?0L zE3cSHIJV{wf#iuIADS|tiEqgaIY=RGgs8w(%B$7(F#?(voP$2ad(ba$El+WP|0JqD zdf|}xkCe$-c_FSJmJGj-3cSw|IW5KN!Q9j_5xezJIerSa4q!9FWdREYB{ozi+LM?? z!Ln*V__$Am!ua^(% z5FgZ4;wg9UP3%x#hGzO*`MSxp(OdhV@Ye3deq`GzdmYKAPFFqm5y_%4u|#r8_ADdB zo2bqDfOF=^G;#|gdn>${hqrvOX8soRuXWxXJC*LjI)*`R{KtZc63^;ZVzQ7^Y#|F( z$Tb;-)MgffuU1pYJ{D&Dzkh+7^wY{KR?VATF>&0&S;ljfoktUEL(xI)PZR^Jdej`r zbEIIggi^$jCpf#9dW!T7iyloux@=kK=WlfE?eNINLZh7H(?YiQ|%J0TE@| zA@zir^;U2;M2-4YG>w_L-(+B>kv_>TZ-hjfK61abMVzQ2&dn&IJhKSSHDYJHw~X6| zglG93{Efs&i~?GF8ocpDB;t9S1^wabhmC(S)1}6>Bd>;dZ!y+`8Vav{jo}H3e)>O| zir8PiYGa=yc6U4v^6~6cy|`x-A0`wh`HOEwb>}65^n?uXG}4a5g&AzcxjeinEopp? z5=j0?>l{T}l(^C+zC?*jGZOFGkxrbNW_Lfr!f(k_{9#5J7ndzxVBJz~^!{qFu+jVZ z17HA&z&s;gh%Dd)-qofuFtvcV8t(K;%fJ20XmZlW@3@SnAz9{yewh<(nc+t|4~ML( z$b)=&DIc5)^*i%ek}Echqq>dWK7OWZX+LjQKf7HVZ=}(~thlpQ!p%{)q%qVlv(a$1 z+qX05{PwmodZ5IM&;%wKs(>leh|X&1rv=bDN$UIkRcj_oL=C=Rf;uA7-&wSPo`>9Z zmFO^D2%SXUc7vZpM=0VIM9NFT|A{1W7Yu~)huCd`eNa&wIY_IfOq2zLCL#BpNM2~& ziAjJJpgDKiKKx95c>c|5PM0AqCjmZ|9)`4Zeplt}!gip>ZBNch6{SHSr+18)9O2`D zi~_cIr3+L$!(rXP)q2$>6;nASJ^$E{JIS1o4&gsn_SpDA&Q1D3_HJ8j1+Q4IUo|AI zZkIdH<67gNOS%ubQkhL!e zJe#dzH;1epUcH|bf2?i?ii_(@ya|7>WCiMo|2TbcPVzLKXdgKhK8b0CKNp)Q%+-^V z=1};v++uYU$1Kf(OK!ugEWdt+vq+c1DfKp_>xQ9ujQ~ak6lp)NApN&6A zEd4d6p+A35bedo0n-i6AgUJC?q7Y~0RJwkW4x7Vg%X1Li%2W+!@hWEx zf?NkgiJWAPnopX&TWk6w@p_sL>hNaa8mRLq|KlYP)j13ll;9uAE>0a@kQ7kTnK&YN zHQ?OQW(Svia>h|kcuGb>z;PBe30bu3Yb$waxK`kXukbqc&4BDp z-3-Vgwz-;g-lI>Nsa0c=p^NMAR{1Hn@+9SP3VTptvErA$Hj<1_c?jZ{7p5V8?DI6l zyP>2{!^NijGgQ_^e)%_;^1}gjC6va_>`Fz#Rg6^16aAD^ZAx~dFy4kxOe*n)*p%Uy zOn)@*%V8|$Rb#SUd(loqg~}Z1KmMPj=v9g{Bl1O+XHH;9wiRNLkgaL0m6t_uuw#sF zGS8-n2G_W+$y-gGwzsuxkiqpmbXMK> z5SFU@PMcu&y5D@;)V=I6_jZdqu!D_Fv zWv=c&m^*vy^nwK_Y&xpluZWbiO(jhTppL^LxH3Af4_}=2YUBEYdAVJDaQy)<71Imm zy=;Vf8@!>M9b+=GD)zP^p(w5%Z0o7$96slGKl{YY<#L>J&PK8YqlyT2=%=b}a$TZ$ zPCQrM`7VPTdX%Fp3vCPReZQT18BOd4uN8*^mF071IL*UYo+``D!6|2v%>R=|reY_r zezR9kr=_0A2;R5bX{q)KO^YlaGa>iIL~TJQy3mgsbT90gWQWdN`MIGp3wZ=rOhO9p z{qhw^O{{o6A($j+Uv|<5-&hA-2irV9w0T^Ur&@Vt+dNT4kf9$LD$C~$Zwyz-2;mhh zVW{z)f0-JW*xbJ|xu=pF=Gr^L7I4N#s?^wHSG_Na*Ws=E7$(4s3!(UNzI=H)xA+L-f>$~F4Jh;LZKIxoBLk{Oe z%=T^WhlsST2K%K>z%Y~r0%|P5etMlSzNNF5Jn(PuCfy)635XLps-N6iaNej5H$`Y6 zw?aYvqlZvCRb_Rm(gQ?{%kK>M;<|e$<6C;s-Tiejz60Jqq|{!5Lh#hp+vfY$`(6@= zwm8rF@Gf;+&-cCbc%DLaCu)ATd%s3%1yWj#)xsKe zNl1;Y6^I!`Eu(#=zJREE>L3yL*=}pOK($!g3Jh6V8`x>ocK2STYLBq(+JZ`)OKeQ9 z;QB-(>wK^cj?;C+Wyjg{q)@DHxnNC#w0k@(Tc9-2?k`Nx$Hjp_dX*CWv-eko+_9iX z%9}$pl1Ce2#0u}x2D@qqoHD>Eow+8ojyl!2REQ5iy1da0nysO+HSl~?O*h3PGxcji z6p0pdsRsKU4nFD(EZrjgBIFvlv0}PiDn_XW!(*85&l^Unc#y_ctne@%!4({3$nj2k z$xxU>2{EF}c5lnu-{9`1vwHNaV)XL=E>yTvOA-Gk)>p8H(uN`3-m`U43S;S6d`PVJ zUVCI`g0u+I6YB{^Cil9m#Dzwt7O0UqfE`Bf6ToJd{pJR_@BuFArMXwreJZ2 z8f+wp5v&F#=nN!qMkW@ERIEyA)24W}E@ej1vP_y#6OPkzTl=fM*S6Z*+wIzFm&;-; zCV(M`tZt~SxYYK9aRF>0LCg7lpXZ!evH*J9`~UsMdDrKC_UCzfT&Ar^SjTzK z3jXS>vo`ahP@cT|stmI0b1Ex!+CO?gti#62SNT@3KHzu%g@80q|7FZ(2X>lV3w z!@aJ@Yy_T5%<-+yK{Ryyu|J4Svs(b`Wds7<6fR{afgCKOcGxQ$ma>W2xgpoZE#Z&S zQO7@hpEMIC2z{Hq5TKM^4A)-8KGAgpoE766uH$>i9p4S^`0nDzjy;n#z9sTxB;%7R z0$+X4)o2W(P6m7%@FCCZsTx*5a=~5{vYhagWu?o{3|srcq#^)-^XxzTg@F;2vx&?O zTf%|b(xrdm9rSuqEh0LaQhNygo8Z-&veJ0fIY#p>6c-DT+R94p ziXWoG>&8Z8G-EbpCER7O=Dg1r<%vj@4~$)znC?I@82COB9-6;O`zcGHq)|$B>E;JL zOJM90W`8xt=g_*>-Pxb3{V)DJKlYJ5S+oBy^5on5-gl6+lMg0Lpx^C6yxMnmY^<0J zL8f9(^u~QE9x`1q78q1Dq2G9`>{Idc#72eBj641penO|7cd!45ABtEbOGDP&_njx* zhn+lBqgIu@{eDrfF>8*0)hlYVk~IvICPi0qzMOHUllmhHrq^aN1C<6dYcuahx;85% z-AA&>{p2=2kqli!RQ}52jlk8m6#{RmvDHbLRULh!SA)liUL~I&JG9j-l&o4ru}Z4D zY~tgdYk|Lg4JUMPT!$n}46e(`%9Z$-yEJgAt>(QR889RCbpyD_K`A&3@NBLU`P)@?<{A zBFm9jVTeQo{RC>UhjBG~sRUgq`V6yGbRm$#s+?GXJ+Br6%8J6A=ot|dXc6OK`Q&Qq z#~l^#2yHo)SBX)=RjBCLKR18+ycasU7iS4=CQL;`9RGZpju7H3@*`fA+u-e$9ADct zhz2}*!92Lr}@<;Y- z;ARdll$;0}oDs1a#)JcJE?t3UnOS*4=7}JePnB?jJQLH-<4S&a5ih~W>FnudYC$0upxz)&n zbTx6RQCpK1Hk~Jh{K#Yt%u-~tQ||d?vTsu79o^=R^93{ToU3zN4#o9RsaIo^g%JKk zxGxTkWBKHO$8fpHsSnf53Y)aI5{~ujG^L4bU}ny&!3`d*mQPzC(G;j|Ms*jg_c$ELHFkZ&KFF9rPNlUZKyPI zcw=sKnj93x7WE-#Jz6Crps`AO$G#lAygPi+AuvPT_LsOd6EkR>m(qD%h6Mz-7d-OU z-gu&tuJy$e_UqSi#+Fo9C=Ar|e~^jNE_(HN<2RjgKilogu-*`u@|9bcpCSD&wO&}g z-i9G`{3|JAHYI(rccd$&jIKPh=duCJYVZAA>T8RiV>*b?CVAB0M#7trBA?owWZ|W(>|mCB_jD7M5LQ#=8()Ke)^| zV0(IQ;CgLle0DR)>YzS+1p(~7O&mueP0?dnl)?b;_x5Hk6BYV@OXOGkC8dM{v~OAZ+hBJCyBcJ>j)O+Yzaz^c|h7M zv7f*9nA2P8Me8-N#BH{++*vR+VEZEP>)Lt!c=pBK{rDKzG;Ecat!TT~%qeV{Qy8+$ zVXfw{aAFQviXQm~=+S_RfRnUuTBo{ML=&@1?1kSHW^!N zYoW2`^1>Fg(7If@MREYQTg$)If1(ELR(ioAa=O`wFb?Tca%00dp>x=j>BC}qkN7w{ zuh&NKzBo>K3iC<2*35-$-2wFniwk7ViLl2Gcg=TwC zUh8apW>qsZV24ukr<>nU)wJly)03w8!us>iL1k`UV7lhZbSW#d)BXVzNgj!GYFb0> z$5mj|#)HS%px~25!Y^2bSP4|;@Y*|rB!GY90cAj=pOPOWOzJKD8THz$#-fKw_}}f! zcVF1EoH;pYC2>K+y`Bh~l(p z0NUlY=<#sUjMeEikP37PS}PRi8}_+6AvzHYbt2M9$>1DVDWBQiou?hn-XAY?gbLwL z+e_@jTSY7#8^$1G&7pjWSwCYKq)Zr^#b$5)QC>veS+GycspTWVK%3*A2K~kGeYzvB zs01B^TwO_BBfNUPtU2P90m-Nj)8|Ptv*`NTqF-`C!{T@-M(RUk$~M6hUWe#RgwE8d z^*dXiMQd|Q>gwCFyYtIk+MQCjJ2jT{r7$dIdi4mqMg|gH90Kj>wXh1mL317AzWhVu zveyjky8U7x~#V@)tQ5|PZ zE|>kj|1b1~AL9F7`FtAR6fdoesTHr+5=DUpe}5a;nT+bBxlCLuYE>O9%$?{HZslCx z*7MBP8&Ig;P!epp;WXgJXs+SY)*HqM@6G`MRx0b)olYW@4;yOxcT0REOk$^5u_LAL zHP#Gj$-mHaI@q1>q8KE-c}Y zT|Rxqw7ybk2EI}fJw;Uf!2DpdX+~jT*JddUhEo+J1Y00Mpg^>MrmI{OjbBp_qT39B zlx;M@X9nIj?*5g0iGA)|HW-F3ZrEF;s{3;M6GT9e;8D~OX8T)tkh8<4HPWm&8Xch` z(+p0)egI4lrktitV({~wy{W1qPtyVdU$VdTA zqE(LW;uo(ph0Mmy05NDQn-t z+6#G23|qSn5S66 z&ol#vVxv(;a;pqQRhA7%=E>TI{?h&vYsM;|SQ1g0&ID0Q>LP6kWsLtw=mA_S!>-MDDzn~7) zcF3v)?CE9VGxT)3BnT+we<1t_)5@$?E<4BTFZ|SYlSDJtTuQ!+Ql-!#PoflA`kL9a zh2BmK2i}kUSQhG2|&=7q8kHOo(LHKz2APg3)%N|m&!V2>Sj}L}#C50S(*1B? zZ&*MADC>z>hn8JHJd>>dRr~16uze{2;6U4LzyM+V`A4LWiR;GZnt?wq-NT<4N{Ska z%d5mF?P(zs47n+In@<6Lb&ru@zVsPeaLlrOmuzxK8XN|S4MU}z^ z6F#L%*31D_f~JUX@j^BAO+lF||h;e#c7!lh~J zcrxV_>B;<$5iC)vo;%cYs7{zM><9;imzRMMLS}qjjn=ezs|;pI+P*9MDMX#xX&ABy zOot4Il0o|$c%aH);6vcncr@Q$Dv#8*wsa!cM~$`aHxmUygZ8x)kdm^Be767?+{97S zlE-d)SV%Lqm)ifW)ttTx?V~Td!rr( z{@bz#SRdW5uY$d;1p|!<3T3b3Fic^iNCHMI<#YHkXop2(Km|E=IV(xrWnJKJi{Af6Z_! zlh`^Jt3czMamJ^BP6P{kGz-v;uipt4#G4a`(XWhw?>3qxpQUNJIXBG2U2M7SR*2n( z_&JwpJw2~u#`$5h)q6vR~t^$9M;riJ!-xZIo8 z%ks!HBKwkVF?}r0mKE?)vhGke{jC%<$yIkB!-?Pu1kzXu@aIm!S(Q?o{Ricg6@9wL0M7YLFA{(~=RzEm(hOMFA2-7MXZ~ro zUF{i;$!r6VW&;?gT(_^||0|w32I0@;>P3Nf+6`@D0jgX1l6hayxEJnwMxn&}W53$^ z2i5Ssra#3=enRVnQrX>)sEN6YCy&<4RH4hb_wn}~m){jmI^$fI(VVz_B%Y)sTP8~B zR}d~l7P6+7s61p%2g!y|I7}~X36*A5@?p#Oo}DcH1oTH(d*tc0B2$6?1*$7$QI-g> zLQt-f)y0UiaE*8>8O@s*EBsGo_|e}_zw3=>?|uBa@cQlE)U$X?cz02FUCQ9alcl1P zS`r3A$m`X!_~927GP>nQ>PGjFC_c(vXq_QA%>ByVMLv5p#Rqwj zhLf9qFRnxB>N0@qU73w{7RnqfP4WMYp~AM`A0S=4FZF6!(v-6ymVHF?)A3&C%o(Cj zm%iO=yMT{FVE^!eJbNuv33r? zl;y{oT1d6GvuzHk%I#L5A!3Eu%c9~G;vC5f120R30mJy7HznNIBa4yQHcQE6)fU$M z0uf>qTivm4HS>snjfe-Y@_ zk$0exqs{jBAaa{r8}nXs-Rx_=R`uKyU%DuKgiLQ6VH0qWN3ypsV})>y2_LZ$^D(=n ztkTHs-!xggiZ*lIkXLtijJWaOdEuruCCDr>DCUpX5D_Y0ZLV(@!6_mtYxXzQrLgI}-f+s=Q<$tSvj~ZR?b~ipa2%@)mU;!eWL_t=B!h*Xw-j-ZHPcUUP63 zJn4E#bW@h)%{u+HeKflArP!y$8=5%BbT+749kzJ}YD(&y7u(Dg}6Jign@? z;PhI}ybTqd#DLKnw+VG{jiIOCEmdQ!aQvssY~XY}O=Uj&uaCOTNF9^+gx1A9F5_)R zpx+ADRBYFX5X+FQZp8(Z*$ zN?cije$C#ZW9VgfO;xG*zqe2xG_BjlnAQy?8Kh?B-BxJkoo6;46C9-|&OGvGCDdsY zXXYI=^Y){vNTWDWRCtI`vec(goSAn3r#1VNtaNyNYPUqtYoJ|CV>|Q6TN%WLg2#_@ z&D*kl)H1bAP>&!wGY^YZ3fIAy{10{vwf4q!RO8{gw*=P-yYm5dj9Gz*v{SmB!L_L! z^Y|XwG3~#VMOF-_xK0xR%C^ZSL*9C%*mgxW_SFPc*=H*yPU9IS>;LztrXRuC1(0V^ zh_>noL?5MA3ePBFV%Ca;Li}vEaA}_iI{v$V$2Zh;RBX^za;Bkv<3AW!+~JAaeo_ug!!S?Ic641EOKX6f8? z49*ewOzAj}H$Nh8;`&u6+Gl$tGzh!6iCx_^)~JY8*)q7FRb9$P?kq?>Y<8%{Ko$S;Z1??K|P-x~=$z3eL)nq+P`=LfN-^A25eiTV3+;cu47e`$SW zoi&?LcZ4A@%4X(g*xW}hKfE78*aq*)yGI6_M91t#yh(9ChxdoZx6R0@8R5|2(lvqu zAbX;oW}-3}AbU2%pCMxH9-!~FL@w697}&M!vlYn5OUp_)fkNy*b=Kvz3a@p-3(xSTWwCwsgV13e6e2(t6b8H zLvZs4rVJ5GMZphuz?6t%6BK(z;pK^|#yfa|la5QZfA@I2G43$n z1FFZA;aYd>Ba8k{7Px}w1<2mNdrGjn{~7%$euJOTx;F%aeh%+0UL@rO=(9;qZ$p^@ z6I1-}Jgc4jC#xhdB38U=PX5#@^J4`eYjd(-Y+KV_2S^%)$+^H|BDaUu`0T%akwXw9 z*2_Njuy!VlozU8A-}EmMHx|1*B|nDNNg>r@ZMPriBUrZc@%xX|x8ZC(TF%@0C@%d$ zWYU&=0}u)ab}ik{;Ow)trsxKlu7$tWHtgjQnKpNR(DUQ>F0K%;$XArt)o(}S3*~LE z33M&{RH$NmjkR0yy^+*P;RB6Z=*XL)yk~mD2VTD(c)Tg#@eBuTWP?X=eD{o;rG*@- zv)>o{P0E~>%E>Qo0#D!;5nP4HD;5HTo}t{c*@LcmCOh?=0=h>}vyC*RY$=K813PBo z6+7)o*D={jNC)eSj*Q*SCWE;PP_3762Yb?)NHf=ib5YpvU03W zK|!;h=OsSkz#yvb{k1D!S{~K?gEAqtf1U^(*&oW=(|dkogL@OSUnXcz$k~`ZLGJ!J zgL|0Y>VbpS8_*I&ztUT*jURt1#}U;Vsfr`>6#;f)6s03PFA(IJSzNRqS-Uq6j%am>dme@VPmh#}L3nZ%VjbPP8PO@0x6v-hM zsZz4Ra^NRY-8oTTWB~>D6f%hsIb3sVO$&7YXiXJK{?tT<-&nb`O+OsNw{KL^vZCcb8$qvhgpt{1e;7lvf6El zRBRbIXVx&v7E4(z2o{3M$Pr}kr4F`9HDP>@1mxfr*lhaFYVa3@Vir-)LcvhVnyMi& zl4UeAAlY@H@<^a9Y)o&9(7`dLj4v+~WIN&NR8!~>);_{XVpdLhz-3jY^QCI?6uisy zxt6g~NxOhz^MHGXYp`lc>^iBO^UF=CC? z=E3sc{9_o`m2Dy8+Z&x3rj~#RaX?jIcKOoHde4>dz4n%&eV16YR7;hwEA>oS+Ho|> zB`u*6enzx}M(}e=OXw7SMz(}TqJ2hFMF@;Fy_8>oY7kej`^wWpwkl8K%G3L)u9rOh z?`pL}o__5oS@Lv&kSN6R(`%%56{(-(y@=EotG zN3xWFM4m>*T#94TO=CV?Kvb!#U|C1P19RGt4GF2{jz%ewXx-E^hdXP=2 z%>j=f!F$}#AREv8oC+H^OTE3=M2}vi=F^kE1~Etd<*!274ItS4Kh2iE{=l6(RFa7@Rb6P=4FB7l}QVUgHfX@({{eG`1RliHZQ?C2P#OHX#|<7gObG zau2cTPr!rB2Uho+(J~|U*0#j%w!?yV(W4?*UhZl!*X09n+m!h z4Z$(ak^T?l{VMo4Yihvj#Be#$3MC9m-{dd~>oOp|#2zbC3^TFqC;XC9{7)WA*pcpn z0)&7;*UIv&ImyOo{nn#L{2X62TPI0SoPF@eGLpsU=<$aE5?Zm3G_5H0MXbG&Bl{C$ zE>Gm28s-Q{F`CROl_#dt8OeJA9Q)^`;nBTs1-GOhWp_u%@42Ei-C4r; z4#rMhUn<*amkiT_yzDYL&&r;mcmeiOboa)}f7dC$i8F)og_S0!To9{wKm3k-h{;Cq z1G)O_{i5ckm@(?qR zI9M(qBuUd6D;WB9@rDh{m9$ahw(+{##+&?v*3FbQy1u1t?9a4uw+f!PUevNeGG;FE zju4kdb<-Wg9{WmRjC^K!VCbk{7EnQWzOLyuyAW=}gA|>=$FVIBW7-xu66ZwwFb;w)Bu`jPDTjX#OE%{ze{eJrp*^cOpyblP3=u3)=a( zcq$)HZaZXLQ&7%TgKU6X%t7^XuJV?rmrxs2Z6)Q0WI@o)Q{ zOaxtb{JZYwGGSKAN+|z&J=XVaJj~jmGQV#8r=;FFXimANbom4sHDA4_=Z{{&tPh)F zFBa5_8fy!@^CmMelk^h8plIca3kEjWX#F14Pg~JBD2HaIe5Q0c?(H(YIPY1XkOnTa zPw^V)a2uGV4QLrJwp8hktIraRO7RqGbNuH#plLYBb?Wc@hUX*=B@i7`j(yJ$L6a06 zPSMuWySK2**reAo4Ur$X(;)hE3cSTD$NxMJfoG{}saKc81qsp1v4dV+8>JRzt<*aG zC;F9-uUyhqO6`3V@>0@`M^kgX{ZO0Z$WduvkDd0b@D-jpGDY4|UY;teDcD9h*39}S zJ^W$G+;Jm;CGDyf3>|lX?CjvVzGU4`D^2AFF%K06}iUJ14sg(cA`M-?+ zPYbrl#?-MvrX>cmzmjWXA3Cb*l@aQ)Qu_%Q&x#I!~o#N`vTS(3y@ii1ZX9P;#l*?J>SN2)Ohv{7LH2TE1P7Ke8 zuCEE;Sf{}~L*(!*1-A?Jq@~G+ojK*q^r@z`xKI*%ehSZFIt@p#?efY%{1eoBA@6llui*sDpDCs3r@v>xn|BN(&2|NF%Ge1ZrZPqNOiQEX22 zAx^V*z<-wO_~f^6$1~O(LJ!H=*S9Z_!3V`(+>BUnc=DKf(hkcPKmGF*f1N5xkqnLe z(xEzYDw7O3To`gNIc*c9{i4Hx+M5dlw-kQ)adB=1S_ES%XQy4laon5le()mpxGk7w z9`W_x{Cp!b>hV8`f-gP}YKNF`@~CghorSm0k^w+tbj9EC_(371!^)-qBi;VnkA6>< zN_%<$D%12tVPJXTm+5?(HzDV*{f8e&Dfp=rvF$o=Mjzm&KV4&4cW*56gGQ%o9oRr*RfuUXM(TLlc!jKC*gCxEuImMh3h+dQ( zKdA7+OAlU1B>|{;@Dc!CSQ!9H0&Zkx+!4xv)V_=YM*4T0d<6ulI*(QgSPS{G&tN{X z@)i6Zpc@b$ewxoRi3qN^UuIEp#(?+`drjk;uSg>h1~2i|{bdK_fmQIa^^gWv+}gzx z+kw48;l6N)DBQ(+!kTt}yHF4MhhDylZ!k-Z_^wNJM1E0pp70-Q@d9M*Ct-x2lCnPg z!CYx%uh~vQAFKFUsYpTqq4TKO%q<1AJduC#X&r00iegSEp7(pQWAB|r8bG+TXV4N)G6f=}?oJqM)VyJ~izw>RF0*j~XY?tJ(S z{V*a?I)D0(@ETm&m!I~&vwkEsJN^r3ceO~7G8>|N{JPGrH(!mLU*T~tY0}d-sO>~$ zIU9qyOyTK;=_x$@8ewE*<9Jg@ny($SE`*yYoa0U5@9uV|aE^U9Nn_k8WZKH)V|UuV zD|(781;cqF|Ln5>1bRz#cT?S@HuiJx*h|?XYJa~PRtP2XFq~IpnC89k5(Do3e&aE% z)Bo*p>A_2}$)Z2mM&DGBq2ody{Gyff8??`$=M>4Hz5IKpe~$0s)8_IQ@n|ItLvsfW zW(X+y%lpj7hX2!VKK|=#srk5r@DX=D;?we_y4Z!R{yB`v@qhapzMS7)$JN+@HKtF@u<2u}K#K{NchrJ-9ln#%;gL)c6;! zrAB+r$3z4w{=sipnuPxq9y23d{DjusBr}cSV3XvbPx#y9bHNXt_N(6%MrNB`FKd`e ze=7@-l2=|7afPV!Vo`3TSa2{HOf5pWNESF1Me~9!lOCO@Gd1{MeL0U_pbc(EukQE{ zuhxwVene|<3Fjf+F-fk@7gH7zU@7>6h}>-aO1f;$PHd?e>Hwe+$|Fev-(QkO5Z$Fk zm$E74Ri3j?gx^2Z|5UeDpyxz zN$th4n@|h^W~FhC|KoJXg9!M8R~&y?s)zU^e@n`t3{On^*Y}I%OZg{7Q;VoH!J?w7vP`hx{EJrD&8=~qi9>W{(WQx z$NwhncI2N)l}{wElUIBx_E}?1k(l-(Bfa%{0`8V(E|zA-)>Qmi6D*`_`LS0^@+0!R z;^hYHgT23JV?o?ym$B7uDhmobW`Z4hDe=>*@5y%`d_Ld6%Gzr_pW%V7c(3_vSle$t zujbJ|aX#+{rT*jd`6;iKf9`yy^1pz8+5c($>+QWXmJMK1`W;9&71 zVc@3XB@aHb@hNV~E@R4q!i!QC9hjovls&39I7TEtXZ9yqEAL(kzWq@BeSOvc`tu~c{wMUO=}nyA+Xd_fD+`H16GREhtS*z8N=)1H8}_D))r{si zFvzowJj2wO%53>1*DOOWjzhnMQ#e9?4-TrhTJ*iBTuKtt_f=ni$Nc!wYol}HN3V}w z5kI;#8jc^Wj@HDFUK+hDezYPwHGXtj^yBfPfoNs?=)`D6{OASIbK^&^i=GufIw5+R zgU3u8@;A9PejzBT#I&l(2*5Op0oJ?s`rm8T@sDqOGpLeCLDfUBOP+N}p8yCt?5;0y zYpfa3yeE1{h9YrN;#4NVaSQeLv9c*T~+&49Lnz7+Y$N!raWo->yP;!Z<_ezl8h4NRsckw_@@V_PR@Eb-mks@;HiP8Kp z7ar!^#?f}AF6;j7yJ>-~UoL4Q6OZX*v!WZLgs1OK;rG!>AChD!xYsR&JYMm%;C~lC z6nXp>NC8Ydo!W`qjTLaTO)|eD=&;DYb-znYd*N3ea(?&6Mstyr%)D!tcT5E&j}u)K zIIa>G7z}X~w0B7Sej+MQru|!XQKIqTLDkbIZOe1#vd~M6LBb5P5-26@VKDL;Cy@T0^gJJHi{(Uz!3VK#0yYv0C*TxZMHGSu zQDUl;R|uKseVyON%>txpwM`m^)!(wzB@EHeN?%>f^a9P-$WE=QzAjeKk#|AzRAa*d z0jeigD!>)VbqCiid8=ly=9}QJpOLMiEH$`IJb8sEh#!MswDess)FrPGKTmge$46GP zFJpNfIoGYi@5*VzkKk=eWce!gv%ia~)p!J2-s)Rl&f?iE_b}X)hbWqXPP=7r%JWnd zGQC|-b^KZWtBl@MTa?th_Tj90E3@lmKVD5;o%Wl6q3hM^*3D3by-E8Hy83zQx>4#v zF)WH~LS`5<}GApQ5^^Ql#r@2JEe{ z-mk^UHGMBpdBHI${heur3Q0Pby2W2q?hE_eXF~j>zR<6HUH1Y_X&}nF(D&%jo}mB_59{%Qg9pQXS0yTywE^!ZG6&M$S@q^aY~b4I^)bE`X> z%Ahj)eRoLLw`nKcd+2MT;7S)N$e+^6TumR=8X88Jqhx(KZj@uD0=^&NeoyztbY0TB z_NSiuu(c|`q!d++O7SVchO!{m3sIc=?5u#RAX`lFn`fn}yJG2PeoQ$dv) z*LHuIcwa{#%wjQ%aNM$3yXKkF`IZl>` zZXjKXKZk5v&nZc&K#1>A@}mOcHa_d9sV>clf5o{VDp|IKkV?-F{gTXB_Rmw9u^5l= zqi{7YrJcOBxBev`fe;0ZaSTW}Sc_v{X|KAhf@}Uu^Jy7t3g&XvGK+jZLXp3E_ItuZ zl#;c?ml@53%xG2y(a>Q~5jyM5|LwfR?qwaQMMH6x<6pi)ramV+%JDDe()y!S7{VdF zqzelI1#6*i!@B;J>lW;naN#6cSm`WZY^?RqXMDn6&bBT>wR9N0zOI?_XD(mX*rUEu z33jlu8oh>}_Q%Fwc6iqKwU9eqhkn`6dbI=FSw}|Z`*h5Y%F;XjN5~`~eQ{w>sJp@> z2^s_zO(E^_3u(XNYy3jmxy66=I>}GPh8puT-B3dq0&2Tc_zA7^1L3x@ST|IOykJ9B zHoh;B!w|4e>(oTfV6EER5t-i?)X4BR?hqV$@+Ir`hV9TAQ=9FnIw1=DB zCra!e;p~{s!w3KManS0M`stv=CkpMA@|rDb(s5Cq_%GHdd@$EC1)pwQRtl4OXamjG zk5AH3Y?9keg7)SJ6Ei=XhNmZo3-AjmZW`ZQh|v|~Qu{y}xm5C`hBJdou?fmo zm-9@(t&f$>=YhxwbNF4y(kr?$rFqiT5Qb(1LFMGX`>J%&Rh-rV{jyQu%R0$Zr#f=G z4eps8R_wMo!`G#3x|I~H7o<9Y|C+#`V+(5%7hS9kh3hqft+zG$7mf6wp3EFJX?ZvV zT%3m^6`ijAF>DPZ0A*ugxXFgKyu4fZxt(^fS+M5cBS^I6A?t7zNIg15wg6rlKZF2j zS2^KcHPbJ!}XiB>}gx>7Ksa<%hPWrFp1@m;?a&OW@xYzrVRR~JpACO?kdPY3Pe@4rKZr@ryQu5~v z+h;cl{G%Ho#nL-6oZGmU)Fke-341O}W5S-xQfaCReq}?;D#->GV37@+kTq)DEYK7h zAiJEa4@>Dodf0Q#KTd7TN0-TN6TBWfOZTQ-7MIG4KkF4qZOiXcup^J-4@xDizg2Ld zj9dKK3NFyA8HHBC`CQ>p0Al|bR}HN*IPXe#gU7dHyB99q@kf`4x&;TXgx^O=4y#oQ z(chM)w|;Mx?g{w2gJfoUw4C*G`Mc#zMHYW|y3EYL{N1kqlmWn_gvZ#20lo}3_rMC7 z0qwYFt?a`edH$H+r-uIim`ijJJMB+XOQz0<)~Rw$_KbK)!R(XodSfqck@f52XMM8w zearW~|Iptj3SRhuPM6Cm2}Ar*xWuU6-Jew>VtFj2+C9wA=wo`E#ZGS#EhG z#Hkx&1$>em9Gcn|%WWCqefvhgZ>0wLenLYN6`bqp57+t{I22$IUcJ8LkcLp8Etc27 z95%J*N~zesKDGQ4zITtukvQm5k#9u?TPlcfH_YmV)uqextCue?S~ZD(y>8hP&NCULOsFC+} z%QV)w)mN8E?MI0`QNF*aD;K_xBLvR!^t<_^VUdS%ZphA1OMXd9WV8<+B@);o{%z>& zL?h;QUH@j)KeKQB4Tm0vRZ2H-w$Kw}ZT?-;jkUw3Q-8zs*8J%Wt&zKOy%t{U-@^ZP z{hHqb_7OBjo;v1<#Ijq#NgeE&m0OMG-*b&iqR~v)BPu+f=!kx%I18F36U%Z{^#>wj z4F?qyz|+zEQuHF`6IZFNfZIn`Pu&|EL^u+#wkjmM4v2e`L*ipt$u@>s#xN19KHB_J z4D*HbJ$tO0iK@XGBYs5Tg6l)t4o*Vb@)oXt-L=2s#1Q2>#rz^A+ZlCD(o@q4{;6({#($)+m?cMg&w}_ z(!`wM62V~<)yLwP-3>P zHZj+ioRF$$g!kn}_sb{AutilvLKY94HY!V8?sG`ou_=G@o*L_gT5F3`TtqaMv$1Oy zJdE%@sLFI;xWb6<7v?dILc1=#T0;Ln_81lrjJMI zeYDsctZ@&j7j{AR%uVY*bNcC(Or5dzs@hx*E|1nUG!Z~`X+zV}+MIvVENUa(G**7_ zX-s1*-Q!!M)rWoY=q2m}ws7c?+~|aqJX~XJC<>wE2<2^U-g;+IZEj~M{_2XF7O`rE zjd!PF26ojh?j{U_`&(wxlQ&?~K=s-&oVa&S*QfG0rhmuTwa) zvpoDS`Y+EP7`+Yg)?1_VmHiE^D`M6i|G(WL`yJM}bug@6fsmO?6cI(wQT+~5&6ZnL zzf&q+C6%JNNA{^)l>=^h#Z~1fGxQn31t~pON^zq6S)canw0*~K-z+Ut)gfcVVAS`~ zix?bOtW=dipZuRUnqCd$DLrfFAH=^QR)wRCuJmQ2-DyhCGPw5)z5jstxAXY;_t!W6 zqxkm><%h;-e;THYh~*12l;(im>IEVK4ig^o z>cc?ne`>!9rerMbWK2GmIT>rZ$oW5`B!5Z4sbAEJm zQ%^qj~+0nVHnq)V@noF*NlIF=l2EqgP{7&$#IPrk?uf+@_w- zN3Uq=`9d_@)YA~HY3li6^s=U&8=_O2dTx$>ys77wXk}B+tWehl)DxEX^N#o4wWmye$+JlpiY`uUE>)+(Z{)HKgw=RF z286l%5%?pgdYrKm3zKMbq6ICvPy*PrP`qkfY@|FI)R8;Reb)6INz>e?{VuJpKfDf>|F2J#Pl=D zeym^FTAB@6?GW;DM)M(FH+9z=&0Tu&d87Fiz4!u0#_2_a(Y!-1zGyT*p%*t8&5!BD z%|UZxaa#OZ>=NKLT&R)UcCyn zTSvAerUufyM&`eTeCbYG7aUq@y4!X&bq_J_eiECJ6f97JEt9*?TMw_mvjY^ME3ugr z+tkz@mIay@Q%A(}I&#Au(|s3rOwYZDWEJtL^VWS{Fb}?PO&jy;up7{gt{?Hp*+l=k zaC_9j#imi0=?jzeU!bu7XpD`QPI2~RTSx99t%W6@M@{sQ%5teFxxhi?+m^hVnF*6{ zp7KLGa&M3V&c-BJFk~=Oc{wkJ>m4tHEmOUhW$w$<ORJMEu&AL8+-X85eMDq1~t?^RW zOHGcy`3rJdotsD_(CvmD{aX5rvQBy^$|JAOS)=;%&6!c9X3G7ArGi2Zk53s#;swQn zM$N`73Z8y{^GV*{I`Dg`{Y+!ckT^JVR2(#D#6h7&aj<7Wyk}OwlftC@L@j?q^qe>- z_l!80_0%}{l+TyQ=kw)r;8H-T-$7$;f5*n}bS{ed<98mrIA+A}{Cjxd&M^gU`P1T4 z>SLpgHCK``;il+tN~>@y1xKh>nZ-*-IYqBp7J&&od= z8%9_gy_Y*eEhT&>FYOfk&_AR3C>y(vA{YrOgqlHv5Lt_lRF992uAUghR=1@^?9{6U zBR%bpUp78A4psN3S2IFPrKBkFe-mnk6+K$4?Nd}t>fOc~K8TK7O&yFQtB$whmraZ< z;x$hx+%khB#ii&Nm%pIhe&xqQe-i%v-@xE2Y5}*|v0k%;S7*1_yTga19bx1dgIe&j zRWCXvz@tvd`!Xe>?0T1rh*cCpdQw>lTdgl7%is@f5sRM~%+UY>8$xs2xdRtn8#C)j zE|_4y_go(vD+jWCL=G__D&ANrZp`ti3yhVkxR6g;isbuMLs)H`z_)WBYPsBJtZA=n z9l8mi{z4qSZ($rJ@!B}d->2d|pN(EAXf7gHZ4Lka2^Omod^R}_<2X^!+j;Sx zP_#@Ceg`)Ze2_a7>h*K_r3P_|At}*4ze5y2XVXHC2Fe6 z?MKv35u$cmgEWafl9&|;cH*(JO6i1Dp^o+2?AcU_whQlxuyt6pk0WVStbd&pj3mC$ zExrnETzmRF@y}ShQ1t<`)%oM+?#<}b``M@+@znp8K90R(Y#8qNzos`v^Y`FijSW{& zqQmju=9TEWTb|KjqYlaFe1;h;{G1n1%DDX6z(?TTIC`S_qx{Z~MERRjHl%9C zF_PM}Cr4M`6f4%7dtt@f^OA!?>b^)f1*>@QfTKjEdQlYJlPkyUmZHdV zna|a!sA20QI7Utrca=w0&G!r#gM7BlQ19>cW? z0uT-C^_i4%Lf=5$<7%$;vBR$Jr*ufYpKu)-hkkEe`?H4_)PJJyA47CH(fjI? z^zW*Z)PL3S>UYL9@+w76#hw=%4&hLC#@LX1WG^1R93~FkXMM&MWS5nXM89xN_V>=X z-M#KXbs~goWJ0u9WB@fwajt+0D!q(NQeUs~!==1yW;)IXAG*B9si7AZbHrBqe`>G( zrrtZ_9%M?>^OCxd`4LW!?;20?-q&}oq>ilbU(IfJfco1{^8Ue-y#Lur-nX9Q{b%Q& zc>JN0yg%zC?|sL4&w(5Wud=DO(8E5PLiS_&{ZCHv-k^mOegDqYCw{;4B<~*{;63_& z;>n39@RaVv#Cb}828AKm%TDQT0?fx2wpm!?lsATIX`95c8j1uPc~;e+*htN-LgoT! z#A7rG=dm;GlfBPn1m!!SKJsM>mK5Ipm-{o}!}ce8{f^8#@%%r3lJ{#*^8Py~c|ZCj z@87xV#QocHlJ`G2$@{xc^8PaezK?M7=N;dU)kuO<5 zSosJI!p2(%9Dj(*z=7DfRkPsFMV4d%pEEWL=C#Otl@;w-wdrNW0g)eh)qmfo2BG#p zY1d84q4^zCRCi76rj!cgz^IV5@lMZX1*ellzg%_SJF153# zwnr!FAm5p*NbBP&ue|2olp7mxx31$xhHpFm>0DOWu~XB-H=P~Kz%QvP1_zNGMkH&Y zI~_6;G}*V`sWE5&X4^kg;~r@J1QN5SSJw|ej*LCk;7-=Bfn9PzLlY}_p0Sn{T=hvg zp-ES81(&Sgc@5UATrx-T|0e9@ZL!_P+QAh0pR8h60MQ|9Ko!#>!m1OmYuNj*FI=YEd3V z*2Ku>IlM{!PW(s4@y;PNmL(4@-a4JCzsZT+AD=otHZp#fFDF*K4vtzlYw43nKi*ZQ z!=^>Oi^fP4U7HluyeiuJ4RR~J|8KcyT~cB;?Zi83exb3ZE@zb}!@?*}5W@!1`5Dr8 zB9xf#k6tMtQ-_w<@ek*kurtgvPar%bpWryfqGgxL?rFX0{Zf|e{abmJ{6+lIoap7e zbe5mRBJj|X*UnBp;#DjWUzRgIHYkoGW%L}`5BIwnJdid-t1LlRugGuX<~Dv7Y|>FD z_e#k=Z!!b-uKK8@@UQX0-dVrRQm4$o@3;WHiW?H)U)WT}N3U$^zARea5J2X09nd!d zP3^h%Lx2PNZB_oT2|e`FFy&OtDHs~GOv`{}L@J#)bK`by3xaVPHzChu8$tlme@eOxDxbP2uNwzlXCoxCkpH&Jta3S{p4 zPaZJDL`n1^hMulpAr<%GW_!Jtd_V)ecjXnTI2)sAq++86h@|{sTzeZygGMUUK7-hnz_SQiM|H=5awoVRo zAzV2>bfio2aO;t5yEt$vudSw{v!*qiPwmwByqKh_*`E1kp!1G%__&#-MDHe^A^Fsw zPjf*{0bfm#+y5-;ac#X9PQia7)8FVV{rjpN%;f)ECkNiS{d<-3#QS&bMcY zuFsWZS=0VPJvi?GE0N@z5Y4bSRUHxi&8=qZs4}rTA|YXXlt)X%0ttesL6e2?FV2*h ziBV;$FqH=_Jix7A9#aOA-|U{k%T1N=yK=rD1i2LdtLRwhpJ|CQT1Fx2;pvXJfVCFI zY=BT>6kY81L(TJ8_S)_HTOs?VZ)P zKO4!dE+tPoB|XxmJ#2{-e24G_W)pz{S|g+B(AL;ndce@}rf8u4m|6Wv#mlNp9Tss~ zG(cGn)%LL~fECy8vQv!672A5ptL4F2w{XG)=nmO@Bddygh>LqOYQgk+^=j`L^0~ui zX^U!#evjd*PhHe^%dr=IG2pAG*ahNZx@&ptRL8I9a+;OJ?o-cGn}wiwvjaDWua5KCCdYJ;;s z1LKzme;ZK2ZgSchT8psyfG04#0D)hr)fQu#0UU6+4yt=H`}9OYxN zSJrgaTKTKm?Lr=yaz@O)(2-rdNzaw%A3pOR^ACR#E_mvUe#$`^59=ojcbf}S(56z` zlq@EQCG6^p4(G(97r?AQnZz%>?1T8D1Bm3gR`4PAa*6I;kch`yn*w{MI%0413k|Vq?3TmwOWPS}tis3Ow zH$v8~TI+fFxCnmFY42JJn1$=#TbD1`XiEooj(OxSKr&mm(|&EHK=J`$C9B*bnRgw$ ztFv|x$zeTBxGCA&T#l2nrS`b()KhPBuEc#*?D3-yxvc1sH&WF%QN`rL$hdqHln|G% z9}yAP+FMugS1-R#$jUEEL@GJ-ZL571#R=5x$jO;qKkD3Y-m~$kMaIfF4WL{pirz&k zVfS1!^kkRHh8ro)4HB4fVPd9FDMp}u`5B2hPKMu-bh2PzK)<8L=aj-|-qFE_saBSxK$(cAxM5x0*2%%BVx zt;pB7HLZYaJyFw%J-SvN*-RcUT$h_kW+Ik~&daYZijDD3g%ec1-SH2hPjWs8R%X?( z!T{pff$3#?*7#I%5T_+%2MRF0?e>>vNh9uRV|VA6j7^1R(_1(g9SPU7*zOx+rDSlG zbjqA547mwgJM1dHiw zTCH@~yY7u7UHTlBIN_}b;0T$OwZ`LHjmP)cKD;E!^k#5+d?j?q6?#s@>q^anw#LjO zerq21g1EU?BBHN>+ujo!HTkUuj+R69G)E}1B(A=G6VF<4e%%`VlUNV-HB31#`l88s z0skT~siIK^bC~v@B|e|`WxV<|uk2^#L1Nnf;d;HSHH21DVn8dC=NfB;W{R41Y{TjX zJgaXX#NXw&7dKcTRd9(|^A}_7l;EVpb=DIN!0PO5c_Y7!^UUP)v*4#10^PB}K^3xd z8?5QBGD5y5yGLx4N=l*DsxZt^gEfV!Vw`VAx6xOWn-wpR4+X8SD^3kn^~F0gqqU@1(>B;K7bb80sx%9DVVEX5-ud((8$A8{bq1Ult4NdQX zRi)NzHxd4$zv-HFk4*&Yq+?D|z>#jQc=iqMid4yp1nu7*VgYb_Vwx@$nl#0wNx?O_ z(TUmn-PkZSXl&S#w^O2}g1N_n@xQFVGZ{5;@K}ck_Ae`SI=@=*ljI_>YmoW{f80o; zhZnKd;Y?GLrKyRTrq02i@B`JqJ>b=U!2Z(=>Q79ws9HgXWSQryI{srDN`WL}ZNOMd z-sbnJbhZi`0>`3x4IJbmQkMuSbXb@{*MPuO=me%Y>lFPiuz$^h@U9O*mk)vMC{3jNXY=a}NX zEdSzR`BMI^5IR$8zc6OtPkXgDIxM1c$`{Fg_M^x7Ce_|YLX=Mzf9|y76j%OE?Q{Qt zJ@TJz-}`6RcRYWXu6?if<5^`tXUg}sZ+6}C#)m6^*#PAgKMQVloff6NY}_r~Te#03Ag1UHzq>vT-X#G)s>mjrP(PT`%>c+&R(LPfi@GLf2Gk%Dwb zh!ia-TIwp^N2-w7tkT)b%%3M;j(J$%rJAaht2oxsk9)~n28DvxOG zGOm1?(Lwjg2tY;;V2a0em8i z{E?Q$x-TEZ5Xgsm(#i-WxEMT7*LhB7E-Q+Cw6|1KK}Q+!r6vdcrTh+rK_*?1qRhVXjqtOsow%WOy0Wxy z!noOA2g(MPaSn&;k7Z<>`_(m9WgK`XNuP8TUy6(q(Hi>6IHvTYmyDAo-Yg0&2wlJ6 zT2MNv6gH>sQn*Qe1v3|P-OlN`{Y;x$Oym{JM;w|X2)#6QC{QIH>Uwn1K}kcU_@0W! z)h22=&h;uza_Y@}eXUqVPd_a?NuG$ee&Z7Yco`1L#N!`}UhK-39|PXV_fe*92$NY8 zW6et|+Ylzvul^CI1LVb5c+U~;6Us6uA0l&8O0;X*T-oQmAI`HD%?ySuC{d5J3-bJ? z08pCW(++2tJ~wZGk}uK7EOeQ`XNJoNRGNGRAQT__Bb@TalldZFE@XNuKZ7Lcx>HOL z;EL0VjOQF3Pd#wtj%Oxz{(VTv4ChrDPNek6YqUt$;=K;xe$!wkVD3_#g{vEnEif4{ z5dtb-(K=)kqhTo2!oMxc;kP!i&}W?Y+p=90s7)-&&8DB|FUa=XM#t%qB>5e#5ooCl zzK5*$Dth7pB0YXc31fpk_dXyH>fW+;9$TG!cblu9m-y}miSPcb#CKmO@!eNTeD^0M zzPnE1yUAaDb99EpcV8;;-BTpK`yz?&zChx;$4h+oIEn8rmH6(l65st1iSH(@)FzF! zHa6r*gRyVp@EWn+Ysr;J^uem>ayHfEZHYgY8qT&FoEN`FMz>dk0+YTf=q*% zpcF_v*y2VF$glhS9vu>nYacLd?FyL`MmtM+O1R7hHhMPJHlMDOBudQntt&V-|$*weg%#LqcmlfZ}jv%%{s&r8mtV>Z^ zSbQFPxYbRQ+KU+Ra~Erq=eS?V(*eGEP`)})C-iOBHaU6l#$zFM?2Z{=~od^Z9PHU#{`iX z*s|=upqG%!Ox)mfeM?&)N`0bU)Edp>^x_Lf^J#iP-1+(%dJ!|4$LIy|>V{qri#|v%!bbDo=_RqSM)P0wqCR#eL!aeT-$Yd49nn+V0fNIL z?=!@s`W4~s;+00sQEX_4bG>gen*THV{tlygw-#I+t4dXN0hLvREeJ7T&P-ZrxXxCq zYvp01`2ju%>@Xq+t+%`G)sN>&DAHnM<=41#TUq%PF2mMv`QE+|=}#&{hnIDZc;ZgN z)wbC$Phv6Ok$Q0+$O#-XB1fnfEliF(o?OQBcg7<##Ze-VLuuh6xQF-(f__Kx^Y?IU zSe?7Tq>oPyuC>l}zn!!El+a^*M+3Eqo63A$Z*ZMi++ORx6cxLi{eE%gJ&TW8&hdw7 z+r!wh{54>$8nBh(Zh--Db?fz2t+mvD^gZfllKHpy2i?fP*fV_F0PGODWvQnX+o0( zX-ia+AaRofk%FIvk5YV%AE6+5>`y{X+N227q2#v{0@^DM zzgTGKhVx|v-7e8ARWiBF{!eN_%15y(lyY#7;{=|t4lFyR&U#9XrO#q9ar`UBF{>!T zN{Q=pL+>wT)08rpA$x`k{tTy85^!9 zZt@QPFTP{Gq-_4|$5bAO78x6`SRZT6OP)=V|K#b_<70(e@?>KsM^s-X*O$q40c=?7 zP|y3Bykd~VpQ^?KM`<$uw_-hq@Ch>+WXPI%KXvb_2{iD->wn7Mk`(mr5L7Vv7VVf8 zM{0pK?&cc(POJiLY;;tb9+?gw_;?okTPn2_JY=dx(Itm|?PC}z`Hu4qL4czBxZboz zTSai$S2gy?;9x-$)ALoW^gPEX+n<9itXZ`yS!rx&3v@M@LB>4TMoaA{?xI ziA)iJveWZr(m8$E$*bj*fcuadrBnDL50-?_Wf&cmzH(23kv)x7(qxTMD}E07d^e>q z_NRztgm79hRyN7HX$8@*NISPjDt3h1dvY;=F8`MZyAv!cD3;t5;d)#o+t?M7+OdB3 z<%#@UIwx}!^4c2;FA*3N9Xw6CALB4x^87V*(57~9UuYHn7y~$`*W#OToLAn$GR;{F z>G9YK|8CL_;Frw&3V&F=T;}=rT+~<$q%9hC`3?00x;E6O> zfn1H3t8uBePt_`r(?he5GqSBXNUvikg3kt9r)FzV#U>WWyA;!e3M(5q0>`z5iD#sihHnZ}0M zKF8m3Hc_|T^JABY;w{N^{3$j;UZ3T@zQKEqU*4u%sNlNf@X%xMVmSm4YwxU%;Ht^B zlMa7ZHg9?8zkQ)2?=u5sHCDIgpcj1tiPfWXg2tM+14<443o)8|c@m?Pn9`Jh# zt_pfMtZU~95}LK z9Dia%0lO_G5K_LvFA(y8499qQ2ak`Kc?XlL1yU>*QqC}syqx6peRm6x_ zW3?|CFvTh_Hv&yiUhIBHZ(lt6ohQ_O=Lx;v`Qd8xJ3oki=W4s(`LI$&F&2Fxivq6)P?~?L1aH&;6g1ECIqFpK!jRU z62kC*TvhF{v?PEl_^S)Ww^drFkE^jC&axf`$1S!WPPQHnmZG_MfKHt55@|ir+6WMD zh7ZCL$g6oc`XOEo$2S%5>KomrQO(z5(TPxJj4>aMq~MDRuXfHt2MH(0(S7a=`^sZ6AX>L2ckbp1NSo0OUA90rce#D5_-iII#v6@;Oy_MO;#jxIw&B)H; z-Dc~BiUT8Jt=EEkaV;`M=f=qw@aLtXV^ZG0*bjjMoz=02^y8Kbh5bON9@m$|T2Iik zcj5`y=gDn24=WVmIT4Z67+y!%+!2eSVRFpMHcV-$i!8?a_2v+!OmAZ2>;m?86jR!u zd4$t9*`GMw##V#U)T4yT1&*E37EFLPWM~v+6$V}(R9^0=NF9T>s=a{o9dzG&COi zTTs-L!?4O72-^8|yz!XYheyy45n!X>@pJfM35KDujM*o3plNKj_0hyw#u}taWbh%r zn1@@8=o?PI;Hz?c84Hud!CRO5F-_|9!Q=SMF1{hqN2tl$FvCYS;mM^(speRkNp^yh zU=C#kZHuWPwOZPL4{xSxj&L1PC0&QKh^|Abrt6Su={lsPbRAMLU57M>u0yJz>yYy4 zI;6Swbx37=4U(=6tfFfJ2iD-)z-wzeuJ2%SdXG; zBgnjh`fXS>-L?TOhYvNI?xPYj{K0SIvD$oL*5B#v&=0%uDi7MmEo}YC)W#pc4l9z3 zB^XBURpf-COFN47hdDXhYBH6Yiuu>Q$5F9CLzLHW&K#V2rLAJ5^SxF&IEssj5Tj@_ z@o6@gQ5fyq6^V^yj5(G*y#m8&tXu3PGen1DkUq;fT88lYld!*{>_NMN2{Yc4wu|5n zw8G?UYO~1)xK#>Y?w}fc{_(tqRq_(g4b`}6@%H>SHn%UWFcx4z0W;b2yWze~7#%9x z63QtG+)x^d6lLQz@v@dsq{rKs7o*NnGOUh=dK&6|sBojZ;paTJ;b`Z;+BB91#i*St z^Ktz66+ElLv%`J(vs!xg7~78!t^ru5C7isyCwj8SzmpV{Ha)u%_VPKNe7qrJa|KxQ6f|3=!zoysxORbwv`R6KO>g zm0zTjvKIZXJqp450=x0uBJA13GJMD}h`}zXMmgteeE)#?bxRSZX(MV3CIOglZ={kh zh9+39qeWcY|AyK@yYesEt zrDYy|@CjP3qtZ@BY~_{hEqoLKuPB^{YQrOWLR3NebTpFwIy(bE-3<_l&cdth&d>x7 z2mTi80$hwrvZ>Gq)dJ%@;59$tC&tBn^R&}U1;dYI^Of75C{y7|?4@D~IpP4$nQ_Bl z_i>hma0Lx~HRnAq{B|#%TkDO~$iy)L_Dgl= zXwmVW0k+S0Zhp+I5=H7$NAVlNR{Bso z_`};zW7o$Q>a;cF42);s({VkY!rIst`iMsM3pjj&>DCJT1}7gk9qv|p99{y)iJvjo zwBz#~4P(R*@z^f;5?`eQ!Qgrw_1LNBHqA%&*y}cprco_ksvM zcSZx6g2+$Q1w=2^1~fVN4DH+3cOLw1Yv;(jgWtyOD}8v6MFT}@xN(0T4G{4L)Q)sy z3>5bKFLd;DCIh7+%==%cjV|jJi{d?tvMp@E@km$MTLlrkU4nyMk!|>tTrA@zlBR~^ zH&P8h2^EU`Oop{{!`vgS0{Wo(kNo8vT-4eX<>LHTJD=mjcE+lGJvc zT^=dND9O-@ceQCe|0Krq_WRmxp=jShFpQU^Vyp1S_#gBIPJDR~;#4*$eHb>#E*qEE z;kh*i%pHu^YF^}9U=Mb~X_d3ISosM(D}NC^F1NH_7pJAQZWySuv<&oa2k6uh1~@o> zwv3yAfdXUyLUAdmKZt!9B&_GkLA=WIQ>4g}g2*dkxS(W>HFl>Wfo%GqtMfWHgQoo;{#*U{9@$;6IWp;)bjlVK$pCvBeDY`xUNu6tzeJ5e zwBJO0ogmcE@8i*3FsbW9H8p@@mdWP|fzW3E3jpYcKU5-;ek;)t(j&En|NoHPjJfD3$s& z=P3ZsI^w~k0EfA(0fNgVarXsbtj^A)B2(Xtub#PIXW;q8juH4sFZ@fsSK3^h0O#kc zgZ#w;FyUpW8RBSl3B7z9>E|cY;k;4gylp5AvIz9$E_tB`F9N|z5afMBzxPLV@wIlv z39*KLuj5ZRcVr0_k33xcN#hyp%h0QnX!ZNT6Y%iKI1^J$j)$k8`Kc2~;ecYc5~s1> zAl?q_Y%)DseKN)t*f+tM5Y#UHyi_`U;jS5V65EWp{{*@NFpDpZP+HI_lpx(fXUeL1 zoXo;{k=2Qc3#aKMc?tWP+S|yej+79p(v?t4Cd1S;X=*mi&*tUk9h~38TnR>ggFy@S z)aCkH&nLx|*Uh=NhGVc0<3y-h-kmJu<7r+|T$5_WLb4CzN6adyH$ZayiwDCVI+sU< z6l+?Mt=J)EPkjD!jGZSe4v&-;KZR(d#WRiw=LYBy61O-YEQY^=WHGk=GiiR8(B5rm z6>0A=GZ%;9XqcD(<U|^N?Ls@vA9GOX2LW(3)#s#U(K_!-C@RP#jn znuTc5#O#`5m9MM7F3Z=IBpLN@zXFyeC|}P()K$JNJ#3Y)f#|Vi`FaBPW-UKsXit%!b-21-`szVf6Zly^K%Albmixd-&^_lN>@8S7myR9#l_q;KluKG{Je-a{=B*TpXKMNbl4~| ze)u^uKktP?nV%D+=}vxjq$F^o&xg_fS$;O6eLdRzjBoF68VGyi+WSraM0wSms6UYNKQ=%n$U0cg#7TeFw)1D4?0&N-vT4H&d`N(!D_D1FhZItE zJnws8R2I_8HtqU3)_U;^K6*_F{n2X}Wby$Sdbd#Ymr@NMq|7Jz2!n=n(R_U z;|6#Vu7Zp4HV~Vh7mIzEf|nV1fC^=vz6cUoVgGG zwtmyIm=U8u@fE`2z4QoQBOFX4u7o38kNDr4$m8$#$tgU39ye6KBUJPF3q}0Xc>FIT z{&x}CioCJX7M0Tq~54+Y=ZWifppp zsR=8i{9+u>nul>^b)e^2)jN8gwG^L&&-}WetQmumA20k7t;(Y>E#1+Bt|NUky=`xb zkG_^0Eltn8b=-hCJ;KJnT{5Ro?P_E&zSH3B&Oh)q2rcMOq{D}_K*EJ zx~}ck&OK?kkNdyqOTAnu|GA%In0w=+Emhn8)$@zjzUg^scSOAzo`$!J+d}oPz~OZ5 z16jM0?vE^pY-+^;`Ax0GLd6}=!xGemP-J><@uYdJZiz5Mys&4bkK2>iGbRlBS_nS% zED3HZjA8;(5V;&LyBJeY%(J^227+;2ntxu!qj0X8mRF za`_a_*n5;qcYDC~V@xsaM{3AuY+akezO3L8phVm2)K(i}@%L5vpGwZZ=a8cR7H@vc z?;iuJ72O-~cZ2_hGtnb7_?tmqej_$89sN^m;BowS&Cm4r9R54Ve-G!s$MN6&`R{b) z`AGivt5`3N4Q%1RU*f-4bm)L5m+;>q{`)la>-g>iMr*NwY5aFO|9u?)-HZQT$A3S~ ze|JOc9UIt-|L($n2l(&%`0xAq@4tLc@jk?VujRk@@ZazA-&@4c=m(uU+P#hC@+$Gs4$v(C&qIduw3k8yRk^gdz7yD^2;HH zH$+jHCLU@%fmMUY>1zT6(558j;xr=RtIL?I#Rk4{n5^2!f3N4iAK<@l=f9Wp-_`gn z$_Jl!rZ!_HivAg?q8S);9g5+uaT;MX;aTf)v7$2j7<_gLs~~r9?qxQ2By}l&GG9JH zrv%9w3PrZ?>lnmo*S?VFODX7iP>wmbKc6PSn12Sb?dRjz@4ZIH}JT~xlUd}O_E=C7C53OP~TE(UE$VfrtQuNB-@K@jV z7Dgv_F2D!HBinFtk=+kZ=0z^Y?V!^;`wr!P@9T`~voMf~1XGI2!kvHEQHZ_L zvMq(Dzm2a#Uli$;9*Rs%kAzY}ohGGxpWCTtO^=>W=60&z)fT#^u+x^p5nJ(0L8`bBykiU-_UI3PtH2M!iQzRryt;^V##>c8rM zmH6tVJqreZOzb685Z-TPt;pdSIM{%pggDWFzO1kz6v%4`LRmO{s#J#WjelBDb_f|& zU$O-<|HL`P53!+7d1~oS4-MXF>CTO9Z~c;%L1=FX+OtW!HCTxaJpTtO7kj>=Qb*;2 z_mUJ}s3DYM^`%+(#yLN|iaPn@h>seIcQh1#oSXCU^(SC}mm7O8)Cuq0VnaOkG7>bP z^>aHqqzLJ&;mNYzB^7z~4B8`9r%CwW7b*Zsd@N%w{oUX%&g9Kw0THZ~_@fg$w4NTS zKi$XX)2oQl)YTyDI64)Mdt&JCF8r!to>ds9igd{Gy|i~>LrH+{0}%TQBQRFpg_lus z{?T(~HY|wvb5H9+pAcPjI@z-&FY;A`e<&VRgd&{>X7L83IHfh6zBhgHGprNY{8Srl z?Y4z_KGyF}wBfa%;bb)5U5faZAzXi`V@=OzPJt*p0_*nv5sJ|u+z2R^apgCdjNn{2 zeYEs2c`fhiu^>^ec_&)`U5EiIr|r178-NCujcDmMh~E&G5Nll&#`F@H3KM2 zKKs|#_yea-V;JVA_UD>XIF{NK0nTy=EQL8oYW;;dZ8d+!JBx*p&sy*1d-xe(jb;27 z*WurB2KW01APwXHj^BAXU-ex185w!Y_(u@l`w#p*qM3j5ss1qbLpLLA`zeC8KDVc$ zU)i3T4+Zd)Upi_kf;pROdI&$gi+N4!1PsQOp;RDOD?m2;2ZIN`^Rab+b2itm0K-JV zK>Z>64IXdy`@uzoDcVHhQy{x+Ril0^?adFDV067k9DXWg;CBhU^wXjvAclTfi{e?3M2hSA)+EE$DuUA zl^c5(`-}eiSf|?2w$_)yjBew#(_fE#K<_osGMNAHnZm|&i_NmNwF^>(?T^j=FEijp z{D__w4#WXy+Ule8Fu1Msi*b(~IvZDCy*Gj`1g(5I3KGUM z{C9}|9**BMW8$@i^rEpoaaydBFp?*MtAUkb9d5EjxUfYi5^P!C$nDi9lW;IIxR z;zb#nCuBn&3TTZP5Dp^bp&;Ndyf5S5(x2)Da;FgTC=^)2ry+U?-q@y(-?g4br@y%Y z4gNb}KnSnd@?d>48X^Cw^eE!LmPmuYwI7A7TcQ2?p?kCcB|Plf+1I?;{~Z3JQUrl( zdXYdL9d+~9%D<~|FXw)gG5^_+<2UND6)4OjUW;YS$8&gK>KSz4tpb7i@m;`#!YBA< zfDi2kT(g0q;%f!VN#@2)WbDhafm?td&3Tkx6nG2wh9rpJcvux!qlU-a&IXEV;O6qeGDUbs-DxXVS+ zLSJthkMxiHqDNuQJBzzTa#K3JRG8DU7@u&%TRksk=I6Y=csIqMtufH}iLQl@rtTi| zBPKPkvH2+2Nb6}ugRx`ULQm*>1(f`rk>G$A*(pD?)bHxRcgo~Qs~TR74V=w?7xLc| z@Ed7^ZYuEUq5AQE8h~Wfc8O&~uoJ9|BFJ~*d;@a*>f=xX>dOb<4l(1M~=0=V@mnnPjPXfX8fJis}oy);(aQ9!47`r@(mcW+_=H{Nd&;4!UKPw-Y= zA-1rdrWzc>B&6{49igX3$mj}l{#l5jU13ANeaCk375wln{$luxq6$)n%SY3fKv8U9 zi2okTf4>16Fuulbd+MY7p^We9_v6dXbjv!*Oc8GAL_O_YIJl*#=lSne6h`_Eq#fC! zoOhR;FemrM-M+S>=xSeEESCFfCwf}jRv3XSY{5)-Q#$(oAioEY-nxnwg<1c`RJd^F*jiXwg`iogp68WYi@-q$ck!TBC!*X;MCH>JIgkN{^PpXLu zUKC_Abr3nE;vu#ZYZKn-D`EYU$Tu0~!)&<`FJUuJ(TtC?Z-P-i#Fn3AmYoG;mJhNCI;hJRWwQ3USlaR| zpD4dc@Qq3ECKDdr!qY!rGeJpzw3+bhJaO1R!K5E}e-keB_j%Zzh47N|qu5gvU}@|O-e$n5ystChB)`glN0C31|7sg9v(T|W3i+W%Jd>pv@obj% ziFf*)%?k?B~Xf{)hv-ElajRn6}R+V9{2bAGj#X_ZeeFQ`8| z<8b~ev(<+dM)FIrJdDvU?0N~jBi_N7_aFOX*h-S6g!4}&flTUjBUj)c(ML5{Sb3d& z{S~dNzs^>Jp9?lg!A$jgwmAKkuF8jTx+sXluyi-!)KKWf-JN0?7h+&a3#Su<_!r{3 zrXb0jpjD6} z(m`_%+~0;F9QV`yeeQHP`lD2S3QhGTn{CvM)6y3$%4W;|q}t|Ae+g?Z@h-oSq7n?_ zamZ}gmuS|kM$55J;JsrR$C~g=cG-1m9B%nycAEje@kN?Cv3~^Ht!7h~zwyPV3BTKM zgMvrN*{qMAL^m#yIQa`Ji3xBdai|H;WLIBnCozG1G5f0lmr4BgJUfY$P4-WmKAGeP zXWLQQy!1x`{$_GfFUJHA{%FxWHguL-tE!JSt-bw8@&{)c=x{Q_9%d6v`lGzA^oe8f$ZzU%4sJ2| zBgnQH@oKith-b1@M!cF;8SzXu*@Q>=cy6%HqLx=0-=ls9*qJxk#WD`R@x@|V;oh^^ z?Fr#Fg}(^%aVY!nMq8QY**Jb-Uqn}|=;Px_uJRT~WgIT;%hT1^F)RGY&&EC8<%{ZP z)$hIggJ{uGHra?*v!Mo@>hHlrZr`Z(q5R{5Y`ZJrY0&W&Z#Rp6t|=2 z4YD=3d{oz_wSVBK^VSCqAy#6*$zK!VVsPxG@o;|=F6IkIR%=Gu@{yHPT}6_Pum3Xz zkSa0e*zCyI{Gq5QPu;s|Q*Il#^x>212 zqO&MC;!1N2m+>gL@W&)ic{#uGz#}WEItzaxeH3U%`m)*K6g7QHe86^U7@4HtBEHM- z)AC1}Z4-qb>04#g$J^g4brw|~^WS^jktw(wZ+glLyt@Y;Sy`w0hXUlEO!nb1UjE5s z8#T=BAJV~c;!L)EvbzE34v}Y}-8s|G@w2j5y}EMjZWF z7u6Ro`$heFL}yX$%VI-K`bBxV(oKMzp z+npscX9dyqRhjgmEKWA!0k-XT?#LAVvi;Ll#Nn--)#N($J+hL93wHZK0jeKaY=b7C z=mXZIVQ&BM@kgIHlRf=|`hS-pedtfN8F8$SOfc0S)DI($^`Vmu^1OV~e2CrM$7WOf zA#gcgsX57J@k*~K@0UBebpN3TM`U64;hm~al=#qorwwq&qTtc>R6bX0;!b|^zG2(x z2Kgeaf9t|9P|**3f&q{4_<0gebl4nu8!ur${MJsQgVXq-J#MhB;9`CESw~j)AC+Cx ze1z8Xmg~Z<^kuS{MjY$k2AsxE6YzS4J_2*>r?rv(2Au4laH6+7t!Jj4=Ehy?VbSSW zKtn{Yj5$oluX|%(@xH#*er*2}ig{iM;1`{~IawjY61 z6d67J4&)GKn7HdQ7EZ@AfA3+3V>mH{Ek|WF&0RkFlbE$MLfNB~A2Q4LfqT5Gtq;N4 z{e#Hb&xExX3Twk;+tkh6TA2C^3V!=8H`RZ#WtOt%#v_)$9RKt6qYStI99-1D6t;n` zL(tNYSRdw#ym7cMsPe1&2oJE!R-5ol)=i7gEuYN>8Szjot*;8K$Tl2oVd^zc% z@%+wnRa;&9?`lT-8H$K)%sUTi-KK4prjIvvgMO`+c?XY74^a(b7ipTb@{YA`;t8@R za}J9o>(=*N3!th#vA%lvfNGgrAMup1IhMZF27SE0Nnu+@t3FZUgI(J+%;m45kVyY3 zkM!TQM);H5%JMX;ZFh?GbG{yrRbi~>OQ9*zmy0@ z@4U^OSk*qvPmMUz-)xG1DO+xo&tfyZ@koBPz1~z!>rEvrD~?<3UnoC@n(Ptt_tpk? zk`4L0^94itguc~D^+onY{Ga^GkGW5KgMT~za8B!R`~Op%UyDxXfslTay=Y$#-s(=g zn%^OIaHAo;Sf5;HlrLthjChz;8S!FPWsE<>CYx|MKh>j9(kI%J)?3^z@XY^ewlR)b z(FcETeBSJ@OyPc(`Kfs!dcnLfBt$SBZ zmB;+#I}<*SwHxJeKE@b7`oEPX`>WYxqyBkpxe-VH8FBP~&zk(dl+85Shx|_>-`^;Y z^sg|bAN$)z9Od7Lqx^3$q))Vu*TtzY)VIlT%xZZ-{Wryj_9@LMkN)yDgS{9#&ots# zA9}_lzm%=2H~Blns*>Q7li^9?PczCFvoxbT+W)5w=@aJxAGt|OqLM#R8h^8hj%%5X zfWnXVbGa!#%1`CU5bvJdB}jO|m94ZYRiucAOK zBlR`ce87pH&lld?V#3k?xb^GviKzd_8hr+#>PP&vhNYxl_do6ho$ifCu>aP)S#zG| zAHvrd@er#s;51%Y{-is7iv40gJ*Q49Z|3qe)TpnH%4h2h|AxMu|81}j<*zADnPPv@ z2;@KBAoB8mw2dD|dgoP@MU@{O%Xm`*HUCGW=~%UjW!#~$sPe;*{~G9$4|Dk`8jB(y zC7k7~)Lfw8ML3l|L=$%D&*J*OdBr1rQNr0rD?Iftjb;3|Cami(LixSRgu}k535Wja z23(9MAGuw#&#r%2{0zQ-$}(C1veZ#M43$5szc4=jyQU00DvtiagbRBHU$2#4MP7_= zyX&}RheZ{B%$M6AH`}w*gbRCCFEiV7osL^}SX8&C$`l{ki^(Qjj{o)e6#a7if1PHp zWrsx-e#E!)F@t~P{7=`X%2WIQvRe2NiH%3{2Z;G?T7AU;n%|GTgXbKLVnDToLi=pJ*L&XPviy#rk-F zZP0j}_(?vk!7Z=Hk39f!?lTKbe=OslFUpm?Z<}4qo>un9t=EOvMNzp{`a&Wh-JWeo zt=8N-`AzGtp&MVUqceO@4YAo&`I1=1d(EyA;NVeS-eMUWG!_S^6_Ry>ZN)N08jkow z6#p@&*=#DF#adqQ?(ePm$9l$(^CvghisRzPepoEy=NCNFhZ?~5FSagMbvX7#i%yMY zJnxow=XZ&f#($w`&_2=^cWVXE(FcFwJwmrW2gi9V+`sFMlfNenQf;LqJMzFSTka0n zY$~3`N;Vq&P4U0E(c~}IbiJ)OvA?56d9h!l>2U1h{w{LMd-}T`Lt*mw^IrarM%Po> zdqf{HtMQ=)eC2u1^hV`)X@kb1$`{8nR%)P@A9TKMr#{$l;fO!qARlG0KbA38V{ygr z*Fcwk%=b<4M|W`jA3mqLK-G`Et3PX?OF!02>b=W@Xirnvz8bXybo2{6oozMZz1dnL z9$>d=I84-j?D!)2A$xr{B+e6t6G+6^!@!(H>>uuh6oPX!BtT=Afzf^wG;y4`t^79FcOzFk>MVK&r+i}lIwaV%ODu**Y&_O4~2 z+dE46iaY-vVrxwNs6ROW6~|$UKOD!c#1DPg-;U$3^>I8c34U;aJB5n8SZ{k>XHjtU z2+i(;fJJl`RX)h7bWoSadBe#@9Or+HIL@mj!4F<(NI%-UZAKjXpWe87{u}QT z)cM5w1h;~+s~s`bAK3qP09i_Pe1Z|j{#O#beZDEZS!|~fNB?KUvsqITe0dUlrV$UY z2}V4d^-qGgS0+in5l8xscqVHy;&}hl8;`7f2t`8-kx*VJfKifI!@AHBQ_BPP_Z=WX zdBOP>15V@Tw*N{#9^E=m^_dgD7{8>mwMM)*yUmCP*nAC_$*_qUUzi1r_KNe6Cu{mN zc|LwVJl88dB;T8TXvDGpq~W%qasIc)ceiQ$sy#t&&wL}^n_XnY18k5t&eGQ<&) zy!;{T+iJuy|1;v4|7p0lzi50pGmh8sS5)-pIXa7iqdfQ5K}4qG?GA>CohPe%@!qd$VheIL_;O;M_l}J?AIt`zB~V8rAmW7)PbsUd;c?RiC(V%>Ruz z^52Lf|25n*{}YVw!wDoE`{+FF&^QhqPxHp(;wxsm%2ZDXOI3Yn|Km7R9PPgm$Na|| zSH}x@pRP{iALcL@X);QDVth7OgWc{`$~PUWZ=dZ>p{*~nvISAv{SO7G|G|E+k8O6u zY<|p7OW68{P4%S&^&y4b<_Np$8{z3}z7a?JXT;I|dEn6^ydQgyXMMr=80Q;GJ^jJ) zZAKjPKO>I$j}gcDHX~lbCMUs%Cc)DTIITxh{_HDJeW2wp&!4SE9QEIbqyBs0^1hL^ zFBr~~7s>lZ#D2{=7!~n*I`aPNwO`}d&*%SI+*CPK9Os=5scF}7>JM7};$6OJ{b0fz z&+?UEJ+p3>J2urGim${FAK^g*PW{936i<6lo-x1p%=>+CnxF2R>9$by7ur7qPWG+& zv$wwpU!Fw1B#C^`jPvq*vKEJ9KjH`8n;rhb?IX%}>qq4evz7tl!y<#EDW-serp?*1nH zt~KZz(M2{>7rAGHYPD6Gq(8jgFFEB?6h-^}5?uYn-!CcnlWgT?J|HqD@%tsBJg2Zh zm#cMF^_M8`-S(*EUBNZ`2mDX$zagRh4G&2BoBn9DpP#?rRd()Wb`li(EW9_n5XDCp zvjlh+JJU&`gUk6scSkqnii2CP`e2#q%xCP(Q}8I|FMD&1R>b4*M=rH(*Y(Tzulvxp zUB{L9|N3;I_)$K?dgPk@{C%=<-t9THx9Lgbo1U^GCgbpFBfC-?hi71;~x3sOoGhsGp$brL*mz-j+?W{&E6w|}Zxx^XTTDOqRY`E6Nm|;xD?tYmK))u3_e}-t9G?Pk7^zmGf{M#Gap1 zfad2VpzO?Ewpum+mlD=}s#e8SyqfUVDW3Qa-oB;Vy7lx%qg?+Z$9v*+B!8u+JUlvC z2Q`02qujnpp8BbMG|2-$*i$o3(=XT758R^_0o6Z^WdG~OX(|*P`d1nB%ln}sCi%%m zc^>~HlYE*%p8EfT_nYcRn6=%lC0_RrAI*1n z-e^i6ov-A5^ba?=*`P`MIQfb8z~bNR@N4}8bq(VDXeNpV?mxX-b`nqgPU|Er<)Etm zOjZXzkN!H6pJktq$)fWyOIi40t!i?ujy@XyEx**=pQ$+B7xTs=`2jn=0L3?t?V4oN$~zj@b(r{`tkmEp}T}B`OnucO4xmmxX1iT zbyDk-7(WjAtyW|leR6!>U60bit@)SORvO?DtHV$Ezj2bc{c^ssT4zz^i`jA=)Z2%< z)}T>AF|THKueDvE%Hw>oL0--$`oyuQ?o<7T`BU3(H0Npd(D-WGMc(O+@T-2R*}jKu zV_oui|Ir{%`l}4M(4Wn2)AZZzF@N8N&WPi_YuwL~k4wAL#qHT$?~tu>f258U%hXqK zRDWXqXPS3=6_xkt>nw_Vl+Pa@DR8^U%im(G_WD3=5b5ZPM8h;5yQ0yZC0_c_9%jWc zEBb(^8*o0})Q!>dPmV47=q#%KFkAm?x3LN?-iL|mEIj}CdKfYc_pKCF$1<{4@Nyr< zz0Ulv-;*QgTJ%^(KLO$7`|;v0%!#^+zi?VVR*#SS&^NijoE^(p17Kxy&%2uO=}>%W z=53&xCl*2%Zl3b@{oshWKdM-{twrmfg}tAw(vlQsFATU9ieWhnSkC|A_9}Mt*6k?J z>?r*(p&eExtN!EVYxU_K<%Q0FO}N+4-bNWx&bnWyl}|@M?FY2q<1H`0x6;QH|3-s6 z>2EUN)X;4_O-r1s{M}0Sua0A}T*axA9@1A8$E@IDK0GOo#X}$O@8g&qeT284>TN&m zKkmHP)V|bF`kswrG1#-p7$5G_FydM4;MJbvqeyl3UQRheoggz#ijkj8E}C#6+z! zz|)RA;X92u&I8|Ns4r-5*WBhEKaF4O3^>)7jhnsoQ+qx08qe|+cOEs&(&JL2r8jyj zpZ}k)xy1HIWF<}S?ezu{H>HMoBC`-A5ixQXP zEuY2u>!7Yb%HO5yqer3Whktj*4jIY^{BOd=S-fX+6Z;G4=kxW|9X->J{7bI?@+A6m zO#0i~4e1l(2D#{w9NdD&K2vNmBlk;3Wo}+S>`b@ml`NvnqXb7A611 z_rs2y=aqh{|2nAY=lcytJjj}o;LD9T&c7LOy1z1bv&p|<7D$5olHj{mdh3sh@$Rz| zJpG67d+DIdf7NVx5`3l+$NhFj9OwUxIL<$f*Nk`BSHgChaIxR;tj?m8FO;7q9dzkO z`VBaZUnalrZ6EDNSDmfSwV+;UU#b4}H|h_v_Hictv|pUUK8)j`c&r~Kz2c(EK96Wa zAQk8R!6a{-k531C;wbNFItU{a{b&z{#@VXk)hsOu-gJh+pLeyOJ;XSF9y?~W&F1JQ zU|o*MpYymsZ;Ruxd`Z;NN9S*5#_=k+XuorG76+$qH>GX&wnz3)N5*O_io85Oa`Jt4 zVm14y{n@AsyK$c0`!pW+cut%@`F_w(Vmx==%rYF<$=WI5%;w!{f|16WmAo z!dZwE=S4EG-VqE%dj+xJ(V3qa$+%IDtOv;iSZ7A;^s4J6B+u7NqP?b&3fwbLC*}9u zps$%Y=|TUC`S=Z}63%XU+<70EMe}i~?DtZceVb_8OJ{~ z9$00>MSnhMr#7H>iZcJp)@Sbr;XW9?AB5fi3*FYK{gwRQ>))=^hK#m8Yd)0oTP+C= zPWD&p!mvoe`FKmTkDu5{Q1LBjG1w4Yg@Z@sef@WM#*h8~Yc=Ct@pJue>MU{kFZb3T zWR1FTBK-?>mN@-Ke&cCB)_-;3MEX;8mN@;ZP5N7|N$Q`!=`3;jb4>cHb>T$z7wIf< z`afzk_$OT#PNe_9QZ0#b`mZzTU#|-%(jV1X;`H}1=@09|iS!TAS>p6R`)h-L+OF0T zpGg0kI!m1X%T4+lb>T$%7wRl=`j4zK*q@~fC(@s)v&89NZPMRzRZ{=_O=pSIpJUQr ztqUizzes0^)BjP_;Gc9|IFbGXwOSJ6^j~Mvzg`zkq(7>&#Od#2(jV4^UHbWY+Yp^a z)z9%~Z};+#*!VqAQD8;t@X+z z`rlk)_RrtEaH&5hiT;IN^3whyFI?*X=r*%|QoZD*{sW6W(kJy_mqh>HyyT_+s248v z_er9^$V*=8AL4~e{m(X-{d3?~9_g3*-}J(z{>zi-k9x^V{R_QtssG5WX8VVD$xHpI zUbxi1I*I-_tIhuTn-?zi=Ood;&`Vz0j}3Bl%Ob9Q`{)+4e^R~VrTznp%=)iOqW^DR z@=|})3zz=slSF@!E{`ri`*Hk%bsRof7^|I8a&W$X_D9WG(B$B>4s`HzH?FNW@WqKO zRhS&xHfT7G8GI0niDL$G&BM_z&SS?ix-C+teDV0cDi0j%dlU4*qHh26YQA1}+0AMi zbo-FTSjMH5K3^kG%Fhecr0_K9{89gQ@AMSmRK|GCSj`^1e~J083D087^}(YiFV6p9 z{coiyJ@{TZbco~(jZcniKRW7v*E2no{}c4es@ucMSBPEfu^x%{iE;n&LN)UsultkE zk48F#BH@%!107l_2}ST1Uc_yf9>~Llzc5Ol0h%7b;zKce`>$5QrZ2GbN!J(kf9y(+ zj$84iA4PP-AF`tR;z~O@m;F)yN3Qsk@>?_y>0jVVzlvi;;kzrn@VNVEOJW&4-QINh zlNaJ_#ab7hpgtz{&r#*aA8`;(6tq|7+YZw8{cq;SaciyUE^(s!H}ZqZbCp(iP#pF4 z4((-#ZTOv9ztsFfdA?%4SAOwz^R%PTf2~RX&uELZ^<*V}e%2tC@u9||;Qan$4NO?y zu)f@#zt|JY_zK*-%yh0q25Rw=Z|FzQPZY{JoP4P1{A#d+klZ@Y&iVxWQU5;-^#lG) zb=&Ktht5Bz)v0+w5vVxsyD+c!v37kh>6S-+V@#(S_TuIk74Nzo+h#m2!gzCeAcaHWsy=jR2*ADC|kM?3o4bS0|h)z6#o zNd8h~`)DS&0GX)#xb?aFa~;?9rTs7K+gPr*1!Sfkf3oq$y8rSnPt<;=t#{*Y{heMm z`7`Q&)rBkNRh;E&^-z_nyX7^U_dkQQF|C8+JYFp0lQLKN zb#T%@GpT)N810*U6!y{h(y8-K{M^3r-u7XBgSIM`ak9pu%G3VOZ141Oc~&%AYtmGC z+%LMx-WZW}#9cm4dsojNH~udd?&v4~Rz0AmJyH3duwB=$%O70kme+8e|6{cR=-?v% z-R+lylYK*z+E-b7KBu!Z9BByu>U2Bl#o6;Y;ktjimRp zFmrp#&8?0PqH?^nR%24_MgLT*fyhu5hdmWWoNepnou70*Z~5yc9Al4ElYZa_X1V;K zm)A&sHolaKlM(d6)Xdyx(tSQ3=1kd`Gi74Vl*Ja}q>dP)pE`>-&boZmzss{fO>Dm| zpEUj}nymQ$IP>3(pW6E-jQO3mCQ*GmW~X<33$mF>~>nw_W^nH_0E>k0S$!Bx>9?)16`RMwNWd9vT zoE=HinjBT0@1G6!wh!Y|9dy~30{d92*ZvPbU-Pr(T$g+?Pv1)#i)!CJ9Dm%1vp(MG zqY}mjKj@i$jz4mp5f|lao$X`WzPR$-Y?tR9Hn&p0Q67kc<#@*@HK3cEU~91Bv*i0Y zcNxK*YI%%iaerMqRV`AAJj&|?!~CD#H}7x4qddQlc9 z5oeRSd!~<J<)KUFj~jkd!5Dcue>k+ z5gjL=sXq!o{Fio?cDNXfn*ZvqulCh+g{PLX6&tj9~^sDK^_x*af<5zLKAJE%`E>G=Gh9>O7ale59r}gTM$D7iJ`;olk#~T1*yo~#) zf*3Dj@*9ZbR{X*F<$YE`y3eYZwWYYNSNOq!``_X?RR7?99wQ!NtBg3(*UN2ztv^xv z;kBHz!s)~HXsU-SNDQePi$eKejs*v0K3CqBa09p{bP_op;dx3;H3O(iOV{Nd^K zy8rYXn3>@I)5&s|Zf22ihV?EBskH60?@Pk_>U3X{c-y95qpL#M{K(G`YxjGnhtj*# zfJg4yg@htY=dmBk?Zm43(Owzk>HX2=Mm(F%G~kiD=sY@)|LR2XTlR@#@6#L>D=Rp) z?M>{zE3R-k$mS2p^Yp6&8i#_zzsu7#P|Yu1pJp0xJAEbW?y`jb#dy&mPc2$|Pjmb` z4Y(bDke!$)ehU}f*0-~56BGLv8CA?0FVYt8b$^Kdr_Yz#E8C7gss9Ye$vgE)>?h`D zMv7Akqp5i%eqZj><1m8=MKeYZ?f{Y+1YxVQapgl~OJ3yl!l-`&o@_Xt>g&i0k6K}C7&tkt$F6wFR6ZGz%MS9N{de0Ghvq|qnp%*M;5QOe^gDl-UE)cr6T`P4fV@*4K zarYJA_VbSJ+|%ki?qlpA?_;F(@Di3~;zxPI{ikspcKpEczHPPVeHg%(vY|#ildbFE zwpi7V{TU;U@4p#w^pDOA^0N9M@iP?jdf4dU|K-%Jlk&`k7|1HzMEv71!u= zo|Uw|3;SCaC$=BuDaf|zpv#^BYg*yn&r{P=%w|4h#wQr@YE14^*hMQ<9jbo1|L|7I zgEQ-G77b_pHCbx-R2=UI-*3wQOjdQb3CH)|Ot@HI%4yd!LWw`x8?6-U2y`^hNmhD)9Z(8L9#$ezZ4Dx^hjPM(9<~dFqek7mCV^@k}ArWFJ{ubc?IP zsPW;xg}Y4t#QWezd#c&NpVYLt@-w=I%EF|Zv?$d018kj19_4+N5y$+zoQ{SEI$D-xHAT}Z+zrP0_%Z*%49rE9c zspITIi@wo}2?KeDd?5(yHcaV|^YKJ<$bULdbefBA*E(c(e>{V$?IzTY7HWf}_U~C- zEn?0^5dHBN11!}csrpn)b;AC5<+kDtACTjj;Joa0yaJc-B{?8Gl z!i67I9_JH{INAdvj_*GjajgHu;c@wQ{2a=^iV2i|S5(W)`~DKjylmm+4saw!Q{6yV z(K$-yrPv<+V!X(_h~;5>i`1Qe_jAqX3C%y8&Ncf;^S_2#`A6{~|1JS2ipH%{`)Esh z;{2nmvh$Aulz)89`89TENf8?iue|hTyi*FPFT$pY1Qdmg^0VxCI@1 zt@Voy)8p(>&mRQo$iWFu9f}o3F7I6snRG~8xJuuk;c-P?9ybSljCCi6iqnaO6n3(QJm$->jJs4>mw$>#9{R6Qc^v)ne%)vFA&81o8x+eJ zu8J%E7w4liP|qLH{!IE-%K}@!^}RY;(!}@jS`ZhlY6jTYI}-bg)-&7xr72V6v-EX# z^c{h|FxzL)x6YtXU!SGjuDUpGr98UUJBdG>m_M>F;;+QCyna`EgTDlST=6pHocOW* z6B6gaSa)5Cg7fb?j8)1GX;AU(SVq1H$M>JV@$@He;Z`NVs|+~JmzsXECn9m_qZTx4 zjQ9Eq;b}(wxbN#WwXA6AkDG6aj@SmF_#$=1j7yQ$67evC!x$b(nv^6vID#BO^` z_9e4MCul@n{PO!~e|cQW40g-7`O&?gW?g0RA34_*2j^GTtEc0z;T613Iv+CDVs+TQ zc;5Z&61yqqC0@saN$@}t+-JnYY}Y7L{3Wb8 z3BJaN&AMf>lZ6eI|J^RvxUYQ2ifb%_F`2L-qUMYbN{A z0~qCzexp3nZ;+?`#44kFnE4Fy^8CR^`?V_Uq+jCC>Nqc?$}fc<{#X^qtm3fOh~s>w z5ue9~8u1dAX2fwmuT@K|tGwZR6(R5S1!_lznsIAA?G`5*C;gBI&gW-yy>VJmV0UkJ z7c1N2sy_PO317cE(a~o!%0C=_TK}F9r`W-%|7;oNUEXPbqy1I4VX8dtzc%7{zvKdM z|5AOLjMFyW?IFIWY}Rl2;}}PcYF~-v|1WXKNJitvx&Kd$i^g`V!%ypbO^%`j{>J*Z z5y$?Y377XH>nv*g_}-ol!Uh!&uy$rAG~Pa;|D!k-JFWDGqfhqd-|0mp4u3BR{@*&T zMW)9G{|3)7l}DU_NP_#4;Jb!;>!#6liwFmv*3kH2C zAB}^&>kIX-2ha2F{|FBo<-;t?fXnaCU4B4wnPR`V&%PBeq$o|2f@3XZn+|IJ;^(6b zINfKRmLz>u51agl^q*z+Use)4Jqg~HY1ZG81Ye&7Z}i6F=HGaGJ?t(GYW?H$ACtbY z-F}5>eYu#mTpX{@H6O+rqx^mnE<%>yPkJp=PHA_Z>6#pC`A_-VKGhwAQ+}xYHbR3^ zxLoofR&B(Q|4HyHBaZWn`DXjt&M@28V#G1O`Kwufy8);Bh}u8bDyQZj!gm^Qntx;& z>?8RmqkNFH4K$@6B&RY%M0rn}^7Qstb*Vq8hGa3^{10o zjt?cg?IMiVqNxoQ-YD^iza!wtmRNIx|Im4U-^$l&PkSarZoi#4Fs8zV=3Cf}PaKN1 zb{x?hYVf}gE@-;J(sTj%gh7ZeJG41(K%gB-iunHwMxl7MP<-Yy{M5<_QrwU?0L``3 z{w}u2r1p72`!9s{?7i$XOS_%kQn0Y}*Kyh*n)+IIncimbAzT2ty-!FPnf~dN{@^Rz zKb4l%jl?hfb1vZlfnRFj)ee3l;XZ+re&j{w-mrs{+gM`(_wN`B&vNh&2;bF!(=yT2 zvn)K_!8dUEyEuN5g}0q*+ehAGfvr5fT`j!D!S5oxgl5pZ+FYsAj_>%&^&

    az>o35mka!>^ApAon_mOM(nb2N zvC>x(u=8Ur;cIZ_6uc){c+kNoBWBj}68BGmg$E=a@ecwlszm^Qp}zUqzv$}rXzJM( z^S@5vz9)Y~{QYhIUV?ujzbYWqFk?V~eF@G`WCzuxzNn|Mj2%c_VYJ_+OUcRow!+lH zhCZ#`L!12@@HhR0A`nDuJGbw53^akFoG{2Y;9FHO-~C@K-xFT)0{7oZ7QWuWmlNJbUt5l*cD3+E2cJcFP}uil zS6L~l9X!b8f6eXNYvExBKb7#hP29c@EIiA>se@(B8@YY2S$MjGe@5+TSlIWBg}3#w z)4z%EHT69Hhb_Ft!Kt5RVPW6B7QWuWZzg=#%iO*O3vUD-^WO#tUa7~^z02{$YU%C% zoW>XPN!};yzs8aeJNhSa{m=9CRa$tKgAV~7%Q){ucs80^Y%%nVi_RL)q>{cyp>K@E zzUyS$9|tD@575`G(Vtp)vxC1yc=b)(Ung1k8V6s`T8w|l|QYLS%ojOY49 zd<7PNGx#A-;k{4bZ|+~=zoEq6hI6C9ZTlOEU*M^P2VC}7JNQ@Qfcpe)+aGrD&k5hP zfv4ZLKZ|gYp1lL`w_?vH;E!dT(~H-KrB(uBCkiGfd)^36g@yPCQQm;8cI4(WL3Eew zJMdADj{$U;y8WS{XgK>-47z=}&kP4cel+)e8nM4HvOR`@z^-#~z^|bX#sV`DEguN{ z0)NmC%1Q8YW%$MGAN%VvECWPS+m4Y1X|7|J^w)GsZ`(6Gy&qU?K{8AD^De?$1pbKzmwPjU1u1_#oLj|r!@TYSA6T$z}aKYc*{$vyGPF04aJU#W}J43)%6JXDOh$aJ`y(NQk zAoU=mN4yh?6r{v5ZaPkQOZBFx-;mwg3vHQ9?^X(TcEZn6_*>L1i9gEuC)xh^J%v|P z9kTFM6wY(PH&A%M3&LMizA5~mqwiV@Z^GP9>c0`;P;B6aW68DPr|{kyi=Xl@l3GhV z`j@PPSGYeSsaHt6S16KEN`DW2J5;~>P%L9chVBLEUp^Z8^PKqarSQmZKUwxXOyL1e z_!$cKa>C;&yd8B<+W!rOH(6nx{~zE{2MnSoj6)BnxP&X)br)ORR6z|tR0eVM{{F0k|a z2?|e>VYCM~?ysYoYfZB2hui>$4z<;x=fny;YXsp=jZNO!o8KL$SufNT*Pw1v0s@bescDU2{63YXD4b4X$5Er&-37-k}>e zks(_1LH;_1rw47J%&$Y|!u~wWJ!N<=g`c?6PTz+Vu5rSzQ+V2Qc6ok|!rRf7Ncq1} z_*=}qWVngK<&ONXDLlXl*HO5$qwiM~Ug7AQP2mR}eN!pi%aPBc@b>w(y`w1n#Iv@2 zXHa;gqwhotPjmF8P)@$&T@9(Abg##W)nVh>M1w`CP-_;)Aq zZ+hDH$6FMB!U?~KFzWY*sj_}kc<-GK{y68y+)n!MPZS>D*mEa^_f*>H{R@RxIQ(}} zIM2~{3x%gWDD=78=VW1|=VFro_9;6(JiJGSk3v2`@=rMOCsFu8C)}OFn=p40>GgO0 z5yctrKb!$KHTaJV1p4FxYmfop(~)>mZpo|bS)qvk4S-_dD&pTF{|<$s)3B0-3KU|? zZ~_x`FZDsf(120{`4Iih8_2d;Mov0!AhTsRf%xtKymx?YU+Rq%-t(mGk0lh|?u4(Q z@Fpuv?REY51$ajN)8ER_bEALyGL837m*BD0Kkb$)3vvC^FTjNIvKDxP{xzCfN%2jy z;)|v}L*YDxQThL$|NP~6n2n$9lJ1f8kfhyu3B0eQS&{}NeNysmlGIAjrmjM6ouv0j zdb^}oNm?T51(Ie;nj&diiqP|pq|ZtEsHFEx+9LUPOS-+gkb6$jwURy{>F^AhE=hYx z+9uQWv7|3ax=zyjBwZ$HiKJ~Z-gZfQbQAgqNIFu|JV|FrS}o~qG9Ak#oiFJ<5`R$A z^^!JAx=qqOk{*_{hfHT*Ne4+fR?YlUkb12AOz$Dm^OMB)OKRbhC2oZ; zk^WpPX^EusC0!x;m&tIUq&LZOv_R5xB^@B?36ge^^w4p_uKkkklys}4PfNN^(!WT0 zr=&Max=hk?NyCy}An7Nry|CDQSO6Pmr{Sq#Y$alrHQ)DCr(aKa_Nvq%TSO zq@)i@dcUN1NP4TJb&_5s=>kb-OM024VM#BLbgZO9B^@AXZ%KPd+ELQBp2Ge;l71}d zR!KKX`h=v9O8S7LzmxQKN$Vu7k#xSKGbEiNX`ZBGCC!rb3`zS+nl5Pk}j5Xo}@D+ohIo>Ne4;VSJLAoO_8)cRoM5vr28e^ zE$I$PUzPMZNgtQ=AxWDgy;IVgBwZ@$Y)L0bnki`yNqv&G$@XKrq>oFwO46HUdt$Xm zVcDKq;gRBxI_w3}Pn}4euMQvM;o%xdmq;oIHWOdN>L-3?ZxysnQVYN84e|U@N&CMk z!eL48lXQ>7Ly}tZb(;l$M;XsS8Mg2*UKjXZB(TE0HuP>8&q_=UrrY--{x=Nzx`smq~hwq~j#*FKK(TklQI~v!uV1^eRb< zCC!%fWJ!IJ?w0m!lJr4I&ye<6>3Lj+122ns$4Oc&>1~p3kaWMKy*3H{LP?iO`a4Ot zOWNxd!Iv%R3`y%GeMr(4Nzu?X*%bj2qk+?Tf4@biSEh5r=cJ(6C#ON7@-x?9q|pNi*U zNpF_4zx0z;E=J1s!U}KyUdXMI^fF0%NxJ4cfrljB|E&nGk@S2?5C2O%-yrEdl7=Mx z=^KHcFKPAHBD}v%(EB9a_mv1gAZezgYY&R&<&vHuX%|VKJ0S2mlAbH+$NR zRfLC2x_h4p-!JL;lD@xJJpYTN*Gf7~(nCzjNg9+iUDAiX6nIe5{a=W1Z%M!TT!i0{ zv`*5olJ4Fk@T(-vleGOa@qCS>7fJflZt;Abq{Wh+A!&;&4`=@%_?Ah!P14?n#Pj)* zZj|&}Nkcyh{C-IfN;=`Nc-|=K9!ax~$ao~(B5ALm#PbqKAC>fwqmNc(j zJYOT}7D-3O#Pf1VZ<4f5`gykukMxNi?>0%dNqSrd@%$c1r%Ad&(rz6EzEg%r%J37C zZkP0sq{W@2K1q-3EW%SHeM8a{{NnlJlJ1xE{4U~olcZlrI{X;%e3_&#Ny;Qmm;L=| zvYaoM`mB0x(|eDp!>i=JfTTf58zpU%H2prwCuva95=rYMT_b6;q`M^bt(NkV1|_YQ zv{BMElCGDuMbb7&)9)90vLp>lS}kd#r0XT!C29EgLatiUU6T5mq+OB*B`uM(PSQ1! zHcProQr{n>yre-%OC+t6bd99VlJ1h!w?@iK8kDp|(mF}kNZKsvE=hfVl=6}WB`uM( zPSQ1!wn*A0Y5D^~K1L`F?+qbl2Yi|x6PE}h;_aANoyZP6 zB>~v%wmYw4N#$I)+0s7|?wVS$prVYtKXG1Z)!d5wt17B$966Oijo>Qt+KLsqiHoYQxwz_=iz{m?pn2k=1yxq8V8V}@ zPM|X9mN?I+)-GIFy7-#B(wb6@e_~}#Ud8O%xm=6mPuFALbz??lotrg#PS%i-bIu(( zWaRL&(L+irMxQ%m_=q`U%ErtoA5~E{TP?Rm$OP2Uyviljr8Q;qcx6Q#?)nGUdgPqhBg#gP&Q{~2f>2pg2~qyz zj>m?f|MURrVMY0`~>jOGlShj8XOfqP7(J zZU0&2Zc1&{)Ecx=OKK|1mQ1NAEk{DB+$F?$_ATb+#ARP`Wp&xqiYiD?V$Qj$osK5%#{}^d!q|!`B_{5V z|4BTSCfMnYXW;^wvr{U{Dk`s1Vxv?cU310^8$PD2v|`ApF%`pyjI0=S?vODkVMB(a zMa(MC9y7cAT&+A6$7%GHFIZstd1~3>%Icc%g4(&2RTD|ST_0SC{e0qu3l_~TU0~G* zE5G!oPCj0^xU_m+Wm)NhODZd_cA5z%9*x0~%dK4+Uc9K{25ev%{V{Nf zkI3xGDlLr1zF7rTm9!RBTXkjCqN}R{kXel8!&h8TIIHmD+`L&u`BSInUYI`>JT;3& zoPqHZ0^BJ4I$FMhswFkDCCjg>K?L$geZCZy3|uml%<`$vEq)6l(b=U-D$8aqsaafE z#hbL#{J%Yk#@XeAaav6N;8HE$tq2EXm~e}4jf=0@gKvk6?@$81j?f!8+liOXD$GDQ z07^eryu`P{#n+sGkJb#C!gmV7TZVM?$*$1WNAWZdb>hW_vM=+TuD%c`{aEo5eF)F3 zAM(p~gah({%D3Oe7syJ?myPGv59upUz}E%OS15dBpKn;aK6*Y6&#fOENA}Ga-qp8D zKCtXdL3rc{hYts2d@TqUyZDH|c%;Ke_ANy?kbtkz#nkJIm;730{z ze(}8JvrhbZ%5&m*iR81w_ej3=@_G6JA!p%%V};)9hGmzRk3M(y$RWcj=9CT@i7_Jj zL9~;jMwbmk4=|#9*q9M$|EJBXToS|4+b=0Ud|1 zn~(5DI{hktNbVjFzAY}k77xB&V|9If5e`WG6d(DS;%UZn3f}`t^r}^KldhhM}YbG>) z+`sG7Z{9lf(uKE7->`S~!kFdlqjv`@By!y!-T&g}+}rCHKCZnVYLS zU;EG>{@$g>fA`sUaq)tsmy8^qcfK^o$HOC>aJR8jr{zwW<{O(oIgfY>F$AevQW3bM zVlky=yd`%D2CIt}2PT&;tl-az7M0g7sE7;OVv@h0TxytBxv*kMP3gjF8P2aNTYOD5 z%{Bv2C3I3;dHGWVlPa#^TqB1L_YKTm>ik=hK>x%c!pnvnHn`SVk+0yr!X9dOvib|JOF05S`sGMCpXUVmJ%z@=+1uB-7RbXkP zoQH-6rc{*ImQ@6gMxwG0;dTaJ~9z}2No0%eO9FRrbwsVF}h zKe~z;&A>Cyg3%_s{YmDB{j8I zUA^?0YqRXmZ16dO|L`Z7p9r`6M=Z``c!41WMiS_77Kv^Kv!j|tivmj)V(oCZahLh`q!ajr_TN^ z$E0-a*1bn+TF>-jk2}6s#tA3(K1pakxliAI{Z9#;dfMp&2A*+d=2?RVpFL#gIpji) z{}0liSMk4){$%l5UUKI^<=sVPZFEtoR{Q?{^KtLox#uRqkA3(3{q}*$+?n~$^E~s+ zoH=u5=FF*TaPGx8ueH4+Bz2gq{4qZMa5&`eW*ZZYDRvv>dtQw;x!RhmIT*q;f^N39kXzwE;!C_Tr_Z;f5|9z zw@U=^nyBAU65P`z@wgWdcC+6F3ztzk%hRj`n0EFeUK8auAnd3Jjbk~&29D)8o&+~) z;ZnE7^J{)Z&A&Y9d?ovu>#lDsx@&K>vov?X-AkS7Rg3E9Z7*GR-;UXZ z^Zj|*8*aIFr*Gz>&8dOii>~qBy7;CWW>(i;SF?MyQ+xZ?m9v&+Z){psc=H`)Yc^!< zT;!c|L(cU2*$b!Lx9g_a>o)juZ@z!;+WW5A*_^#-=d2xDH{6-MY0=68?=6|TtL`XT zm^SU+eL22eTN@W|T)caB&fN=qwR4v(xpu+yyzA1+%kbgmtuyaf*KmV(Xa21D&5Lfk zHEZAYHNGWPjT^4nIBo9jYi4+BQ?};Mt-r2x_5Ih+*?9k*Gs?CtS-)Y!yfw3K+C8Uy z=Y0$2RNpaU&Mxn@*_$&1o9}5VzU#(aI~Hc_O1&bn#y^3q!FEWUGd_RIp`?YpPX zz5o6#O*gO1oOkW?8(KErpEq@d`O}ja7&E3;-*Ea3SoV}|0=IWBh!p6H+ObZmux#_m) z^EU3zTD*MchMh}pt6aJL_Q1x~d#=4{ZeAeJl6hNIN?`R2{~hIv(r0bCal@*Nocq@; zE=|3C!HWD_Qg6L8yJXc}3+CN%Q4dZ^yj#RX47$n&I4#wxDL~T+h6Pd$!J*w_(;zzWMX^ zE}fOXe*WwtZ{e1O4Mq2DTC?=Vh0V3M-##z@nl;mQ-BuD4sIcO?S>I*;H1wuHoJtv+8#4$j!{nTXsj|x|u1S#>#2MbC!8p zytP?ryXrP=ylcz0ijA{Z&c1Qpil%kV^Y-ReRApx0@4a+$h_1jZYb}aU7PEFZb zf9t)ad1?3Ev7~bP-o4k~l(OTd%`-~3PR}a5X6LGTcg?x=&f2>@cNJD-W!%%e(%G_a zd0^p!lm&sCtBY&zTDfz}##xJRxXG6`uQ6x$9jk6%w5isgvu;~)Ufr%4c{vS*r3(TD z_coTzuHG^0_S@DubBY${?U}!DUGwxM-kC*t`{p&=xVXA;R4TUYO_ zzhQlD+1^=|l?(5y@$B?%+c<4gPR72*8=M<%T3L42wB_0J$_sXR)8}rwzC3^3O?R$b zzr6nblDl`^;w-y%+48&Z%vo8Hk-q!Z8(Z!w^{(4It8w1VH`On^b=9pa3K}Z5SEt;+ zF?~&LNlMG^g|{r+SG93h)!lWq)y4j;J9CzMnwHj8ZQ0l~vu5UsThsDq%qeed@iwO} zU*z4r;QoS?jCn=*3$JGc7~`>%TRH=5W_9Yffye|*8fG2bPtpVITSDcj@u)gx^3)3R*+*mttuOo8J( zZ#tX<=Y#8m`wiT$;eG}83%FO{UWWT3+~aVhJ~$uT2%G~q1lJ5V21kAaa5ZqFa9+4! zxG>x}9A);ymBF2X%Yr)&*9LbHE(2~5j%zUI;0oYQ!X1LU0!O`{fGdGJ4YvgD7+etU z0vz73_dEqx4|f(WAMONP1nx2%*TRm%RlvOfmksv}TnF4GxJ7VJ!!^R4hua8u3hoHp zYjCvPo8Y#>eF<(c+@Hg>zb~cKfo=8`wO^MxPOPc8SedXyWzeG zw-)Zxa1X=%9PT=}C*i8#{sk@u(*U>%igWCo74Y)OM&%yP={S1z4 zgYSZ?g!^Z>9Js%RI{^2eaJRvI5RP-3--X)*_XW7e;C>CqYx2!-#c+QIcNg48-~w>} z1~(7xy>MLL{yN-hxM$&d;QkAaYY6Xz+X44aaCgJ~72JbxKZ4_0<)6asgZmEL2Ds0| zJqq_LIIexX4Q@N!m*JMd{UzLfxc`8|i7TEzhT8-8Ex2`XpMg6F_Y1h|;oc2b4fj>J zm2iIp*9G?q9M^_F4A%trGTaupFTyAJ|<7a+`qt1gbRjZxCcXrJ?*#{!X20a zj^GhPa7F#Khq2cv77;pxM@-Bms$@}atUE+{doX`qR99D8B2}b5I(}PGd4-NI2w+!G zFtk39*MdiJKdV8IwcNn&@wbZS`bKH-Rwu`)_hX^9#gA$6F!oJ3`Ch>@nVjF3UX#gR zzoxaozb3RM7+jqfTrYKEL9rDpj%4X^pRgzzpXZJHr{iPtbDXO3x_YOMQv&`Dr>3_h z*Wre*ymd}x_3k>ShE~a2wZ^Fx>odyYt6Tl~-cW&meL;ROzaWp@grNM;+SYaJR(aR1 zU$=UdcU``Yx73T(TXe1}emy3p-?s7^D6wm+Q;>(cYQbXH);uSxORibvvHv5j^{-l& zw+@>*TM7yaur*G`BEDel8tSoj{n{XZ>G(g8KC84o1?yJ_*W`r)*wnUmb;}xdV}jPM zg?(1RK6z^cYXg69eO7CIlGRJHdi(>~Cstoc>Lpn{{(pE6Nmh@4Abq0sm8@Qp z)#GoYPx01b^nd2{)&B6NOcJwjgM}gVlb+C;z`8&%7%EtYje@Jz@pdgJ znLg3W+FECg%}pm>?}_@z>%G|Jde6t!%+=_t3j(VP)(5;Cz6nZpy(iQEcho1=ehOBv zD=5fczuvzt;9b+YHmXmO>phwNzoS0U_LHn$lG))8U0=!SC7B(P>G?a_Cstoc>Sb+` zdQ7J0@2F3-zOHikw@DB1)b{d1Oypw*JmT-j=C3eb&In;voP0eT2XeQ-aa?={+)}uiaD0xs4vrVj&2W5fx*d+sCo|yq zoG}-U1C5*D_*`)t+!DAHI6jA_!EwMbACAwRi{S2py9SQW33K3hBc2Dx=YoZBeEysc zw*rnv;`8Q>aC~lD0LSOEX>h!c&Vu9f*bQ(TVB8Alz>)YIo(jhSMmk&pTm~G=%<@qt z^`cJHgR+SuvD_>(`LQhI&$3e zi}|P%bzpwth^LO^Lmre%qFfU3{7pXOM;@A=nU_47mpsUq{F#SxnU7)Sqb%|up1diS z`6xr{LmniZ&b-V+ex_ZBBOm6Y4C+Io49a4D%4dG2GhX90FUr+rqAn!z)pjHwVu>R^ zVl_XFrwnZi>PH#643x{fj3b7;wEc)7FY=*o%*(P+24xY)bmpTBrZJv8h#^nrBY&+6 zc~T~MF&~M)b@??9;>eRSm{;qlc~dUa7*Eo=F`Y8WTiZg*Bp>Re?autfG7s~UC)20{ ziCF4DKFmu#Z8k!pF$sEnUB0FN83~9)8$|q zc~TZJ9CNB7e%#ymb9Ao;YoPEtmX=CyxA?kG!Z4 zi881Y^Ap4TS`Yk;rjxfWk1jX)n&l#%JeW>?)Ir;nJjsJ)BOl74Zj`TiXdS2zF}mE$ ztL;lH^N`4kGRTYZl+C_)Q>!M8OVcp=A~>B z^(22{h}ZHdSL;uH#4(T76F&tXtv`8C7O~{Tc;?Z1;-|<@8oew0Jq$zS`FNnNNX$1mFFn1y2zJ%vpD8j4tFOU^HCP{W0^LwtAZJF}i0xXFm+p`$KtZnCt=+UI2LW3u*Zx1Y({>tyX|vg^Ta zpZ5A^E?=4iIl-<_kKBTN2=BGh{DP3I-&u4R!S#uf!=3&E?SYE+7QO)>iShb90A@Dx zZOrW1Z1d;!w7sHyYq83&yx3i}=9EO}w(5bG= zb!xcF5TFpZvtzdy-!cey`ClubxbZE!B@VTi5EtD z`0BYJ^_=Yldqd6l>X7O#y+!G&V0o#Ux3t*9)j!lvBviW1^& z`8MCl(jD+vvE>N6263(Px+mHB^tygcWvpE6g9Z_1zd>TTZTb2=tkkMlKH4K6VFx~m z`82M=!iAIIeAV&%nh|!$3`BmK%6_8uO?_MTyT9*w7@OZ2C9mc?QeOIts=xob^d05< zl;5oUu=4wqKcK_kkom^GCH=m$(jQlR+1{HBJv}1cus4dhH_Kc};Z%?RmKd$69#qa@t80&pKAqEfl!=CcGQcqPi*7xOm zR-U4o8g9_`lvP)j64QWJl{yc>7n@X@c9+&}t*$F=s>YAnax792Q(Z+K_ygLDsG^Dr zxh`AU`LHgr+21`7#`=0^H}+=k@5Ea*LFZwA2f7VxY30jLq0WcfySqBs8zG^)T`YNt z6FLPckBusza-my-fm@8b?xhmo_zScdP9 z*O%7SODWh_Vc3gtlZ9=2PZq8ys@lE_@3U)pHr|xSd+DB{+N$!Z?U?TruibJ7ajbvF zS_Qgo9GcRLHOpMb+110+M_IB%j0V7q_d@kLKKzwvMl0d5`OrrP$_Apy)Pqq9A7y7} zn=Cyy9Bfs5a14Nw7dj-`OF4gNuakDAQPY{xmg)61QgDIRO_q0c-D!!Jw7h zU$B4X_-(}KcV{4c+3I(7Ki*gq-#_;wY~Cj{ZpgxoAnbrIN#7Sz@cW#BBj@~`v&8t+ z#Bm&a1o4_UR?etRJRg9#QN(K^uA?rIAIrC-K8Cvv;Q@qoU#ImGx9(jr9LL5Z2$#Vp zX&mJq-yOqIZpEHi9v^&?iA&)}i4;-pGYI#qFmaucwH(%aYJMvF<$+h_ec_A>kE^h@ zvv+TdAM3FIVTTMrB|Fp41HyEIWHuPpLC^_;?Nq0jZR0fl0bF6xG&-DWjl$ACbrL_?oe*7xcAMDuNYMq zXkw~;Vfz{b(H3oA&$@p*ac``Dj=U&Ki-E=8#vB$W%(0v z)V~?=nwak?7aZ+%%D_>N)Ry>mQ1CN#AGpoEpO4l*@@XR9GK3u!VcN~U|3;GF1}%O= z7Vf0h>rSbc_vcbi?_DySOt0)fqF&VZDa2FH4)|=R&m_SW1SKvVKJ8Tl-+@mu%Q=X6 zP2@k63}=;d)CI@-^n_ykSfA+#JB$ZWuJa37{`5>){^QCXzGX3cP>%uRBfbs37>B_x zLzu*PO%vX~*XBiU;PO6!&J8!N`pO#7|LSp0eJLUo@jX#-ui|JQ@8NdthlgRgcubUM zL*Ug)fOshAL3H~E{PGNmgiNwebbbCFCiF)X{zb#f4}wPC3-x(L|n^LAmc7;ysOEO23f0;P=WEDo*)$ zK4rGdApSEi=aoq${a>3hCXU{kznlw;dxio`&X{f3kEMv5CjeB#}Q^Iu1e&s9JE9KUq3XI*v54 z0}3@oaJ&JvaOE>qTtEyWjW(8q-&Nvpo^r5>7iu#76u+tB)MYQYxt3S)n-tfL!+9Ro z72wi-rk36$Pu@(Lf1-3OKTRlq;`9KH0FGYCF7hW!m;Go{04FhZVD3N~)P>JfaZ_z) zQ^ifzZ%>*Y-=5`Bz%J#p+Oxu0=Z3oEn_Bxw+D>J5)jVAKugTI2Co8{vE_O^R&&Hae?YX2Q zaXy&1KE!JxzhQ)ZD#9#B>VbG%0m3$p_>%^X7DMlEW~Rfzp^B_ zW((Jcuuo+))W9*vc^7`fFA2x^ zvAi`1JMc-GALX_gILaMF*ax4aar8$F9Qj>BIEx^V#*tsjLovDJmyfUyKFP#2TDYeW zw)rvtDFes7J5G0E`y;L&@tTMmcfnD=hMpKs{0k6v;FC0t<)|@mW09Yee({_^%E@+0mk#B1WO3rTQg zZ;ZzcBJ5Kc&GIgJQw&GD)FW)-2GxAqn3`YnX32Rr#VUGUb3v<`FNB|p#2WaH0#RO} z3XENm^LO?>n&#z_Z^NrHz4>t&9#!FyM`QDQcmzfyL4t1PES`P7f)Ir@aGpCEkJ&ol5H_$1mh+gU93gB8Ljom2drcf|8M{+g20 zAFB`Qm;aV{`;{QwkF?yzgzX@>2gAgdHjaqCV$-E$uY$ZfPfHK>DLkN`F|TkErmdvg?=%oApP2M=W_y zSn@o-QT6g($)9?VXm9S@ThuS>|1$D%J?aR;X8R_Vr12GRm-r>{iGK!uGCcL$_>P!8 zX#Wy~9rz@sYtrqp&A^N25`-~bEXWOi&ca`r0MGg;duL1^_G`@u`viBXk3H{ihvWCf z#nu5fZaz}DV$0&$g9xAb&%U@;%Bqej}e9^2lDdBAqD`G_*iIrgUB zUNML5wtmX~ApKo2`*3}y8DR%LiS}nb(Z6iqSg(toOvKT?*@)M~{$(HuZq&jRygQK} z<(xshChGfI65OJJcw9Zgj>@RZ!TiSz9QC_`u-TqMku^buiO~g^p2E=P3|0fW3RD>=E^?usG zaXhx@sl;(U@lPOL6ZsuO*ijLhAN^AnZp;NoyIe7Fv`g0e-1*fYUK8bpli>O-+;9@y z84EX#u>D-aa&){uCYSm?g|Gvkq|3|r69$g;a|vO)T`@lGk7N8;Z_Nlh@JX5kB_Q;63@{gynPs2_1b z#A_mM)CEUG`Uhh;wyTo}JMc-my!4$9#c-@&AHoiNlE$&T4F-;Osrhi?a|82VLA)lG zf8$Uhj`a~iye5|848r#H#`;bDvlx!~du;dl~VXSYIh0jmJ%RpTnULhj1^)mGj>P#;>Z4O{{gHb*W+yJ1OCp##`f0$K2{N$`2C4|=&6b2 zt+({n{qvB8J8j|Y@^Sw)zj07mT~%IRT`RulWrl5hIqtpK(}g`uVml(2qVW}tPWb>vI(oy{vxygN4)pR3Antd=)=wAwW{BY9d`?kk&p{NZ3~TP9TEr(%#2&Y*u1mEChD#3Er%V-5iKHT=>HI-`J0hJ>;lFo)%5>#;P-NI4m{^7C9~0 zP9e4?*lhscGLhyIBRqL!!Jyfq%AqFPBvr*{UYN*cfnBnwJ$yN`gE2HeTPx1WhSgjA zfd`$KaaFs~p0G3boT4GY!%~ZGaelr=fikg$>0KGvo{t?Q!63grgN^A}2aXy*F-%%6 zeAGo1OMDx{-x1!Mv15d^=*Pi$&@Y*U8E@RIrpR z`zZvI^iz|idvnD<+*nv+n z^{cUPkt8_#J8#D=oNSlHT&iWel>N8bqfMFi{nBnv#E|s;ebHa3=X1#6cqAWThX4@u z*QD_y3O@*+_B%HLp7nh08OeVbKChfB@E!Ohn?Lb`e=YH0_|)eld_<1d*r)~;;+eOJl<^aT9bUTXeEmNyGN%i9LOOetaO&+Fk7(lyau zFCgrw2pdoSCBu^cBKYLr2tOHr*3T)VYvOn}?UU~IvBxiQ^A@YT(ed15-)o!fdu%*E z66eXu@2nZ$SxETqn)RVIJ?}d^?n`5MpFi$1W3ljV`PP%p=9w)nu@JrzCav-R(|6rA zKxK|U%WIk{%8N^@@M@%f@6n7B1zV}U+SY@;g)4Fqkj3Q~1p5lx!?_W8G_2@H%EQXa z>ZS**+28Q{a>;PG$#T5Pmu|Wb@Xcf1(wFr(ZC$vNMfY5A7_UCWsXk_cfj(YzLhctO zgSa<{_s>(?SJ`On6W6ErS@cum9| zbHP#0xPjw5P{D~rew=3tBVN-|{5ba9Eb+|(#&LW?x%AI|I))?vD+oLANpc+1C)N?h z_4?Av>3uR@VzmBxeJ=PJIUYR<-;t1n@gMCnigZmJKaE-VbDmj3PR*J`dBkU*jL%YYvqgVeA_aFDUfpP07Z3(%E8O+7?8-Gh|geIySC$y^yOj}PR-8A@0f~- zm;;yz!>kM{wMUOlAl`$wWjLhaMs!YcaB>NAKgQuU54VR7I=ivqKG@}ygnHWdcRF>w z*piCF5HJ^lHV_PPY+AWheDkwKyqJqIRSb%6T5V2Wb*M>)YDfjcnaO_4MF4h92i2r+YBbfFVdPrx^r^VVi8EPEk0F`<^JX zn5x6~32@{*27b`a&xs=Fbig?%-a91|8c}oCHoDsHcU}#i3hQRoql5%~8^h=_uTTLfzzm_mHq#yQe+aL{K?C+nWF@FA+Gm>xlA)AYT*v z*$b9Fy5C#$#Tbt5y8>ZHWz^RZ`#byl@&hh7T42=RHy>eVB%WVQQN4I<)bdA>u8H!; zEWB+uxAIcI)W41Avk_rOG1ldvA2e{Z(-Ri1Y`VODn|tK->pUQRpYofP->3W$}c?Kdk&w<&P^r{UM3-D!)egVdW1fe^~jW${$yLdbi52{2Jwll|P{T zVdal1e_Z*x{ZxD@zTO)VcB}WN4IJzJn1w@>AiKTA%}1<}`zZ81lo{~Wp`5Um-I;tU z#rjLGc%>d+p+^4}I{<>O!i&X%5T}K+9V~G02r|AH(Ayzk(|w2a1CV{E>)Qz~IZ1ZIMX0aOKK_2M^}*$-DDVE|zjus)ZTdEqZ*_ za=*;R zf^_vxQqjb5Z0A&>N^%8n8cSy z#lyZ^Kg#|w{qJMf6R(qv2;0vE#GSWrY5$P8&QIJEh}T4Z#}Rf^1k?5XbHu`3vT$;| ziKWoGI-CyGR90G4Qd+C>v;WuQ_kl0V@%u^m4&q6aqbcJW*}sQBCi|b!C#CO+NZ5nOY_-!)W*Dw8=w@csi4(SgozxkaqoPJdLBgzlIONJd)Zl_m<`;k)QTV_~})Q0-;pZzX@dfA)XXU6zSs_*vf7t!hi45O+u?Z&XSaKfDYau8 z%}FFCd+O>->gsDtiz*$D`1a^F#NnsN3lHfsu^zw8v_y6}f}faI#W<=if+g<*atuX2 z=6ILk*t}{GGdGwfiEVvRQw!LqLKbSt49 zxYsOP*1sgm74uSv*TnMnSvb4@;hHwj*Kuae75xH#BMeBq^_I*o60vkO%wo!~`s7MrA^DH5vyS=tW(}uU=2`RPL21h~~2~81WbS zx>$bKgMT5H>)%j=JN^(7N3E#N2>BP|yi~{|Mx1M-Qw+Y*Qc)Kp-c??dn>d|GoT!AY zv6=;nhDEc9Y$M89TD6;HLpjwi_wg3n)6=7|`eb`Mjj#isWVW}muf^lq5VoIFi5N9- z+=mi*F@C=?+d0Q;i@qM?$Nk;|2%G#6B}iXC`gyb9>?{x0bDH6s`|#~{7dMZzN*=8F zIw)72@tfP?7hCso0|$Z>1dmD1%7Eip8Hk4EIwYr@lI$1c>Gyt2I{8~TDUV$b(HSYd zvy%HB4`N>*cjEHyRamHIutdu*m#^gT^7gK-eJ&M4T^0&U4w%=OdTp^T0`!K9q4y zpXN*Xx?l5tSI(m~z;_T&a>I=(+!YIF_kYRyIouPlxvXkeL(?8i8T0&bx##KshyI6l zf989!dKJH3p5-|wtQE5t?N)|(P0SZT*ijKo_s)>@)wfjEhiyOJD+uRxOZ-ub->}8+ zxZ*duO!D()x${ldufAsScgEuNb!JL^$CpdKx}Dj2C*xlaewt{HBM6(hJ(8-e{2rMvH@wV{%LY z@sKy(e-P*YrQS4-kki_wfQ;us{-qC{GoMg zb5^ZxT_0HA8e9_!w3zKSVZ(wHU|+8ZFmcu5I$0MAcnenhy*aIUYw~jP{i|@r6nMQk zp-}6({MMG$fpzN&OnGc*IGI1BE)-i%G+FZx1=p-!Rgf3VS-lpQV17P|;$NG;K4;bX z{FXJr7XLbbXuZjQXD{9+iX7JV)9t#px06%NIH|Th&{K=I=tQp+vtwMks6O@@3H??> zdpJ;s4L+gnVxhUx5_ZT71pRC9ar2zK)oY<=L2yluKYvXKfAU-NR|O03BHCJ0&lsm< zaw?!~sMC`4TglO!T*`BxL)C1pT!Ks}SL^z`RqF%(P|lk5p;bBgp*3rB)}w{x;B)eM z-eAG{mf+gB_SBHTQIt>BLtUV|Jshd&=-uDmSIi?{LZ!>;a~y`kPvT=^}$oNH*R-BndrUsPY$RK1O}r|!?=91k8d?$ap< zpS8vT9FOq9@v?#A_W_UntNZgt_V;7=eLin=And>=aa^OHOO9E%3rTQ`{wU|k9`P=9me&Th-i%+yjzCTX%AxL z#q`SPDY}@~FD>3xiwz=-uiIOPv$**Szq?u^8qY9!SG2bz)Gtm@4&e(eo|XKH5^ySv z$*@qMR~#A6+!&AVDBarxQMIL2^-a6##9o4Ed_{F}5nj74DlQYxB+>ZdilVx@(z>SF z>T0e#B#Y+>+G-lnlZDgBxocxNA{h7kkjr{Y){ZY*?aBF%_<1b0V_wHKh}XpD+v5ly zQW0i5_FRnN*xr{Q>{vL)ml-(DryWg#yJX;a{TKWokste=A;fE%fgk4)RztqH>n!Dm zF&y>qB5cq9usmfJ?uZMHa-TAAlzR?gd;Wqr&yQkqiSr>GW;{sSkGM7qcMM@SJHKGy zSdJ?Qd&vw$es({`Hb6?&4l*vq^dmnX!VWWlO#K=R9NWcF7aZH|h=Jq2&LjUh%hL>> zr1>%bq94cP@>c}mEP_B9N4ty~Z(dWs7hG_}r@Ru+FAZS_@g&WUeusf0zX-yn{n+kB zeIlOtVfd-=J>!Y|h#NpWac%Hf{*y^?%|DU20{F!B!!LtR()zKydS1ozQ#oIp1>bC^ zcKzD*YNrbUvu4kA_(S=b9f!o3gw%sX`J%1%;rAQS|G>!z_jW_O4gNf4Z`S8Igzfqx z-zx@=`4;^m(TyP>S4IKNeQH1UG#qSWO{yHW%fN(xqg;~$kkNGOD(Y1s<{0O(r zoSndrdWL7kaMa^zgdO-KrVpxlnBniqd6;j0PVURWNVS90|6+N_(WgJFBPx(D>smQ~ zGxl|v&v#b(Ln=O9g>}5P>!_iRxSlM1GEya9eQx2H#AVExBEKo(GSa5VZ;Ck9o7w*?nLXRX`*Q_+2R?~*w{b%X zcV-gYqB)XZ34DjlKyLg76>ek_-261jZzFt1aC7H3pl~NA!KGoDm*vfeKM}uvg&Up( zmvWutmkr;IUl{S4czyI)xbStdeup;7_O7q1u^VIgc%7fJ@?BKvSB&rY}xoAnVvI&r66 zaBl5o3EGbXJc;F?J}5|38(WWl;Kew^%4g#i-7NL!fNzf*OnuKNT*fVlIO-cjye8WH z2*QqvpuXD9CoSBl3y%G6Mn*ipEQD=-Zn$s~oE}G>L^`j7F&CU!-i?@@Vf`G1Z^}iK zptc+3_3zvw`y+j>u=c~#WW4rARk+WJ*Z2VyPdiP6gtKCHNXnvp&s%!n{0*DS1xF7I%j3aj+KRWhvkk6HY+U9=p{->c+= zm9P0XuZibBzB-#){ex3~HQvFnZPEd^mm6^`w3OvxXaA?<3**Yz`C)xV?g$?9LrKc_d4K^Em_ zTkvv;Pgr^oHyz5HK)j|D{5YKi z=UEt!OGnsI8EJpbuf)RDTR1-N2HJ1{8D1=I#cnsnB5D49D}ORMr>2M-PlC%@6e}O= zw*X;BDaZ1S-y-X4Xs)a;?{(52OOyT?l|HJ%kZdUmng*&eFADBe{ksK+<)_+{-JDL8D%5Ur6oJ9YTY$>l#@lU3| zZfE+wd>r+|>tocaCmWZ3o5U5scYr6czBMj_cugFKJYnHv80D>3e@D{q*@Vzek6aU!(Yr{~*5p(IfYz^QE+L(AH{Llh?kS`bcVQCTM%)*PI||={PttPf+xMZdB)HVOV)f7dHyvRIK8bu% z7s=~kWUH))h6OS_s=|F4GM;uOzQE$=v-n-SP3Cu0Kj~Hcy|+m|UKOveTU{Uh;6r;3 zTl{R?xWZ*Di7$uNuNm>0cpq+a!SOj@1o14-ApBJL<4JIbG9|7CK5@t4JMc-&Pr1}P zb!kj4aajnP&sFl?j9q%_8-~g9^;`Mu@?S(c<)tr6)Pv>K_N+lVafe)REdK?>Q~y)& zsqg%(M1I5#A)dHH@QE9B!BLJ_u!Bz`?y2R8^SExfaTgrrMpne^$?M=4!VY|rwmat+ z>hG5G94YYUBK$P`GJ-%R?nt)8Wy5E_)9`H^uaAZtSza%E_LpJ!4t$d4N8AO4JEd^1 zx!}zDIF>7)KVN|FkQqqxGjU5+N?ZkeN1!CG;C6Xkd3`B;%$KghJ{8v2X}^jeQ1Q(w zJfyeY5fe19^mh~JriFXPm zzh?N3%E)+K-s6bZM1E%xw%3b@&)*P_Yed*l8BKl>3-@#q+zAUehOk**TE8n6ZplV> z+(yJ}VmaE9;QB1wGcGvV?*#+L`c2!ExIRYQM#O6(?nn~cGZyYr65OKA@%$PQw%7S+ z@1QS+V|hCecHooD`moPoekuvhQxwlH6=6qki|3cNH6Aw~;UNo0yObC>_KWohI~I<5 z4j4F=V=xKsNO8Q}ClGdPry~~b48m@5Q%d6br6KIr{>m&|4Z?2xZ2KLVB5t5Go*zsg zNVoqJ+v4>+g|MSAv>$Q2pI@F49vG_9E=SCux4fjVRn8eD-hWTyVrMxhGz~Y=j-elQcj2gBETm3C=$E+Os2( zAN30(o_x#TvmE{K%ixo=T#j=tB3{#t_~EUXov=TLh%v-#nnzsa?700yI?cW>#l5GO zxAymT{&d!b?$2d!`R?bwezNw!2R~k0^nnfce>t4;$ng(9J2UOMg+Hto=TJ{{E_EUH zYu46#3QMa>sJlMER2?k3NteesVpqf&2l1NWXf}l}4=N7P^IcWA6yK0O9OkiuJW4mD zV$r|gJnbE!!y?S{nllRyMI9~A_xGA4^P-w^_j8_!)9?EjdW(v?+Wba2r<|WQ)AHjF z??s!Mg8s}OJ1;;kd*X~j+KWdnVF#o*BP$1Q<2pFVFw}{&yTn0EUBO;_d2RMAqtJY# za`r5v6mb!%x(e}7uITFZ;}<}bj)%Biy>ov|MGWG9d%jqKjByeL#H*)R&lOCks;7Ks zl=@LIaay-BpVZB5yvY09C0y5m9@njN>lF096}BR@*%V?SbF@8xx+O*M$;%T@fo z4BxXN{YHAPEB(9qLJ3Q<)`J9N7bL#Lu}x2Mv7*mF;BCtQc8sCU1oE)@1u2O@aq z{9#W?DBy8`GaZ5+oY0oJB7l?Gl*c#+uBR98^@4K`&P&IecH&)lrm?kRnq3^zURW5t zeqHs9b^^$U_s4m}9p5+?3%TMOGX^u!GWb~;ap*)_2p0msp@R~t7vT9CyWEuSxV?am zDiNghc4p1?FlwTDVLe9Ag_uIU5I-3|yIv;3JJ9cHTG`=v>V`o{@4U3P(5gzIPVrm;pGZ-Jz0&xyO;0Fy-)aATMG5h)9|V6CHM|}665u_F;X2ZirBX@h_FKtNaNUFoiuRFv8X0-Tur$hh}V>cAEyvz zzeO_nE!i2v@mD{>Xg-28j{Z3VNB*ALMEy7p%Rsy)&PSa@*dF(DJegG&!|~TJ!fh&} zmMi4c$8a1s*C6azIL7xIxa$zk*p>L4b3Jj0C;zP7vpu)NzXX2(K1s{vxbgfRiK}Rs z?cx6AbMS5bSl&Z>C2kBp$Cr)wV*Ug^N%Ir;9mH$m^VO1l?shIgye5`EjIjAU=}iSmw2fTz7LAf5S6!Kb8@riuA? zAYBvrM<&42KF16^<(;tb;l;APY8J}+(!6P3;tQH%@)&MF*ij(rt%-QrbI`!E9gZTL zr9d`b@b|~~v-}MRA9umq;~n+>S4p)vjkT#^S9wVj&eAHc+TOIgsG_{2sJ^_q3Va^* zD$1d6{n2TU;p{COIF7+C25daUHqzZ2h&b%K3k%8GY3;^NGel!hH=E~yFHK=rKDON+Y9UJ2icmMU5u3 zt8Nje=aw4>^cFf&HRy_0r+Pz9olqWM*pTs$W_k-6ax%U7_8-Q>9&Yyy*565^zSzFT z5H6D}MLT9YAa2~kWwa#XSpQjw*TnYIkFeRVXnrFGj_v*e!j8&Fd3O7Vd;X0*{>t&m zz3pMX*JY1`$*wLGdQctwQs~r1zy2tq`L326B1ULbe3LILGpug);y!=%e5=K#5Y$R$ zFxx57CmvPu1a+qh2i_a$(oV_hWqu%LN7^SJVTT0*(LUOa9Tx6r65JIFwj zg5|ZZdt4uQJ+iyoe^}1d@VeB`xy)9FFi(&Q_6E@1@{`()U=S;eccvqgrTH^_5p`if*&pD!}1!hKug5*+-yUd^cUG1|w~uoV zM5|2fQ@nz(LjZ{FL*p_YjK>uq><|PpaYroN(@AhAEZkTU+!YJAq$9rn(E4peye78u zHiUgD!ql(N!ab7&_kxAHjId+z#U!}&&Uk(m2>Za7q{~6M z5es)5VTT})i5s+q;T>?0= z73YP9LxJ|z_JBj%IsS(+w3gFpm?F#ML5n=BF)jzrbPZwpH5lr|cORGu6LIYrhVzXI zbk^#N+o6uGgSo1jr($pFIu$$qw)UPfe*n=x_8cs9>hR4A4DDFnL-AcC3g%2v-^FNz zvcD~Kin{kF;TCJFQ`M8EE$KZFX1|U5NpWRKQSJ7+^2!=~Lzxd4ycbQyp5#*%{iJ!1 zC~~Mr4035|K~v$gF^&&zFFkmgaju@v(j3eeAKaH2ysuDv8GI8O?A7Rz)5`piFIkAJ zQ_-JCV2XT(z^UYOFd&@@Zn=Z^r{c7lT0Zt`xJesYO)Ve9O-V2Ehl$l=6rPD&51^0g zru8;0&I~L@4yI9d?~CPM?vjJdZgtIvF;rpx0(AQ7|ztN608k~wGG=N3%HSx|!u7~BoF6&oz8=y!w0*%gW_{A`pFzGjCUQ3&X23_>~@BrKsW9&IN}O* zsr#f{Pm%g9ItG_=QZ7F>qhYu)=^1#jM98}pO)?oyp93kDl#pRpXS52I9a$D_&{_<> zt``Z(R>)Ruv}=4WSfX(isk@2hL_G|?rPUQtq}hB#^EGhG9#is}KMsh5xRZCK*$6Y` z81*KuOOc*vcZ{rX1@X&!{P15g`DT2fp_j>Te|J}JxW`$RwOqH_cz(-`daZU_QdAz~=+q*I1hHRdB-E$DPPrh_! zlwm4*@V*BgPypG*T{|-Y5D$7}Hd|yC*000}_9+`Ukam&A}YDZP|o+`;sO?XVT zJX6Kt{v@7q9NthJJw8`6Hy9Oge;@D5YS6^TG@*$(PsMtjgpblbetwJjjB#csM)Ejv z5yN^pb4<~={Rs7V_mL{%LD1I6k)Q4qb4AG79)vVgzsx{pfU-zeEe}I9@nO(7?uq4) z++%i#DU6K#dP(#d$jzcoc_eE4mQdti2+y{-*Yf6_$8b!jew0Hr71>S>7YY-(>Z!(0!h~&p&gO|4l2x3`X{%WM3J^!(csA_9Qz2)_VxOVG zW>cjKKFKj@I~4Z+Ef|{ZhIJ~=`RwF`1ix^LFYRH%K@FI@!t#?Kyhso$o4B3d=vTA> zW2#M%&$Js_Q0P#gqc@1_k=KMeDhE$cN~lZyO`x~BlwH-2yKY#1OAoeW{a`J6NV~ii z{tu_OVLR$Mi5`>cO&%oOAmv5R7K_I%-DpFHaL_cj1-f>NVme#4?idhtC)z5m!d5=# z;V3BXqZT_z$z6O=Sijd9BD5q)w!ZqomQ%j41?>FEQU`IXp_ z+V;SBCSl!oI_wjSsDT=ObKlSq!Zq+o)8M$SO58aE$92K<-o*7o?pr88ye97Rc*+Gw zzLyOg*J(^-Eo=Ac_X5cu!I^%+4{Fs4b zyUys3mn+*{d$-;JW!K9}JoV^L&;!#g zSTV+0H=ghC{!4A>;dVYM6gqjic?F{1Bzscd6S+lF?+Cv1;l96=DPn6THbPOutHoyq z3ps6-tLLt+Htc3E+Trp$WA@^>aLLj5`Z%Yq&*mBOerwl<8(-E#ANXiuJq%fV^>uR6 z!kxEpw!FA{Sd}m8Vdm|{9*)gP!me1Z#Y?G4?_&}v|HjtUS`J6B=o`j9e{pl*0x%{w zi0DTkK=2sm8A8ZAEqUmG}sc)UPLUAauZ0zu35^wL64e zikPVpuP{3Y@l)FhorRu)n~YyQJ|rY|7X-xzh#bEoK0uB695Tb4kJ@(5&#JRnmcE6B z*fP=6Bc_eG7>pHONeMWxKhV_E{zyn}kee76z&^`Ka4mfCTQZC;LPIpNRbi_H6EQ_5 zJ5Fs2YKHW!;+1i9T#ke5sfp8-v2|>u*LOuQugYj3GK0=a{>jP=W@auI>n5)K{aK?O zi2nZF@$H~y*?;Htw%5n9;x1P#{qbUb{e=g#<>mW=hY&WezX`7+y!X3AGL-EU6NPQ+ z25FDW^muw}Z{R_ELmjVacSf-C6x7n)t|#`_CoKKWBkU-dOh2dW=u^*K`h5l4ugQ}3 zuDL^AcVo9nf8ciM4=LZyH>z+G+QE45fZx@{8x6IkMHLl$n@Y+{m9FBt!=-s(zZ^o? z_9;?tP&@uG=TXJo-QmP*cQ3Zl$afRum7OggKzi|p9N)d!i5z`@z&da(^!HB3=`(lNy8_6~X$|{c702k>8Mov+GA*w3cAIe93WRHaYnRuSn~M!W+!GY_^=}=IFv-rRug9UM;_Wel zup@HBkAKfuxYsP)sA})&tK9E1@#7Wi`AU4LUJg@C`*4g~6~c)F^08F5L$&`(KCa^a zpr4Gz2kh0lauR+l7w;o>9u`;BLy~+BaA1H_HL&=ogdtwPQ&3Y>FQ%hv{1GuC z(D9S;6(X^GW^%?V9}be~>fDd3Dmp(bfnv3d^_?j$y&l)=f#ZUU?~Bzd+pqKf_Bacma{Bd=B%Ht40>QiAHv(hIbWcU@8o}SPS1qgp^{`$O@ z3T$%PRkU4qYaZMdu^YL)M-96?cDfy&2%p&wJJNMZE#B|F_F%UEx*vaT=d3@u_4I*j z-+lRk@S2YXe(;g^1wXW^|2x+mJ9+-_?LYX&SpQ2e{_))vpPu!FBcFW3wK*sM<2|>1 zaUhufncIHq3_noz&}aYadqvMb@z~jKFZj`g?;hCs+}X=te#_V2ynC=?-pKI>gO9x0 z^6N`q3B9AbaoYTsI%Wm}o38)m&*rAS>x|R6;r{gYiVH=}-{^j5|DS*D!ZjPWpH12E z>$l8(@xkY2fAp8fpIg&0_^GYm{L1IQ<9qegGym3cZdGsN%cWnP`_1!vH+}7|p7Fl4 zw9xatoqapMb>?RO=og>6eB#c#PCvW*Pu};ruU`MrFP!|)$>~|C{WF?A^-|hDEcwBl zPrmI)*UB3z+8X}qHvivl%4z-dK+*Y!XFl|uX{VeQ2Unzjzv{XE$>X!$pD}pdzkYu11CQryI*|Iu9l`fZYYhDR<(&^ch|jDp zI_C|&rOMOt)Bk+m%#KGtI&I>pkl4%PhNGdKS58b>?sD-~ZR6C!4?Blao^Ne{Q>GbyLOckALOJ-0yCDXhzM)i>5D2PoMMr zTb;D8WIorn=h?S(IkV2Te)hu`{Qq*@tKYkS@GCDZUpzQEeB$`GzI@B3XZOBw?upzD zjbHf8Uw3?N#Ch|{|NQi`U;IGY_dk8j2VVSSWc~JU{P1rMzWBkLmVPJY%{QO#xp7Y5 z-=5eId}{Lp2j(8X_kl;|eD%5uAAEh*dzXE}Gy9Vty7sY>_g+8#;zv^7*7nnBxBuo# zGu!Xm)AEad+8^qBXl=)>|1tBy&W9JC{mt0jUw`|(k9<4*g?qlczw71CzWVAb&p%oG z{AX_Y#*@R%7eDgNZ@%HZU;DGuUpiM%`_q@Jf3pAcU)#Uu)L(pe=5t$ito>Bkx9^^N zu43Wrzxnw+*Q{-RB&F!@UTJ=L*US5lzw%^f-r?ulw;g@f|4$3v+2MC@2jKFz4Jpa zW#9IR@9i7@Qt(fg{xopu>5n|nJNvx{rhjbatf#lEz3xYE*?(>Kygi;7Z@TA;@0C^`Z~ULnymJ1?oiBf9&e<2g{?@JEKl_s_&wjV9^UdGB z+xcSicXAk}O#kt?Ae{)LJm!7?5y?^Qczx>6`&7+mu+snUmuygZ04}9$t=kNXI zORaNW_Kj~ix32CJr#|-gANu_F9{=j6w*TPu=e&!4`h_pN<4d3WNAG)|t^13QoLDkr z?da#;JM&xrynN61>c6=ErMq$;X?^zOJ^s_v7q;E^)ZJYx);&Mx#GgHx_IC?ko^kKH zUYVX_-)HsxvHwG{ew5>d69_x9yAaP;8aMRec-$z$Sq83g%L);)`#I*~Gds^*X@qkN zGfus~;K{^qc2|@2b9Q-bxpul9_f#CCG)cT~96{JoVLd*gf6BnoE*FyEmV7kEkGKki z9rz@ZU&O*aodkE=w)6MA#1$rEzDee&n<9&^| za9ejYDAI%e!y+QnyQ(9Ie8R^@?5N)nr-Y zPnGh=w}^NTd>R)tS-soo`un^3B)Xbh9=DVSC;4r~>o0+}!uGps?p}rCK~}Gk(Vm9l zx_bZq#P|}7-#V~F(p}gc>cH4Z!EtWZ6wY-jN_wo5W;3Dx`sQxGW8>0 zlT5h-$TNcUK?`H!&l%|#jo-wPhbB`l`I=BGivV#q_2SswB=$&@?5Jg=mB&RG}}&wEe?Fq#VRJ6YL@ zAy3+hWa>dVCYgF<{5i^x^kxfVQ7=k z9Q?Lr6Hi{6w<(|eP0|>~6?`Pk69&IBgTIO8Z_4jC(ub1BCoj$0lu!O9X$<3q#~AcD1im$f923jm#19zhL&k5WlZPg={N!tr zDc3oM@+19>g|YFe{}tnrVf-eJJT#ed$=4)P?jZ8CfsfDPW#VbWJ|le)zirvXlb7ag z$|rx5G=_1`N7FnPkv?K!67ZK}JkpKdnuo@la>>^uQ*IgLHiJ)r#mmIA+=q~9YUTlq@T1fCZ6)I80qOhbC*wEnzt#R{7upr#tpOl;8y^bj70g3 z^noPu$xHJ#<&(cj8pF7AEI-muS{NID#Yj*6c|1Sz&}7ObUz1F^S$~n{X#gLu#mmNr zjr2a_H*w^l$&^dJCYf@}kY^O>$1RMFzi6aiF@6(A9-2(K?H?GI2HF??&X=W~5D~OU7TixBFp(hbf1;oH5dpmD99i4P>Ogn(7%d@|isOn|KEp zOQJbg%SurM}$&`3XS{3eb(G?{YA*CbQ!81h^}`lyAm@#(*e z@o^ z3`v*gxW$WUX1Qzr3hf`5Q5T%Xa*j3q*D;-%@q41O_ZwL9n2e}{=5CgcdYPpAl|IW3 zZvBe3gD&5&i%zryd66{kToRl_VI1{LnkVZw(2Fh!k)_W_uQ7fz3wdZV%PaWm1o%od z5i^23L*R4B;$^@E{-lw9#`sMfd1x}_lCMdWdr?L7{dJlrwCyw<$HXllUk zKDaTs;pnb9of`AW+wHzec_xhl=XPH`ZsBwu@*FYJCey|FM6CZL50<9}E;Whn)WtE< z3h=wx2qRgL$=9^E&9@EmHJP}67oNnieuj)RTeiuMc$UWpXY(`L*8p{xHp_F);EODA z#ByfYA z|AEh_#fxd0h)$C6GI-FOu6K1k|}o_dBWgRX7Mudw0FOeK7`+AjIhR%m*#EC zCx4TiVRt>pEu79no~hTwc-XSFOyV2B+Xrlhf!BPrPke)s-e&wJhCDQx#GAMw;KqPC>4MX|*>7Ai(lb(Kd6LL^AcI9Ft5vQa_3D5BU1vk`eKJ zM*4v9n|a7XlPQ;cO)}+rk!K9)CoPPPzhb1P&WPtn9-2(KIm<&v*SrrZJK8AbYW3uEIi8tGSz-^7uJCQ~l?nqqQOWHNprhM`@Nn;r2JAwK~`k;j|@sxkgNFT>{6_jXe!IyhFU{MO zPyQxp4C988$2S}0hf7AH{6=~hzun}Mm*#ECCx4SPM#Oyv{6_jXe!I!{ zfS2ZN$|rx5G=_0C$m5%X^1~$~QGO#mjNfkZ$xHJ#<&(cj8pF6DmjC~?a?ea_iu zsMF+cXekqxkJ=yP*I94pU$$b~p?}#AB`%}1y4v4I`&mYlsQp2Hp7nPAWh=HF`j`Ds z;xbChY@+?-Z)hnKmXF#W#gS;mCNG4ZTo||Di=%C{)s{RS#Le3sBH4u_I9TS zbyY6*t@SE(HjtlhDWkktqV@;*m0(?EWFwYvd&+Jopd9uiIOHdkFpgTK z&!v(*ZbwU*xV*~hUfyEL#FE!KbB6G~<%S?XF4s!=(mhZ~*iZ5wN_<~%I?ls#?d}Vz zj3jPHrNx%d^?#$e-ax}wiuKX(ACd+0E_kcLz3PKOAqXe%$AZ^?K>&T#Il(6R^Q5#t=M+xU-m}L;tcLN?b;1Y3g)Oq5Umo!tzo3DHF?L*4z1) zt=M+xU-m5=Wh=HF`j`Ds;xbArsQpuEe@mILypAs^ z%EXdkeY7#CGP0?dC1D)$6G|9InL0)CSGAN0%PWqJLH^CGk2V%nPd3}dAwQvnanx_& z{{J-2r7dOR@+zzQw`t16vX=GH&V8kzTwH!M%D0}mNy2_pJfXzTg)#&amTUK1C_WFy z?Yg4%XYhX*k8;tnkb&NL4Jw# z)N`D>WlOf(#Up>Agz*$^=e{xdH@B1t%PXGl84Vk0)(g)g9@%aekNkxa#*@5*_aCso zBJdZMl|Aier8fCnNlVUTyD>M7=if#2O)NE*=PQb#-TtPyLMc(M-E-^3ZG0(i*~|uc z|JhjM=YdJ=hWkV7^T6==o62f?wtKD@J}-;QWhmS3d0CotNxZ$fXEpbY^1|(!`w92I zDU)tfPG#fmnh)|fv0h^duVZqv|M~4H-|e=i;t8crxpv#L8=tKbZ_iYa_n(cme5XGz zsqe$>xi0V##-SKWL0*ORXM5Hh+n0@Jv#;&8K!uQayKN2p_?+hLt9?P^J~?OSzLLFo zyu{@ek5VqSt!<%x&y7+pjxR2^Zj^GdJy-2>TPRa*Q%+^nmx{a|}-O4wg<+^dMCRl@zNi0w_3DYhx6dU5-zL4GR5zVu(TkI9E(XxBc)6-qe1 z;<%R*ORK0{%@QkjasPud`8MU^dOEI^gZwS5Ki58?y0WeQk%Zet{z3`+d)?i<|1wOz zmQh6IGeLefSXUL}u*4z!dB%d6cBC=*MD^>+7@Wh=HF`j`Ds;xbBGd=J+@wqJ3dUkT-oE^I?`&yK&tT_S;=g)dCwTTcupwwlI!W z*ls7XSqSn*iy^it8$0k(p$^|lZD%q{({W-;m_MKE74*^U$A)CP-El(xLJ8wZ|BUCq z*ymfNVUebF*<2OmuVek$Vv)^u{VhMCgmI|v)z5q*GAt6Uhvja@w%d*OpW2j*E2{i- z%EYoDSXWwP!!pC|EW4qEey88d?|;bWn{Hu|rgimGF~~2o{%rjuo9+5ZenJW3$Wq7c zPWxNRw3eSvnOGJC>q?7kSY}iETgrug%W6OQd}Av%yAz}K2l-{zpRN6}*|zzuMeSHZ zJJcSiv@~`kS=pP@?dGhU;D(m>(UxARj_v(-SkiJ`E~=5!4*&NYmc~^_=!`)923g`Tr7A0^4H$`LFFF{_2rclO)o-yr~B8Ycg*(s5LEejQ1&)dya&XSHO6?rs;o4 z7OG4hs!kEA&1E<+yUPt;nXF9APssIU$EUSjR{Rs&rC|QPVsVU}gJEPMR2)Y@`CbO) z`!p!uAA#!EFPgsRT%W%fs{Bi!+V>$0&&pW9>2G&g_cR5!eQikrP?&?UF^)W%iE zR@5&W16%1`ye+q@w>1IEjwE^8=oFwk=tmSn11;&-G>%_m05l^WCrN7Rz<>eQb9^#j$udzkOZ`wLMQU{hd(T z_5G%Q8mj!aptkchrauO?|LnbcqrcqkU8Fddf@)*Nn1ixeFqWX|R*W^HyThlapw3Pi z({oV!QUMMv>~({QUEPHG_*zeOTxD#&GuM$Flip7Mq;4PQ#^Ct*_RW8`T;AG#9hBS- zqjqznPks7%DE$Vg_TLZHhrcy_>K?uiPlW2Z(@nn~jzhoO^!`2lv4_u|NB#D4*hBu$ zU@zQi`uThL{ClDLYti1$>Fj?rlzs|SzyA;_o?D>ey9Y{s1+}duCHh)Yfhtoox}W%M znKEXeZ03vwIJ7I<>+a@lvy^RfD&_vOeV*FK$9N)?tb~g1n^1i|;RRk#L$&cGP<{S# z)6a&A_gbhH-e&qtKDwzs-wP^#kaL^r&xNwTr`-%b0Qw%{d`nxyc}t!)#_h{lxr?mc zSAu#~)!V1n?ZfeD4~|dsIX+F_Hc;)aOo)zk8v;93tM}vm2m<*jl>dPloo)ul$DVC| zezM_?ajw9(s+3o@Z&qDv`Y`qCN?XKnIMeHfCw97tYsR^;9DBp`R+|-U%3glhvk3Fp zP}*0a%AKN;tS_-{zbQ#l6G4>|@aEf)FHvh@J2DdR+#ytB(KhP4B|ug-&f=3Y>~l`okM zRe!PRL*qN#_$A}AUFK`C{7>(s4c#McP+Bap|5XPz`_Eu+DTOlT?=Egx?XLogr z_r)6gWa30vplwlKywLakcc5gm>B^UU49do}rYrW;!OV|XYKmR)E%#$KOi%Q8GLPtv z<`Ak=r2I<`VgFrB9gXwtypOlJw<$d_rQ1!REmIZ_)cUIv@_o7SS=CJ*%Dj&ytGa!i zu5V4h>s&dpDLtX|MM>9pWp_3ltLxN9!8MHZSkx{pMeJtcL|CP*8mAR}YFs`BC36n* zy7DCtTHpND^w4iveup^bt{mTVy`pr9{EjguU)bzFUG@IuTV*9l(qcVhajb=s4?@{1 zTe|8?4ukS>lIa7px|zTAxoL~WyPjpySd7NY7Gjd^Jmm_9`!?PHC6Adtz`0}BMSX6{ zvVnXu*B>1R+?{s(p~@3O8D|gox!!xmbKLB0%1`u; zb-hcvDxLX`+?Z_hF)WKee++3H2bCrXx6S4F$waCCPo4NQHsWoe)GBGQULiKE&pq0g z-v`RxA*Sb`@?}Tz4AeH-^)9db{z}qc{q)yFH*0mHztsNXG0Yi`q{R49x9zbC*x!I5E{t+~&{!>hpE9H+O=M=Q1eyp6R~M0Ar=! z^{j7Xzc!&dzS5KL&Na?aYc0M!{v<^xA7v;X zRjBytP(G4B_3>q(YUJTKSTen0`88NK|Fd4<=SIt6H)UUE`nREM+yFHnowMBQZ-Q#a z2OwuJx8C$`K*iAiO5g5-pkllbD&|X|DN(0BjBF_&fD;f#I!gu2b|^3guQ z{3-hy#tlo>%7(g?O^^7tdrm`>@pm5APCxYf_;cwy)t|z>vb)EmRX<03>Z{Z}%qgR} zO5-@Q;Fpd0Xyx_3+|Qxp@20D6hPu(5{mSM!dzSii!^oUAwbI*oIh5RZy4QaOCEcsM zzAKdMVftdz-)Xvh=H!!mt^?P%UdcJ9Yq_=fX84WxE?M0N%+_sh^u8W|k~`ky^#`Hk zx28W~`f+de`3XP29NB*9w{Wk8C7JQtI@FuS%FIqTbN#gZ)ZCQx@F3Tq+nI|#JkIsq z)9t!9_qfjGNms|tE@$w47PA%V3C%_9THcQx_K-ZsW0rL)uVXCsq%UCkq|!9!+1~BO z(pO5$vTQWlt$I^EbpT~!+fD7zxs3VJAakj~Mcgx5n9R4{i`hwU%qep|_HI{sr*luT z9PwiH8#~XUmb7H2OWxDVd85a@^<8{VnPp{EmhU(Rdg8clcO2IuNy;U$kzCkUiF~YN zjN-jC45l3wl8`FQ)+?JZvnejev z7E`pf%$#+nk0d>l+oFTGLABiGOleIp3MYy6O%gJr0dyJbNJn* z&+WqgH|OCVH-oX7 z|DNR!lU8MYJIj0dXKvBhThrB)rWmpoLmtXbQL*su$c*!*)(2~os*OAGzRhKh?Q!3? zvT~u1?RQY}1e8rz^z)-V);G3IMSe6tUFPSfTLQaTEB6K{`B+e|Zt*7X^YNzPv&HLv zGkUzKPxyF_gOXQ4#rt~5nZSMgBA*{04~F%ua7|})zo|mrv7e%EkM_rpW}Vp~<=mn! z7p5l;8s`q;oV5qnDarG=7nEh+#7}91bKhZ^uI(hx%-x#OrgXX~q>WuPrYU`B);Kre z3(@lcyL;^CVhm62k#L>2o%<>rFUp@`o_Y!Q5|;W{Lp?Fn%QHfjMLlk)KCL#TI=P}N z*63WjhI9F%gbUBRL%XtXa-VN-eX>5W)>rMVbmzzAy0V(XEyZti&Rx^Ny%}G3XnwDo zx_pwGx@f>nT|cQ7KM7FzjLO?w(#BFc;(CA2C;dGRKP=(+4b!YnE}CE1eZbl#j9yJ! zU+UW$>NaOehwT|j)AQhkJP+>Y-V(?9evbA1tH=9!0>{Dh5BMJrEUV0Js9PK(bFuQZ z+?!_ktd$M*);Wpx!JNkMDEAs%XZOhdR{EhIj}Jh}zCW_@8Qt@;>w_7+ZpIq+U&h%C z=0-CZgEJORtt1B9%$EkoxWQ$~bYe6n8}}?n$|y}^mq9j?HZkEQEZ<;?X=XuDFd8WYfux^i5kf0MNB zW=Hv<9@g>OoBM^_kM;Gjc_Z^UmP3ysgj6js9)b!#Z(WNk zM`hQKsSHo+;#|*pJ0OWx|-0W9g`tPk!P8|n9xwpeNOf$~GWsg9e- z2H-eHJ%@dVeTRKNXK1z`_m?pr?Xzr0-Mg>e?%ZEkZZ@Bx9&J1Nf^FybMamcMaPD&~ zJ5*j{e>44sZ>8PI(s{g(y;TqEX}>G5-$_bP$Nh@-!>L^yt9X}swZ99d^Zb@zAGG+h zG0Q*k`{2`1a^#)t2k;`ObI7fx?|_ndRiD2vlpG8d%Th~!g{7Zj=`H=1R@s{3+@;&? z!oAYj9J|A36UniS<3-^vZ~I$N@+;G2gOBjodoDdA@3|}v>L%~@bq|D+V@ywsc6^!B z=jL#noU^i*`zJka&LZZU3un}(SEp8nhMC`P8(-&4Rf(T-#@GDO{&P>iEBws4r&+E! z!Ed`zAL4#olKEixoK*E!5m$6h-rn!JA5Oa3eVmiG@Ci+`5$bAlN^K7A#pHta79a5K zy&OuuZ@Tt@D(l->!t+p=HnNT4b6L#bxGev9{7Z@>eb0M2_Kwk(-o|!|$5!z{AJg?v z@=K_(wZrtpFMa+_=g`=Hd^~;*U9puFQ}oVIAM=6cd+=_2BevYHywBTfiir8fue~lC zRoMuh9V`gzS-G>JcqJA3 zMpA<+<9=>^Y0MaN#-gzd2WBw8;T+nxy1UwCPb_q-F8vquX~AN=-eRso#rFrOK79tN zPbdD?>w81>;fqW!n|_1ogIj%{9u8IiOxOj_H~pJ%9Qq@sPyC(FABHM_095`_rk@EF z&wHWd!_fCA=LY&Fl3(~fO+nenK*gMcLwk>%@`|QI|`hzgahicnXP%`!pjkXntT~dN7Q-P{e zGr9+D{1`LFoUv#u!+{k4muvX|&y13;e|_T}9F3v+f58}P?YE4z<9sMt57mb6K(*mU zsD8N5^glz5sqR1e{C7j?7nuGa9E<*#>3xs+F*6s+-bJQwH2rC)F*N^AKL146js6zX zKL&fyZ!`S~%kQfD@-w0G_cr}iQ1QPGO5O%X#vuJ0$uDgTLdBFZ=8Oen*;s`G^ZR(; zZ4&Rh4e-8O(k0jT)q87xAJ?{--q$!6wf6Dz)k_xZ?=AK}L$zh-ao^TGp|-_h(_aPE z_ir%$cy<)&CquRCTTt8L9@9IY@Y`Y#D*we$egCxSbGQ5aeWCJS0+qkq^!Gu<^I<5t z2*!Que&zc%Wy~0JP%#&vVk<$#R585{2lkra_F8#>+kN>Wo=xxXCMWiGjo$V5cQXG4 z{ad$q$Nz-@kX@kKlQ#VfsCIt{D&}uN#ryzN%qKnR`)3VQzpR7G{~A>JckSR0av4;= zv_3B?(gvkxm`}K;e3>~=GR#tZn(GIabth`BNhHh1HmA+$VjjzL4$}3EJS?A>IL1v} z)|at$5NwOXmU*QvBWo`W6I;SO2U8NIVTk`~MpN9?qw>0R|UX=+c3mj#bt z3FoIxX_P6EzqzGMQ=05_V27p2PLLMGra08bn7U^Yx2>h^+6L*G&eb~KOyztt7@cpl zALj=e`*Eo&5pAzY<1|W~J07ZTL|Fk14HJ)z;Sc*Mc?{tj;A+^5xh@xW7c}+*{$ju-JA?`KZgsY`yEp z^LO_7-qrqHzg+02-^W&hlG{y>?ToO^y*BwsKIF%48V<}G@8+$X%^YMFbC8*A!>P`n z<@`2W7x<00U2VYo|H35i|7s|^cbT5#dAxG6Q0WrI8B=*xe8;-_zaHqC59t3IEr40l zmqMkvuW%0uB>&w%=U0+M+f6oCp3)O-OKn$;0i~@a&A%_i{U+=P`-B`^!l5i+Nd^2PJycV7O0rNVET70e>Uw>+{Z(S>gHK* z{teCek>Aj431TjquP348g;Tum_`b4i6=f@U*5M{M+FZBt$*I2n45&JZ>&Ln4*L1s; zjK^{3>CJE-SH)ti!KlW=Hs^)viwsm>7l| zZ97zd?tsz0%!iHSdoxsj-eLNEP;HVFh)Ys}vQdGuQG>GKenZ?m@90|=9nqq9-Ln5g z%ysiMX~@SiZHA9+7brgmLix=>$(x{$jB^#fCD}GHtZfrR!Rl@_Ti=1QdaLOjGaI(^ zX1fUGuOc7Z+nBX-2HR)Ijc5Pw%=>-6)W%o5jZ$XwI4HRoYVC5qfmarakmw_ zxmiB_olsIRy>$+iK1!@_W(nsNO=*-# z%}Ka@S>Ahe<5^{x=HHFtUMKe!^&a!|h4xNl%ez7aVyX^%-%mivGp2{fm5r1eijMdG zU90%rZlz09Q~f9wUf=vqqkClMcN%N9T`BHTyLkUgq2%3AYacQFV#}8u$pk20^Gpxp ztSZiy{XuDxFvfX2Z^=Zd{!g||jA_!eO;n<6F;p$4ze3sT+ttT>vcS_HWR{tW?{k%%g3>){EQ#>E4T7J(w?{6|xeeb7vn=GZg@=6v<1?H`M(dvH< z%F?$@zuEGm^UbM^_Y8~J8q)h5%tt5R-CvL2MAV#Ac@o))xBYuhYwp+NZ}~kM`HDwn zB{kxS^~`+Vj+gA_+x1?kwF^uix4X}m9myOhUoSU3-1csdc#KYD%yS-H@8={+mxOW7 zdqZ=a1?(-ROdOZW=SYk7^a3BpYAD$bwKlMa*XKZ$l^w|iP;p*jx*d;3u6yF=U~bQZ zo5Zq#c!pIm(Az$v;`(7|!C0QTT)?}b>s&v_K;7F_$^AYONJ99j@nz)eb#$ZmW{;gVn)}g}Q|yFtn4 zpw{j({TG(+``VskulK)8Om6ktG7VdOEp6kgxS#iRC6sK1THAl2*AItkL+f@`TGo8! zp-LB_#!p$k^^D-|G10j_-^Op;)|hqklic6?oddOYJe19|pym!AH~rr%{~J)U2`VN@ z&Ej&u^Kqr%z_d=@+fFK`yp97Ko+YNL+1g>YyB7Ia4uFc~Gp28Zl5a!#jjs)~p2epm zFW>5mD}7&7+W1W#;Op-LB_~3yy~p$qT7J82P&7Z~7C&3t_{kpV{hR?M7elRm#q=vI ze~5b{{VTa=%6snpSB}qXpNo%&Rr!goqnm$op5*yoye%_}y`L{b$*)XLoZRhBUen`V zxqO_P$@A~%T@2k%ud!qA*z1PC`LdknQ%Mow!$Hq`kEbMd( z*YI6F+Xgj@$35D-4Yst2rS>A<&iEsy`(}JBOQ0kR)kYuF#OT}>9ZPwCAWzScp^Su1xIlw2IttF+Wx z8`P^>xu>k&&=C!PwYZ)gk4iy*X06=YpyUTZJ@*I3L-g!;JkO4s=Xy5>HfmONldj(S81&TzB-WzI)!zsNbZ4qkQmSHp}{eUsZfs+>X7!#iJA1s`+dU9UUJT=S>t;7u z_x+!S?fSdg#!ozMa%S%;v%l9e@9#LM{<_rkjZpGEOW*4i78jJf0jm5vE&W_e|0s;( z%@Us^uQ-GL-O(n#vem1aoqs@inzY>eOTpM~N%m%SaStuY{e}LSX{N1xRwU>CFt{ZMOnByagFl*rF>vJ`6?gF*-$d})s5Iv z&thXkU?XjHE`^dqS9lv1cl69GgDpu;HiQ1J1a{(fR;>PmP||avZ|77f8HQ?O>ve1) zwr%Ivt%2=|)p^it{N8lgDYeu~?$+3L$$#;_UI-;enI5*SVr{F%cI;fWIIt79&7I`! z&4!YfLan{Z^w(K_Y`@(#mD}d~G6hvP12z70FuL~P_b=UdH{Ko1wTUB+CuZt3KCWY+ zWChgPze3r*3hG$)chmb$_W3iQWIj}14h{2eG0*g)=Sa*gqx&Hg8RmJ#r0omb#E19b ze#&0_?q@%jrOufw^VTxIjeak>XQW?3+M!CruJS`YtRsI#>x;759zFkT+`CxUrrp(3 ze4N{$WX@}SI}U}CqoM3ds%E&@2*U9Lj<7M82d zqJQl@cIgkYTob3Q>5TM8NUJIhd&&>>raI(@b`^V8vFo_V?=i8L9`Q1Dt z+?RW?d_QK^qA9L_n|}hT-##uN*0)nO-j=Nl?~|%*YktMr({;Mv=F_0|q4iKPTnZ&$ zgNprHsJp6jR{4I|A4-3P>905aC#I`S616{V?ao5~JC5<(PwI8yHzTrbVobi#`}!P| zTxI%Cp;8_*T|V-%-E@uB_&(v=vPPQOA)o)L5&UE^5rzp(08C#p^NC=z6y<3wvSS^q58T38YIVvXs&LVHHZR!vUCl zvdc|^X&9H!pvRIWeJP6SOlBEmQQH=u!+!VzJ&SxL&l#5UEHdl#EK>X7biU#Cb{Z9r ziT`Ov`}s2e9VNw5xBh=^-fy3GLiP0pP<@;{)9=sop!VPUp!)Pt*attcn%^qH??Cn8 z%`gccF#QqBANTe|)TeWy`t;RMeU^i&|7NH@UIVr5-Vfz}J=7TZJk*%@uI2yO^6#Wj_zYm{&cInBBX)&lHrr z0BY@ID4QRGiuv=Vf6MZ(g_4`0Vj9|W9N*CxKZf^s#!RQ9#xs^R7fP|Am0z-$D;9Ij zVs=k8$6S0KG3URjXfQrc>hP9QgX|Ab^?4)UfBpbKI=EIxXw8g#0`<@LY$3d;V*7P$hzxBC)p68G9Rpyyh z>TGZ0R4BRB^kLTX=Oo;EmdzP^roV{iwqY7&YUqjgHJ1s~n%>i1-Ta<*#o{PhoAa=O zEyeZ-lnfPooA!kg-xhza(z717r*DgU+V@)x8|m}Bjn_fddKZl9M(51vet7hpC~b8s z@@sV-wX%OTeVB@=_b1!|EWdca&HLzwFwKAai{Iw){m|C$KUl|tHc|Tu=isZXca-F3QvtDDp&JvATbgloLWy1#=+is^c z;tcDx?k{PJrAiFh5BV6r2qhCg?DcRv$16L)&_jS{zyAHN0ivR3a*DDm&lAMEt*jK*Br z%9bdrKB+*Jtifn3{exqu*|^SZR84;zs%+nW{@xw)}4Z4&}KI{+N%+U(Woc zu&Xi|C>uE_e+8Je`X7aoFPeTmRLX-eifx1U*8>~=4#wZ&5r4(Feb|*$%wG*=tlp=g zbVk_4I|>W=UWM-Gtl_um7bo0>EE_(;{!hJ7AF0#Hc3i?f9lQ%< z^ZCYaDdIA%4C{pJ7RQL4_WH;-DvF;=xX-af3$VA&e`UEUStH>L4g{;;0+6@9-U7q?H_*#A1nxfFX%LcXB3RSt%8 zf$|HU`+6z)c|UIUhmymf){cg<`Esc7d=ixJIF6lY+sls!z8&_3gqy{(@dDqcp+0IK z+P0IQqS`WBze{L-Cvr>Brt%kkOh1JZ)vHiXQiD-y!uL%IsvQ~V&vlLWG9CBgtUV*| zn~kRDzT|y=0!qFNwe~Hj{<<2<&kaz=tUI9OE~xkoSmb*oTy>#*JXqYM6t zIP2zbuP=N5he53!4WsP}qwNY6=V?&#MyMF$eKy{n71HluS$m=1o}u2fJ=^bp(es$p z7~k$J^mE0=cbT(o+LrpNkL7SEc^%Z+8Ky^lX*Nr;Id!a?aB9ob6D0CefvXQanvl1iZR*Yw`m%-zSm!B6I1JWtKmy_r+m%Fwh2mZ zf=a(1YCBH4%x}ltq4b4NqB7YwzHbhEXDO?4tAaWO>PSjZmMTzoYqF(#nl?|Z2XFJ&K)1uY=j@h~a%IaOFKV|u{ zoi*Ees4~S7AB}epGHrZ}wC8dk=MpG69ct}rsCGSLdiOVc{t76+lCt@(nQu4N$B=@p z@3^gcmKfq#3TFEf^HqcLn)#-Wb;^)Pi`cR_=%^y`9UT zuSFlLUUdJY@y(O&{Tk!AYGsGN?d$FX1e(h)Q|h9X7$Ej9&I)UT=jAanLB z$5rC*;ix?ugZl1!zWl|Ty#L#v{98NIiHY3%=UpM*DUZG>5}lujrG9n7z3Kbh)BY64 zXU$3Zy^QqtvZRzoUo`9Nu`TuFCpnH7N9G-k^HVj5G5rG{)2pGxV#~)d%1#D5lKhB0 zeXpotZ%1G+-iDQHyxreI$)s!jHk<<`vRgE}6=Tilx{15xo<(Y5W1Pi4TA9PP?4Dyj zzGObjrr!*8Y`e$wKS1q6J4{#I6uu=Hs7P~AbqY{rOHlh+1#17Q8C{RpQ^pMR`%2?^ z`qJmohSoMcm!A5eZ}SOI@=2(*FF?ip4XC&`LB)OHkNk0A2h)mS$s$J6)odfhz!Z&QkQIVP`fe63vjX#T%pJ3QBRs#rffZvC>)^}e58 z2qi0^`r;i>{dunGU$Ok(L-oy*P~)!S2CvVB>Z8-4dgUFa-v_n*eq;IroBj5C1giYw zQ1<=?C9{6)?^E0ZC1Y+(ME4^mLP;9x+1sI}pAGfw>}u1seT($FBn?$73)QB)=@nxQ z`t395x6hT$+h@anVEee6{5X37l)MOP?PRDJUU#$a*ZZLKhoJK3+~WITPbhr}RR5k} z`iW4Y_PIXaz7$ky2Fg|rYMT{cyK9}wKhd5d{^aX6^ZTI1@mnZgk3;$TE7bNId#jJH z2dbW=OdOIbRBj!rZgPT;GYv=kR^`@zBG!!gJl|sY6qLUbl)uZMV!a9~)*nL2_0UJk zF@abl1sK(Zs#}37Q-iAOCfe~8YW!xPYUW_OK2Prd+~XnTy$#YEf6x4mm4AlOgg99^o#(HJr zYi>HG=7Kome*5oc-r9c+RP0-zw#)BK@4v%uuM|{%7OD?Uhw6hfq59xGP<`+LsO@qg zRJl(;)%yz6x$k<@?}a+{)u8(1_fUPb9jed%4plGt6aBTh4*e#n7;EasXpZB5H={mW z_bh#w!Irl3DQ5FBDC^%f{RybH|HJgC?^pZpt+)a2I}KGQ3sp7`eGH9nWt5*KhIo6H z?)0(z5K10{TANt)G3*VspS;)fe}&3l4<%|x(PFA72F6qL9k})W3n=P~Ep6hcP+xT) zHamOYdtS{4C*CvV`AD2-CvnVL7(tP<&mz+W&r4 zX%bmfpNU8CJTe1gDKSRE=fz4dkf!mh^$PyA{sfdH?)CjU6)IizCEtMZahvIiKer{} z&b`0+8EBZ67}U3@C%8erLp;cLhzD2mzpVy3<8SUp*Y@jZgZ$LYuA4H>l^*cEpMjD| zKld^14<&~~)rs%fYCT20@SK=Aps`O^9`xmZ4JFT*Zu>$yk?C}qMeL&s$29$JyWM?W z`O3&wv@IInidhx<`?dG?l83y%`=IKL`0Hd$FQKnv#`loheKRc1Yb_h=t&Gb*2mO6+ zp7Z@a;mRzzujrluTbO5C&1szXgEAvA=Qy9Q>2@da9?Hn~)K~I%SUJoVjH+^IqTmKKu=6^KuJ+w}qz3SbxB4y>LiXTZG%0hCg zXBzt7&Kp{!KCK1)5yw@t`V;@`?d=M+_EytZLvNjJCA-mn)VL4ZeMn>b7Om{nR`(9m z<35(1vc*`1@>3rr)^uPujx}cfFFvNvL&^7`)^3C9v->UmK^VuLnnvuSe9M1D;LAPf z>lC5n%ch&}rvGVBCGYnvTfgS---WvTX5=%N_ZI>`*&W``nNac}(^W60dM*9DF{oRz zvbR{>2Tk|$zQ+IOs!~Vxvgb1{p7Q>Nq2w*5NAI{T;=N^#0pa;8b13Jpk^79yC71bc zoG4u)E9z^p`|B;|Fyi0o97dXAp}4C*?PHnwS0BsXP-};oek@ek5_KfsfbzB3^f1o6 z;%xa2kJ2PzjF-2>SjSdtj8)R&7;_fG`z@x=K-v4c>Cae9gVTMC!{k-T`wh$9m)kvF zj?H13@9XGzn^XDp-xBUXmTUIY{}R6)oY{pjx#*(8wt^JZBO=UAM?w<;AYW+_zl4pGXOoOt2km(0Q z$x^8DvM)IUDu(k-58F^x8#pH5S=RqV;Uk8d12{U_<{;X8uavN9X%ZgLgOn2aT@# zlrK?)>Ra*9Z#8eXJZ)J)y5azlWJrtkY{JKQxK66b+o0?eOn(fjtn5h6fhu{0>8;1c zy7ghj@@ufr;cZ+FB^4+iKe6=IcBc-f-6{Gn{$^Fp|MyI_S$UGM&FOM;o6|FPZnGmT zZgb82ZsvD@lBb~T_452kQh+KeJCehpa?Uh;K-*-|r^P4?}NHVyvX!hEdLfh_!vB6uj348+ zUg75hp+251|D8*W#ABXnqadVe%qTKh~#Ke_u zTt!bKIrgyK>PL3F^XQ~_E|g+^p?YUQ$)%ILZuukM0rUS)m;a;7v&kv!&;0-6O8jiH z%>NmBm}TRYKJHL|w*Px9zcu0&9p6lBH|LywRAYWP67#M;#*?AsL#BTWYP|f`^pR^9 z#>dEahj^z?{!;i8Gx8hEu`+G^)#rJCGv|AM2SL^S7bt)4G<~Gc;%hX%ak2~V0!-ll z8BFl+v}RQ=hfhhtSW*npHB9sOl~%QhCGLad?mmX|pyZQKv0P{RjZitu7Wn+rp?pg! z=C=k_$IZ5V6l(voH_-nAM;T6vI+ko&xt}|l zb80{RYVUDLk43R+S^K>nmx&W$a;~5AmG|>8{2WT2GF|zS*--TlG`)3Bkj9tVkg@z6 z%r5jc-wajvOelG;rH}T1ms;Pc%bV?j*)BoZtC%j9_V>OjP2f6e^4l=q*45>dV+G2MaQ?*b@U0u|TEP-VVz zkWar3s^4}xe%f(6zIT;8WQBYxj&m2V zg!iPvv=JNeIb;-Dq1~o+s~3$6`eyJbie<<5ZQCwtP2+qKl-X&lOy>7;OW40Ba=-pm z7{(pz=aJsSeg0UFsdSZ(`GpoePXC|gsV(hT^1non8f9$zN7}F zyJ4FnLFp;j?%PZY0{f%QPfF(J8S~qJia##y1tt4J`FIOd$~vgy<(Ez0X!$yR<#J=( znJm%qOZ)g5|9@=5bbl|f@jheb*lt%o)%&;~N_Ks{*Q1zuMjY)AyV&*guGVH_1`f>V z)Ga?O;xcuT6>eHdE0M*lJiXeG}QUvdeiTQD)B4R)dxBAS&+|3%ulxYXSh4s z_)EXR`#BLx&Nuy&P<}pddh~z4R}OL zy1pehDY3hY|9hS4FQvZ9mKht88dM#(i=88(wqpiH^J`H1d7bG>t5H6Zd2H)w?%22&UBPzi_{tH3 z>YZ<~Tnc6LD$_SvzRILzGkC7FHLzE;a&@aW{!QL)v=5y6zvNtS-p+A^?b&pK%{^(S zBqzSzw__HR901in$C;kB{C2;st(m<7c5+tk2UhQ1)BQZh<`?n#w~O(`HFo@eGW`G6 z<~ow+hQ)KnxX-X`(Qn!{dbz*YoW?i}%8Z=DNBiHT@j1TbUQ5&bD?G2O5A}bs*G%Lc z?+N^GY~IsfJ*76eI#Bt)CS858c>@0jx{v<@J)UDQ`s%6G=sM#6hV*D#1<#FJbnUAp z?W?o-)+zs6yl=h#c2q~sf9tAyS>rfRzR-{F;i4bkk3!XtzRSKWdVfAWEc@FzFY^DH z$MRddEX+|-DS(Cy7RVk zU+H1K1Iu?GN|b$wW!{ZG{$`ycI%OSY9c3ML!+gbAQ=Bcw+!aA=^^%We%IAG-E56`$ z)lJT)Zi@TZjsLq?(XZ6hzCvK5V0Cu?qPJ1}inpQuuCV?ymhhUtDUC9zuX6pz5`V)% z>xZ+v<|f)amh&99OcIspU)9&cul@OEXbz{56+c#$Z zdcU17f|A2;usJGJ%1NfLgcA95yZP~%h8mw)82Mb?@R@lQp9O5m&X>*RU(H9~X76)4 zl-*${QQ5rtEXo&sr){8P!@4$p(m(e0kAsrapzNJx`q`E*yJgvHIcAjuo4Fgktq(xS zznLD!ko*@vZ>n01by%@-zqEQ!Ld7utCU2)7%743g(_*ui+@0f&m3xoXyU6rtyew}# z8?x;g?dNIg$#xbF?Agn6)9G&Z-9zOr+t75j6RK2giK-oR=F7Imx zl|Mk7 z+uGAlY4l6x9v{PHP;%YR8tt#jMszQ3BKP7ZxSrKxD|~}6I%j198+EI5(!JisiT5>Z z)So4eRe_DN)tRxy+t}~^hK=Nc(c>rvHgZVkiRK;H z*eQ);Oi*T2I}c)qr5@U8O2bZ|k80GGR6D=I4oe}l)0BptKyMz? zU2Vp>rHzbf#`{j=JV@K=er+_T%tigqeedGKW87sd^QwNn7wS#dWjoDHd2YRs|CQO^ zep9-(dp;VU@wd1ezgt|FYwTyG-}*6fE0mnK)y7PhT?@txecH8KJZ~%hZj8H>Wrx}` zmbo1Fv7~>K#oguG*s6zh6l+nja!%%05S?GjL5%MAKBnEEWRdCdHD(+~p7gU>3i4@j zNdFkiI*X%K59=t7itUdzsPl%~!!r$aZzcY`X*uqm9+!y|VTJx!DsJ=so`#Y;NLTsCp=6jP{lpk|EK3<1 z!*jaboO`<5RK8;{fqM*Nc}^APC%QXa_oXZW8A?k+vT5lLOmJ-d$DbI>vOxU8Q|QObaR&XN52i4u)e1@t~%C#U*Xio zwyt1H^83Nl=o4 z-a5xivtN|`-Fw{bysI-gG0%;>iAcZ6PxtE^@y34gX6H+0_q$Nr>Mql7eA@f@4V2ve zSFisbN^X0`>%W7NO@H^gKQ}S|V$M0pHL~VlinpQ|`~5aiOz9w|@;`jtpF_!?Ojo^{ z>J94uVmIF7UJ=wyGSXFUNi^b}d!^}0b9+;FMvogfbwa)I)ldI_a1}!#u#>lXpEo<_ zj`4P7CxsnJ#;A2gnhSKLNp!4A?&Hfx3H({{CH+dzxdP?aMr)0A2Zxz^mq3W~rOWZ#h zi)Rg#+yLd{w@^OPJ-(e^gVL{p%D)~;?tzL?k|G{S2C7U>@$J*gcAM@}+jhRb+VL#$ zC40Tyqo8C1l+8DCFGF$6?(_NrC^-`PDCk@Hjo*v0|NEKZcQkRE3s&~?R`>CsZfT@$ zzw5oyzfZq3sGFML{TvP@pE6y(t0Q$A|8F!O)U8$uNLR{timcc1AGo8Hg7LNU#OlEa|3_v5BNZTVdTK7W6x^5MBpdby=d zi-TBFlYE_hpk#&Vs#k5Pmka9Ut=!pG?~0&aGVS}KPW_?%#<{sGU&K41hx7ZSgI)X` z_;uLg^ARKCFYc3y*?HS!-`1O;Bsu8yYoSE(W$@$A2aW54au8#Bif`L1pyX?&w>vL| z_h-A`(0qSZdMxUHEk``qJnzX7i|)_Xrh1>_r+J@4P_Zgsat2iWkC;BpdTDwG|Kq%) zX)Y6{g|Vd-8_&Q8mQBd_<;F*I2c^Xl$M zmmR-P9Bn7AbNn?*uS?JBaPMMS{7cQDI0~(4P2+eOlxdxV<@nv&IV@|V`Wznv-Nr<8 zf2vA6>c_e<$+#Liq{r>C=)mqNi5GM?p4RyHjTfKZ?M{91&f_Z{XW2QvA8vt?Uz-+V{+K61nn`>fCRb&lE1 z`#ceP3*1AIjh4AhIjEc3-IrYkC2ur6?jwz(^nwnzoF$wShiP$H`OLTRmt56|)$Qs1 z?GGhKnjYIo9Ngg!UexJ-UuxIcvRl-4*{$*aZ}skX9=oSvW27JU^0r^Qx3_&iRNd&B zWS5b0e!F8&QdYdWhr8O}!=0XQ#2VXmFYtDzLdhOb`@>15%SURVx96bpBw`j_wenDN zkD{?`tQzZ3<&ygoPxLOv9!>9JWZJ|P$M?^&VD0&w#ebEx=MPXZJPj4=+IG2x z2~cyzlT3dbRI3Z77omA!^%^;??uO0veh+8?|Zv+7yeolN`f z@^&aW7pl*zrf-EDIozL3pShneFTX|eS2k8#{1%_ZZ^rC=5lXIr(KcV`_32Q4=bL^k zlut?B{3W?}EJ;KE?$M-?caJtbi|@SIdeCe?3g!E-{k`v3Lis+`^mR~vN3P3t4`>m; z?P_xmOXaePr_Fn6?##x1Q9H=n99Zmq9snaBvCS4n$MoggccpfMT z4eEY??j1bb>H2vOq1Wym6b|cf_p)4Lu{P_Be#$$_JIXumHH7*8Hz)kogf>s1pQkLe z-<;4lg-nOLk!99zd>@2*bdO>Q_b7N?IXt<`P3BzKyOO@+8AR&E9q!dE%T-U?_MXm2 z|0roWv!(ooPK=b%`P5;%nn5KgxJo5R9kvOZ@nG9h7{) zbd9OvDD|^J{i>Bu9O3KFhtYTp@6QJ7t>?qF*iw1NeWLV{zV3-oa<=JF`_|CED}#N< z?)j>2S#fH-tlaq-&dqOc>^t?Ny#4t{d;4#Osz0(nM$cXw^CIO{u`Q_^lLz=~(li{H z)8(clCcBCG3Aw(kpSRTmf1{ln3QN7;&qK*ippGN+Uh4IqK^;eqJjNfx&xg`K2PNMz zy<+-q$9j8;H><{Z=4H2NTGW#0`YSD5a{kiVZVJ9+F#icqnY+t|$nc3az;InKwl z3Q9f#W#_vSugYY0Z_4j*Yxb_O6O{~?dk73EneT*xiV*9q~S1$AU@{yNq?GOI>Yqhu1`0mlB zz;?~*?E4CD^Bk!9lA>%p$Ftm8U@NoS*Zn$_JZ-vcm95Ry5u5yvDE=qgY<>@#wD*^~ zD*pdZcfX@CR^u^Md8N0VdX=}mA5_~5reE{wM%;CL$Y=5(;@3BHxn~spf5vT%JA~2w z$u@rKX6IEayq_;Y**fw>@9%q1x+IN#F)KeQ{qA;}i~l!a{C?SrHa>Fy;%$5zO2(b! z^=qKoboy%=KJv27Z)j$)9s5={kC8%P+nwy|91SHGn;wr(#aWfDHoxDl26n2acsox) z$zHE*#8RieB)J$nE$>O}2yDdLENgYwK*@Pf?b~4KR*rW&nTv2AS@tQ0yQ758Qlx*`&dvlGf?y392}U&Zw2{&O5?7)zi*U!=gwm+j{85#OwRY!S}6G< zRQy*%9W!n>z3X-Un0^dYJ61s5H~kFM{Qe54c5H@f$4{Z=?~hx4>QrBE36!Vfq5PZy z<)>i!MNsk;sD70c=@UuWST)wwS2M@DN!Q0`GT%>2pGQAcWBcaskk|WoUJ51ehKlPe zrau9d)3ws)4?@KjzDJYCZ|XFkejHT$a!}&G>p6jax=;5@Mt)nSeR>1+qINP?D^~xH zR_~i{@b>-&C5N4E_Mv2z>F+ZA6Q+-RJ8pI37+NtuW&9*pc{@cYxyG5hRwu?Q- z&5dlA=I6Ldk41558Qj*~jym?1lAb)n`#T0oo*`Z3=O60*53^n%?-G{JVne#tGjHWS zlyqI|aQ)JhrtiEw%=gak;aI}FVCNT1`~v&yh+uNfc3vqkh>yK0E zXS)uG;@0zM#VxkR)vAYW4%g#$#|-nr^?t66Id4YaOAFWaT^`bTCZ3PpU4O3iB>#Vg zGRcK~yu&++&9F=uL%2R#-O=I+>xS$9tew%yhcSoigQI+3WBJHC__=6&ui?JH2f4Sw zviQ$_9|-k!&l{S?%JbdcVcY*R>*M+60l%|~#$LESip?S3(Vw((Chthj;J0T}x!>u( z{ZV50H??E$Ubi=WF@^no3VjscJK1SJ)p%WR<9{Ix{OZ_NIouyxYEZg3a!>inF?ron z?q1vNmdU>#`y>Bfs9N;LtL~5cNe{-{&27AIm$)egU zW;{mIpY=9RfRX~#dHF)qud@7|&Mm>dwo~5!^l>PR<^Q>L`+Z{6uK%6u8h5pk@z(gw zYc&{e^(*{1n{uTeXD30`lO!{Y)#w?-WRCTdT=(7nzRZrGe#y#TWA&r)yV{QZP+P&U5{wa-0d`kyRcWlH#y zRE#yKvhKw`J!Q;5U4Q3HFBnTuGwO=zHKSYNze|*Yl8iA2_1&C;=_O+Y>RZk=)7?wV zKO9tj({siGoJM-d^op?tXOQlWu=>UfoK14h^n$SjQ>0f+uNmEuUB_ZA=JM0nCaub>-VuqP$e>^9}guLKwYaoVEPkK`_q{3`TJRW zL+LMsl6OOmpl_Of6V!foFVuamXH4(i&V-v+2}tN+OK+bzHE`yFmF=@}?F5o*6&1*gCZP5-Rr{{l`WJ^2G)eh;Yq zbupX{Uu*hXEdO#iM0(Bir=a%Z?rS>SOt>$Uekhc@7tVrZ({F*=zqi0S@E@l4UF*vq z2j`MrF#TefLf;67;hm=c!t!VRu*2;_dKOCF2zP~R;XL?nreALPkHYz+&;5}vzZmK` zaWvc=zSZ>iSpGF|0qJ$qdnz5#@nbsNlk^vvejJos0QZ8|nf?IOapiY#ADFz(>$9Qc z6!-$T-t@0S9dEu5)9}}(KW6#!ukVPyYjz@(tcE&Hp9}T9vW=#H$MW~Np>Zy(a17JA zqz1K5xTC$EGG?I0e$MoQu>>{7E2h_sd`vPL%PAmp`M9+!1T{S?N?=} zb4JbdKUn_WH~Di&4yyiHQ0I=1K%FywVERp#zsJq~7;rLF`FBF?Z|k7W8JkSsZ26tH z_;bi&sPZp|+7Dk3bHlW=zk)i4Oup5Z-y3TGJRItr@mAB{ZTa7aI)^-F`ncQt zxnl_G9Pv`qUkT;^BB*o7Ev7#NwLfo%I%mwg-Ro(n@_DFp$d^pN7HU7g6Q?Tn2ZA519Ul<6L>&1P zD4ULmSP}5|exLK)%$dog3$1+l<9A-UdCzl}=XuU^)_d-`=K?uqvu|uTXWKd6wnHn!Nu76Zdi;-I(7SdS4*j;X>QbZ<1f*^P7A; zW5;{8btQV=D*YVCcFJpdak26_o++3T}pt7DAKy&`KqknUSTGn{TPkUS0&+O~Nlhiv*e z&XHYJnwf8($c~AuUy1C03C(c2c_RDl9J24oA)9`Vb7bF|B|9Rr-LDxN9}c8jA~eJ4 zt`gaI<&d4oA)9`Vb7Xr4XXZODvLhnvHj(|J&;#BpTKas!9emjNNBsfJzwwJ#^~obM|Sy;%zXPqc2HzpBC_unn&EWw zME2Q2XRoc-LKbz6Ae%R5UE@Cr)Uw}5m%5xNq; zgRc)zm*eV*@!J%bSzvEihM#^Ondz6kM`erX>z+4IdEYR4eho3s^l=_>gC(;~A!gS6uqoASNxV$FxV9KW&f^MQ7pA2wk9^EnW zndWLBW8Nb44jhGdAL#w3(Pb`>ekTK|O8`jMCgFDb-3)#a@m~vMy8D1k_q5PkfOM|G1vs<+AT`)k zr3yot?YSrd`}2&Zy7YNT^m80JkazxwzV=TI&$NFWdC9haNc(a&*?P7R~_>NFdEJ|`m3e@b7Sl=vk@r;-0L_F4&~%^6NN7)ZPNfYg<| z=xkk+Idr9;;~ZV{NB7k=m8EMO{Il&B62G|U_D|8Z{$r{CqSM!b)b*!8>PlX8W)6}5 z-dNFvrd}l_T-BNQ9$-v(J_IC>O+fN|5lHurglF^g3Qr$+&;^BOg!Csy&wYU8IRQwX zQ-E}}5?fKI?(xzgg%PBz#AF zBjBq(HToS6q&pEvKCMEBCEPCaJCM#kCy}({n3mVm<(c&`37uKCG2!p`x*Z8kw2I9FI_Y(&~|PMuqcvt4OxUXEWg{CrMCpdWIw>zqgY+^&4x z9=-&mYY>{@bcX`T`zRoFCNDbs42_11WG;oUsHDG3_{D{`<8QtgXL08nxsL(q-W1v% z4{I+eQr-d+F94+by3jVi5aQ{66!KFNo>yq%9}Hxe&38NE2gQHA#Cyt#mt*g_=TgHz zA$d;$eFF{OAdq&s97wlT{QFyLsTE%CdSgc~FfM6c7hXe)46iXjt@|+6k3)2y&bAT~ z9)95w0!Ah7;#n)TwJYrlUL(lJd`^4J4(ml{4t0Ga*)p|kJ#)*x+cu!;L? zAl*Rh?;}4mULKawzxys@J4?4g+L(ThqbyzzkIigXDd?ozyYSusr29zdkA=4Tl~&4f zn=xC@7jwv&FfLP$8)G}=xUq+jdGG+~zAE%tLYq2gor5R$tx?EeIxqOp`GHAETZ27^ zbYBHBk4uGK1!Njq_sHeYy##C2Gt=j{Xg|G%X5A+vp5vT8$uquhKUE4ppA!)nlYH8K zA^6oYO!V0(`u$C4hSOaDWIuHskoh1lI%AW;So18!m{Y=cxb+(29ng{ai%YyD(1Z7* z=(h?;cO8(tekAlg5^mchdPQHGXum9*(9dy>O{VPI*CrnL`J9MA?}EO4w}KySk`R5i ziGKSIGx{@}ZU~Sz`7)6CATK&w|Bci?tM1d!aZJZ+W0qci@M4|xi_Aqpy1Rs4FLWjR z;`se8uJezTbrI~l&hSGU9SpyMX7q(tTwBj1^|aazX~#J_p5e)~T}X87!M(pOYarc! zg#J`$>gdOw%=39!I%fD;I@nmDqSyPP zXBFPbrrr#vdk;uG{{^I;(b`j{t{PkdN5D^}?0O=kQ+Ma{lA@L*N=SE+_w6lS9 ztwP)Jw@dsO;`d1SR*7GPy`)U#mq8&{_JL2~UY+Ke|g}P~-dq&!E%onel@gAbj z@dU;pOOJ0j^M(930O?*7`X!-lIiV~$q#ftT$)AuZCn<8G`xrUvfOHQE{R^R8m~WTk zo%!;5^R7>MojD!8O!o^5^?k$|$fK^R0rxrw7=FJ5(%E{(sVC3$kTp`$j(#j}{$8J@ zrw=@75AyfmcebN{5dN%(5g?_;fJxCqRhjXm0Z11B@>eU6&y`mKnf4+edHF5-HfyMecq)t9yO!SBYDWm*AqxZf*{;C2}@99A5 zJrhV?lt)*#FTcq-1?MkK#`}#EmGezb&U@Tfn{o<54qXJuv@yXrFfRPw2ht5X$mlT* z$hhYMSyopF9R*U4eGfL_JCa`u`96u)1*E$S$b8-}{(lFOf5{<+pBqU2-xu00mk{{b z?TfVIobvg2|EzLB9$7AN;rBd{Zq%VhUOAA?ma~R(taTjHj&tNR;|w~V<4HVSd8PgZ`y_N_sCi{Tvyz3x6lFWcfrE%g2M?*^d4}_|uLNASK6uZqem% zAo)f`ryGDe4v=;29w5^`2_!F{$gp+amP2>?W$S)rRi>Si;MLnsgfB$(I?7XDm39K8 zUOr$_bSXH@=pF>pEdlaZ2uR%{K!@gww%@=&%1n+ZcuIQIb=_B4>X?l;-wWh#*Lbwb}J^g}}Dl098yrx1s{_n%^T9}Oh0?*i#= z7rNkZLyrV9{9vJ-{0BiljLXiy?Pu22^qxEgXRCh)#;tiE?=dC>27h|e^<*m}v= zcW|+yHoP3aL*VBF?YI>5V*T_v9>5;)qijEZJB~aZ7ZU%d74C#_-x8gJ@o6R>b<<70 zTY=2)`9j|YWXR8iE;_>G-wUK`08$s~MfVFJe{BU)MoRo`JN4wS6a8}8sTJ>eksmL| zPWABffp%Qz%)WN=iXU}zmjUvM87C7$AQ& z1IdFr(%E*{LOYbR&rQD%K|kuq%jt7x;|xz9Xvg({m(y=Wg|8Dvj2&fkc?d{8zXsAJ z#GmQuY+bOR)JGTkW$Tj2(j{f-lE|Tp=V+tLQ9$xK4oK%0f2OCa#1(_zCS3NJav6Sz z<2fc@orkM^qV$P4C)xIM4KBoUEw{qC$#I<66wF?~sOrsUdJho&9OvYBBl!CqPoVoO zxd(@Amh(S>bO(IJ&#NY`zJ-*g@z-t^DbdrOwyDwx(gD!h9{?_>_W zJ+q7+3xRZDAoKVwAoactNSO}{{W6exQx09Oat%RG#_>oT#?y8KO`aj3XSU%J1kx=B zGVD?yhWQ_#m8d98*JG-&5(G&*uEg800<$c8nj*k{uV>Ng%^jqnSr} z1bsmEk3k@F77;oIe~ zm;|l$Ycl8oa&Nj1m=ar@Jjd9q14x@)1fn^Enz3>mkYmF%p;rQ_^PNEE@n=A` z_;-Y^IM#%p0A!vQ1DWTq1G(?>N+9=p-UQ@+&pUzS_Yja8eF9`&Cf4GedEita_X&rD zUJGP+0!UlD1EekTj?35kfXjf~|LXyA|L;Vgwk44KJAky!<-l^#_W`-@cPo%K`mcmn z98rR^;A1!oevL|hU1vZr&u{9^_X&`BDm%f{lXf88^+5i*3&?u1N$7n}H063Cka?^JvYxCK`aTJN9mqVZ zd8VF>2C|;)4`e+#9LRby3rHK(0V(eaAoKDoAnVC9K-QDNCmH%EAj6jfX^ZawX^T66 z+%JAVkoDvVAnVBsK*oOqNd6;EHu;|hWIbsDvYvbgNE@w}aJ$bg`>U);lh|lcKj9VUE5Is#C+exn&z$Pg-=o@M@lTy;_*`(7 z;rkenJm{EL2Yn>OCBdI{+;No8%XBzxau)qB#^cTqQsvDR5#=w}{D zr$pA`fRTMUkn+f%?mZy+7PcCiVIKV6QQoS+*Rfej_+1z@{5J#1gO2)%|p`c-)4Bs1yVkp zYU`gz`L*f#6O%Nf&Ne)z0u2Y$!yWMOSv;bWrtloYqYCKo@a=#{tHmQCX+9JlXoLT>_Q%S(zpb@q<)m&_s0(`opf38Z@zNO`XcogeBe&jUGhzMaS`x-MN` z9D4?Z-z`A8{{ks*{JDmn4P^c*;TP%3SJ&ezuW_DBXZhvhJ67Q=KQiscJP4OgCvh_T z5XBwN$G4j@d1v@ZI@?c|F$Qqz7Dd`0I_V{Dh9C7+(XB9gO6w)woXd!7d~4&7&~Ro|uC77HogS^L#U3or24jpW!F+ zZ9f4=LDV1jRi?bXK)NG^w&OP-eoFk^SDScafaKw{J9AzQzXgf&xA2~t$PKwh4^pF> z%hl-m(O7#QrAFf%Pj?7&{ET<)(rZf*(JgWg_K;m;WV8b5?h!iIH$Z6*-}U*b4wv4e zAhxyr%=1yK?VxYw8e)01c|NMk^oD#D!WF^X(>y!KS3%nk{9~X`Uz4vk&X72`H?sYD z_pMhK>UyGX%vTTMvg6x+WmP4rD!h-H&^!j;$*xdi@!g?PoZ(dvH~SgyaBP8c^4@H0 zar7<57Uu$Ke{-&7sVc2YpKF>8Z&WzPfkyw7vJX%`B0Pu*$k@*N=ElXr=o z_{}+dOSKYbd5y)HUqkAOQ$@-2{Iynic)-K`W0U4xAl*IdbMYA5het?w_`oA2X_o)Q z@ECP>E*^dLSR*`w;1QHGvwmuLl=TP?y_TMCdvT=kOIQbx?rTD`tYY}xgUc>s+t2g? zz31qW3Z!MVl`{QV5B zTA}&-d0bOSGp`?*@C?6A#hOQNy1`iA*orgeU>BRetE@nk%{2F{j$IND<>PFVOuN{A zJNnLi`3h_TgqK;6Go72+PEOqGX52S@ow>iaIAq>CdRT?zrI3rVanntno~K%+L#g=2^+x2q0^j7>2Yu$?I`gcF z_uBNQV;;CRL(D%ebNwfR{5$Jb2-YqLKk84y`)iYz(|~m60?FqJp>LFM@}av5NLjZE zZR?rr)f3-3we+Mvovr8kEIkw8m#t?H{2V=dM30w5ufGGyujDDC_n$T2c(r0WMl;LOQQ6;#a#y8fhha~uY_5Ngf zMWzQb)ze1iVL-aD(3IJO-_PSpQf4vg63^ebxD(vriZJ$&{a&%&3+*#p}xt-WEE^$*p@^*I`9$p}6 zKakQxK=uVuAlq|~U;-EsJ$??PoBCU$=Yv4{OnBb3{iA?PJNkEKz5H+>Y4;z@y7@#P z>8pU0bq|o~p9fNxt}SN$^fDm(nwZc}0vTTNl99iod?zH|Dr9Wt74!pXyAY7PqeAxp zsY?P#-BLiNbf0U`3-m)S%j^=7|97C)=VhaB4UjzQfaK8zB#+P^O}?)Nl3w|$c}{RW zkn}%*j9>9*lYTmoI!;QO{MQ1R$hXz|Su>{ILF9oL0ai>c!SmF| z@l5E!oX>1I${A+lt6zRJgZkMk=W8KWwT@U8Li1BOnQ0`C9?>HSWIj~4(a!^9z4igiMnK1l zu-gJUatvH)$;d9(Y&w-PIt=`~(P1Kxa%KZ*hdLnTd>6;knxWJ(oyFi zbfSv@85X0CT&Kggwa4Sz+GDV1WE7rj4BBA6s~y{czCrLM&o#pT9-%i0{U(qyCjP_7 zKLW`3#{=nqHjw$)F7yD*bLoZv8PA!Y@poMGPKn-}k1(wlNM1y}&VY5<@mPB(d%rj| zC|IQL^)~My501^FBJ)kr;cr5J3MBs}|1`Ru52TiF0qMWbheqecK++MRsk5ItjV)AT zVb>A(9!w$5%w`=(S^A_9pK*QvGJKkWr0IH)o-QPLj0&9;S}l{gqo5zC;{(eovF70# zrpl5-6NBR=q2k~mf05L|@^$HU>*RlT(YxK~*#%_2e*|QC{0d0-jQGC-q|cy#n|^f+ zkn|cL``e8|$ARQi`H|_5X8`G(c1L?eC4W7F2_R*rfNTfuNn!QhMoqb zjO&5a=Pse?*CTo)fJ~DDGLCzNv9DLq52Swx$a+>e#iPnxV^ndXC~kJ!8v90fpy$r& zTT=8ItF4Fgbb!=pI*_vO1=7y{1TtquE<>*c(%yd-x-73i*Ru$a>7E0U&!2#F?*N&1 zx+wDFIL3)fK9fMoQY(#JJV2)Q0a=HGf)OCc)|g-%NIpp*&$3gisKlDXSXpy0_TRV%_TO3gWQS*u z|CDWy|D-(wjIB=t(scouuRj8rr#FDKb3wi-)8RnUULeDd0y6&DKyp|Hr2Vf2(xU5x zei}%-ZUu5LND9dPFNYNv|6_qnR|h1YGlArL36SM^p63_H4db1NucALIufGC*ZS6x5d54v z!c>e;850^%M|hrd^C*1lY-I15&K!f1dr&vB%bL9GvL+pbjdYt5Tiq?T8#mCDWfhS5 zKNiULJ74H73I95fcDWWvyQ~A!E{_12$ESq;y@V%$jQoO_9Q*8 z*YNe)SkVu6e>~1E1M;xTfV8*Bl)(u=x}`wo=UE_ic?HOPz6oR*Y!|wGkO|)($oR(q z$)O&|yaa_lN5Yo_>F$Odn9u)+oyNfiq)!9V(dHrf9Ruci*WH`L7BTQ=+9yQLry{qw z*vMsAoc#3jcz#c`1oN$8RoG?bTMZV^DAF)~LelP2Vrc2lyv}^1mp0!uN$;)M30=vI z4k=J0alP_QIgbp_3vEBUoZ>9E5qPd>=}SL4+MeY^Jmieb^$c}^sb9cMk3Rq?n&E*gIFJ z*PM0zrk~@e1Fw&>^5c{I#N9^kJgi&OtpYM145yn1Wcp^IEAcyuICtXG=f5#GIBPD$ zk89{ze%bBEb1U>N$1`f{eFOT@QJzx{_nw(q4pHdAwoe@ymo5Z9hmPR61b@E-q#HQg zl*33M{h6L_Es!#v7TT;Qm@~fm+^0e>&j^$LC?H+4&}BP$W)(qN%1uH}MAAGbJZ6qG zJni!ApM-}`S*8`*;_^F~IcQcUg4?xCw6G;C5 z6#tKbOhdkO^MI6nj?m_LUs?9PFMSV~lzc}J*E7oSxqY;e*8?QqT>Hk|x5@Jkkr5|W znD`zb^*jSew?zCa@jHs&!^U795#;&vfUrzDU%rhv57bbK>X=hcH}_3MVGa@`+BguhSt z1%-b^Xd-#hIY^!{_(z5RBS5-80V#hwka15OXZ#z0OtS*WG37#`UB!BD9-hfyPd&bM zwJ)BP?}KOMC3sfuoR8Ea`o%?`l%V@UlYbvDj&BW-|FV6JysLrKV=a(%>O~;K|0v;a z1F7G>9uxl{Aj2z0nr~!reTnT{j|*MqdnrN4F?-O;@ZA-+D%xPayAu3SdOTNOGJN(0 z(vfGhpLDCObUl*pIV)Wp>6ktVWM0%oGG76j`^9On)l}RsdX;^@*h9W~F2%j(+4FXX z4((_3yaPxV7y7FcjNPsU(r!Ni(oTN_QWrWOG-*O_xWx8ISrY3KmM?@A!uO+xn>yPZ9}g5(>?;_JCH%{N|U`2GP%_mYXTZ}jH0}Iq&amAa=8l+8Aml$=)*h83p?o(^&6*}1HwH`?K zU!nWx<>=?kQEWXOKPQfZ;!l0z(1$Kby>y??eT`|ILY7WWy@^gVy8RMJ_dJkw^Djcb zF5%?w`KIBo1pR^`U>W+SGOTmxRXbUyT9ZSbBiBLqVMcx}kaDj8(j|bbuWt%n>^1fF zDInc{gdR4@&~{x*K`!;}5&fdT5aQAAW+3JKG)FqmUGl8%VzDFBSDSQ)0GZAQq~jUl z!@JVoHRUNnyf5<$4=;G6B<;w_Ce4LY46n^Vx}y&_^gJNl4}|`y&;zEL@a*|R1Twr5 z)&QhC$B8?p5bs(KQKi?U_ZanvP9f+Nm3a3^x_<~=2_Eig1?oUtdcOthUKnd%*dvzV zk9ZNpxg1yd5_xWj^-S)mv;Acp2-zC}3-?RIEtaKb#1HET}{cbp?Tl_lE)-VKNp5!sDL8rj2+GI?e=-9#XHoF#Ob zb>>3?a#)5Tgay}|@o_1T?mD4+$Axh?TfeI!g(tMZN}ex(=V&y6hFygys423HSC;E9f3Kl8?O_JU@#r>_*K1-RBrI-7QlEyXhcT(~bC zi~GE>)#a&CNwXg@=UzEIH^kX?z5?|lT3AdHl2|MHjE(dt+?#>j%`14P6N(ofDOjLhGWo<$5fAs>vn}Q zvCk$<{Ukls`e&OmJ{L%LkC5jaZ>~6JqxN8lMS|DAc(B$F1)ZxK3i&BiW zCB17FQHw`Z(rgwU|FU>^dwC264}8-U`-kyO*AT~&jTR5jaYm2RfOK~XO+EZO;E}X= zBqUAI@rK7jAk#ZG=kE}C<(NAZ{WM*6$rDVvvJ(xTDqt_4Md-shHzC^dm)-nLeo^Q` z*F&Ak@ciGr=b^`0ucePOm++os^f(DfcP5bi&Nqac|w$hF&E(q;R@j@D?Eee6#d>q$hlXE z%r8*i#TC|k(C=IH4#zL&KElCaMQT_*_L$+m!P#f#j6Ly&0`B~{ZsModm}l5wefT3>-=DMn@;oVf{Lp7h^_{ag<5(|R zY0UeGm!n=^hW8OK?R_87gQlIq+vzWu*5onNn@7&epqIa^KwX4uOSj2mCM|hHT;|aZ z>(fU$vYfVueVE6GOVy0#5_L4@nI%|DEa@5;XaDA2ZRW;ap!t5)FsyZ#sgez5rHJ!| zZNEv6(Nb=H_j1!e?!UtHm-hk5Z?n*zm43|}VfOh( zhm(QS;Ub~00#e>XK+1ap$ThKl3!VRU6YiQ+tR|r!8;(A0IO@XiYO@!IX+6}@THgv< zdL=J0=|2Y26<#X*_}zPyts8lA*OkUAS;xW{2kP-W0C6UKt3VyH+|((XraT|z>GQlZ z&;DI)$&DjD_p^#dtr)yk|ZZb630{qj!L?F7;S^9lJW{ z6Tat*oXdgac^#1Ud|kpRH$gd;owitf-Ip2t_6O3f6xvmUZw)TWPx8ADPXDbgFHqBP z)vthkMqnI$0p~N|-me({UfAcu?Oy4#Ty~^b46Chpj)y6&t0_he3$)Ct%AZ4p7WIY^MwqFs} zCWfeyb?Gx3STAE1ZznI=m=YP|ZZt9v0+RnUAnWH0Alv&!AoVc)KkSY(1u8M$E#p{* z_1_f2Yra>YHmx#s%BGpl4?XEZK=O}L?=P2P&)RV8Su4YyHMeqKlRlS$`fuKW{wc^J z-v@3odJnqU=-mpW-j@mekkF3^{fy9u-D2V&38b3?B)^5?e}nk16aSxye^UJ45r3xX zk-Q}&Zz&-C-B%)yo?^TMITX(-hG1{+pcLK?h!2o=5K}wIpHohrwMMVkfOONpZ}h$i z$b9}%=zj_QkAtI5}Z z+e~=j?IwN^kh-|c-8^w4t>bj zaQwrDehEk$)&IiKR{@O%SeJoJ{_X*?tt6a4r)aSHc8M+zB`1d|x;_LNq-1m>bn!$)_z2m{mYh``I{bYd}u^DkM zG-IeulTV6yD8oA+8_c&1la}t$r;V&zfpo76&2;YXARX52Mq*vfd2V0yT)Hn-&zQ93 zK)Obunbya&mQ1geE-LYEm2~e3t>3@H^98Jlq)L-|p6st2hO@+w_X5n_yw4V>3vg|d zeA+Z+1}T&0LxcxojieN7y}kQ!;;b_bmJZ2;(S6)+jqa0x3=N{C0`o zBQ(Qfl$&EccY4CI)$@kG7f3f(Xr_-dy`JBt*S3RJ+K|M(QPMssbjCfkbsw(l3)a#` z)DOg*5p&gQw?5M<2|1Lft}%7n17yAP0nMChSif_s`19$!^w-BFUNCw5+3!srUjtI! ze}ta;2SdkztoKDPntHz-$iD2rErzZGGW>QR)6)g100qeXT)JOZdFw1tErC(Cg z9rlvZ;{l-Ig0dtZeJ{}WyVfgzm(F8S(s*7rJiZ4c56Qb(E5W^lUZ0WYM(U3R>Qr3U zUW|4)Bz^t}{qDpSqd)SMP21^mm0dq;8bNb!Yt~DAuNJ5}T<%MZjBGlFuuZt^XH>SI zv!0{x1*haI|DOv~1FmM_XVblL^}RKpUk_9<#Qiz0sKmEv+AT)A=V&9fFQjemO&S|7 z0@9r)G}FhKKIynke=&O82&DUk&`hhon>!zI zD}74hSH5P_pA2MLI!{08w^`{!68}L-|Ax>R?OoQj$kW#kPxtTBwoUz?(c!?YMyGi| zrlkw^lYY9DJ|yw)l=ROC?bw%mBIKi=H>B^cgBB0Z>qh2RfONVZJ!bB46G%(fLmqm6 zMdleUt1UiG|C17a!{0Fc4h6E`I7Mjtxxf1lcowhMb~4X0roZEumRERn=JS7Su-AJd zqT7uAPXXx$!=Ldd0qGoDWR#QDFVjXo#5M1<&cr*d({sMlx(#{V0LH!fe9Oq4`L>b! z-FFRL37Mhy3e*j_>@#&T{HWO93RE?&;AQ9=oW9EP%Q`7oz;_n6O4P0X{H~kcU ztM@S%B|RMLfSZa{Y4b4bH7LVg1GkRb8?Mi_D#_sC8ig}OH<*2(l%Wkf%~vlLwSGQREuheFPf z41bqihb&WWj92arrE#W<{1bZ(aBX8czJrS}+fSdD86K`GkpBv-J6CKNnH-)d(`kD? zEKq;ORdof^;yG=21ne_R+5WEKIA^S0zrzxAmE*n{>yG8ffB7{dLM9KUU7FwK`)lU6 z*+Z@CTUTDNa(JN{4jU9>{#1Z<)SgcY)DyT`DI58)>52-huOjdKRyLmt`4F!8;Q6|? z4Rl}`#Q$5MUc}WSJZw4_54M${w5tfv-Xl@|V!B^*+6WHV(*4;@K)MHjF5vS*zbxU- z*d^(_X1v9|0+}n~9Hfw`Bd8zHn{|Y`#IkeGBE<&2(|5%Nn=VSZO^H z_c$QknL_vKXZ0U?ZrWw`z^Rwa+%yUPsewk`*doJYK9I8embvr1XMifi7|-uEn=%@J z_1!$>9#p7~z~#Tnl(S9iy|KM(#d#`$cpu=pSmN4r1@?Rl?J7y>_eewe`b-Pf4el?~ z^?uVZ6)Y}PALHtg^ful990+{tah`HD+O2Neg(`{j`ai*yf3?xsrd^D~=hOW>%c70c zb*At30PQ-KfPJ01!<E_^BS;Uo%a!u zS4_L|R}NIkTh0BF>o!wI4hFIu*a!MBM`^}o&!=ra#tX81bA2E7PwBFag9qcj38ahQ zOd!(z)mazENUQ@4$Fl(ES(&yE?)QRY3)S7YO21?BYtwpP9@5FaJag~BeR)%`KLR?Q ziEFl`v+3Ucl4T4Tx~}mz>It9Is_}(tJg$o+Z8q)Sw@`&~*>m#qNNN&`k9pM zJ%}DwsD6O!3>_a#Ved>o%mJ-9tS9RF%<;pWOxHJ`*VK=5fOO{z&2%27%bFidx6(!> z?&Feni_oHX?>m?5liWBvdI~PPt=WFr{eK1exS_5hS5c}kZccYjk584HKW;5_xnCIQ zDw;CX6@(4e;%c}~`j!kD`9fTUxGF2I)27V$l&@mb(C6cNSoqj9?cswx=z_G1UN4X} zJlPMi?JsG&_O`$2#=dnxx(*=Q-K9c*Tf!^GW6j!ir7B4A$&$&d7gej0?eB%lROP12 zRYmv;)R}8k9BB?a0`D_jkNk43zIC;xZ-rlwe&Ek=o3_)~?TB$xI1_xt(V1mq`?-eX zsUg+HUT3TeeFc3guB{@=rk(e{oVf0qLRE>&eS^`_44x$C&Ikl=q_t^#o|5sKV?j0Uy=V`#E%#j1JzQJKLu^FakLz9Gn@JlRWu$|RY&w^Xg2xuB zvvJklXl!KDx#IUA?t{2iN_?Ak+WKBcW1HT#5jh@nL0sy4MrWI@IIB>d6&|e?;C;w_ zToro09fKXr{qR8C52IhJ9uca*nCx~PrSN1uS>VstXX^K$)2JMbGeW~hs{H0zDq4>^ ziL0J+*hjxGT@`IQLi^2~U#QweKI7Q5ozA7p&N{!^q4n5`{ulc2ekQwbw(Ev2f6NUM z!9q2z5%XUy7v-Jh=V~j$K3DL=JZwL#cM-y@7s`8cE54cXIht<*d?KJSBZa!i&#>Yo*_Pad@m`py;Hiwo5$xVC8C z;9=3aZe4`(OVq^D$=+qn?Xr-uFe$#h8lA zeT%dSi+0*$CDVnuUXQlZU8n}(@=1J~cJbN8^Ek&jQRuBas8}7ewOEbbgmW>mCNvo9 zIYn4wiX!c=afKwkO*^)84JlMZrWA8rPIK3lv|0GcQ--{R^EQg$Dnf`K9^F zSjlfwgo{)e`+L;;$f`p19bE3UVgrkIY~UL1Qp0CT{aLgF#Mn@eIr+(`zs{VT^OFeY zCH=h9;(aqcH;G<-xK@Abx2C>nW0Nn>J^>V;!*G>}hnqf$icNBH#7!=XrI#*n~ZP*f;6D z1miuf`tQ?*XxH#pef)9WkQI-1iNP)v2jZ+BSGh{>axwRD4Y11w*g*2`Pa!SSoO!9S z&HX^qWl;J8^|@v95f-*NRYKKpaj5!+AqbErQ~AIvn7Z==t}wQ)9OAY-hrwjcRq`ME5azH16` z-hZJw&*OkUJ$m?<8iS{ejjx)hWXVx@GQw! zB~!SEKFfb(u^P$uAz#3{IG+9LcSsP=PTOyuocX=(gHdj%Gof|(zAvs#lnJ}rw9`-M z=LqN<-KbCQ>e9G=t}OFg{|^h*Ww<`p=~1WPpXrBkx8ev-d2Vdp!-?ElsBXel`$OnJ zTTC(Z6Y%rX4|*}&rtLJ^-p;xZ#|^AckVnsLsPDLL);w6>2WI(U-NlOI^5iRzQ$ClL zs!L(x3+szj8P?#-s`c)-Jw8+J9=d!!_*DVy)55fOrN<_YspIqpKq@> zd>;jBzHIAyK4<2M72`<{Mc;yFSa>$U{;U>yFs`Q`IU!4q|8B$gZ$P?XKg}(N{CxfJ zTVwG{O8Rf~7=FJ3GOd~Gu@5wQ(=XT$zqrM(=VvDU$r}v6>wvlV?Z^%h$f>9vgnc5F z>2EEB%soHX6}Lg2Js$b)H8LIt(!D3Nt^-&<%)mOKEu?-i$Rx&@#{-9`16K}EUv9;` zuNixk@tm&$dsc8>K!PhRTxX8G)wixC?l*cY+i3Lo8IU~x3v@xRng_%dGLMxy+Pel+ zCjPfSZsIS5oL}JD0-fm0^Tk1UzKCbxXpa>rn~Lg@@j;{c9e8|ex66EM*Gb=vx^)$< zzau@dtQ_YNERwz+a}g)ra|lb}@~#m%oTuUgkgkCP)WDhM8yEV08q6K}jgYZ*d}D1y z$Vq?1qlGGf>vSjmxKcF^^P6$#f4N_O9QxRC)p#d8IWkc(66=}p*K3>b$KLfg`Jm;i zuRd0&j=}G5fe&#$=vlz~9loV`SpO-@co%7q%&8q+Mn6%gj>nZi8lvMqe#rce-N925 zGabvOC(GX(fxh<1Fs*&;tFDE_GlOtZ_KXwfBJMt}~ zhwrYw`Ez1(UuzWM8*wFZ75x}{32`;xqHQC;<7tp;vT|OZDIL~8!^&TcX?a1Zg z*8qOmj&(Z%|2uXfi|K+-_LVn2hrDQxcoTBO^S{Yl;$9_(UbNZtpA@SguGP5gy4nMJ z3$9&tsb6R6&H9qUI!?Ar#xvvj5YPLD(b0|@*^n8J^~L}DzVTRJ80OT29n}}ga^m$@ zUk(x*dhYISGD#)EcP^Si$JpPHk5dp==ow2>V0*gtNAf3E&< zWsZK&FT6BE{JUdg+6L<$!?)Wu4pb{2Nc(;7iDI=4epL^q{T_qgng?)}jFmR@WU<Lt9lxJC;XCDyr-YRy(HsVav%H);z4fgp)@~V z5#G;y2s&G7&w}5!`v$6QJ?VI_mXxT5o`GuPhP0oj6yJ#3Fi@?w(pJH5JJLoi8MEQ1 zAY+S_b|w63k#?Kq*Dwq+;1{*z){Q_~$nDSFKAdkr;B`mAt%BPHitDftpC6--IKUJ-mu@NU8H37#()6ikWSPX$Yh4gU#(Qv_!Vo*@_#yj=2ezTnw{ zYb5+u!5+c5;Io2T1wRrjm3)pBJV@|J!4m~r1(yp(1uqsp+KBk)W*vn4Si;{G)Smcv zl7u_-0jbYCyizbC z7#2KR@C?B^!P$aG3VH?i7aS|-7AzF}Xo%4_CHRKmD}v7pZWeq*aJ}GK!PSE23w8;% z3Z5lcFE~Z;Ai=SM!vu>2KOJoJ`%v&*!Pf-02tFbBu;2#4I|SDXUMqN!;7Y+of?pA= z5-b%|f+^`gUJ%?Mc(vfA(w{i}kze{#hn`}7M9d6GMNIBgq*MI4MF%d@EocDi<(N~@ zEqcmeO3(>kj=2QgdcpCSN6`5NzbCj=!fOR}B>anD9z&NWa{el`_Qbz8Fn^)DT~HJF z=aYB`2^I;aFju1U2z|WZ>4Gmy__KmKGX6y{7o!Ub`UF=$YWxd@e*2e(-XyqI@O;4q zf=3IE7yLAC(!DAe7rb6@xnP6fbipx#O7Jz&XOrNqg8PepIurP}L1@q8M()vq4T6^o zJ}CIE;0WyDr#n$_rQr2~F9?qKm5Dc9@C?C-;2nYqLAThuPVnt4eeV$ed$YLrJ41gUc=Zd0uKK;f#{|##gP}hZ zjJ{~-gSHslBzVS4hWAwF1uqpGFLu&}ihon2zi{XmJ~ZjB7Cc>WgkbESCcIYg-46^M6Pzjd(Lap; zgMw=WYXv`k--OQ;4E^2E@1_iXPw?%(8G4;ymEc`}HU7~-4aW$C2; zIVKc|aZ&&|H@7tPCN`lnW;3)<)r6LXTRN8ahH9}0+E=GT(%ultb99=lYF0FLXUPmK zL~vjs`R=1rceRAtdy_X%?rbgfKph(H1dHBagzEH+;MLq0b+t5}V~0CY0t=z!jM`en zoTOtmwYM~O^v0whqeZpRvL#aq9n8e)D>^*fxpYy69?Yd?Fj(u^)YUY(x|jQLG(q45 zBtJyeENcsQHueVT93F>|D#_onwy9HkLjxx;C4}Q|HpNd=HOu=gSQflGpqEd!0u~#! zpTx=#c6^pFoIp|2-QCpKkx_|I!B~U7EF99-rk=CCG1Xy>AIk^ilC7?q-|+q zxT!Ur)03D`=U})FZ*A;uYE35&=y1(>ybjN-WvsjD`rF>Nh%F*;YPfk~b9=iw30CiD z?`$%<2I^b8=Ai6l+X$douR+<^hosLN$ z;aFI1m*PXlOvvDKGE3jwys5f6NYymAgd3$m(~9iO#He9uTN(c8oKkC(SzRwi_tnMc zbBw2Es+x?ls%vRl*45p%yyZle`_4+*-=~J`UV8Mm>3rl!N+Y2~q{}`lj+2ceRn6I* zZKhw!wxSdw&VR{})!5w9wXmx#+|rfKsV)<=Gh1GEJV&JR^|4%b)EzgIlXKW^_c$y- zpUND}&upZ1XpMdIwuAPHc5mvCZuNPpsdH(ltEIcUS{Qu9hX}&}PrCnV^3K!d;DR;cnmT1vL{^Os+P;y`H{Bot@p7Fl6Hl^hCH8Ov2E` z-k9Ukk5o-lX9s%QWliA#)CfZ)`lI%M?!VD7F(#xmwxjtqhS7~ehwf#IOt=p171JGV z4+Xlz&1kltP0y~D0K1vCc84W3ZkRD=CKT8kc9`s2IK?O)Slr&Yq}ykVsSVKB0eepN zu~#f})tJ%ii)0Em(!fdRXLRA3585htSCC1pk>d8La(x?(`9ZPf#Yie!mN>{FQDQ8rR*}iI@ zFCE5u*1};o(A3%7;uG1%zSC7rSIg4Q|=a6pFFKOv$!9B)jVPLd0 z3gptTg5#4mYI93>Q&(GvoktrwCd|T?c01LO)tdSY;HbB2v6&|?asf-7$C`vW-7X~z zU;;d2cHnrVyHZA^T~CM!J`<{=QCm2g>{?3B%D%x_S<5-QmH=(CX2Rl7XSc7`YD|IS z^}UIndG1nHeYJs;sI4iZUZdwKCiNXv)7BkW844`!>_WHaTN$!Ssja)6H=bsCPL9!C zicNK|(lJ{)nz~kn!Y$1^;foT17CVbgzL%JqySxR{zs`=FY_%25fU$?&7)L+Iy!i8! zsmuCmZI8B&z=K_2IdD{?A{p6EgMcXRQ4E^}^b= zZLg_c6nmYTrC088^7FUX!YsXVkCLCiUSG}9EB6@r`Rf(P)T^Hn^7GdV&+~RVK7RgM z=_hDT#_HZut>+MO$-A`r($W3GeV(gT=LXjR z(a%h!cS#ud;Vk+5nw{4TKJbQYQ#;1w*97WXP$e5Xnp(IN9cbxdt=kK=6*8QPJ82T@ zOoc6L=Mq?l`)LpsYcPHgw!`D0J+z&B@071zMLx)dXE64|+u4?Kz5VDFjMcTQBiy#M z1!m`7nRM~@?ju;q9?7W+d$_EwMVrTX=?BZReUsR;yhk~Kz)6iuTbctcE1OzEVXpJ1 zHL?=#!5Y7oHQU%{57=a>g%01VTYX~)I{=z{dy+l0*Kt^}XEQ}F#m-*umziSZWbPCA zAIQ14sAfego(t`$NaoL(i8eNKHpjc=|BHHpZi+4URJ4uL_F^5RO~G3C)>Rluum@ys z71=2;N#F#tEl}MJy#v#BWWlL3eK;)vHNU@h*jww3Dc;@XR$}7gN$K8gy=G;fJ2U#U z;8a0P%hFJI)m}9vw?3%WLhgv`<=Z9?takKVDy!qD1)JvzYp}Pbvx}pPY5Jdmku*nY zbv9-Ve8Rg2+^Cic>lTd(aC>_D&vHD!Lw~G?pi|qSr!^zXnz>~Z#jYgac9+clt08a# zdSz6#`GK6m^h0;`&w+UA-rdr*oCf^d6=Xkf07mdr105|Z!+~z>(1IG+4B8%mL6%}N znqy8II6+S{N{aNe_$7N%R8sJ;wmhddMuA520^!!K&J}^h*uk_6wF?t*Gj&cInH{;l zD~J@;a1YrYX<}~{Fq=BC6E@w-+ZOMB^U%W!rw$i!WXzEPXF3qlJz8&#$A*PnY=rFS zRJA?s?yjDW3Th@w)0F<_Sqa&#v$b}aJba#_%~lz8u#ueZcXz3=rz@czN|1%$ncDI> z=OBC9w@b?F;eQ6&SfD$EO$FFFwitUBbh~fg(Y>shyJz=hxP|rVIaCNWXhmb_^Y6x_ z6JcNWhyN#~u#=9ScZHc_`>q$0x?Ydcfpq=+d`F3y+}UVPOLj?h-GPqVJNI6CtofxL z&AHyeEi=6%&Mw_VXSUS_JwJU&_qhE{5818mWBP@_aot$w!Dhf+?2(=BKpn=>G0g3t z*34+vdv@|^;Mj2uS5dlKG4^3=zB5GZ;hl+QuI*3&Ef+0Y8fvmxW82cAWs7$w$9l$9 zH4r;}S2}Zuy>uHW14JCF0eA^1UGjUw0_e3%On>p2N}1h`Qy{xE=bgma)ah!bJzkqx z#cr6}8L&Uix7Q5q7Mi^*!EW2!I$^~%J)_xe$((L+cj*LOv#GL^``usV3Evc>J4EA}koY%IT| zZs^YTf^M>zcBGy&8>V|~KG~mpuC?;GqZ(!^7;a+qdr%K!je~Ef^jhgN^wKh<^S)Sb z6@HF)N!Xk0)VN)0Z>XZn$!qA|;dLx|4cj}s^se{edxsZakyT^&4liAON9-M5^QhOzy~9iI zyD#N<({j!p-Q7#OlD+>?&Z!o4uj<%?j*@dI_T)u{HO|A8y)@!0h2ZNQ6}D`#HQa&YC{j)K1>^nw)?3(N1dTMP@Z?k2v;S&3XtkXuK?& zHcm}>leYM-^ceD2IndZY$rp^=O?%wWhH;hm5dQD?6+d_Yf zFTJh7DPGj!-G6yj8~au6zgQY-)DNfZcdc;xNV;b_RUZWtSm@O&O>Z$xh>zQLkN~C6 z!&J@rrg#)*T6!w^M19oa!8Y=`9k00&G{`q$DLZn z<{=j5Zg9oo%tGD*jO@2&>;^Nb8_gN@drzLa9Buazox91&=_u3&-5a)bEN^UYYYsGa zEm@{Fex<9A9xX1UtQ|yh*!_dK+d;hE$}gh^#o%<7iHA>|q&J{_?3#x&8XA|h%)P`ucS(P>??Z1 zg%l??c8BM1mx@yFhP2B46<*q zQj7B!;)Dk1KM!iOh1y$AUUW7dLrW6bMpUe>Np zli>as#P~6NZ?= z`DyHGYV|jUTW6>z$Z5{Xw(u-$WbEbN>Xgo@=%$^rQP)Y{B^q=)O6N1&ET&S|I58+l z)eMlBldD+`)G=vVR~wwMDe>z&JKI}v(9h)RQ#%?%STYRbTQ&oDNQk4-Ndrz<*3z}g z-_oVcg-vj?IQsHO!<>~$eItKiTgRN0Elui#Idvz^Inil>Znf|vR3EmCIUUQE;*2iR{8`sxwyMs+ z8}Ia^uJoUw=K1S%^Idpqb->{>9QD-Hn`4)?H7nKG-XcMBO886xkLp(h(DYE>xVH=^ zgq${mXB{=1ZvOMGWZtO@X8DisYTobXFKt=M6C!vj`fJx_ep$VIs)iqhEzcJ4^?M7A$a3^zp5tCiCWit@SQ&0ZN3W!b#yd0nG=0ZGt^>s(nhJ5^8!oH(Yy*> z0f{vbl5}NKbMpf2T$oX6c0srk%i?HX&mb4euv@PSeQ5xhZ*E$F8axN##`mYjmz|rs zNB<6?in|MVK2KvizEP0BSnohq>el?lV%Td?!fl<40*i4hky1?sIMuF$Mf-;Vf#uyR z9P1xOyXwN+0v%QuW2-;#w~m5yw-`gGJeNH5v2;qE#^1UQT$qm|dD@hE0%@AtmY@Lt zh+iwsPt^`B>gs2&f;rooW?|ICzKZ}3=tB>Ja%>4NMVF}57E7lg z#tiCMSj74LYxo=M{hl$*Pc@e@JcznI0Yeg)1=c#hewp29CbdmqKnvE5L}GKGV;M^JHI)0(?j_ie%wFK_UfZ~gmEm_ji6=?13JV$+vzC6Fu;>ez|yO$+2$g68v z!a@0jmQ|dt9z=Q;dqsGtX{2Viw4*BrIYZTw=I|}C8%gU42d8H`imKKe^yE)st)LGk zs|y<2m$m3Q@si$jX}=TbN8Z|NhMGaz$aZ{YFPPy!&Y3IB%%9(3rUK2qTYXZYNBJZ ztxndn#NLVWjBZX$>)A5y(&GgOlsvS%R&==d_D)`)YpEWgKEf|dW3dA$(AdP8yPBIH z(9a?9L3H%cp~e**%h6l+bx;1}^g8-pd{&8a0q5fLDZDo0O!;IrzRz-Ui3%>qw=;i{ zuYAk$IlQanHMsEG2aF;tcu~GeJeIG#H^Xl&eqWfcVz(d+{vP~JT?O21FofU1I}is~ z48Ie&+_+Lt8jSx4d=M7D5`MU%xDp>jF_%jPp<&MldHUiv#r*h^RuWfaj7xuuEIGiX zVz`3%I9dGrF6GCSTI+&NNOy}%C2n-7C@{n;fUsK;_7wbnjWqZjeH(mmDPC{7RPqhP z`w%?0gBPyo1biFhs5})rGEYTt#gD*mT)xNhl>e)^@V%cT^z`BKz6CwExG!_(luy>=_Yiu98275R5uK)MU?%wU*?cD|a z{{FmpzxJ~8Onc^;y0f!Ie6eUK>EK%UIPy;-zo8-8H!?&4-`DOLKzgB&F=G_e-+OYu z*bs%#Rc?rU&eQ7phj_O$7({SCW{A!{bnz2u{Sp0~ZHV5N3GYrr^j&6%Xo)4dhg%|l zge7{)EYZQWU@Y*vCTfY^QQYU+$35|~C1TL~27X?$MBx}qbV64t_kP1o=X;C2+=s5u z@grHmeJHT`)}LjI?p1721Ks=L=S|`m$nOnp(NSoN2y_qT+i80tyC1rg_wVHUt$dHM zcN@~UE#Y$Q*o83pEwJg{cI=OG?8MG`v9Z2&D0`DKU5C~HJ8H*bDd*@7gh@H~byAj7fimvKt>y{jo}%nGHADwx+P)X%F_v-|K)F#~ z5z4ENvg%vLbu@V`M^8}riY3~ilY0^Vj{J@eK12uTxSMNd4tiS^_T%rjxW6{PH|2Yg z=v3^6{?KQPbatW7DEjN(4&9@VK6Fv|6}tEg`#9bdQQD2pF0Rmi2KD(aQ$(QV0rb4k zagWM2fxlyKxQ?DUWAC)>q;aQup}lM(@4oz5O%t!rvX# zxvmG%F}n7`ts%|%)Ft`1I2`>^KO)oz>BplB9rlzMA_jffeg1c(1N{hTd*~rxY7l^A#BiFBHuOj4kb~|n+Jif2nL;a~)hAefp54(z8fF0e9Jbi_b`M|#V zwnwkC@zX*ZG7B4o?h_rN&6L*>#LKsI+ixdbd<(bxNNB>Iq4Pu11>GyC*FTY8^4VU5 zJUWl@J>i<2Xg6ptB0JNTajkg;8>224a4o!)zK3?a6S~PWQxp-!&bxtuuIPqde#g#6 z*PWz`tLPw~CvhJN_}v9H)#&Xc^i6sq)FGx9qW5|HH4w(L_~Tme0{Lv@K7SW9A;aHY zGwCn+yO(Q(zYAl?@OKT@j%noY7NUELwr3#M&1id~*y5|SN2EJ{G55)4ReNQ8t>FDPK^u!#k{S)%thhBcEHX!;Ta$AwUErIlOui*YY+&dFpzQErPP$zaIjXTh$Od%|0Xq~mVeMLD?_Oje% znalF-B~8(}TuD=O0at!U=OM%If|E(-G34iH%AIQmbf1EbpbrX8B|lpb?)S6}kiV?Jls`J|ToXN`?*jRI2kfefJbxir$ zvTn4Kt}fcqF7nw+9t!7?53YT`0_7N?f9|}UJU}}opYx)nCm zPMEYs9n_JIo6yI*#Q!b!i5(Z-L7cR?eR4d_@5p`R3!;#}oN}VS?%359OKEqHspZ@8 z=ueEm9v}jJjMr+oMt{>qJJ+6_$p2lW2c7pG2Zxh>($p#4D8qtnXutR!!9D+2%9DG& z{4L4|lQt?QW%w<)M&v!pJ|DexFutkb?-=2UV~F#8ba_1S&L=F|rk)wZJCDE7L5wyk zI-UFv=Sq8Cb0{*s!Yjb9 zfI`A8jPSQ?6Az#-K{g*h`P*V!+hN0NlP`!s7wwn)7I`<{A8*G_3%954pO1b!0e^+G z{gofABIdwEh{AM;K|9nycMo=b3FUDqdb|ePTS7g;);lla_r;Xi)r7_UcEZgk+#2%K zNqQnjktV`#=i2!`bp+byQ2w-|?T4aw=%DQ^*qFYuo+~=+l)5C}odvXcvd$idKB%7^ zr}KM9>dBMzv*bgNk6x}dT=Neko?+y19rC^r&zop%vd zH+rg}F2x`U?NGRkGI$wVp$w7d7m$9+v3+y;9@>CNC21IkpI2!EFGZgE zkbf0=6McOumDZuPj#9ilC!T>QZ|Td3_T*p)6}? zpYjX2%D(hN(uR#i?m(xd=tuUk)Y*cgvHb=7%{8Cm%O1+NhO+I$mTIu?t_A3WI@yce zM7JUjTQf%G?{50vUfT1T72G4AowOO9`(d|d5iV)$s3iTz6CQ|aep64{=khnd3rS-i z^`(Y3p@+7j;80|sQ?@e@K{o}^EysS7NCWLl{#4|TCGY%>koI=je$?ScxCJ$YNn6uP z7~L`~8Sa7nO&ERXp@+2fp{L$cDZh_Q@fmq*XKc{Uy}qMyKZfw2knjt+3a$mmQ7;dq zJ{M75@6iTuEqoV!M)><3ejmVCoZp>g$gM{@Hp1@+bQ7UHdz`U6L|*0kI(^BtmYK0#m1ojRDq#>iu|7~Mex8G%e>B68@x2fcSpAg@P}x7m!}up<^` z87HIDPYDCR`BF#s(l(7J+!%Rjqpl)Th`%WQc(5)y|D?Z!Ze;Sg7n#L<+za{L$?tC5 z+M!VDl=%C$z_zzUH${YnURauINs>-$q~YHuZq==!VE}Y!&k5+6U!dP|9!q?&f#u%zIfO}(M9C72`iF@Q;^7s(xj6wx*BiD^w2XYD;B zgZRZgihJx^z(P*PQtr|aJk>Z{es)L-puuOt~YW;E{c06e@D6BiF@~5 z__-hVF+du7NJl5>$me&yOfT^k5^o>r=p!99E3lf||^D5A; z)T~CINgXc0uDZDPLD#`tp%7w_Pa7J8_Dj*@AlLz2(pGgqFLXm8adlN-YX>3QNZmda zzp|b!B&-I~c@kIdi_^KzBp)YpZ6Z%+@|(7#<9OoZer!Hh?sp;A#ozhJb@6vTay`;6 zF63{*>p77t;YCkFo@>`BT!}As7T_#U>We!f=iLPmj$a#!`&ZjO>9x-gWV>Rk+A7dZrD<@53 z$Pd>V(pdoQq%{JC*l;0b8joRqx|*=uo^={SYIFG3!f zj*F3B#Pt$%Njwqa>xQnI`AwYBTS@N?+#}8o!YSn1y_B#CuaB^zh3Eik=rcM>`Hd~s zMA6M?>`-3EaBpwQcQE%Mb|q>3i#BFU^i7)_r7tR^FY4I`+uNHk784KcKs)VrK6c;H zO!?2ljeeo$D9UXP`mdr)xG%UL<##^6BXe=%cOkz!`Q43t7xD$j_i{hR{Tk#8_}$0- zUU{Fj*k1aGPU7pKuEx+;KI56*A%Hz}Y>FGy&`x(jg#NPzn~Y$$1zdX;k_Oo?lJ_Xr z&XJ^r`p|bCSK7bGE2IhAEs$*?zdQLI8^(Po{|eD2g6B=v$(+cOB5l?{@ly zLRq%_-NoNAuI*BWw8o(K6XHOoXEA-;<>+!S>7-BV<{3t}?AxI0a>D51+uRzrq8<97TbN0C)%$geblKAD5PD? zhk|+7nyepO`=I7%@(Yn;C=Vz&7TY|IyvhDXUirJ1Yvg!zM?L7CkIada<8BA%H(1V6$0)w!Ysro&nABzy{M*5r!e&<2a(QTQ7+t3@7; zgid%Beum8_aUZ6`DR2vX3>!_(6(e9KoCmkV>ma7&iXET=X2bb#7rY67Kz?1W*c}dq zc32D#ftX5|usa+Ao$xTc3%|hn({jb$Pz6W9x$p>l4r@)%6+_@4m;ooiHSidG4;$3y ziXxZ~VdT(K7%3Ugo)JOUrVKd|BKT(K*ZLmjlk1<(bL zLm%YM$rW2e1uTFi@C1AY*4$iC0J}p4#Nc#T3Xj4&@E2@758H>sp&dHmN%#!3qj85( zPzNW$66l7v;9JN$CRc0&MNkbV!(zA#UWV^r)nf?@c7{@z z49CFba1Xo=-@>ZLlPA~%%3&Iu0GGltcnscxpCP}U@L)7dgOlJgSO!l+FZ=|noscWG zgb38Y$*=^TfnQ+L6RFd1D%=Ghz*Z*_Kg@+I;5qma>gMN)v*BLoh3yvPiZW=0Zul7T zPRa^-j$d`@!LGB3uK{!uPPjX`~GrU?JQF|AXIP z*VA)(j!Aui8{v8Q67tRVicntoA?JmJ4U;*3?Z-IF!^#@{b z89WZTiwGBLVFBC%ufSih{$=C^s^AQ`6`qGLAZIacB^(4t!`<*Xta3T!1bagj%!Nhp z2z&y@6}e)27!GscI#>=`CuISb!xymom6R9U1Yg3wSD_Dh1Gc`Jx(l7~0DKOsT|-@g zbKn(NcL{od#n1~|T}wX-H$xu`xsLV_9t3S^t{4Vqz)R2vt6xu9!(4b9*192A6vGVY zfJfm|FmI&IheKgLTnq2R`Zo~=%z#VbY1s1ST(LjY!pU$AJPx0Nc?;pdp)em_g)MKT zO@t{hAC|(0uvr)VG+Y8tzzWcpArHkc8P0+`;7#}gcDRkO;XJq-K7y@pr(c0H;UV|| zwz&gcKs{UpPr`Sw@tw2*Fbgh$Zuk_|xGPr_LOq-d&%>{<_1)AHxCmZ^Rqi2ea3q`u z55UK;`n~80#zQNd2M@s8@E7cUALAT24_3ey_ft1u4lII?VBiC^T~G&C!h5jMgOook zhL>Qyhp-RmfaS2t!;}Tghqs}i8$H8KU_OH0;T(7yR)3U!78b$X@IP30d9D}=+9s}oC)19 z@M-!GxC8WOXiH!&Tn#V4fM;{X1h@=70`ocAM>rfVf>&U`^VByu2kwAg82AEhHcWuo za0h%3g)cG=gR9_W_!&0qq5Xyh@C>Z|5^WKTfjMwFbi)ex8#a2Gb_OOu6PykAzy~nk z6~-tq9_GLzcnYjn2@@v5$?zC_2OGUc9|lw5RJZ|Ng3m$wAN>{V31eXvEQF=-G<*wN zyiVD{R5%VUg8ShW_yY>xpbo$hFbfvJZSV|y4%(aa*RUVd!aTSZ-iO?`Xy2d;=D}s~ zAiNF#z!q;4HcW<-;d*!-w0EeZFcwk*AH!NJxCe*8v2ZEe4X?nrkoN&;fMGBR7Q<`s z7wqvN<1)Aao`;`cmygf`oCvqTQ}6+pA7hU&5~jg?xE(%#RX@oUJHlu<5pII#;VW3< zQ{snt@DgnG8R>+};WhXk27XSz2PeTIxEBV0fllFV81ND;phQP^i z9dyI{Fz{>g2ZzI4cn1c2gP!4Lcm@W1i(cRuSPldGXfL4=u7PJ^)$fpj6W~Gk6ZZL@ zaNth(9}N6~`V7azE${+-3j=;+E&wB;0d9o%p!6rkSMVWh_%n42-h<75p}l}-;cLkI z6`ey79164GDtH-wfsKBnje}Y^8WzJ-@D;50J8d`2fD7Or_z*VzgEkgUfGc4+d#8fyAu7wxj3ouv76T87oxE{WO zHCD|N7v}gV*4DSbNPpu?HLkEwB{cgFj&F{5&xN z>fj`}3Lb?Opbel5;Yhd;9)izcjkO354uLb_Vdw=hFpqt)lna~y@58{g$q(EJ%i$wf zW1T#)GeqG8xC7n=Yu!At6V$_na1ZpsX6xmN;V=*Ggg)4GebNoha1J~SAHeDx5H=hJ z?XVOcht)UC6P0i*EP~tMIrtoMH^L^M4BB83+zW5Rx*MZ+m<{K{L+~!Fx=Ehc0Vcp~ zI2*2oN1zw}gv~e26Gd=191S<((-9Jm#F z;0wsxB2Ns0D8!%xmcc9V3v9Y&o+yEta5=mV`CH|Qz2FEq8WzEF=!Jn>=ZSscFjxRj z!go-x4RsY}z(TkKUV)!r;I`Bmm;h~X1w03YZ$mMWeg1;4_M`{juWI1R3YCtwAL{jpOx z0BYeZco;qb`vB?;jDmXTfG6PxSnELIf;zYm?t@QZlL+$A2%YdSdic&99RrD!%OfhY%n5E><`s&3S0w^zzSHcjQqneXo5@OMObwtHUWpjx$qpUIST#4 zv2Z=S4;zlo6GNc^I^j*Q%BdeP1D3*Pu)`SaA8vv_VANRJTzC=Ih|)H}x$ruye^8z{ z6guHk*nS-KA1;FB@EZ)N$P<&`TzC$0D{0GNGF%G(gKr^se4f|^#=|La13V31Lf!;y z7be0iSO(w0c2#*|3M_`VVbzJG0S<*TpbI_(>tO0B90te0weUFn0y`Z-T3|7}2q40sHFgF#22M_39!LUA=|g`40b*sKOWun?YxoLb_6 zX1EbPh3zL{tFQO*7Ok|mFa_qrQg{K(HuMS?z%#J>EczNa8am+-_!L&1 zO<6%X#Nd3m173sQVaqwB0p`IHcpUm5e=hYKs-YcjfT!V4*mxf040Ui0+zlT<&e4Pi z2S63H!;SDJ=*Q4L!J%+6+zubY>c?UeFdfc;2jB}>`#9o&Cb%441mk$ZfP>*wSOUwT z7aH2Jakw3N;Coo_1kwVB!*Q?_UIFby>LZMU`EVn=1FM{bEx<4P#ba)tkf^AP@ z%mt^zP4EPK4g*fdMqnCT3D3hfu;m$y;a~~;345MNeSpVdud^6S!Y@#~5S_wh@HUj6 zjSazS9n=9h8E%6*gT-!Q2s6Sx_~PtdVsEjJ*q8G~_7?|;14Tp>iJ_ucl!#I>Obizz zIALR?7$ruFaxq4X6;W}J7$+)3r5G++(P;rcx>_hG-BoMWbjEF>w^%?`sjQqK#K%W{WwTbu*85t&b7MisQubqFtOIP827J z`C@@MS)3wH6{m^Q#TnvEX08jx*`h<7!}%}giSs!p=0b6ixL8~wE)|Q!Wn!_oTwEbK z#g*bJakaQcED_g=>%>xVJ+I{4C~guri(AC4qDw3jw~5=C1K%m`5_gMx#J%D^ald## zJSZL#4~uT`hi7mta@#S`L5@sxO4JR_bJ&xz;73*tr5BVH0Ovr+j~@fvRozb@Vo zZ;H2=O~1p7D({K+MXy*PJ`f*@kHp8~6Y;6|jI#>95MPR~_@dS~;#<)tz7yYzAHKShPI}buMN=F z(gtd4YwKw1YU^q1Ya3`AY8z=AYny1BYMW^V+UD98+Lqc@+Sb}O+P2zu+Vz z+RoZ8+OArmHb@(+?WPUUcGvdM_SE*$_SW{%_SN>&_SZNcQHy9r+EA@nE73}|VcKwQ zgjS}F)JAEewQ_BYHdc#j2WjK93awHbuT9XZw29im+9BGZ+F{z^+7Vi{R-@HwleEd& z6s=C1s!h|TYxUZZ+6=8ho2fNwO1 zwME)x+G6c;?Fy|^yHdMKyIQ+OTcTa7U8gP8uGenRZq#nlZq{zmZq>T9W!i1p?b;pM zo!VX6-P%3cz1n@+{n`WCgW5yd!&KA zwJ)?UwXd|VwQsaL^grEjfoqi?Hkr*E(Cpzo;fr0=ZnqVK8~>Vx#b`fmCVeRq8ieNTNaeQ$jq zeP4Y)eSiG`{XjjU7wJRwV!cE!)raZB^$~iRK2jg0kJiieG5T0Nsvo3}(<}5!eY`$F zuhJ*#2kVFEhw6vvhwDe^)q0Iyt54D=>r?bPeX2f9pRU*IN9r^527RX9s5j{`{V2Uz zZ_!)zHhq>pTc4xP)#vF)>&NKF>c{EF>+Sjp`ic5U`h0zXezJaweyV<&e!6~!ex`nw zzED3~@6gZD&(+V<&(|-|FVrv6FV-*7FVz?6m+6c3%k?YtPW?*#D*bBx8hwd=t$v-p zRKH%oLBCPINxxaYMZZ<=(wFJC>9^~5=y&RO>38e*==bXP>G$go=nv`-=@0AO`Xl{=VL;uh2ixKh!_cKh{6dKh;0eKi9v|ztq3dzt+Fezt#Kn@AU8WAM_vfpY)&g zU-Vz~-}K-0KlDHKzx2QLe`xwOLpKb=G%Uk5a*S1sRgKk*TqDm|-B`m|)5tdl7;6~= zjkS$+jCGCmjP;ETj17&AjE#*=j7^Qri~?hGV+&(TV=H58V;f^zV>@GeV+UhLV<%&0 zV;5srqtF;+3^sN%h8VjWdl-8fdl`Eh`xyHg`x*Ni2N(w$5u?Z$Y7`qKMyWB(7;cO( z%8Zf5C}XryZj3R;8d2jQW1LZ8R2t)r2}YGM(Ky&R#5mMA%sAXQ!l*WCj9O!oG1-`6 z)EQHaX~uM;-Z;{jVKf*sjYgx%h#5y2%|?sSYP1=%jM>H`e<}d&l%4fFBmTx zJ;qDM%f>6ltHx`_|BTm-H;gxpw~V)qcZ_$9_l)u4Ariu4k@qZeVU`Ze(t3Zeng~Ze|vk zo10shTbf&$TbtXM+nU>%+nYO>JDNM0JDaobX-Q2_6)7;D4+uX<8 z*WAzC-#oxP(2STx=1{ZPEHO*XVdijigjr^eG)I}E&2n>$Io6Dt2btr{3bWE2Z%#0) z%!%f~<{{>x=3(aH<`HJKS!33klg!EH6tm8pYECn!oAu_A<_xpJoM|?iO=iqI%4{}U z%vQ6_oMp~7=a_TNdFIjPG3K%6apv)6yLp0nqIr@z-&|mxY@TACYMy4EZk}PDX`W>+ zG|x6W%yZ0h&GXFj%?r#6&5O*7%}dNn%|+&A=3?`5^9r-mywbeNyxP3RTw-2pUS}>f zuQzWnZ!~W*Z#Hi+Z#BEjW#(<>?dBcko#tKU-R3>!z2<%9{pJJagXTl#!)CYni210w z+lv&Ckrw%`eO^&9BU_&2P+a%|7!x^Lz6L^GEY1^JnuH z^H=jX^LO(P^H1|H^KbJXQ&^g%TZUy?mStNx)+*Mj)@oL+m1nJPtzoTc4hSo;b#?~g*rq*Uwfwj4{g|(%%m9@3CjkT?{owdESgSDfzleM$8 zi?yp&XbrLkTf139tlh0WtUay0ti7#$tbMKhto^M6tOKoxRb&mdimei>)EZ_Dw?a9c&$99cmqB9c~?ARa-Sytu@J-Y)!H1 ztf|&CYr0i$9cj(58myUCqt#@^tfQ=EtHo-y+N@dDY-^4+*P3S?Z5?ABYaM4DZ?#(| zSSMO1S@W$0*2&f>)~VKM*6G$6)|u8>)m}=D>lN!&>ox0t*6Y?A)|=K_*4x%Q*1Ohw*85hkwZi(q`q28w`q=u!`qcW&`rP`$ z`qKK!`r7)&`qt{RzO%l!ez1PDezJbHezAVFezShJ{;>YE{<8kI{;`Cu*}84mrfu1_ zonxh>D;ns&ZDz+TH9Xs>OrW3OwkXRmK>U~gz|WN&P5VsC11W*69- z+gsRM+FRLM+uPXN+S}RN+dJ4h+B?}h+q>Ai+J*KYd$7HmJ;dJK-oxJ0-pk(G-pAh8 z-p}6OKEOWEj@U)^P`lVJu}ke?_HcWIU1pE8N7R+P=nKVqa@tXD_v{ zw{Ng-v~RL+wr{a-wY%(P_HFj<_8s<}_FeYf_C5B!_I>vK_5=2V_Cxl=cDMbA{iwa% ze$0N{e!_mze#(B@e#U;*e$Ia0e!+gx?y+C8U$$SdU$tMe|7X8$zhS>=zh%E|zhl2^ zzh}R1_u4D$59|-^kL-`_PwY?a&+O0bFYGVvuk5ewZ|raFKKncSd;16bNBbxHXZsiX zSNk{ncl!_fPx~+XZ~Grxi zIXmR+n6p#P&N;i}?3z=UGbm?p&TctFa(2(zBWKT?y>j->*(Yb;oc(h4&p9CHz??`< zQO?ku;+&G4(j2~I9_0+#+DY{d^{sQ&k&qk%dEizR>Rjca^25+25h>vurKJwHcMezV zkC;4{PWsFRDR^Ft+k00ew%Cg+L!P2 zxZqTlgg~vP+v*4WKfcMr}#;+?i7ji#vLje)MBVeQYod#1obSPgJmeyl2YH( zie*<~YUlwi;vA;Npsx%jotiQwoT{jh5JOZIl**>7gh>vqogz;Gji0)gw!&u;bc|ty z{GtI(NIxVU!Q$!l4O7OpwekV6w9%%KaWa@a)u9r>_L8Y!-Cjk>*@n-~rkEU9am>`!JeA!!5-+^U5SQPxeVjUn=ofGL) zn)P*e370XPvzamV$pTLCy468yCrluryJS}<<`H$ZvGOL0yQw)mX+D85O&kV3W4t_3 zKW##@i>Jif?*LN--JqoX_*5D&$q`A8QfZD(l3kp@${P6!DQBQp%5yoxs{u!xS2ov9 zo>7-ZV+r^EC@ibAldgnViWUO-%^>Fn)H1E4wzbx;Fl9)NQp$1V2;$n-$ zPw@*nA&lWv+Ng6FZuYh*n{cp=>Zi1m)+op9kLIHx{uBmu5hmbd!E*^W`M4{m=yK$8 zvl{^)1u2rB{u!U_u4=p2O(#2MOYzxfU(6(35x8Cx5}6`MNcrRNSKQPrQ?JWw8`Ira4T6(1 zWj)>)%gL7V>VDS54M9rzbM6;OBu`pVSP-GU!%e|Sx2ok#wVnFs4^z6R2vbty`0+m2 zfHh~52r3dysc^lDnLcJ$B7F+tmD|y7inS&3mn0cX5vvb;XF9F&9p`YxdtQCnmmls( z2&bee=o~LZ_j4bSh&$F%B^$q~6zz9$S2$FY;LS{xm!Lz4m*nO^d6+ob&3$TE6DNi` z)lI8o_LfHP926dO4a~Z{6&|0?0v?hK7&rOtDreN}MCcZu^I05!7vN5+JiSScxM_@g znI;?SVom{9UorPd1Ut9prnG`iP!)V`LVgs-AHD0sFs`oE{q~XHIS@ldI;lkV(~7w9 zIA)tJ3$AJUoJUj*DN^T=N0?)~rjaTo6u^@s(xe zUatM^w^T{Mv08o<*s-&cvT?4Sl6)i_WL^?Q%Kd}_QvD>{QoL1{RhEt`svMg>!eoiK zer0);j*%5AJh3V6AwCl+OGP>%q<1^PkgVvOFiG-7HLBXG#_$ooj&BQ7}vSZjP!62EU>d;k!B&VcR zf>c-EDnWA0DGLFP?~qx3z(Gx{lEVs=s@v%L6z0qVBaskq%td{(^AtbvX?Ys2&c{g8 zrHR0m4fy6PZeG-c-ZzC#i8wexR?U1+#vP7_<;ihUiAweupL-_f$D1&vgcq2Y`I3-s zQZ~p7$uVnxJlSScgVN5Z24$WI4GPRnd{M@S|H;F=L2(zRBR(ZLw-Y06C&SL&q_7&w`%Z5m?AgmA0h&fiYG*aCdwalua2#4GIo&d?t`)Jfp%N?CToccE2L= zG0y`J^t(G9SeVpqVWD^*Ddm%;KIf!B5<)}L4x$*Z$tUP)pz00-vkE&itgK@3acove zZ%&qG15406)>-Tf$A?c%Y7P{gBNyGO{X-Y4;^63f>%a^vKv1ht; z`DPMn%P959w;NibIY?=-l85DC@%udWOFTH|2n#XO1SCv8F^veHZDjV-pG13uecvP@ zEKpCZAEg!-_3CtD`Vbm&$Xr6nIUR&sW7U8^_#88hken|#BZv$Fi9v4~A>Y_PjYRSj zyL4h|Ae}Wnr$I}Mw=;&8I`mE>9v@7{t4^v?-KR0xB)rEjX<~97(YViH(#iN9xvZ2( z=t#n8$(3FBX8=yXiHeU3(mf?nqDkYBbi?>CSvlzT4~SFqghYI7oX#~qrcEc1G-3`F zOAL|InSNk|ojFe5Dr0D5!6n5|5#MMw)qNuEb>l4AOk`*2?N>5Z2PNjZLY0vZ3*rYPmF10B!hROozD`9_n0Mp zx=V+$TP0J0S7%XcwWHU)(sp4(i>k-?hq!yG_9xMJ`=8;*Wt}c;FQ+jG)aE3Sz55;S z-u;hv@9gmo4H-<1P}9k{OVV)8=bAJ?lP#~~)oGfY*Bp3|s7B|}*5=B(76t~9LTA6v z(C`LVN_*i19s>+5ITA=-k<>o8kw`CTqDbSRVQ52b z;|!mQO5>`F#p+0HlD|Ylq*OF$uo_zw^;1@ARaH@U&Zo4Q&2Nbssb-BrraP@y@Ff~WbDoRUUG(rs=i^mnyj)z*H9HW=Xm@~*!6qUKkmsS`e=u=+$(BeX2!6o{o zGHDV{zgJulV@q~j<22R`5(3quq=d5f;xyILnenB#%BrHC9i*>}%1>xjR323|EUsWhoN|yTc8sL#C^$ktDEt2}k)K!$$U2)l z)M&7{!7r5RD7B=DD`OCTspK#y)^Zfw;u%n~^#n>^Rb|gds;yLy36XASkPTa+Dyp%f zQxkdFh*v$+w=pB!7Nly3T+c^TZBciJGVZM`zWsw3H?+e4+u;6>LX+)N+5cf!|1zlm zk__p$|Ix;qcG;V(d`YKz|8GUzukij$?)=GICsnGsy(*9dgvPYe+;;Xj| zl}>`s>SRw{RI2*xQuR8aY?x~6X`q6gqniFWJwQ|AEQYw1O(jijyoj5=L2%Y_g5^-8 zR=9~HeGmcV_ah8v0-Sc>6dHmv;__1QuR>4{8R8iU2_kzwvZkURL8K&u3IEFJ!X1eD zl<_Zu$eN7oS;?M`{)7=s$oPe+?sD6`;rPjsVlwLpd9m?uFbq{8P3!TctB z2+6S*a)UE5Yw;ye#w-)5I+K#RhPqZJ7&9k@sU=NmI$4t*C)75yxi;@tXBvOX3QFpy zPEDTSk8#(7d0Rpr)iG4g3mHz-H?m?ftbR^h{XvsaYLo?)&6`g@u6Rryis3s)sM?z% zN6MYD;oUiOq@F>Sv@zrJ)iG(Q@|o3tct*b45VhD_(iZcTi!2jaSEP1JXU1CRrt6m7 z=O7_6zP2nNBe6jCtX$oXKYn?x?ofhRUW$$g2o)5LzTxMpd-U z%^Y0fZ(>*+Rt|IOTipYHB8j)jq=7n1A-pnE*W6g!AfM7o|IwmK-cs}yx}EhIWHrskO}rzRDi>_Zbz-Z3c|;2|_Jtd6FL z`>e=mZ5{dQs)Prg4@V&B)w|R^C5ecJmnU~Tx(SnQLMeg-?c3x;#> z!lX&fb+hUj`b|+SY)DfZmZTBRLkkr^OH)HzYn`g2{q&viJh_!;u72aJP|qQf7KCLq zJZe>|b4ia`;&};a zoRmd|x;v&O?NT;^QlxF_)VgN*yf%%^B{m_Ym-d;Q60fdu6;WIptDVdNvgrd?&sqpM zTrv=FT$I%kHU7>Js+T?4Muf-)!{skW8EU&nRKB$x*p?wz@kV($lE)~Ht7}zVw;J0@ zS+%mQ7W*=asjVOB#gZZ|DpDKFq;i;1FaQq@r2+*Ll1KmCTRpE*sdXQEm&6q$Co-87 znL#k9McHfkqo}S@gI1ONlsJN3If>RQ0j3&WOB3H%Qc)xy-Hn&`8A7-Zm}R)myrjH# zj`N7o*{)7`gl(RYYL8ox>#@FZB|Vm;*Hq}*ms)`%rAht*xzFs;dpp|qHZc#rMoo|n zh3|w&^rHrEUQZ+A#H&-glP6JX6jSP2YC^0c-dQKcn=&q+V4!)bE^VCUKH5^FZ#D6h z5jRcFDCe9c(GNi{KzGn8w<9EHMV6|U6B@Cy#wm5~oF~4cKT+&5y~;uZ36D30;m*#i zg4CAIsmq+Ncyc+DEkmO$U&anNr?km(?Aa)H1VsXRlp}zQ-9bRwE1lFXRH`7Nh7fM0 zlm6l-B>6X%NkehAWRm}3_027<yq1C;V2nPKXJ_I1sU8#X70|w%ITksO&&i|;HQ=67I#R@&@%t-Eb``jl z)N4_lfZ~I&fIcUdm6fuV!*MA^o>@8K=_)A&l@M%DC(FjCt)3~!!jyP||7O8fO`cFx zh~Mn3n$L6cTB5(V3T+YHF{X++*>m=o6TW2&F?OmlW;KCGJM2l{XR21Fe__P?+01?d z8AypZ(UY!BD2Yzh^);HKr~hM?`w>KPj>EmIWQO|_NJ@U)F?i+zW^xqzcu|_TV=Da$ z#y{|JpT+;zU}a4We~!8mX_JBci-e>W&%e`CYB>KliVB8HCeuA~&aA4CVA3ngIS@G# zzT`(co%$p|8iH>I==Qc=0Fji%j|vt?8AE&L`NpStejmZrkgPs}dx7K(mxZD1r;y8Z zB-NYvJasfLv!o28+%clNIn7_l{&BZ=o~?3^A6%n)K((~yP9Wkga;W4VsBrFfZG)VJ zmj!k(yV-Ios=M+SS6+GTD=_oOPUzRR;YIu)B))6foAgD!Fehp$JeeFqHJ{IxoO4~mhjcQjP@kG zRMfGHP90O8RIe(E)T?;(1kt)?&eLXo+L(4XvcI*8vb%383#=+Zs>$-r8{N`!7m7G# zbS7)6N+_@%MBd|zVQFOJi$WP>lU9aYW%#~5L{FA@mo%6*_Ygw$oG!jjG8>^1(ngYlj=A-F#Yn19BjC8tJ(M1spaxvVfr-_s=B|ckiCvP!o*uga^IA9ZRcMH z=|s`rzyf1}|DyzE3o~m90|IW7?AM&sywqE~lMX1WKfcul80v_(w;_F@c^zW7WFV^v zQMRD9)FrmBkDV$fA}wW&^4Uw$Ktrb1S?Y-I6j15vcM!oOsKKFULUrMRjA>%9|DTf* z9!iGP$c^HGwT9qYgxW|Ra8Fr_kgEYrY({auY(O`rR`bL9G4_R&dL5bLE71C<^(Xs3 zpU?OU2rFNL{VDzQ%1ZGau5JG^g5P}l={-aIt+mb0jL^|;$nv81%7EOY!vzfs+ zy~{FEPBX;_EU~7QVdK$IxvR!2r}To!!!@5L=OB@?Sict%-IGU}o2Il)Zk3~;_(0E_ zM9D%)8Lm4GxVPf^-vW`gsg{i8V_CKvsIJV}OHkn~{PWUmx+ka3J_H0ZE~q;z%hHCk zOUls=QswF9Z}Aw@4PqUEGTCCw*FzFjG4bk1Sy@Y|+}+}Hl#h0tCF(L~L>209OuA4V zGcT)bD)NOX_p&*AA>yZ5CC-v{rsVEk3+e85ETLUBCg5!ANGBMthyh79L00g2r73Of zYgfe@zJE!oTm~E_?%TcOi8=dH{C48Yh3lBI@DeH#Txp4m$X8C&4O!5G|II+wZTcsi z81g2*hnn59^P*^WIcYqql?@Y(myOy*nl1I=()~?-l5f)GzAM^~gvBL!8A5LQO5XV; zSHje;FZGN;?e)#Fd#kK*7WvP57O=18;@O`^LmWiwf^ z+{^0ucbY)?hN6?4mZAps)A?$j^B!s$_hZv*!|u0CuCEWdUmWsEpxj!o%)h0W;{^Qe zh8Ih5GbamVPh?5`G(HIBYg^pJmo%wOu-+Cp)f&n6l7>ocwoICE%Z|`Xk^AV@Yq`CV zs(fs$o>#lUIGY_qrII@|HHal<&r4a%DY|q)r*BGIhBw#7{BP2F>Ke`4rtVW@cgC+C zF_*QBZsO6O+X=-NDZS-OwV)_vX7h9~Rf%%_kVIo8ZUAW#oLMf7HpaLcrGv?h^4fG* zc}CkB*|nIO#0p-PuWevob3M}}FPUCv%g0VskykW1-w*JH0Iq5nV6(G6HB5QXQMsxb za#$Q+KylV&%38*A@Isij7+uu|)_DC!l=o9CZ}A(`(JN!XIaa{bhhpcSLBMO zv$amelBTG#%cd!=mbSXFsJOXazM$%SP))_-sz;9Y_?WfS_voUA(9wR>F_s89bXQNZz<(8qagr$N~QOXu5{GDYtO;TB@W1Dyc>0f!Pu8N0{{JKa= zDlk%Kxj$rkesz^+W1>nW(w8WWG=jm<MCZ}#?-o;PaqgUa&zqq zKDCV0WL0*VP6wURVdB|Yc*rCp2R723FMl@IHqZ6m?DDSOD;Lh{Kd%rMynyy|hT5*Q zJ4*;_794AA;poMt@=08LHZG%yH-zP!GB#}V*dlq-m+F+=Ly!lTGb4yQvI8mLIL38! z-jWPBRgZMt+{LwkW0}t_Im~J~2PrQblOZ{tW3pG3930aor*=kNacv_XyvCkV*CE3n z!a7^$%kk>yH_0SqzMPj7)Y(=lo9^&TT6=%|NfS>a7bxkKi6H3Fi(yP{D}&tr=G^n; zG=D3f?`-}ugda?P*i2h0EF^-UM=yq|=K5Coo?wO*jLf28!OCZRi7qLzl2tw_q~})k zuzb>Fp8r%frJnrb^$Dtua&AycO;zJBHxN~ut1^kyPiFP9uAx@Feq2&IT7J-u7xYw@ zT3+A4BqOckO!ikNcKIi!0J5o(-DcnIYwkN;mCao#SmH=$=r`&KZqLHI_*qSUc3g$>4t)jnq@9HbBt$@ zfrEEeCP1%yc9!h02cBL3i(q{fDosMGt5!0lDM6-5YIwj+j5K*4AC= znNqr(7^@fiLe_o4Ht#t7##l~rOc`Bx6LP;}U*B*Z`Z5~W%rWBU$o2|MkDdxE6WiCjOM>ML#4waM2gqirqI zGvp&av+?B8rramlDp!A$*4FG0^dibn^3Fu+zWnmh zL}$|D7fG(@Nn+^^HkCoJ_?uyL$t_AwJxdwiTHnASD#})QO33PRn*N=qZeio%aUq@pnMvbIe#E}6*;`=nuHHg} z^5lE!FZEI86N;zU35F+It+kD$-TRSrQiM!+a%gIeU~1x^8b<>&n`pLHRs&f)xz#aM zsy}+l=F1ISty--_!lgzS-l_4gyXLj>z8#gVY}B$Z>}RT_X!v1^p=r*n&#YPQm-n=J z&g`3~n3E9E(xa6lC)QMt>g=@T0C?k-QO z30g648Ps_Pxw~zkdk~CrkDvGy?DEt}F$lEHtjly5N%*?1tJT@8FNX}Q)q$|%>in)r zy`m%0=AMBdA6+Bhtl-EkYti5#x2nVTo^Qur%AoCby7IV-oF0dGSF4jwilvvpqx5uM z21iEl*pL5mB&;?&$K;7ysUKzVb!27LV+IdaRpDPmQ$0q_X;r5BnL^nR{69{ej3SXT z)jRN*HlcP^YK-;5)Sg^wMH4t8Sq*WVe%jU4n5HVMsA=~9PX+U@qf4k^rGxnQ1@*6@ za#LC{Molo1CK0?Rtq$#$Q;CXj0hwIoTrs(}G08h!k8-M=Dwn8y6Bmp?jm#@>DPw~~ zVlWnWshgZ`#Y^p~NgZO394V&}@pG1(0jXa$xm8IgFHdVoyK6@d7GsGRkR&DB88tzvsB4%iHzqiB$USl}+{HULO)h`95vs)E|oMdCe)@S>4|UQO^x z`U=M{k#5KpDw93JcyNfOiP3%gHSO4TV!Y0UNv5lHuB@s{tfow3@hZ?JBz9MYXQFC| zOmX>Gj(t#J@K@Ye0)BnD%Irs;<`c3C=PzotkY3v|gEwM1o<}`GWoaPYt7Ed(cm>OQ z9raV)lmFwU<~04Syn#tEIBN{@q*$+U_NBbcGo^Gp!2GFU`F@%^N6;>p+;XY*f0Y9iu|M5a{4g)$@~F5`>b_mgzU-C15jnnRX)-GPQ$ zlUIfjtgY&P>Uy85$^;DhMQ;!AO{dTJv{<2}rTSBQ+dn z;ajD}+3ArGkt^deM=C*yko+H_^D?Bi45>i;u4(+YB=4L_JdamFxSL!O2~lt1rKH1s zUn?Qz)V)+Wf0ERkDMcjH^Z$yr7Wo0WjJB3$)0?|$Raw{25Yo`7wG+QvQY+)SH_zk{ z)K)g_xnC6unO|3rah{K+7`A+7;S@02AVN2l^CpDzWvt-G{$yozUZ4$o8Y?ScWmED- zK4FFbAyUXYaMMXrvZr|C=J}FOxaPg$go3H8mlAm@ArQ?38ga*-9eZ-&6e?n%Z8NgcwX^J z|KQdgY%vb@hLD-Yz-rah9U{wTY0g*h9BW8=O(JfrjJc>~Lz|q}RT7W2CuClDQe&J6 z8J3=0f$+sAuclOGd^w?NrCv^`%KmafRmz5>ShWjtIYuwy(f(yx~jGD>^lDyvYEZpV{oKCy|E(&*32303`h zIiV`^%L!GPUrwkBeQDNxdQ(-FW`mj>{;F4=sxrQuP{qv6*A=Q^4DEz8gXB(IR$A97 zd2S!vAdqC@u8g#;76$HOa0HEgU3m+?%(mC62cLRI)fELR~}UrwmX z`f@^5@|eb_Z)dluv+LAXTCyQ?6TrS$_ci3?o;LX%E{ckiIaLWuPw^KK6Eoxq3^|*c zi=ylWP)8RJou~%E?v6>h1y`LU7WQdfM?&;d*W@m$NXjB-9dQ2N8TjEPnLatfv>~-Jw z4Gq%Wu~b`~BIyq>F4Z!yhIEus;De4Etel;VxdU6`(hhWRKa&Q z+;M!7Oc?&BOd;U%TKCa&N+e8d-A$IRnA$|-_^N1|q`uSYrq6x*(u;sr&5gh}R+l>n z-9IDh!#VPSn70R#rFS)`Uy03{BqUqtK6huWHl3fuLfrqOLSeKe*)jD5XPjZ!WjQGm3y9p>WYdtt(fcs!xy{~`Sd(HFN-C`;>`Zz!r9XjD$$Q*c>d&DZR4C8FZ0M}clnfjeZ4c` zcPg^{TZ(5%@T9tF^7~`najJo35$|ppTm94`t@A>G>xK49R(e{P(QF2E65|~Om$)DI ztKLQU|JXYpIJu_#@1Olcvys*yDs7_%sphA_-W5#$15N2 z&))gobI&>V+;h+U?>eh~sgpiIT6k90aQQf0ay^IjXZ5(sF_T7BPGq{&hO7r`LES}5 z+u+Ut`X=kLoNc>sXz(tPsYRNftLqwDv$qE8-h12TAQ>zWZA);D9&r`k{!MC*p}9%* zJaalZr|@)=4LvNVQ(PvIGiq9A>c`VZpIAPc*C*(m%oy3f$}LUOU&}^)V)?|tXfDoi zQI1Jdm^5nAapNY8o#^-LAxdq7V>+^3TeB0+yakdq$;@i$t|jQPd~Jh6pcKmbRi6H@ zW}hcrerAAOE0blA-DY+L>26H_GROXtZ7(4|n$NS0nOj>QN`H|jJyg&UyeWapC|ONK zj}vS^X6=~tXhClPlK!lEeXi1N?2@{DyjFi5J!>Jdx5~K_I}>I5_=YS`-y4$sYt|{@ zRD?OhV08s%5MqBoK}zmCeyL^F)|Mvo!U(wv$KZvlmoAB9cD1!~#v-R+IemcMO*+NN zickMo9qMT^`H?vZRr6`h?16z>j;cfXvAKzzxgCk+#o7|%!gjSZRcXX*P@}BdNo(b8 zU#T`P+gYkcWU_ABsrb}MB0UH9OPF)0`UP9o4dlLxQFM@!p!`e?=-;eeQ`T>(6RP@t zakm80dokXRWjgq*MD$)qE}`iJ{Q19G&CvcUJB;@FiPKN#>SnrOu^-e2w=_-ZwX9n? zeHFT0)X9A^liNF{J4IK?ZEAJ;Daz@*VNwP$W5n?TM@79>#ZJz8Q`PVoQ>DdCD@>M;9Yb5EzNb49a^=Vf9<b2NGq$KQPa`HfGhodQuiQT3z@hUr%&OfPVT3k8I^5UH%Z56j{Qc;dw_jsEiW_5%<8aJ=u5MDzpWF`ib!t}`9XRg zmp-1(T1pGoL1)n4v}Jdd>0GpgQbU#Wa3pJf9%JF*9x|erVLK|98mMp9&y=TX$YEvY zL^!T%*O=^8U9VrF)m?w$7L~5OD$}2Eu5SB|5%df@a)jVAWB11gG9xu#@aVu=4RuZa ztj#rj@2u{(hv>s6MpLtRS2+nz4vd;Q!RlRQ%g{+=|Cp|=^zU=!fT-}UTSo2mPH~b* z`p4?#mg-vlZHAdWO|QT#wxl!rt(pD$&* zx2MM2AZ2Jc&J4zEKiQsIBZZt1x8%~2K7Q9j45<)_tkw@r*6XLLQn|7=GuEA)U6CbL z={`Zi+vZ5#IeM^$|FW&>{y-9zv}MG;WwvtKeOh{`VKv2$g4Oh z=1ln@DVufX8y`6pGDWJm2a%>;Y{5-UR#N81#hkXJcTTCUGkwazEJ%MXg3GM>1wFhF zuf8Ufx|t=lud?oWBm3^LIU^poIUL4P-G{oRJ(_+dd&8DK$gDx@YzuiF%^8}k-o!CT zjxN*t-|T%yrkY2)MCGjyxq{o;IJ3d6qhLF;zS$u8({K9CKRDHILa=_%=?x+IFTEvvXkG%5B=5=>n`ao38ep8CaL8 z!jMb9J_RN@laq<|W@UKsa?AtD1=pq3UpWQ3tCbiSdT&c@^ z_?QMnl=VLQbYXHkD#|`}7-8uzoSIYn)ON`R$8I)h;u;UN$tfYwI9nQM{MP zAwBzgV2NXz^m&X_0kf_*WVXABO?5n}W<9B6zja=Yqn+;WwB^KM)@m69>a1Dgey0@V zOdK!2laVB~2AdeRNZ?QT8UzVhQT+f8o{ zmtePybQ_+Ro9$cI`YaslY5V3fD>rMV?Pwd03)N5Ibk{7bCmV9}+oM_SdDf>#xih<` zTSQidb7t<*!m|?4R|Opx{jEL~Vy^&u793aHx04g;8*-j_N%s`GF=gc|ub=pKG9_Ie z&#IW}nOf7@T(B=G5b3rN=ck#8%-epeYii}vO!k==8TZHyzN4()%nR(;4!GdJ!vWk0 z)UIDP$nG$GS|+m-FhOmN+2?I+0i4Tiuc@vLb;u4ieGUECQTodOvKT9X6Wsa(0ZtM1 zbL*>TFdpNsjhvev*h{1bbm>F4>X}U~(`%YYSe~e5so7^A&EwLt=!T9Na=t}>(LCo6 z{#ffp@DimLn_YZav1Vjd9jZB_2Z^+WYUVUobLiRJB3W{(%C`2_>gn^U+v<7Oh5fb_ z>((F1$=pzSNmA_WU7hvjClZmtOQE}d*iJIffR)ReoHB1-(x;;SJBzZ72kZsQTMv39 z@QoDCtTAp`(l6Q7%_4O(nw+qg^H|n37ixfO3Y|a8 zWN!AA>+0qhr2A!bGegc&^aT+LBA2+Vg`|cIY>Ph+etjdsSc4mpuRXOhVT*^|ksm@`c}nTnwa@XwZx zJ;Zj>IX8+EpY?N{a%C&onf4%iu2M0zv+DHiV(G$h`-Igb(mAT_XieRZl)fK|D}|if znNrD(N9^f%-F9Y|Q#&W;M6c7Z+EiLzten?PH&*AH&hl=vAtUK6$ZZx`30ZNe`@D4h z1*TZ950`VSWWZJ|`D@7F)bO9r3+C_0tWRyQ^~$eQWA;Ve%qcng;oKWHQVrSqDX$kw z{XBywklcT4nK6SqZ1V$BXO(7{0EeulLK-=vkZDM?fN@lWtY79y-+*IQnJw0KaZHCx zA7V|jwpBAnbNw87RS`dC?-WgK>(ka$ADbx~ed@wn=1hL7rDp%`)Ru{;PaQ_GG%gXD zttT@yAjIKfL23~#oC)p02GJ%@1!nCGt9j2$*3Fu)|pAj?wfDA!ZmitE1iOTC-cM&pOS^3jM*bAF=Rh4kPA zMD9YY6K9!o*6D$#87`%(DK{|Z8ccq;@bZB+7e^>lgUGQYdjX~_O4-I1PHeeC#&qfe-=klU{HK1$}dee^H;{5@Ha1xe?S z6DKDD?dNxTbVaw38@^_=*6X{mvp17ePW8<%gw?n5ineNfG$O^)$053BmOwcUl;*($ zo~hrsfs=lOf7}aIP_DsrDInV2QfI zW#7`1g>v0Nx43j8uom9aG_032n^P0{yI_3|p|NdpyF7MdTLtzKc9Tn&d3&0{)a+lg zoQ&HUSIVk$X=2s2=KW=CPp~6XT z`;WFUb9!r^A?HE*7ftfrowv{e4_c+&Ng3s*PUgJjFmF|p7qt>Mly=RcS z0UO&=fyd69kiHO<8(ondN^0qiExX6Hc5OVD$d1djkW~82l)VV&In(zA>6|ep;vmY_ z-?$Y)2WF?AUEoX+bm;aLAvII>B6?VaIh>VsDTGU>s&8jXS2_!qB(j&CT|Ad`GNsmI zMVCzI`?}Lh(~*UiRgsfw_jOs3-5&H3dfFbc8-xsBT}mNaM!FCZSFj9Ok=>Udy8x1U zPxGI(CFJ$t*=sSU2-beBr^T?Mx4$Uq`LY+(leW#mqyU-MMVblrekSK$nXDq(=FeVu zZnfkjl4*ce3VQ2wZ5BB*JLEvm|8;XvU@MnHep@Hz_h&ZOA^z-bJzb#gw)lc+=2lRl ztv@fJ?C!wIP`8~zek!?nD7fk7)Q`hD>TZk9&p>(;w~Em1w%3z9biYY*!{E*mf%2 zSA3>yRxB+qUBk{DYW9vLJy&*FoM+A6Gp5%@dV$#$nY{+n(b)^inI%)4bbR+E%Guf5 z=geN1OR2Jp)m`hbt)3nWDw(*jCec+qbmPd_pe9eB7 zd$dDpSt0K8zltO=XOr38Ra-SvDT}VVn zbK~6YP1R+dOtO5fRNrf&PrmA&NI!9Hn)0kO98P-VoSCq0Aaab9DltFPc=HYlvYv0$ z4cT^{V3zwF`aGF=z>zj+JITBQBYOt(&Zv%XacBFi62XrorwU^O60O^ohQ=yrod|PwTFSDth zNC`r_+&7cUzGAJb8<p1bh0J2ROGIvI;erz{Tg)1}<=u0phup5gdb^N3HK#uhEyqQv+syKA zp3|WnJcnJ=s_!MS-ne3oC-d*|VSkdjwcmcFN&J14E7S2y!ZRwcphiFa5?M|-Fv z^^}h}2Ry^}_6pZ(w~k`;zO=stKsK!Tbr$rCS9+2JFICoWqE7cm zxrb2t<$(I>_q>Bh>-0b>h3pN`AsN|gbdyHvc(A+NxRi`;p{GmeHn-B)TozNPAaazL za{-$763}YRt7k(Jzy_8%Enr>L)GvlN@8QpnNuN5%4LhTLp6lYI1hTbCa&6Xa?`}Cu zhjL>`_NI}!8sbtJ%xc|$AJX%>-!GK)d)A{n){swURno{j=ADRyuBC(f zfb(-9LFt-s3({B8WI;2y;FR}d4MR^7N!PYZ%!x8wk~A264L&qKBl?k(0>8`fHr*CU zgX6Qj3Xzy}i*t*y<%7?rIi`_W_ax#JWIcCcayueFk@T8jeutkWpXoJ2NPYrRqv?|L zWc)38k+=e*ei^W&8dP3r-r(OJtsjWXuPO5AJSdRN6rAT9d6G0$7MCSS9jB|%J!ZR0 zK)THH&6l9Sn#(F_R$Sg{ONX}b0J7sMOLwYGjv@LHI9Y*h4KlLJOCr6Uy8JFJH@%&< z6*wZyteiqo?yIMpxxUdA)ZTIi50>TCh2DnmsdOAV*z~?tQgHZP7L(q&N{qw0 zr5$I=h-PJx*oU4G3u%*;NO z#!VqP$E%rS^Iy1~bIP?)Xm%d>L_<#tlOLL!)b`}7H9y#vT;Xq%v^u;3!})Z$bEGUt zpDk~-K8qzMxwGFjp(|g$Yh=9_fy7Vbl>t_@hNM%@eIJ^l+zvNS&B?l=JCaD6U>AbNH4ET zw&jST+a5m2$}B&n-*IC9A@7;g?9C#RcwS)U?2)W~9{147U8;1KGbi-N%p`H(B?JzIJZ$2ign0D`Z{gTa^e#1o}F%IaLAKfS?yVN@*~}IQYh9T z6M64cviByuwkhX*O7>s#-Y<~;kwJ6)nf2+nHnOLtn@gWq&S`-TXJ)OQmr=t>Lx%dt z36B|I-mN7&AO6a`dPwi~GOr%8y@kly-^}4LW2b{kYlJnc``h7kGEQ-+9d27S?*dAn zLC$q{FO2I{^2YSM9fx%vu-sDFraw;a z8eqK$+HG}MZ-mtu>i(6{tZ_XGWnWw9?b4Zcmx^|Ko3Yu)tP)=sHHd>$9$sJwD zc|>VM);ACI6J%t#@VjQK^idIE=~kRKXvt32x&Xoqj&BvsY4fSX9b@yh?H)#FDkVLG z?Yf?64*K(wZkNp~QuS`X`ex0j6EAeV+&(Y+k^;|b(`Z~yPp8wc9*9mi(e28+Ci=ru0|tyb)cQhU>RuD8o8_w3^cKmX9-vFQ4sR!7ouwL8<|TT0 zJ&e9YZMu$b-=CLG(BWk(Y^~NO2+~7f>-|F}ZRW_lnJfJ|Bi%Y}^+{CL%d*meI(2=D zhtlRorQY|F6_k48QeKIxC+M?Lq>~CE$GLYcj7i1VUaym#8TJAC%qHpBVzRPg58=H^ z&78`vvAtW)svn){^j5^<<8F0pl|J?1)%>Fj{jF8E9lU<+QQk$?V@K(eBW-6ihVolt zh1GiaP2L((Tc3Trs8?&wZ`Pv_rdO5M9?Bjz{ldenw~P$Ve!EEaYe}plINLi(tnWKn zJzZA1=~I!m;LI|mVP$607pCXb@amULgtR}~teITt;^{Xx%11D|n=9Rd^$jk0El!{L zvCc5Hg_?L>x_R?tiq=Lg-y(GwSPhYn@X4KFgSnW^kV8)EIIJw%nz<;~#!xQA%PD#E zLBCDX`oM>t*ZOQ>UjC#HFf+IFX6LNeB-*d8kCQ`w>pF$g=?ZD?sV3jv!cyeO>$E9YBk(S;YfefjUML0Df6wRR7(H8pQXtH_Pr&PHJ$-KTqvoaSoX->utVbJZ;Q ztddJ)=If_D4z|+nXx2~MO0VVk*snBV6T`rUabfByTKjXqc>(E>Q|8Ivj%LQP`ka7E z5vnpL8q#O-SzgxLl%%tj)6gC5)irJMR>%TdkNiXBRz4X!jo~$qB-+;A+SV~$4t?~Svh~NytQ4)mja=I)aF1wxi^sRGBgwvI5GdNF$I9!Cb6~_7Yl=S%korsR1 zSIIp*?k+0byW|8q-u+RPX;&^+qiuD=_Ai5n{H-N5c-Q%Mxx*nlH*yW$aZO}49|>_> z6`AcsLY&t{W{Z#*r{HSg zXY3Qrb8Sqb6nv^vK0>Iiya834S(#~a9YlWr|Nnjc8t^{)op2G!^!rCI%~Xm9b4)(H zh7W~GO>-HCy}bU(DXxBQZM}7_zi?bzh*w_9*U0O5GN7&AU&r~r#-`NyPHV|d=~qn| zSFXn`=9oL@dKzawy*?^1 zPBOqZ#Fg4opkA{-w=QFl)LhQ#r@riNkL>EQ*4x~_TU=!5v5Kwwckdjg;(M9t z4bQr_2dgVFFD*5-yy#Uc-puZ}evTQS=j65C{No&#evpOHZI%E$0Nedl`9$@9BD*CdJZpRd?z=L7f%i55VuGB3+F2pXU|_~XDj_hhB3oK4z@Db=R4N#9>acy zUc-TgzSQpy+jZgfF{b&<$~HE4YXv)%Gc*5j9W||W*0xifd272%xu*`xz7!~PWTyC) zbVF)H!F)4^%Oa)jWx3&AR{ewwH=6W<=I?-^-*927zRcfNEi2X4$9+9#GM!qg^LjqE zrfzgi8<#dy-D4))aXXpN(bN=ZVL(xDtC!R~-*eB;SZ?UbU53+olcc25`6p+ZsV(Px zr{Xe+`W^Gja#P*1+wZm`EURV<<;%SEUkAqbw94y!y^r269bmYhp;J2YH3Yu4U9aC^ zYyFYlxj4nQvM=Jx2B{g^o46d3+R-`1S65Hq@%6gu`gCPy?sTw9=Ukt)+{#&R?efyI za=9>n=jXCMGTWQA=Cb=wIV`4{Wt%-_ly7d`ki!qJ89Ic{N3UR~a%9rW*_bBP^DUaT zMt!r$8CC*$^K;Oy&v)crwlo!x7q8Fl=kIT)%&KP3>rb7vOA z?C7Vkrbueloc3;PZ}VrCnQA3HoRqWbii+sDoXVYE*{Sqh*ROSBb|yonNv4bazpoMs zwD&2;4A7e(XMtw4@W0dRYukCLbh?%Dt>Myx-P0LMXCggydgnw6v0+wxWVpq+Vb(Zs zM0yyg!be+iNkesv^>=E#yrbjmC4MWN0^3h|Lus5rcUNCCtKgUaY`54+n$GRd>R%eS zKe@u`wY2p(YfkxUY-zLhiniUTQ=D!W<3i&bYwJ0WJ!WpZd{?+qzf{nls>c+a=09ct z9}#M(o>jw#gt?~6k%#s0ygf;-Ke2LJjII7+Mb8_ z(i?Q*H0$@I;TFTH8u#UlmXD=Q%)B;7D%f#7Sg%L0cQ>kPhI_iY{sPQoiZAPW>C7I) z%ZFY~g(nNex3-X>69aCeh94ZnQ0x%yx_(hx_wqPn{XcOINDSsXQh>`S~-iWXenETg;$y z{_@A?C)Ljiwa?RIbZM>}4CIV@O*`pndQ+@bq=!JM`p_o*H+Nu z{Bb6n>*U=g*z@!_=K3bn!8&nDk569JbhNk70dXX0>yI1Tno_$% z$9^~SY3-qhxyw=T?RfshM6kw1%C6(|jk{mN#@emS>-D#E#83 z+_!`C4c@W&4&A}!9lE2-8?uA>9JYh=4d1c(Mr>ETWX}4yEzNB_!KGl{f-ZN=aCkVK zizbCS^;BP#w=0vKmecl@Iw{w~->n?rYq+z8%j`yB>DBpUrgM-i{f-^QDcg3|4GC^ht8s zySlBVqm|nf>bUp}GCi^$%2dMQAFeqsH~%oqIWFfARTk@5j@s-)PxrX2Luei5n4a^YXW@9KGRYj? zPfPT9@aXdv{pRnO`8)M{Jm>c=^S9G_<2bLbKK1ctxn|4_8@BdoKP+`DAC0lPWkxkm zP|d8j_3^rZPRna*naP+(@3V5l9n&X&a)Sq%a?hL7a+puwV!H$Lbz2*$dQO;n?K0~j zY5q={zts!Ydh%>BG=KZd-(K@~!2Inqe}~N9e)D(4{2efV$IRbB^LLl|J7oS&n!m&5 zZ_huibugm=HGk+({-vRS?()=AVf2$YGa?Rf!^LNbr z?KOXQnZJGJ@1*(LZ~ji@KVbg0wjSs0w@25fw|{h0XxNm>j$`}f{!D#fTg{Q}3|cV* z0qaotzq>2V8<0q*owl1E=J(s*wK?RtNBRABho%m>O0WK&)u+y1p#jS$JmC3#6%b=0%`Si^9u78-dr|JymCl9k`?4mivz z?pgl*Q`|O=Z@NNzmY>}E{6=z@zlwLDLY?z(b&qBYRQP0I*4a9@{_MZn-n$(y@!FKs zqs8g%?;BYoS@oaU0PPtHrp6_cO7%E0^|#0T-Ef6<{oC_XrFPDAYby6|^&4}&+;FMk z*S(*pV0+ks`-hUttbD5Lov!N-=fZyK&H<~i{##7Et?b)l!#7*=JC#Gm{BdaFQsl4 z(KnAWPr}q=zgykwqp?|kySSRy$aKt-J00xXa;N)zj?cTC(ij@c87a5xnOpC)(#yK9 zWANbgjU7(q<7q|doXw`V^RV%$zZy0#pA>TtX&lRmTzpF&yd;~^K zogn!L^&n0^ifd)ZWgMOAL9^F?IScT;Y30LjxX3VS7)wom*NRh{-1F_aeyzpZo0;;y zXQdNaZ`}{J%Fq-;1Oj&lvQl(_ulmAkA`M;T71|X-PKxc0igmY2&u-+;L zUqJJZ>#Y)S@7=f;^=D@XRE78V#iJ&C6uyT# z@kx02p6ml91~wwkpfVMLOHn_36b{*o=lAe__-sF=mf#bxWpDC!P?-wDMf)hV>EJRI zfe)g{A;f!?`T#A(t9_N)X+Q1<$NS*1`}3?OJ^+uR6ZZR<7j8uJ@k#g##&#?5A?P`X zXTt_lE_kv}srI2|DhMA!!C_^p3+^(6W#L2cdgS>Z>JvVPq~4P7prJ~|?;y|cBeb6Q zBviwc8t>;RO*j$t9bTqci!a58;2y)38ie=4^U+*<1m24zeb1rPH`41AK8reuNx&h8 zv0S_#-h%vxmZ=zg3MKJE-w37F;f1H8)p%ix@xrsw3Z{qQEl8FdgO8(uhm~pm z;DtlcHsbwouOnDrO!vY=Py#Q!6s^SzBWM*~IQmHHVMLkc-|*X*F8uCDr8eU|a25&> zBfJ-lzzgGOAYM55DB2$051&RG@m+AAqpddYg&j!B5{3sH!}>j(dVuRt44;6v`^nF* zs5kh;v9vd)cfspMThpU(${5yVEo}q7ib{`QUU=wOrRwm)J5V`ZxDpM+$Ke^rDYXzE zf)^Z5`#+L;fV+%mz2b#OoXEU*;bb%nAB2}9y)NJz#wTIb1nTr*V&Fa#sR!b{@Jcl7 z0k$vL8Bi+9^ccM2=j3@L)8U(wh`}e}nkfk11;0Yl#sViP_2^XU^C+gn0dLp=OANM7;Y8tMV9#7E)tXbnCA=S^qZz=z?zwX_3# z9QLiF?cu#}X#;KS80LlNpP|$;yswG%f;|2*&GYg7@WKUX5IzDop^^9`+;0}!1zz}W zi&B+%VG_;7tB_LrqeXb(K(que44_WD@cP+ovv^?)t-}l7X=NSZg&&|Tc-5vV7l~ABUf#a=fs44$H<1=c78j@YK2F882)`5quaPJdZYp z7nYnwo#Tc5(OSF@Zatem0k7s0a}Iepmb}4d&sC}cpMW=o>3{Gsc;NZWgZIHo_pyj(u6M~ zA6_`(SFBUK@bN{|30~NR7UL7}#b49*#KYSb)0XftxYs4jKZbS%KR{u;x>TvZq6EGR zZb9>p>8-i%Wt4YpnG&9geE1;z1Cs4J4#!+Ud6^!7%g{Q!_e!Pix{7)~ju?2t)uf3R z-iQ|Bh0D=0yzuvEg~Y=fmQp|XDEvL@M}CCkenb5*T^K~0@WNK(g>%q)rpK;j{a(km zR6!enP0PqXUfBD3)*aph-@cjc9iN1eW>#*iiY81@Euf+Pr~^RvVX@%;1j3{pMXDpi2B0&;1tw=7cTxI+Yw&43@ygT;KTAa zURd@p>j^L19j(Iq;8L^}AB7crt;0$~3>g_s0vr_c;A6-V5u{NWAb0RDl;hj;7&- z@1YQ0_!SD{J%6E}K@q(0G_({iY(gvWVYnQv#tUCUYw*GiYpH*{@DrrQmudbB@4>4l zl=>2R@t!A@8jgH;;Y(-)UU>LZv=h8=Dr(0IPeTjw!t>A)d;~s;;`lE38Cr`M);>*t zgcr_2oA6ya4&|!W)qvAA`T{qD_d0e@9#K>hI(csR^VH zcY2oc;yv&wREdu~r_}6qv=i}g-t+7uBp!Z&R^x>mUtk^Mg@1pMJ`!3)oM ziF{5h(_HW}`NT)yW2ge(1-BsGf5LrVVYy5fjz@Fx0eBvgu}v8M0WD*?+CbYu%f-LS za?lFA7oLVz;v;bKYt$`12xp`I0m=feK?CqncrO}+kHht77(M|%K_l_%b<#)WcrP4+ z#^e343RU8RaMByJY4Pwb)QOM7v2RiT_yBBwhdTT@d4QeoQU8-!M{w9?(ySy+cvX_} zP9bkFzJ>O165A$xe=B*YB0v99>O|E4WR?XNAURHq!1s{sYm#vI7goLb;XD422wrWYeW9iJ0Bk`~d>1_MKa@wN!#hw+ro+R&qz}aV z;l(J9kHSyUTDs;e+rql$3ZL@E?k{;r;LhRC)^WaF605 z<-vPlIg)lIoQ(!DT^L3E@WM4nVuT-~@k|$bON!J;Ne7lAoerFhLXr-QqM)P$pGOPv z3AjgTky6HX6toNE_!#Wkjk4eq@Y+528y|&d_bpN@Poo~- z$$J*5_G;3E8&C|NghRhy#Bl)2h0(ppGd>32??*m^#K5zDSfn=N!*Guuu`X)J5A^ge zQtR+OcoLHFKoDMvMoee9@KqGVCt!4M))!v52I)2cKSpbrF6{r~BDD(dg@+(XQ+P7k z%yeNj+JFzjvyp6HVHh`FSh7!%?z>`e$-dNoEz5;nNcuFPcR$)B(}lOAN_-3+xIgP1 z?}JaEa2@RdHVq(e_z>*#6Sfh&2l|nuDLnkAtjBul6Sg3k9)i~(DN7VSXM6%4JkW~q z!7=|+q_#3|5MGERJ_7GEz6*YcA~R@92NtQ_(Ner{2#Vr`XQ7q&2>cYSk@%k#sRPhD zybrdb4R~P^ZNUq@gNjtInZ&^5s2@HCUqplO!YybRUihPfid2Qf!*fs-ULDMKfa>sG z_$$_+>Nkrz zf!{rr?G!H@jT-R66VQBo5T1h;6sez$r|seW@X!g=gLpVGKp)sjx!`lCp^at1$v-Fm_#nJ>a*^`3lRg|$N&0v{ zTrh=t>mbjtQg2q=OH@*=WFA_M7lJG!ieId>U;J zFPw`)c;Q+U#&^Nfs#!1i5d1?8`}%q06W&wHvc$vnXeD0wDq4*fzJt2(!u#u}bG&fp zdfEeC_*2yDtTN3*@%`|A`1={u6+RAkZe$sF58UH)+Su8Y5pF}J^O=4IZ2$%EF?irC zwsE`<-iKD<`dwl zuja7bp_TXq{P{fECA=TjqXb@fJKBub#H$O+)MofE)EBSLE>h>AVfYBV z56ONl4tJVw?IS#JpL4AJf*0N?p7=PdIoFB_!7I=j&YugPKyhM(&!QMU0gKMFVuT~m zMy3l#p>_Bm`~%YGPvF|~S$9nDg8N=zEz1WlM->a`C*dPV_E~Y*cLDo)rhDOVv;i;d zKx^^BIcOC=4BtST@JYDGLfX=!WtvCf2P`a8!UohAAA(>0lI7ynh3s?CW_%D{hGd@` zfo~a~guQ-ch5{^I$?}uR|`4|4p_%1kYkx3K2ga#0wfJr3vEc_BJWxDX- zUsHCxa13h43r|3G_yFvG5q%oo3(rB4=P2U)51SN@47t@x|+zTl?d=PEK$6?1} z>f~3{A$;!=%2G=m!WEa&wwa!QCtPNwAApx2J+6SeN2pU`ys#ZDlXT!sm(%|)FVno~ z3fei-g%6_T_&6MPCCl1_F%PUpl4cM_kgk7NdKKF*@g6wlYPP#yQ+60ZgD#?-!{e@D z+=UOo!AohYct89S`7UPO-xR6+(IUJLjz$rD7+!{!;)Q=eQM~XGv=XnbWnG{(ct31L zPvOJxX|zsat|Koffe*lQ(I$KZ-ing=7<>(F#V6s;%P9L|@&->uz3?IUBAxce;UfA@Oho^5Ok(f&7h+z#EVsFI<5t@WL0+G`#RF6v7MNLt%Up9&;mgf)Bt| zXc;~Z4~f!e;{EVcv<)xpK%4Nw8__zvuoJDp3-3oO@o`xETgrF|`Gz!dpT*s3(;bH1bS9bE}0Hz z-Nk%E_~u1>I>fm|9mg$EWyKL?_*i`1dOa?eO<+Rf%AS( z|A-I6`|jsAyn2A~=O5Td<2~?I)az=>4o`oOJmH1&kryxAffo)yRd^q~ z8@1!(uxT~*jE}(k&@i;5$hA z=OmoE-s+!&@cfrpkHiSieVMk07ha7z@lja$3fqFDvw`gZ4Z4N&U!_i7V=RYHzRvMi zg0kR!@CMY5kHMYaAP(<=b!ag@1Rq38@p1Uon=DIW-lC164H5&dMjP=_*o8LZ6L924 z#i5{c$aMG`s=`O!XFEngyzqV0fESkhgY|_M_CXQ67fwb|d=T!v znR>wc;HzjAJ_+yofcArr!&x8F58y*^X_9q%8}$Qs{+Qzwya(Ql7UE;@c@)PdVA&_6 zgZIIrPpMOhhfi-|AK6I^T=Y-U#Yf<4D2Y$PzMrv;-Oh61r>GpSKIb?Tt-yD|_t7T2 z@UX4Six(b+dfmbLf>$9gJ_w0dxF&58IXrVD?Cs_?>VQ3YOj4;q1w z!)gCvJH-d#KT*|PyBeWGCfnTCQcQgGf+87GrL-0qcSS`hS;iV{skH9fS#cBgS z0Oyqyt6smO9^eMliBG^4I~8+Mm30bRdljo?cvV)c=Af1L5D$0nU98sNy>KO3kB`Hm zU5eEvyl`-zVzmt~JOTB)m$Ja!cP&-}@m~1s?!~H7{2s+Bf|lY{-(qz;isEB%BkIHp ztM)8btMS77P!~Q9zq^02O5nY4?*YZC&wbQCoF>AD;7U}7kHfc76FvzS{G?bd#7AJC z1B%sCcrP4=*5Uo|E3^Uc`Dw8V52W5!5)YRgjQ>4t3{F0TnENRs{1cMnh%WenOkYr@ zIn-CIyi6C4LLPho9yQpC_rp7o91FzYSE!O0HH11uGR_RZm1s57Oj4 z^~HORELJ|$A1^!|4ZsT*pb>cCVl*Bfh0mdB_yjz3B@nFJ@8Jn4j+fR`e_e%FIJc+2a&Wn*dE z_%2v-9A(6N;8Ca(AAk!{3?G3HqE+}f`~b!As-jr^6s^Vk;3=pJAA}d7_4o*U5GC*l z*lQfyBi;iKLrHuTu0&h$!k-*Z`+11_wcvQv7cZQO2I7M-f=1wdC$L=Pm+9~}RDqAd zFHiul#cV%yA5Ee@@jiGq zO5h{#6|@PTghx)MKJfu~9omYI!JR9q&()+4XP{pA5PS%E@Le)(3frl~z$1_s?}xL{ zKztb9g?#up`~Z!>tCNb=fyj^d!BbELJ_s*D0el2Lh^p}ZDz;4&#E0PZr~w~?Z=n!A z3HLvl`p5fVEecCKycsQ$c=!&ANIX2?6zX5%;S3a&cz7%7lz8|Vis98Siq%-O3Lk)% zqP6%a{2SVePrw1wsJB0oCcFss!bjjIs6SqvTC6Tc)9_LF+G+Fy_yjzs+Uh^Ua4FK~ z6X2wvH9ZJtBRRh$Tt1z)&VFBbI~s|P!C|%ZOL#wg5y{vf0cX~c|8lNtz`Ky#6B~#B zK}(78)EBFLXApz;!8K^mBdlw`&WsF4QR8( z!%nnC;^8}Jo5aHdT8dRE`z9Zpf%@P>@K)4U_F3>9)E}RO2ZUI!5)WsfK@tydMZ@r_ zjdG!p_#pfWEtKi)tUDz8!63ZX_&D6H!Ga`BKTGd=-_&NJ!5tBsGs&x}`RQ7)v@hxZ#Fhu=Tjqz_w+55Y%_ zkHcN(TQMFu-S`lE)c7vA-#J!{4_;t=1ioo}67F#>+ZuHkfES`Jd<0&L*5ie1Py#P3 zI*;uUFDyZQ9%J3X@u)vO0_(%9Q+xdNCF+K*{7twF5A$?f>YqlkP z0B%J-yt=4Z-GVCcF<5mm`N0Qauf_Cxcn@5KBKSCLxP)y0AA_neNq8{*GxcF+eUwjn4i3U7grg__q)FWQF3RU8T8&DlS87)@LH!(jx3_EY7Z1^~Q z9?5uBxaVzb4}U4s+zVfd_d*|%^T5KR(KMzDn^6T`_)9bbFT5BH#7E#8D2!K~w58jr zw_55ME4aF=_i2fPOBUS&GG25pe(aIgDVUostDgEq@_c)&{fCcF>ckJJ;a3wYS?DKFj+N8iu7zz5*H zsK3O(K@YIrB?hiQgJimJ6>UJK!xd^bI@>F_?(>uILLq3f6z?}x8H&vt=N!rNb@T=*EA_!9jLJ_vvC3d{W)d4tDqU|fd} zz}cu1FWih);e|WD$~waf%TYq&;cS$Yc(@sIC-rguLOsa5z%y%9I~YLA~%n_#o2PJ>h|$vJRN;gD0REUU<IW~p3Wf1;xDn}X z6Ylm;+9A`0L(yuyAI?Szd>H;2ZN_^)c+*$(S1+)>;5HP-dsK;)6Yl<9;_+Vi0P?-eyl~R@N>md*2tP-ic(rSZItp#X2jJDH|0}d5c>Hc9 zY8pNOcio+3;l1!wl*EVN{b=9@(ue!*S)v;7KKLnGi&x(-QP-kv_$W*uITs}SpS?+@WPV4OOzik^r1?LfdSNj55jZNT)Z%W!g%3-&=S1o$0h0*v>YFRulK z14!$2>L2d;(-Pe#z3^W2C{r4WfY!|5=<99in=8Je@fK*XbWB)SfVaQ zr3u;zOrQ~X&(BKK0_4X>;081vpM+lxV%_1@L9AaS$9(~K30lJRDEt5|lbC}`R0~>( z55rPli7J1C^x@#a_%~^9ux1E#jt{|Ghf>D3SnqHnT8a-0W8UEmJ=fXySQ3w#(ZN89i*xCxbRB>(VVs1IIv#Nj2XFJ4%F1nUhd=mA=cfr%k$pbzFpFoRcIy_(u>rUd~6==Ckhm*&asP*_Tyb*20 z$KYSkW_%J}avb%5kHV+XheaPg?08@IF|Jp2A1qyJ(%n$n-YKix0sM(H6XFXPZN%pVB|T4^dyd z@Dnr$FWjkvIJ^hWMV0t4{2L156YvYvgjZ*lsG+DGAA~od`S=+81TB=9Ic!fNd=w6v zOa0*ea5h?j55s%VDtsLNY#wEl7FotHsh0U;@NEP_#k`) zm2P3Z!$aoNmhgUfBkGTj!ClXx&%}G-d1x3u0zX22ygIi;Rip9v5PSwz;S=zX^GZ}5 z-VZNBA$$~mh34ZuVe*L<;luECv;?1o6VIm~!w2E-&DOMeuTR4 zY61B{8}K3c4BCWGz+)D&uJHl*JEZhbz!xd>oein)QqKz{#i+AB4A{mG~I^CyL|MMf4l! zDSQB4iPqzz@NKjapM=9NW=w_m!wbMGNt9_;`f!;=5q;CeRvu5)QwLZ2>Rb{c7q8 zFZ?muh8G@!`fg=ea5fr%55s$q4aF1JAk9aTKil*V! zZR74zIbLZ5bbhGw+~n<3sSUI~o7r{jh8WV*tDdzJy}<1ibAo_PO{N zoO3s05_}kr`yK5QAAmoI(RT4(_yyXGSNE_#MO*Pnc-g)5QQOD^JmEgpBR&YPL~~_2 zT!+H=1nl>F`cJ$Up7a>&>r3L{^UqTMr5r=S-#*8@WxUG*K96L~kbpa{W4q=)PY*oQ z_y9cNdDbcM0eBsfF+k`=_LWF{5;m_l)8U84Ct>qTW;*=P_#|w8*-VEY8lQyCubAoZ zL*tXMd4rh_KQulGn_o54;fKb1Ut=GIq%6Xq@xr^2ls5(wNb;{R$9 z_-KN4h$KD^fAR+Ha2L)4!>iCjd=&2VCjDC<>J4_F`S>t=8*RlW;gq*%SKsZeg7D8M ziSL4+A<3uONP850j~MtW>cl5t<=dpsy|_Vm5sF27Yu=0BN{q1oJ8VaI;UQ=p-UpMY z&#t8ZZizY;$+7}4YP|4=o2+{9!r@4+;|R}{>C7u!jr{m7_z{wHl5p4eEboD>sE(L0 zd=o7b|9*-34cds0!bko=J$T5&<`OjvsoiKx@PZF0FFpcK`;dLa?vxi^jbvF-xc5iw z>zVF_OOVt_6!uM8-V2u)ABBBCHq+q}SiFAATl(7Ml#e1Cf zbl*SOZcrbV8-{P9Y52fr9CLk6T6iz~Inv{BSiY5QM`GZv|0+?zzO)nAinie+@C`J6 zPwEri{{`zCABWGPx%dRU|KGH|?=u}_>v{j~mS)#5- zfqulp1nR^K@A=Bga~y6#l4q|fRh3A55SA5{D$ftdGi*YG@Dca~T7yr*A;qP-uKe(H z<0G)Nq*T|N2i}0>oLdxrgmn9X-!HYi57r`CZU`<$5)*^F?Nq9^uq+?EANBts^#DIY zy8nSwb}m)rOb^16@06-`yayhGB*qU{p=B~JJiJ$_+KBhV%aFuGU{hJC^8JWB!129F z2Oofgb}3bzcpvQ3r&Mjgd*D;Z)1P{P2YuI+1ujF9W)$xCJv=c!xDd_9N8!%9mZ~Lq z51fhA-YgeBf~3yl@L9BiYflMyxu;ZB5fg=dcPmw0crW}bYWgwTCM@5ba^VB;eiYe< zbl_$r^(j1a57PJc*8B~A8!^H=(Pn%Mey4A#8bOQ)jzh9u0&u>FbcAZpQniv8;r=L! z7Y;`eydTa(GH)2JM;n-)fFr(7UF=H?j3cQlVZXho>-{JfJQvCIFx<5t?TzUk*nzg< zV{p$Ol&XRIv)=f>Nhb(b8Xt#!z1DOuY&Sj( z*BPIH!}c}l!zIQ?;TGf7ex#3d`f#Q3aoBf%lRj)WJ`C3xpMb*#nDpTiu)A0`BUwyayhF6dX9pQs;HIjLSyC2HDc;Nu#!~5Vps16^8_a8>R|BCS{Jo|9AU#5rQe~w`K zAlmJb)B}<{d*S6s?>FIYBk4;IVmiDWb>gFN4btb*;m2s$!M!ziKZ<-RsydN$=vM!?VE#s4L z^(f{g%{YAUSlTGQ3+^%6iuc0rm(zdx$TQrGD!D&72}d7KUhx6AWg_ioD0LMmRbL?Q zq4=Mds?X4RymwNmIt@u4f^ZI6Bz+pZ21W2u_yAgp4^1vrS5(p;%5?a^6!ty%I4nDf zejD$BC!hpA2=73f@iF)j+J;wCOV#nnGlG1=HdKxe!<*4~d<YSz)=c=%S3x)TrIsbT#dfrpPyr!4p`_*gA%T0GoPN8Tg`URlq6{Yc6M ze>a0RkB`F}W|pdv_!zvtf%PIDUeU<1BpzOHI&E(x`GoCfP!@a`)-_R|_z=7pt-(iO z=PZ_ukHNp9VMozs;HGBEj!(i}T1wS&ycZ4(u`Ij~o`CuuP2IwV*|aBo7~X}X?Zx1$ z#wXzHR`OO`ro!-QB=33>zJxlNSC~Xg@WQQVAzro7-jKu#Ytd6o7oLt*<3q5x-AdmB z4?q$xJOh>Dg$q!Uc;Uq;fseqOk;DuCiF|nBHsr;t4(bL;x%}|&Ncw~XtUA;3L3jz0 z7&WI<4Mwt!3$H{Cc;W4+5-)rhmE+^^L$m~+gfr)|zVIQKK-=)b z9(R_R4qrktU7gK11c?vB_l!@%gXddg9v_@$d=UQH_z3Jmk{{vbbLn$gZW7*fp0%AO z;H0oAJ6we1os+^x&F~tFAg^wpM*bH zWKH+N8YJ})f{QP*>LCJ;z1XUUF#H5bSr}CFoT60^!g@4}^o6Zx06qfmMN-B%eA9T( zCG?r7l9<i6kZjuP{CeA2mJ+_rH{Fgm@o34oQ3f-h*U+6o)S(J-&srF0=al5WEh_ zJy%iqIg%J&_@aJ@#Czf0Nbi5)1|;zb_!*M%p1QnL9dQNgnCX7_9NH)`u;ogtPC{@c z(&dFCm(W+26AwF(Ob^2cjgP~v#(S)OM#S55jAa)Kv_|jTde}4a_V22dcs+VZZyWcrQHCct1QJ%_k-T zqv9!x@L{xy>B5&#Cq4nkJz$k90K1UH3nPD^&yjTCzmaaY50dwlz7&HsqwpD|>j8djyyr2-{AeNRB;Z4T zp&!A=;YUc~ld%6<%X{H?;{&iBNq;5$y-cs*J)AI(n()GB&}zK!;3sISc%ct1!3%Fg z>+vyo$di)_lj!PZG#?*>&!Plg*!DDS9xpr> zt-^=l3n+J1-&m!eL56uyRH_#~Y43H6B&!r@zJ zGXdHsya@IGIok_-{WIDJJ_!%lN<7{NTfSf$pG2DQZ^%2D<-$Y1WIEmtpZbdJzmn;2 z*OHx79PfoE?7Wj2c@oQo{d?`Cf>opmuP)n3Z8@1V;YGc7;(c-C0Uoi-PHOxwNE240 zMffm$3`Ou=@RR@3-u;Kkc@+fTztLp7ZG(&$aFL*6iLl7h4iI5#7CJzHtxaWspbH5& zZIdz~X*;xOnUEAm2{5$*28ggV5hlqdnIxNJlnPrKWYmg_4KixP!K9BP23%->sYV<~ z`tW|v<`nP|-|PKxJ?|gy6|U>c_q%uRIdkURKW4JsY%!se1@n`iLtSV1^=Rk<|0bHc z#`j@bxA<#l=^j7f@$-`zo#GtApF>OhA%x>t=dZ-=$xqBro`mo-?ZIzC*JFbVXzSoF zVBRY8a_}xD_KRK>zydU8`DEKT^zwweeuY02T=-`dW=?bTRYJRd+ z2frHO8ZYpZ7tWvixw|yqfyHmKKW}}KadeHJ@Z|Z)q)zj~A?y4bn!k(iIXroaxnZmA zHGbmH%uf~+e4m8hioWf^RUFsBzl&2kcsg!x@yniS4j!B5_aUrpjSoF-ep0r*$@l&2 z{JFm$+T!0&oBwszoY()nW2$TX4K#If+5BV|TDr-vdAj-N5Zufr-`sSz1EuWO<1pkpZa2R(!o!|4xQm&!;}slxXL&>cp;AKG>_)YRhM`llDAwk=WpqS zI{2oS%uj}Og+Gm~ZgUUIbnp{iYR)=%1&TU&EjH`mw_&TU@MPG2)g^QOYdvLq@V{Y) zPG08RUS^GSp5KSAZt+uI?%G`Eyy9;ntt+o^og%M;zl(7l{Nt~*R=UOi6I*m@%=6=@ zuJIGE_S)B56Mg{8benJe73Y=i@g>*zXS&NTUTzI_p5KB6H(5j8hK0J$U&A8Z<14SV zhC0W0VTrEsmypw4e%7zLUUY`vi=wXcQ(onHb(&8heX}t+pZ8jHf&Um?-R9-5c3f{U zH(rl$-j?{wsM_A+YhU9!(gmJGT{rn&G<5KNXzJjFuQdl9{2S=%;CG|1gKHQp8J}OU z!nL4tycTI4y!dslHy!*eEZ2E{JJ#z8e+V0N@E1_g!6&gz_xPQ^Zr-}Zzc}tZ(HY*3 znXsLI@AcmMbnxPp)=menT4m0<#ML*N^IIJY{xlZr;Lp6tb*h6OKwh``Ex+L&rBj9Z z$IxsjHeD*Y zM`1_UpFjLo=c=yrH}QZ@Zk?aJ0&Sh=-$q9VfAcoyfDS%~WWyzM&TjDBI>!%SM7R07 z7}e?9treE(JkP(wd8<>rkj86@5ZPOz85QWi+`!=y3jd(++EgDr}!=`ew)|(JM)tlVucR=brf{)JMT6(9sKv$ zrh_-W*Eyhr--#Jr-}8hnR+c>kxZ z;cq$zfIVr?ol(2>2JA5@%m%F*1^Ap5nbbNVwp}pKRpZo{wpj-T1Y}LsL#|ztZ@P5>Fa2NOL;BVlV z4!-E5b5iH{X%Ef!yB5ujulyg@@Ljg^Ymm~xAI6Xl{wOlK&JQ5Gj|V@3yzRkP{-bL~ z=Xo5fb?_ZnuY)(CqU-!esOjJ*cHK*L@K2+uGhD+=*q^_N!FPM@|789c)fv7P%XEqV z3M+Jv*L>OI!*)K0cIbch9*4pASWo^67U~|~cq&|<_Tm4)YMp##e)2Qeq0?N#l&bbsV4ru5S??O{I z`O7$}d;BM-y%yc(H-F>&m?i!Q!uL;tuluIY9(P?b=UeoUF7bb0?)y~V@|he3+k@}K zavl6(jOseSycgETocVgxZ4dreY|+7Iut6t}IM* zzgffZSpG50=oII$-2TCzLRJU=C5CmI{~Z+_e8U;%m=3-ht8|S&jeB+Q+JAQ(b?|0v z)fMhxRtMkwZP%d=Zr}mk;&szw5DQoe#Qx z&iXxSZo0{zMNtPo?|aTI9lR7uTqp5@cB^gMjb#M=Dojh*9uNNNh^Wf&g z&;PN3WZqWe@by@(OZ-8s&~<+1yaB(L(wzB?^9TH1O7~&D58?YrZT^XYfuw4Cnr}u+ zSNQjFM%OPINWO-1y2o!C9PoQ4jl+kL)h+I0nND3ikX(tp4!#$wbnyMypxb-~TXgVi zFBwR->fqO*t_yq)O&$F91p~=39XyGyZt@o%Z;f=9AH}@)`5q}>^AiKfLLIyk!@9&v zpJ2|q&aX@jBstyUJAZN@De4;MpXhmYf&UII-Q_EP>ioJD_z|46Jy|&5`ym6#(EBf$ z^Goz%9lR1*UE+Vkm`)=PQIqdV~f#eB4ZB2BJKZUvu{tTvc@WLT; z)4^}YaUJ}-IHl|SM>wN{{|UbFHRscMp-!GMkURy8b?{4((ZSbZna=YLgnM0`{|zg` zV}E8KxdP#SnB&z5?;XJ(K;1sUe~6lH@rRx|kQ~)b&Mz`IUEsr5@PSL_{Pd>{BxxP| zLM+iaej}FY;QO&c2Y(Lhb(eeCtdpM|NPZSubnqI~bZ`X?-Q@hwS%+{Bv0 z4ql3?4!#yUbb&vDDINSEj_Tlt(AGWv@e%XX!5_!04*oMF+dLP~e~xQG2fz7>fn-Dn zSFl{ycqdlr;771p2Y(Nnb?{)u@zOcI3k_Z4ucNDby!g3}-S0XU{3VB0UFXl>s1BaR13LH{=;$Qt zd`4fl_=+n%*N6Q#GI$aN9sJL~Y+ZElk1riaw&~!X!=%pe*Kn`y@xsybuPgW^XxkoK zLQ4mK4&mNjda-jKr)&@I; zZgUq!9ei2NoOJLru|;P%hiy9ex3NP9zXwfS;~BJca65dhgJ1O$_gfu&1A036tw<(( zub4Mtp>Fc0uviE8F`|RNi)A`_scQ%;bnwv2oQFF2nW%*ATtH0+|Hd-sfDXPHM|JAu z1Ih2;n6B~n&29n!f>v-wf3eS%f zI`|c@bAQvpuOD}g>EKP+p@SQk(y2ELB+tW9o#PwufG+Wea7-s}GzO0A8ZUg4W2f7N zf#hk|xhL!3B9iTw%z3k3sB66WdY>^u^FtWb!I$6Q*y-SxVwEoNz-rfsPVoy-*1=yv zRR^C&T?c;;(_#NL9($wX5}IF)jt;&ZvpV=?Z}#~~H;X=Bt@WOr*E<@I`}Ho!an@Cn>}8q z_$6rQ3jYC`y2X!RI_!VTK=OvtK++2P@E_rr4nB#F4*oh$>mHB1)oa%|UXQ`w_gFrP zln(wD7U|$ekEO3xOb7oK3cALhLrDk!+S|NF9eh3Ny2J<3)GfZW?6v6N zHfD72z(&`O4t^TW=sdp-gC8|#{s2-s_>*sUz3Jflutc}`Z?Q~w`6o7cpVes|!wQ|} zcVd;U@h7obxA?1AuM2m&r@h0m3;S^9HytmX<2T@#4&ILAI=F#Z-R1=qYp#P|u*KIO z^E-rj8Af!8pZPB5lFo1uD|B!j1s!|>WgYw#)O3&k<=vhyG(Yn_o>vDie6Q!#!Oz7= z-JE$NMs@Hnyw7v#;Fn>&&htC4S=abJY|$-#1mW*z1;6(F?k76R1b=YCYtg~`uwFO$>Gzy}uHgN@=Nhm*_|urut*~vo>qxix%{$z;b?|$B-+8#} zk~x1)FVbxu{-`l^hO5Zx8n67Acb@0cqRX6z|?9jm<+v}Xv!S|u1gFlPoy3HH+nX|6&yY^dKog5fQehLdF{cIaw zjfFb+^%&N{Z^Ec<@w$WI^;l=#g-PAyKgE=8^Ote2?(vB!YZ#jEJY;=zjmHjq-aXch zFF)cq>KuO_t96(Esp+-r9&i5xW9laV!5=yny2a~0?$6qLy)Mq)YyLXNzxYS40iEHy zLi;S*~Vh1pE}mIXL!w@S#y6zF7h6P`Ly_wKli-0FX9yl ze~u2`8awq_@9$V;`+9yq=Dx4UFZ&B?@e*sre}$&!YV#~Ae&5Cr^mLmaMqek#jEytTb?$K%=X8$8G4FYP z7M;sjpewu`3w52Ru}HW01QzQqe-9%%`MmM5M5j56QJv#)EYk%pW4W&I1Xk!ePowsH z<8ucSy32jkb@ErnM?A);IHq-h%V_Be@4$?12BWmZn(!>9b&m(X;Qi*g?%{kD zn!jXB-iVPG7>EBHOJ3-){9|p$CF>aR2*P7Cd_9iaUgB!pUgJjWCO;Uv&8K7c_!s}$ z=bT@5ta%J!%sdxkm$(|c#*Nrb{(S5@{+=UZZz`0m&>ZpIF7 z#}58h>>fYiZ{zs(H?dDC#t4G5#v+z&9eSe~GI& zWqXYqIIWvJgG$~y@GPcvk1zd_d&R5GnXkeko#T~QtP8vqBf8Gh=;#)oKv#FUk6E4k z!$9&>^mLk+qOWtj9?5I0Cs#43YkUwX-Qo^LUTaJ~izPZa;k9E_r+F!s=^U@ba$Vr9 zSfOj&z$)G32eDdr`8z1CFy={PqNLNDMOo)~92H#%+fdaNon``P;Ma}$Kg<~mb(*tSq;tFyi*yIk7Khga2Z>4g(t98*ZDKprd#}F?9e^F zwCl5_PVorl?zcRK<8N?%@j48zbUttu8C~NB%DTxjxL3FNTga?3K0o1~%vGm&7&)Ec zYcQtsTtr@%xQcOI;|2=4$ulVGHqT<=8;#F{U-sH{iidGVXLt^#IjqphDaRJ8bec!8TIcwUSg#9Q#s*#C z9Z0ToT=*ykb&F3TrMr9%Lpu42?-d}eGrSyIbe@aYs!Lo&PuKVl(bp|LiR5}?@;QX# zn|#&hUM#!8n7kZed!9GpwCxq%5x3WQI(Cas#_sZu{mc2srug;9uJL+#W87ZhgP66w z$)Ahc+hH5}Z+0wraMnDFjs*{6wa)Mu*6TbMaZ;DKic`AA2XR_A`9Yk~UGAf_)*61z zTB5Aeyc89k=OSixiL2=88aI%Ci!pfyLrDI`b?>bdLwW>73Uo9>!Lk;W6}eo{LCsH9l7{sB7FnN;i21 zL%Pkg;cMOF!EaeZo#J71bcV;!)p;&rR+qSn@@>ZF1}eJAGid8J&!VGyJlM0=8;sAx z$mtA^1$3T^=;#vPjjpcqG%~kabMBy|yWB@vCy#hdIHuE_Md}X6l*a?Qz-1J4g(q;Y zuJbfb>lSyge&Z#^fRjy2N*(@(yG26so$( zpF>Tz`7|bUk1zhVYhR~$1Pz_xYtYnrUW;j6;;m@u8Xv@rZt~~Q)@}Y8I=aUf|A+HR zr}$Zz)fv79J)P&9(AO27K&s+A;b{!%7N0;`clj)ab*j&JKxcRi$8?_8;kYjG-8iZ1 zJdN^i8IwDx=q{f{RVUxE&Zy}Ok73zw8zxi0Zt2=8At{$%VHe<^mCzY{z8uJ0#f z?sE=b9Xro!W0&~7$ZoN=+=$zod@OdGXJhyHva{CqUFONZj^evr7hFbot_puFcAf8w z-Qtt6yWEeRob&I65gwc7Z0sDbie2Dx>ORG z=eZcW#P5$?<3{Wze=c^LXJhyH;{SPm%oLBr&hXW-^ZX8+t2)Pcd)!{<`(n5FWb7_~ zCwB5Z=OE_Rgs+U9<5jT>yfJo#Ct}xwW4HKAvAf)log^0}i;#P-dmWErOy_tN^18sA zF|KR;2`qe{bC^3Q>Mox{NhgmpCdxX^qp0W{uR>Lqf{}W^F?k9@y2;0o)@?qGVcp{e zKXy@)(P@4KPU}1uaYmQ;!&p{xt?@LL>lSwcy2}GUev!X_?|k54%<2q}p{MhF2UdK* z@!}l;UFV~i)Ga=VDc$9BxL2p<855}w8k5H`r1M{h z&-uo{piXlZDV^hSY}N%XPve|!aR>9Z8K3)DppyaPW1&uS7CUr~$I;OR zE@S9-jn5NE>pD-Ps9W4YLwETsW_9YKi;@>$>xYfWH{zr&aTTX@jX#0hM~uTAjOi}- zk=Mzf=f}8C^HR*4FlN|>1-ihSuuxZc0*iE=r?FVKxPuYh> zSgs5Fer&zRoVkH*y2&%xq1!x*N!{bYOPnV<#lyH)XLt-pb)JiOK$p0RW4gu-9M?^r z!AafbS)9^69=z0X*C`&x8J*!VjBGbP7qLW_xQbC-` zM=@`QHQ`lQpbNYi3w4EeV3BU}3>v!4r_t0sUhsIwL#KEIEuG=zn9&8^jMDELlXsx3 z>wFXy-Qts|>Mr+D)5%YGeoW{zkD{(~yb29n;LT|2TG)o{N6ncZL|3wFX?-Qtrd>n`_E(aBF)8&q|gv#9ADk7Gg?xQx24gl%Z( zI!~jiTigj>>n``v(#b+=iy58fQM7fASD~W|ycu0x;T;I?xpn>0Ove^9U~V1wK^(Ka&8Oq` z9zS)+``STc@+iXoIUbK);JdM9$~<{0Zg28T>^7gp*dfR3Db^NqYs=Z#Ilex2iSNUi z!;U+jjN7|>E_U)W7bTY=jFaJwIDN$H;*Z7cb^c837XM@HE}xB^JoTdF=P)-PUK%^c zD`OXUQ|tki z{#dWmoW%y6<8f@(1>S@$y29JBRo8hM+jNUhV2AGU;Lo|=e!{iDFT%1<8k1Kc9E$?q z8N0$eV%Pb;*e!l2c9+k_PSVy1bH|jgjGg0gEclc;a~YkZ=FAgV@@aGCX>8Cf?%=rY zavvvk^7GaNr*xWMfzvwAMTF-qaW!_08?l@GkFmRaHg@N3XCr>v%j{UJY^HLnwIUdJJUEoa^{fsr?2`tlfz8_(3E$+nbavvjq zVoerX6D-kb&SJC9@i@X`3tWy};fdIFp2m_tx8~fzyw6&5{vPT&^$QmzSKyS+@bxJD zh5IB|v3$n;hd+VEe`y@=@}34I{!zna=Y%EY~HjVuh~p z5v%fzCKmp^F?lIY=^T&av@Y-_oY57& z=sCvMDSkFm9qY#L$B?e`{Wzyv+`+srd0sw?1vj>n3tYyOuJ8l~ z|H1ftKbGk>&!VDxeCZX|PNzAGGdjoP7&&1~E@OkP@OEt0O`bt=(wIDptnTq(#<9>T zz7jQ^<8hqS1^ysX51AW3h$-FX*}(rW4i7%pJzuAI7=4}LF|7Yb=NlK%&?T;7sOva} zZP=ijJc9>xo4<`?I(eS^8&>_3*UqoPYMtkGSg%W5#RgsDgV?N_JcBK|%@1R%?(yLB zont!1!`PuSd<|B7+4#H;t8|I0SgmV(5XW?rAH;Fp=2@K7J-&2_*Z#^(k$=qAqubem_<);%74 zp)nseJ`W?SGkguU={y&)LzlRUp005N;r`s@nb>WfMfSAuc`)lW>J*P)i_Y=N@bx#G z+q?-&bcMHLRM&YL%XEu7SgyO=#|oWX>6l=ZPV-e*t#dq%^}4{Du|e0kffe7hCj1~) z={C<|weInyzwEI(#Ulu>F~eiA^SnNGiMM0xx6C*PfjCC|l%Oa1wR z--@2D@E>6P0{inLnAORP?T^)uw?DrXU0vaO5T3Wr_r-4UmoW2f$B4g!w)V^U{a#!5 z;s0=q_)0YTjuF2eOK1px*SgE+kUr-a6_z`eT5XK_>~FEM94pwqk*$8?TY;@5hL4@d+%^UG8I4CoeNT zmgzJv#d4kFajeh<-h@@U!rQT0*LfQ2b&F46gYNQKY}Uy#>w_&i%}cRW=XfQy=>nIr zLsxh^CUu?f$CPey2lwhOpT$v~yxjcpfKGE3$8?TY;(x=lgM5xA+9k z=q~qhPA9K0KKxc*zkAXAu|Vf|91C@UH(`;k@OCWLb)LqEZt)4s&buV(@>%qB@=D{Q zuhYB~$$aDUN(|}(Z$e5}csqu4o$p6lxA+8xb(hZ~qmwb?BdgQA6gi#al^D|n-h{la z@OF&rI^U0iZt)2eb(hbgq?4YUFZAJ)h#}OS>5Hc=;`Ddiq?XOYp#a^oYb)4UWpo#T}l(*@pyysq$ejO#kzkAiOV zX>7aLpK*Bb+Vl6-6c5MF@GEir3GVZJQ|uCNMYs>wcq(?2XJWVcbnG5K@mH-+%AEN{ zv2*--%)JNk#@H2}h+Pkk-Qs`3;wPFjKmJwcJH^8Y<79Yw>^!fHUE)t+@~5mVKNP#m z&&pe?g&xb-#?JFvgfUBeSL_;pGIopq9>pg)M*L{(8md{^umH)1#W3$fcg8@tDkf1UgM zB0rDK&p}UTcno2ld0rd4#2*X&H0L>g8dJK(ov_{hUH(q&{+va4t{lG+6Sfz)9Jg0^N9;P^ z7rVus*j;`UD~64~()=+ue;$pUM8NM0wMx1Z_-qliZmmiIt{Dw8e+#2#VvGZJvUE=rR)D_0$ zM%>=y6S2G8kDU}8ckIYG?tBfx_<1hIF7bO~*Z7ZPxA;WtE+^NWe{AqmW2bpEc8*uZ zE^s+^g}2A9^L?>fd@^>I&&5uzH$LXpftSY4@p$Y4Z;D;vdt%pvW4HKZ>@J^;o!nr2 z%#F`WW9PUKJNSLEYkV+vliRU_ACBGQ1*^}Gnd0Ht86JzB=XJ45d{^umPsMKXvDj^X zIChUOT@%OWXUER)HL>%&Hg<`(#;);H>?S`LyUh>B?(wBJ#_>55J9sR1o;Ss=@I>r7 ze;TP5IJdbIw|Du{H^(t~ICh4w#f<&)T*Tt6>yGb6UDx;^8oI?DBv-m8^W%%|^E%C0 zoYXnK3He|4S&JudOxJlDE0!9EJJ_bX+z(&tWUcECi$;yfSrl}R$1$l3T*f(F;R(!p zk@0yN>vfAe*r2=I$4Q;M#rQa-)11Zf7aO0)u|gNPjBrj?czf(RPseU?Cw7^e`!Zt+)R_xQ={r7e>QfTza2Zd z$vR+eo}7)H1u0xvoSF@CTC;k`1;r-z7Ip!I7a-n zxV^`Nx14`$iicxo_*Jpo~%>kN;fqVrrtUzfOw@@w2H_#i5}$zMWMce#(6PHs16Oz1Sf6m^~Fb!g}kSJBk9 zunm>h8k1*G)oq?dP4{@g9mdotz5;cf;W0FHo{Pw?Fg{n2(=~2jOgH&Kbak5_#;oq~ zrEl|jTc>y!eVyUu@QcurJQp#jOI$@t*Z4jZe$6^_2SwfGK1w<%TN9LZnwO%Yb3Bf! zE^rw&UEzsR>SUwwF|E^_MN8-S2xfGXFMhjoPp9}QY#z4{k7J82 za2Z>5g(t90*LfN{bc;Kf)LrglN++A#n{lr$@#iq3+x$HYzQI15%@Zk|=B43lo#Sz& zb%Dzm))k&WM%Q`4onD(x@meg`CH^oLuCxzNW07ug2SwfGa|ri`%p;G{7~#JpNpMTE=rz) zxiR@gv2(mKc7Zp?uJApv>wJIg7N3sYDAx;6QWvAf)loow;%#}W3)@HMgXT#Q}fyJOe*lSseWJo#bF z=pH}yUG67EbK@J)&?UYbVf&=bFP|$fU zVp5m5iqpEr4Q#&2_&kFxy3Mob=pGNg$Nf#G_}Lh}+4ww$WjfDAOz09em}uf)8~=FFS0Kv#G>7V0L?psU+F8_+!-e6RCUr+65Bo#8Pg zcbYR7F{n#iMM~HB6ByDh?jWtZJn%ka=@dU38{T0|9>Zpx=XKbkOI*cPUE>C}=_b!$ zhi>yMCUuVo-|ziJr}zc9SLb*fM|FYUjR$m%8#tz${6!qsUGC$g_5<9>GjK|0cnm`o z^W-AZy2Mos>lz=#gl_T->blLdXy_gfe!!nEe#<=h*{JCpk7Gs`xQyk$?RfD7!hNI8 z)1hr|aR+_f<+G^1)0{u({)3uMa~2ai$K$B$0+%to#rQmd^}5c}=)TMN+`*!E8=w0q z>f}S#01chyEM|3%$1(aI<8v9Cb%pn$rJFp1!KyXkZzH9XZN>@c9FL=~3tYy+t;XRA zEYfwJ4qxjQcW_*HxsR|`$?uvc%I`Bz&Z44oJdVCDa2dyI#^(tnA2dEsV@$WWgPQJg z9}_zHu<_r?x>5L@rNc+MyEX5HmS5%y0$>ideAI~JUa9bAY# z=hzjl#}01A4(`SdPCgdL=XC7gT^aA-a6NW#D|T=`Pjjw*uk~f z!OhrRPIkw+aXNM(ICgM7c5o|pa5r|3QzqGyQSy9*?HB%cVFfO%z=ajKumTrW;KB-ASb+;G zaA5^5tiXj8xUd2jR^Y-4Tv&k%D{x^2F08*4%Xc`i<%GP3v#I?M0W}e(TM@eA^AhH8)*% z+jDMOd&8}_+;+>28=iB+EjRu0b+_Gg`5n)_EPc~;H?O^M&21ZgZOyH>t-a;u7hU$; z%QKg~`0)v) zW_AA7wRfytzvj(rZu|Z}{NaE6^0J3+Fp=eJ?pU)vy*~W^i!QtFwySTxaKoD0ytW&!TYuY{%U(Qs#rMbl&kz3o_&>DAe|h%NEB@15N3Zz)i$1>wtJjp) z+`M|t%{M&uT1Fq+vf(9ht$*-5kFEaI9*TJxgI-nRDU=RfbV^v&1Zi4xthg+F$75@M<>i^npPzZ*^RB$yktyAJOL(ET-1?GRZz!(au;zvh zx8G`j>uy^8f+hax?bokgd&4zrHm`@y89tIZ zl0A|;GIk_?Wc*0sNbyMNNcl+RNcBkV$i$KQk;akck?A9?BQrH2UzQ_t3O^|5-sK3*@>i}g~yT(8ut^;&(RUavRm&H8k`RiCN1 z>z#VHK3nhA`}Jh!;Lg;}p`GcS!#guOvpaJ;$9Cp-7Iv0)mUmWmR(IBRPVB7jZ0v0A zoZi{mIkU69v$M0ib9QHM=isi^)XY?Ssx#G{nw{!R^{0|UgNIUwh7P3`GU$b{ntP`AwD!#GY47Rm>F$}`)7#VElk6Sbo7y|H zH@$awZ)R_HZ*K3{-u&M2y@kESy`8?QRX@}?)I2nOsC8)OQ2S8l zQ1{U6q28hXA^gA_^WM+4JcV~BZcVV(PS(+?QmiCnQRQ6Q&_$7wP3je&@m~HeL znf=-Qx&34N^ZUp57xowTPwcPnZ|raGpWZ*azqh}7pmt#5K<7aB!0dtEf&PJ^sr1zF zRAwqWm75xy%1@1(O)VVs%%HyqoFri_{9?qpH;>u9y}jf63j4yy=a0egq0FJ|q1>Ud zL-|AFhYE*^KXgU=BGZ^Gl#Q>bBD(c=MRq`E*vf%E*&l(t{ko& zt{t8@TtD16+&t_&%nv4;A7?Vwytk{rE7?7`JGFagcY62m?#%A&?%eLN-TB?)y9>LE zyGy&vyDPh^yKB2AcGq_|b~krV?{4jWY~^Nm_jdPp|M$oI{JK`0AGOJe$@*ktvN<_D z*_xc0Y)_{54DZS8$?nPR8QYWJGrp&=r?}^_Bk=$994PHA@2%{u?yc>e*jwM**xTGY zy|=ZuyKi=1Z(o03(im){8bghAW4MuNWE;80SR>yUZxkBEMyXM5R2tPrtufK4HyVv* zW4h65%rx4KPUHM}&~GIB2luD;5A9FyAKw4Jo)xA2<^7fY)%~>}IzL+bXZE-EclLMZ z&XoTC>AoNyzBgU z=f_DM7&?$XkUubfpm3mgpmd;opmLx(cP`csG!8TmOdn_+m^sk?p))f%ICwC1aOhzA z;PAoB!R*1@!Lft+gX0Ga2a5+w2g?U52df8b2PY2J4>k@q4^AI!9h^DXKG-=}a$jit z(3O;Y|7`P%Jd=CFd9-VASIP|2=9t-)-Id!lwkyA@wrgTneOF^wbJz5))~=ad?OmN+ z-CaNWeEZR7+mAliQa|$U60YC>dY<(r`;*C@!9A%xLwkO3zSV!^-6g*-T#LnhrG4dn zm3`ruwD!&HYsVw=zvKM*QRm0`<2rmGb0B*lcVO&49pV4GN3;F?`+WM)V|SLMHkd4N z$jU$BT_*kg_mdwS%dYo{q(12P4=2eF-vz>T`-AxxrixRgc<-%EO-$9N8dJ@=yRcvC zI(NQT{@eFP1Gfj0ZB+Yj-}Cfi4)q1eELkJ(<>TJBo8G50JIB2r*W&wcczn(kasGOkaSfz? z=x$qx_tyV>wx_*MgnMRtvNPG8oSl5^Ob+MqnD?oM_s5R+!(s1$r60Q6&F&rAmv`=V z_odz2#`c%?=MJ2|(!=q2?5NDnz4s4!*B|x{Q}Oalmh?%Zb~?|~QocVPuCtiXj8xUd2jR^Y-4Tv&k%D{x^2{(rs#{|DYu BpO63m literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/netId.dat b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/netId.dat new file mode 100644 index 0000000000000000000000000000000000000000..0d380745e11244bc0f28825a2e59ecb868b98ad7 GIT binary patch literal 108 zcmXZO#|eN?6ac|zINE_ZT_@kdyEf4?(x_7Vc6efxPo zzu*7o`H-D?o_XeZW}caOX6BhWhsrCqYBo*NGVm9VYuXNkd`iXdiGR9~JnHO+M`=HH zK0R@VUh(wA+OoPP7!TKJH|pBw?3#91J5O^N##rrY5RJ!QmZlZuBFtf?QvS%eA?F#= zI&V!$Oo?`pUz6k~bh8bKXcMhDg$U77t*CEmS#>S3Yx%Pse=Oey0?DUTEA5*aZWeL_ zMEBv3ber&(@~|G$W=h0vNsNC4X}kOe>x%z^{)$a1ehE{B_|k?E&44Spsw9 zE(C}1_Z|FwUZ7HbKsR%afX^L^U>lS@4&f9kJ@o|%kqZZv{Eq>n+kU>LeNGX!0U zC?C>UzS^O5r3FkR-Fr*GZVTCwUqR#M`8U54k5^vNZ=V2;?0o(9Qxmb#iI_{p z!W)bG%xvPL_RoQ1&lBMlJ2@Z*z6mLT{#|iA1Nvf3Gjl%_C9sc;vLNI+P(2AF!|^o@py4x_f8r-4Lo9R10nKz zmlgNz%m&t5yKKesn!x5mw*l~(lis+V%xm*K{+>SsqA$D~xZmAFBN7Uj8Iy`Y6EIgg ziu(=++&`Fb( zY5xVH#V>as)!kcYQcU~zfk0)qv;yk4-}7l%Dbs!{@Pcd-5_(>ajAQ{mXJ1cNru`u1 zI2I$zU)=XF2(;oS;`;{{qtT$HUjq@(jcf%FwOdmIBl1bXgYKl5au{w;LRw;&Gc z$gKsZ^8O53d8)P-DyWD~nhmU(Jq5pkXikM`pURk-dp3fB>1bV_nR_1MQM(<#{+j!cRn81D8UaY5ybOz~;TTf%}zL2S4Gx%KHfnAN1$wFT3B>yFNI_?fWIw z6nl^z^&wyWs@M_48n;5vJ(~72_HlNEp8aT#oo53Y_+SMYhmk50euo72*=)y+-+-*% z?9~VcUIkIV{ZbIIf5dizC=h)%o@@UipoxTfbDJdnVg&tm4@fC&;M>R<+axHm^R7{S zrE#mf=YYhWVd308eG=m&CimC9kj`GU57^ju@e|!Oa5b2z@e6y_Z}0dNL{Q2{OF#`< z5^MN7i(e}2UBA5=>7o>`TIoKOjv*U`rVbSZOx@JxW6brfzi>We_H~4R&Md_Tc5-Pj z@a}SQ<`A00lSG-XO(cB@Nn7Q^`7sc^D&G@`hV!Aw>+*}DzY0X3AFwS&y`q1K{wD6f5;5zTj$N^=r~{K=hTkmB z@a`JO@~juD_f6j`XG`I#gnBO$ncq(xBH+T-FIZa7vr<%4&-9)yAhI%St?Mh z?h?(t<$fT&-E+}h?qsqllLOH$`CXziTk_jeBoH@@gfBt`lyECTN??|Rgl_;TC48C5 zkicY0C}M~@co153AZ{25*JFfH!VL&1fmsp~OdzF%%}j;_CR4&eS_Ep0>cYdU#0?{% zHB(Ahhmd5Z(A$FTWH3G66EHGE7JJYkGA5k^Mcp+&!7FVVJdhTnI@}8tAQ( zEOUVAo(GO2m}D}E%k)66c@!2kV0udeW@(8U)neV~GZ$oVfSF^uU)P;EJ|{-^4oZHQ zKk?j=pT{We9ymkJY*-A?mcZb%Hv19n*PwT;zchnEY!2o-Xr>Pd0=@z;DTzp@EJaF% zIVRA1WBzs|2Kq@4Lo0<>NQGiuqa%mMzie zea^?d(RaN^e(f*bZ#rjWUk|j`xzFFTC*tmTKeATkqXf}ziU(zrpLs2;j4rIqpN~B2 z?b#QpM6Tr(Y%dlKWL*foW|6BXT3p`S4)Sq+*XWAg%QO7ZQ?P)Fl*YdGA!{lb_UjbY z?-e>3ypdf6`H366k2HkRX>Rs8M|(}@k!SN9W+p6Mp5~;%n`nzI0$(s_R}5mG6mldY zU87YXX%I6=?ZLjV|Si`B;t41bT=@na|Q_sp7%P5q|=Wl9Xgc2v4lh2S1P+ zCBt-WQKRIO)F`2Jn&WG91^9x2M=^+P5^^LWU8AdzqBNQV>XX%|2HK=X?UxO!(KoA( z(J1p-8a>Pi9;%A?6KIsABs+-k#2Wp@-=s##FkM^JDEUyT?4yLzX^yYaHQ);d`xS%O z7la&%NY`jPQj|vfKz*_r-Oh61k$v=MSaS{2N4Ehzq>nNmvkJ?hcu-ZupFpGAkW8|z z2v4lhUmcYiCBt-WQKRI8e@df-(rJ#b(e>a923?9l?CU~~M5Jr93n@yY?Vvtcjjm$3 z@JNkbi>2}~8eI7HFBQ<(n<**vf0(yu>nU7hiQ4wJr zsv`ab8YL;o4ukx}8hz(|sZlaa*A_KOKKQ3J%4j;x@iqEY@CAc|$V>*YhlL!8NZ05# zq$rK<2j$6Xv=6j=q()!8bXbk<26~7_`;dnvqDHqP{UAv}RbNlLP92v4lh_+O<) z`#_nlEozi}C|=r8Lg_Te*XZ}a7Yw#42C*lF9EnKR=ys%3m?Hzdx8!$$I?xY`&Qd$M zO#^x{R!`S*^%O1cK1XiSeEIF9;SMePYm1>(?9H4{V$>gb-dFsDIc7%gXMlA++w)8$ zn@gHySc0}oHNvmMtXwa)n~O8d>D_y*zCG|u8|eJmsz#Qi*1);i$sZ^WQL;j_Wh~P=`_bA3e2^f zDsco^Yp`|4qMUni&uJ)CVlTckfW7!clrNt9JGkXgYVO5Zry{jpH%IS!Gb@_I#lBgd zAzGR{@N=**#u}ehGsl?OkAjaky3gy}&pr5&XN#ZY=KI^gI(K_}o@L|qMOH8eYk-}> zj(>A!a2VdM{_Yp8ok92B(krnm0N=DG82l!j)h|*~^mZ`<1JNJn?-TGN`TIpkT@;K5 zMM4+PD(zW#qpVj*q*`W zczgD{d%g`~ZmaJ>C~O8Tp78tp7cgQ2{2eBlFeia%Eq1}y;3st{Y}ina+_8~kCPFc$ zN$A10(C5O+$dDGz=6C7Rq8U8o={XD;vFY#@@Rwlr2-#_8&){_x2o)6!*j&!s#8&#Esnhbw9jdH&Cv<#mNdub)1AKgPzh!t4t4G^IMf{?d?a6NprQ}tj|W^4 z{f_i`0WJ{mkEQ!en8l(~kuzZm?ka;RfYTDDpnp9Q%x-DS<$eWtZRn7so0>g}qmSM8 zuxL`%Kb`rh@7PSXm z5w)~=FO|0kzgMZj(=6K;w@(m~0&vy{&+rZ)yDx@g>_MhN zfJjBVm!_BoK*#beawxtt5mAc-pI9X1O^+5O775M@)J86K+&vzU#_kvAOT+DgALCe) zb!4?-gJQTzM0zVfiPKy>)V0B`C2F-e*Xx2RG9V0tDUh_g6d){9P zJw;!2e&mfF@*eq(ulV^~BvhKPNqCNYCO3MehO9+kGK4O^Yt(JS4Lzs~CcPDMCKXN}SoA zVU~3Nw;nwV_WkZ2itKqG#+~%NKb9_I&=!&QVsBnav?S2mnqhug^Sb6yE_A@++=TQP z4;rml|!MpX`kT8t<5R_W6z3y@N2P7L%ulHbKL^p9Y1z2=ns=(Ip@8y1BP`5C@w zNb5e&-5t_|=G(~=kJ+M`v%S&xyv{@Z=pVgDo-2Oayky4w{7azpe|UTT8p**yeI$f} zFb6YHC970!R+o580_Id|98&=DRAL5L&f<`cG*zUT<|>Fztw4Fa5bAYZP|@26RZPk6 zc?<5yn?;wB+|up;my|q;&CaP_bHpGnBeqiYULw*Jc`;I)C0?@x4Q0-DMYM_k@J^gDu_$)FbQ60l7M03POhh`18A!nZ zpvlNVV!1T{cD;qV7SMuV5bSyfz&x)Q2wV*(H_~2n9^AyAosS`m#fS4l42L7n`HElQ zmU4!@5qO`ozvm-m-S(k6Dl=q>mf;l7huUX#edeMJa~hh)7wtdtLeEF;9j@*rt<`GRbtK$^a47Tb0;FvDzt(l5Xe@ODe%vma!9yygWkJ_|3R&RqE#gbzg!*z}*0(1)}b$ zaV7_%7|jf^$Z1C?*b^bxqY^k?5$yj4%Y~wlJx}HXz0LXUs(~ssf1bk^byxI;U<9)A z{oEP`p?jA&(7YSuvGc@ekR?yos7LC)4>DQRSeep25$Tj{C1HRb5YB`^zaJz}Cq(+9 zm8fZ@v_bypMA{#(I)vROs7QxB{-mepu>t<*cRuZpzU@8oyubK0*ohg1KLFnAjQO$g zg)Mq3(hl`AC(9(Pzv2?;eL&!SW+n6=f=d5@PXF|g=e#|KA~oF6Us(Zf$yR0qX%|Aj zInn1jkCw5r_gWP3T)!)?qPGPK3ZWzaA+jKLz0i(ij9O7}11D{InK38|>M>CG#W_R)wvKu4ps zkbX*d83CnRwVwd(b2_YLc#hX~%ETwV6USg39)XjD_q(VE=_V){hgf1gd{YV5!*Cp6 zJ^WBJ63p)LJf4K|4g<+&=z4etIB`9EAvtnA44TA#sT4@D1e?QDu7{b5*?K!e)in@B z4v8DeeYzpJ&qGM=+XSszZC?VU;69tF;4V_J>ROdz`v47PdI78$i(G_|dn@dGcuL8m z(wRUBmZS-oG>X;<=b1$O>nEHA*Pxqq-(#)bH}9qDuN2F0)CF-Z#swP{K;@*j@VKO1)Bym7U4Y2#DF~zX;B$jGBW8S2Rtkw8OjB?gBDFKz;{KeFpor5iiNkLWc&I} zhc9oWFX~9lB`K>|EF%7Htzbc$R_(#hDY-1e;60SwcMQ#!kJH2N23KU?h73Zvk6TN& zp&VilASFe)TS1^!z*4!e#i`152<0aBI9(v;Bb9sitYMXF0-dVd29&G|nWS{8Com?hAaPps28am5jy8XkYO(eUi-j?fmN&MO zXwy7ur~a_XfoSLr9TM&h^EA$^UN~ru9Ps!2I&wNM!F?74EJJA;AA#W^wY&%mQ0Y>KQ_spw!g z_?$VM)SS-2+bq*M_#+l4-L4LPODM_f%JD|`o1eG_*}v-%2`M4Jzd2S4GR5Fz&G zBE@d=TMKlQ|BAG?{0y zCa}Y#Pg>1$fKBYQ1Ew?-H1pd)MkB(p#fR*-Asov7FJM6SA0Q+R=@S=O;y_C4{8uJ} zJ(DfFyyM@r%UeTGLicT!U51~N$_UV_B0|+_oA~l6yBYfrI1=;6YXN4drDahYl5ZWpJ;g(Hbdo zK1F5>7U9a^Q0aL*vIHY#1rMUOBPr1y#q>4=u&feyPja~LRd-Jo#4ePz>;t{1<)^TI zbQVFJvv}~!s6H7nVenqEBa~M6!J9C?%z0i{i8-4jR9O0wW;f-;YvmXyF=1T$3t?Qdp{;oCp*pt6zj@;IYw)pb zYVyQuC$O}s!<=|+_%|)mKUSd=cCWQ8QupyryjbHRxp-eSI<>~nO+k&bM2$ZHFVt8Y zg#aq{0Ezx}Sq5#5lMU^S_IZ!&_xJ3IjDyCm=WORm8+QUDZH(~qs+njc%*Pe5F<$)P zbgx9z^)lT1tH!-QgJQ5C;`xH9;m2wCoLE|Or)=H$8^4ORT$!w85f;M`&OST%1@2nB z&Kw-;bst*GE71X7iN+%Qy8M-aXfw}Sei`WfK>#n%1>kTEFAkW6zqZeR#YCNQ&tU1yn^&QGqY?Ho0C@qc zXX(;Q2dO4iAJ6>&Z^gt;XTdig`aJMdEp!>qhmx^}rD`|u+@wpSLB;o0L3@GjPitCu zQH42a)A^W?qp$Boe}dpy-vGP#^F+9Th(C|AtW?XtDj-m(1-Mgc&ZFP;88{h_M6MlN z1_jRm8^I%t?Zl}y%`;9`ueh6YAq2aaEa62`;p~6&!+`O0d*aKUp2-5#Uhnf4Ii`wEs>!Rr>7*4X!9V4C3ph&JS}tVkM|QW{P(GclVK{`ov0U{kPL zM#B8BgP}Askcn7D@1zxrkb<^y$T7%%lsX1c zJ~c_Y7S5RA1~`~2(hDt82U_^Vq!(GF_xw}R`4(vxdg8+Xpy1#Ytgjt~hhj2gWiBeF z@QHH?zPS@864X-%h)!5Z^xU%+l3f+qsf;3r5Oq%-)84xrX-QEpvh$jSS1VDaQq+i( z5H$l?;<7rVssCOsH99}8vb`Xh-$7>JNY9F%1b2lkb%$OAA zS;Dll(nT3k)aR9`GAXLq5_P;@0#UE}$j+@qF=JAcRN#+RrYZ2N^y!&}6O<@qXIniE zB9ZX~qW+RkQQsjuD3BS4iVCEQnj%I05c!w`O-)f(TB43OT2L!DxW{wnDpAas6jil{ zX?%|_rCaPmS46EADNz`X6m=LxBI5}}Om0wGX;Kl4r|q`L^0z~QN`(^a;2zmARh~NsTAcq2~oERQRgU8%s5ok~ss*s|73!;Bkt64(SLu7|CGUHHDSEh@)M2dQBqRfF&&K}rmi8{f!IvoZg zo?D?rF=JAc9Kwfd!7SNUzp;~d;T1~MQYp%I5~8LdOFZ{AvO}$yF)2#gz@BtbO;Xf5 z9+`t{A=K(N5Q&V(n>{du_aaL?cbyW&j7d?_2A-ZS>K_@RR$G)P_^l|a&=Pe51^yNj z4&HxPqL?u$N-FTd8Zb*L@MbCMG$jg&6tx?n$an%#Z=X$3+sFCbj7d>)61k0O$+o&qiZYZatSKn!eh`U_ClK}f2^1AoqL^{0 zsKRtnqot^?A|DHfl_5oyo`k6Dgs8KWC}tcgYBvU3O09Ty3BeFvonQ^G773reHIiOj%>r9#B6H?SCPeRl)$P&*DC{fHfRMa6@tCU*p7rYCX zD^XWVQE?FcvszsvM7>IOs1-8~6}4H4g6RWE<{);Ug>RoB$%9hPS5HFDyU2p?O(;3c zI8@GQ>2lC4SLMT-!p#Zxyznn@bAlT|D=QNIg~Wd6EAaPVzwtL! z+V8+`LYC?K9qC4Kct{2}%0<|le-$~!vOS*rh~Qwrf^q1yRYl~2IyTv6mI%0!NftsDW^u!jLa&U=-tn|%fMuF~-`R7I%l%9GyQ z<TI4e~xR0Z> z=m+uVVs{BCg%?05D?3ww^DD?k=xY|)a5p)c6vr1Ycavu#pW64$78#M_c=D55#5ope z6^z`&+iuXI;hV6!frby!2|K)ohjc?4dbJ_Bak9Mpo zkN&wl`XY0q6wUGrCZ2(xg9yC_6Iv-JG|Xj`gJ}$N8RVc;YgKu9!`yC?S^Zcvb_PEm z(mUa&=b{O>i0|L@PG|xU3qv6ao=ceC&D8YTBuqb4%$J0}On4?>wkO+H^=E=xt?%dH z=C6_I!M>E2n?xUsQGk8LGm3K#}Xro##9MF9*e}ftg62Kf4{qw-{utrw4Tbb?4BAXAm zt9VXn<+=g6Vmy1mGGZRog(^Hu=>xA~R^R|mj9=7erO&kg6=t4wLZgSd!oclOHh%zj zR@fJ+!soGG7&S~q=Z`NecN54r#9WX8K zo^OCPj7AnFdHMtSX|itN7Vn)^b|-7!obM@r1R3z{(sM>g*u3bl1k!i4Pp>Hfr-+rXXlR6_)8*W*ZZcgHxz_7?tj z8-wfer?CG*hIa=xiO}W;0X=5wHXE%PI^L84@g1qT?{>T_zJevkclS|G_)4^79y|JfnV;ETiFpju?+nb>aGvwVt z+l%$cKn-#Z?%itYAp9hGI}fH%$r8RfP)Mpf+&|T~^lh9e*+EDOp=`T12Y5^^dK8Ef z$%9+BlC!=~$R2za-%m<~Z-W}&g9mD04escO(MkJ@#fGWN4_nOAC(gJ(!J#+!ncagLBW1TerbJT zYPZYcnQigh4^nGx16#JA`rg%7$eQ+deXIK5RX5q%$+m~a-1_KMbAszLAmF(EzWV6Z z4p7Cn#unpOd~+-86W`myCtiSwW#fnPieHY6Kr}Jmitkyux6tCtZ(8+;J*y{ylOL^O#~OHKBnH;Y;T;3K|J}VW9^+@NQa);RJJN~f zYXK6C3TgvA3Vj{W$Jh_r3>c29Kfg7C0{Ez!y)ttU)^Q##cX1ZCG z6+P8fh3kTAa3^iABf0|Ueth8XLr-`dX6b=fGesFA`vQ2$X$wsaOl$NdROa16u9F|b znnDym#=(j{zbp{_pF z_tgTu{(}K?*#PW6K17HUAZ~1+N}NC<33CEwGuYd&fv*^V1OF{N^!6^WMTY3CI8yrU z&p{c^46U!<{wu_&YAiy2gDBTgFdu=azYo!uWOQFV*Td5FW`CKcC3gmZcy15YEDw`O zfFDqF12?c6&DFRyVEP?kmn~`{qIW@PY#nNEtzXs#c1*wP_k~}(fTF|-VnU;kb?kx# z)-_xhID)U6O}LaKCtPqy{+KrL+A~x_-NbXpqM+<1Me*F<&d28mA5&p}^sRVq92lN1 zd!moy7WpISh zM|4kbnU1-(`=aNy$X^EUM~>K8)a0z!v7^U!m}?jNatIHfiDlnmrm;z%LMjppkq~P| z<&f`Dq*)mz0U!AlkUvgA<`DTXkl!LQcPCi%+vAA|-+ma;!LO(97pM<>e-$Yh>2{%) ze*4d?JW!K(zcg8Y(8CJYb7^Mr3L-L1!1n-6dj=7`RoDxZqW|UoRSuoV8+eC@ybo}} zlHG=A03U}vqu;(3Q9kk9A6^J#zkR7fP^~E|YRCikh0G`onlNoNK(uNwTxYRU4mC_* z5>Wdoe7_Z$rD$w&5K1zxON+23z zEw9W$*2pU;&@z#gf_t-{;8;sHjFcZgDC{A3W7u^q>KKQuTf{za0UFbq;|j2U8{pLu z)7}6km|!D+0K*N4_uH4?S8Awh9@_1fa(!aj=K&A3kL$NjL5$`j!EO)6e{c3&pyRps zGt{sqSH-%?u!w};KdBXlLOHw17U!#{i+An9|o_P3i6l^{iN9P2hK}S6I zGP;>edo^YCX6Ff!^L-*%lmovEl8gJWWJ-HD9vU~#t-K;ULR8JMCs6ObD4_4!JeQvp zj=Wji*SLA(czj0qmOd2CF?9dU{3?gf{m^BZ?uWd1U+O@((EU&!wm|y$;wJ_%hxHZU zN;=*t*i}CF+4WAJt<+I5cX!9g?p?ZX?z0`gSEBiSRZ*V#wp(#i^NR3jm5|g2zkiH0 zOgpcCg+Ye;{&D2F#?2d0%*fLyMk$I>g<|xDf8l=U0E&?_zWBhPL$*g>!TY7>dCURaYV|w>)6k>PB9|vzr%I^mA$}1q{Iz+6M)9Z7;OTqf?&GUqU{?NF26Xm`# z_=;+8=yQIVmH(n+^M{7dk8)RMmPel`pS;Wc&;p^veNu@AOFvSM2ddHx;9GP1D(CL% zaM%Kl^0`lRxI`TebiB58@D|#rFPWwJEb)>3y9Ul?lO^mq^czTBFJaSY8JB?pbTzDc z9qZ2GqUA+3#)Y-9RzP4H*n|4j-$MOFUVu7oMHGsv5kaCk9#zfp6Zb=FMQgyc+>6F2 z2JKZj;|E>VcW6`rrchgJCXA)%Fi`VjhR1#eQ}OYoDDuSGXf;qOv- z3%+j%-8qVGu?pW*>4t(|SFlBQy2Ve0Ux#Cta)*WwEBqLXp9+7d@K*S`f~Tu+w+gRN z;W+n3YJO@;`Nu|H3;L{a+pMnhmv#t1`WyK?*W8tgIN<~uh0vUe8 zFT*mQ4F7tO4DXmD!xd9x*jymPP3Ot*%~NHVvss4NNr?w57x7@_Djv+^;=%MT9xS%R zgVmsTMyb%PLRfwAaNElVHd;KKr}%t5P%6ScCD+1hRRXPPt5oTC%J3=`zOh2W>zB*$3(I8K{#hAX$oP^5-dtQ)29~|mz2ydnOhW`K4Zr8=_NB} z%)v}~4BgD)88c_joHKLwoZ$4LIWvl<7tJch+M%hlsbyL?Sl=0BEVw$fc4}xtXezN} zF)dZpj9DeKi)P_>Zm?+PtQkecbBgAcOl{!*Tym_uVTm=ZY~HkGouQ7-X`AX=L)T36 zwbe&jLapJ>X^Wb|fynA1=9U6KSsNXbNxi6x5V2S~6KEJdxhe)>4Q6V<^7G>1L-uaLrRZJy4Sy5?rY#D9boYDXga zQ>ejHuxyFXD#fzaYg*gZtI~^l1zYOEYf+hk$@4suI{CjRP3kO|v}THD(wfO0PeDg0 zjG;Im01WCsUWmtUd@&xs9e)qt?-Bexg}=|>uM%ooU$}nuOb`AOrf^eB$kSNY)Ewyu z&6Aec<5}L+5suU~Uls{r>E^cjx^PolEB^zia9e#_vj@8GBw|tnKYuKq6Xjdo6o&pcg;MFKwyXc}Naa5X zd)3_5y5^HDiIS>}9|yx~plVwZecQeGubS?{e-m_P5;yifO75+|ze^H_1yE5NdDx9jq1uCpnVWSGWRJc`z52$dj3V)-*w^f*Nx8y%w zh0|5IP=yUDY*t~H3cskr`&9Ud3awf`rQnxT_@)ZqS7FBYB;{BYo~y!nD)gzaT7_4s zuu+8_D%_;PEh_w?3U5{6HWl8Z!XK*eVHNIG;WH|HQH8Io@Q@1MSD{tEjC*9vqr#ag z45)CG3OA_mMioAw!afzgsKUc4%tGHveLn6dQX3!l6RC&e^^+SkU#J;N%`!~Fw!b;(~Y{-Z5?6lVgp?r z7)@JbNM6f~#`cb;)^MYCrO}8Uu4(l~<9ZAV#Ci=bF-d*1(GqH@Z$~TLZ*V1B*NkHw zqchym9BS3HM;L3Z$I|FIW1Yng!|$Gq4V_KFhK?qz*MjRBn>sqf!7$d#ok)9Lq)~6d zh7ef-_lCf=%kdOkUk7F5J!kUh2(4*CC+`T#O&4ef)A885)km!BP<(6sl{)5C4;o!W;I7ikS*ONjk}Fb*WPvpn3i*301^#HItfa%B>~4jYYi zbsfRFPF5+1r8GL9mYbHwdJQ4i2EPAGf0z2Jm-s7YOt*I6Vrbq))!x4Va{raT z=BCwa(GsE7HBh%zc&P2;=l^UC zs85Akw@SEly9`C*$3OqS)xZ?g%n-G6$U3U=K6%9ORhIN?&z1jyG5$+tHSTu~Pp(P& z=cZcpmK_;=Li!HeJdowtuI$d4C#3&HiABF((eFJW{V5k)^xLj16_kHJA^p0F1pQT# z-a5Y+noZW%w#1^}uIRsJ8%il*N&ir-MPIc_@_$P<$}yQF{exJoNd1)7OZxZqV@L%; z(nsN^R`elBf8z2Nuea!{6#cCypF2s@_MNS1+%Vxkaaa3S?LDAYG__WyV|SmUX~D|!C5u)B%a_#D zdMhe|KL3Jci-MKj+JL{hT6;DR1VJp}*Q^g>k4UD$wdJjyVQxpYkA_KYZZB)WCKikI z$@$0TZLL|`wjKmpEfOW$_Ihqhw9XVnd%HkUF=vR1u~PRShW@4nTSM#Rb_~E-BKc7y z-)|*1w5@MyZm6&8XaENP@j80Eq0!Q{VCdXtXPLsy*x@vU;R-0uB;2sE6*!ztu^`VA zC837U2JKx7M4hpv%Yi`^sUd8bFCY-y&>XDOd{%Um_6dsw`^*OIOCljvLXexn)pgiA z{@lt`uf1SJ8??iSO4YDD&Ed`l?39D>0YKxYqYmk5D7s848=BM^X;VqmLmB=IjTZcy z8Mq>gza*dfwRPAKZ_w(14O+l;1XR-}+oN2tp<(|X(hbrygzB+74z{;pUkf+ELgGTL zX}BKXq`2f}9E?sA%ua*VzZ)^pxN4^jutFqqN~lGn6(%^S-S&e(zym=S!2l(yUHEEj zU0XGWXl6;thT?-8K2QLsjMqSaHS<_bfJX&`hEQW24ty*m^L$F=d2fNHeFPFwvmpE) z*kK1ncZjqz#O6ILI1EV=zJPD1rogQsh7cT6o$!7gNKIQ;U*8Nz05;zujpA{U3Pt|& zBIpK`9zngK3B7Hu72Tv&%V<5Y*I3a_%&dkE=e%BwfkymsBMm2tJnfWI3a7Xqql-tV zvG!2YjFQf{C%b=4#2z@rR1=lpUt*&bh)Z3#{GbQI6R?Twk5K6UCe(E)j~T!`K}P5tq1M!hy|1^S>QE#z_j>If!QlHnY& zR0x}_t_u+`g7EN(^KbN{9J?)o>7YH_5e#d#v%Zb=495r_>EehUKao~?>~KU4B23Yl zoOD28Pf{?!zMgn+$f(i(L>9(~&b2TTL$YVs1(yt&oAFG?qae?8a66EZF(OUc2*(JZ zhAV|5K_`ln>9_(-?a*mConm8=>1alLWYE8|%wJVozH|u&P}$OIpLUz${E1M%E8#LP zqrccFaPYr;Hu6#u7B<(xiET_)DH&OJfTMA~gF=ivN0Nhe3}Ia07zJx#YbWImo$(7Vmk6{FDcU7Xk(c^)m>k?EL>B901{EnQOUUs7d18o>L#_jgFDs9ztiTS3&I9ydTB<2o<$#GT9l$dWR%y?JTEQ#5sFdkRcY>By3 zVG3O8TtaE#F1yrEk*jh;S!ai4d|M%Ax@tme%22`Y*zXl(Dj~uL#CH{99ua;Z?pBD4 zh*${3_Y~q{A{GI0k3y6ZQ3J%i3bDZDR|UCG$@RH>9YvaPzbbD4V6kRApuj4C(>3G2 z6u1)L49)ny0#^Z?tr-t0a4o<&nz3Dh?XGGpu#6ulaD%J59^elJXv^!;UDXYMf282g z16~dI#|qvGxU*d|exl&pm=5@-3f{)_Ce3(A!S?`e0K7xN+X0to#!dz90614O9#&u< zz+zPUXA0g&81;Tc!TSlL;*To$MZ&20&lP--@J!A4g@OkN&(e%O1s?|7gwpO(@KN1e zjc$o*8?NOz0&451RtFB6@sfRuOv+SA>@gi}&6@GD%Dv6$@`YAM)+7>MQ3>}rT|DDk zfFqCkwY67#kGEvs0%WKpoHwpz<9q-~YbXV26!w+gUo`OP2tpOY3?imCE-X*>Yzh*qejCK;s3?uZ%klQZAN{mCNpiIZ7 zku66TcGi*6&=%oAWJXSgFs};Cble4^(Yg(bI2_Rod+~5Y^l{K+I-bI>LqCLH=a8Q> zP-N##kO`{4Abrg9h@KLxES_HC)pCr@_Vci9a#ggg*No5FLm;5p4K%G;s~O$)S^+yW zyCgTZ*pC1+Hn_5~GFanX?hksa{oWZ^H5kSX_Mc!QB@7k1-HJABBaP1~gQ2-7ZlR&635R=+qpH;3{z##ROrS=u0o9) zl_|nhz{Bbq%-I|vnt?ZV1@Ei`Zw$#VDauP$7-RPp$d;*le;Nfuib_1FXpjN&gV zYmHc#(_4hbFv+-9wzQ<+zhZw3nzZH7I4Nzw zNZe|FPGW6ZE}M)r<1Rqsj*u`@1?<*xsmwoGa8R=kZ7$=h_E}(Y>NeeY%)S72!xg}Z zKlY%;;{s$Cs0gj8tKX;@{i&sdvD+s)j3^z7jb?g6Az&+kfNq~u2$)A8ps8Of1neOY zsLN9d0RspGEZ)-!0gDF&W`k!G0+tR4%m=?xh^+zvo4j8kwh08R^0NxDT_9+e4=7BZ z#GtIdR+#+~gTnqsVGc?RO8cC`9F`aq_j!fsvav9<&@U*=R@E3Z%R>3OHXdVPw=l-1 zEo=_g;KG7t?6I>o0HXs)%wB;x{Ue}d^W9=`I8C!xSxXJ$HG3WmO77ce&!1QXqcr<5 z>nLNYSYg?#j!h|Y3?OHwgZWceU{y=Yv7V`}(Q+K4XJE@A7gP%!&w!5YuT~t@a8ht6 zFLJPiSZSq7Pw+4Tj<fz8q38;*-f3>sjFqlRA-YIAGi~L% z2;07DZ|X8u&vdZtnGV+alwf(KcMW4=P3D>GuaUOeh|(4&!8 z01O1erKuU0jH2hj$h%0(rBX>C#v;Zh;kPZ=so9Td8KZPm4O-4#HC)O>Y?U(|Ot;!} zVR?n$YSgjDH%1xQ#=9!(>ete5Yuv7itEnBw(nhs`N0q;+(lF`adH}{m2wfNc4daec zaxI_XQXR3jt!87(>b7QiIm!5zN_Qlgie&KIrjoK;HMlOdv~j7pbyU}E8S^`p%p6x4 zwu5!`@G@ddHPs{QT`FmerIXZ}8Q>9;$9t+UKqH27Tq&vSHtUx#lpQ8`a2qtNTca z4cGIkI2fuZ4veH#GfT!0M#hVy&IBJz%u=y4^j28Ux}$LBC?i{La#D)(tQm z#_vbTC4-UmM}h|xXv-45$JZ>`S@$#bbp<-Iaxh~WZzwP;tAOAi6qu6*XTN6rQGsJ! zv^Gl{r2+es!j8|nmPG%pz%#SXCpe%$Pu6wh7*k+=)+&N;s-hQU{hHvQ0?*INhIKa% zDR4^GE~dVvz^Pdm5qw*LMOhb8&^rp8p7kM94=X`4vrb{^pA}e=Rn64DDDa{zm}Sj) zSAnJI(E#65tbAGDC-GkuxG-xMQ{Pv_fh_v2j3WxH$od+=qYA9b`VGOqDX=!{9kTjB zfh)7VK=4BaUX>+0MSmB~YUHiba@mi*h&EOW7i$;}=?Yk28Xt|~SBo+o-N)}SSjHbV z-0s_<39HZKxRsfeeP*;ftlsR|q`d&hXU zTuG0Yz2h{Ms(Q!i3RJ!03{^KzjlsCvg)3RJ!0Yz3;`;ZdOK9TSxx)jQ5n zpz0lY3RJx#UxBK3Oj4{=?>JY1s&|~HDyVu#fdZ>!@0hH>m9lr7ufSEZcU+(Zt(CoF ziUONu?MN}mem;28PLkcRQFFnbDZ8H30_4nz@i6aW7T|&g@&AtYe3=94ZnNQ zSoD+{-V@~2Pt91UN}{=VKh?6Li`=yG+?x!wuL055jJ)xQqNK?%%H8#lkm+~>Ww8pI zq`(40l)y@XFFROSQ+Z1XFsl-)bPM4jU^G=meMB3DZyHvtV zB%rG_d&A+5rq#IEsX4!`l1i8)e3s7dDAYx+^*qJJX)=ylHRpFTrEMyO8mx*>*PM4N z^nw(90R6qpy=ZwOFW_2R6K*JPZI6UC=RKJVg_f!Wa%m(iu=gtLN`aMEx1IMXML1KlF|3}cWr(muvokEaT+3vLWq@BB!Po-2P#gkRUQ^|*EemK)LO4NRTCI%~ zBySSI6V zGQOj+b809W3UE}d*oJ9pOCo$1x1nKqE5@jB2nw|Fu&AEmn!$=)&7NASC{B2P^rIq zIrP)jCA&;Tg?|xRFdi2@r(A-S-kM86BRSVzUgakOpk@nJEnm1?8<~4Mx<7z&0^`;L zn3yrLXAqtAEbFqv$Qu$i&pLV*m#s&BZa>J*ISPZAF>>oAr=v6T9EOLk^6i5Lfl0u) z$$?%juh3frhL*?tBX5d>l|6{=3x}cWhcS)0c?^4QJ2sYTZqm=u zM_{3TZhMvA!sO{qu*&DQFR7Intey0HoolQl4)dQrNq>YZ#xsMp{so#|pf9oB6R8bh z%RK5-{cOmxWjZJlbt&f+1)j@?8p?1oT-OnT(-&vcYeI?b^W7P`aGTza9Ft(P!+g_0 zoTl8JA#nZ;u&c-cr)dV~vPt9=g4Y?^k(hIF*tQNQ5h59L(p_LRnWX6@I7amSO7vlh zuEj|`^?ARPD&MRCL_XU24UzU{yhszqy^D6d4uEFu)bxjSUBB#1Y*y>eQc+sLKi)u}abOUrBIfp}66%@7L+^ z)h{nh&ZhdaIvv2qmp$54aE5!KU0&JIdv)vL^Ga0+TpQ7*2_i+(EGo82CEq`~6a<=f zE&kR|z~vBj=Z2ID=&i-2NSppc;AcFBAHMiD;{`Qhx~z^d!Wc+gM%|(DYaImk6u{siwcGkHQ3oh0u4PDB>~U0?u?W z!!%r4;+2Dx8(sPjMUA$rnw+0o3TjQe5L(-f{ol;y_RdY{nHYfbI+p%pq7NZ?7Pjox zOHG>o69*dF_T~N8&unV-2?zdV5xkkB=|BA<#`!rI%f(&bJCma(DBfAoAK?I5iNBeR zP4JAt@1Z|R)SX1NV#tJm`8m7a3&6}Cf$sd8D(0Ii)F1sb?rzPw9C{p@gi%|v4*0o4 z6K3=P>#>0?uEtCP)3+6~J|Og8c*8yE}4S!bPr&vREr+*PK6ytjc+QyVJu5DDI z{z@fsUHBT3%D}kf07>VuM?9z~O4$qlv=LOr?PT^K(q=q@pGHxTi#S&6PAMf*Z5wGb z^^()j`%6aQr}!W+Gx8A|0QHO_M9#vskLmN6=7Dae`wf*q zp~6~lnXeyvp-;bEkXI?PW)gWq~o##RAiq<;Q--|puJeqci z?9@eqk!9kywv~1qaSP`f|ymz`|3V zB4LG0$Vn!MiAl^$D`nagD{XCEXRuzJy6Bh7qzo&mlS4<$y!sWKpq;iNE6K72PzqEA zE0EC4m0pZ&r_G@#y?CQd+$iGps%8uqo+)WrqWZe-4K}s32ZQRJGrcgEoeJ2LdyV=` zmWs&4@}SPb$jrj%@fEp|O*H*UuIgR4k*(Ap(Zkp z`+E8u$tZUZnq^!bJ}}9Gi|cawMJ~XiwtzQj`g{rJav$JLkn#?le(~%CX+23VNx;M3 z;ncmK6&c2KW4!`_^3tKahNzd_A<{A(LXefn8;QD4CVAxru*9`UeW9feb-C`?Teo_E zn_Asz>-$muS8rk^LEumXeo1ibC06}(VE}Y}2u0#5E2e&_g>-`Cg;xE{V+pW~6PH-^2`Wwd z4s>H^pRv*N@ISp2WsS5GUW>BcqOijIVBrlbr>2kCh5|uB?WzykeS7Y(uY=*@nGFrdk7mxV#|lSq!;4hAV8g;jWI^^dVQr?2b%vS3)yR#rjywl$S${ z)dH|ChZyxQqe`~KC6EerYh&Gc$b!PBUjMMAUjN9Hgti+=n5ph;*q$OZB6&r_<`Ao8 zTjE-VE&W=C!|^OKIQ0?g%qY!oXDb*(54gj@{{VcX?m`D~^&sk+%^$|JEai`6zQm~t zwqymi^T+%a^x0ySsZLdvHdfcI7Y7iw3&wDn1n*t8zqR4m1U6jc&IbVQR0$j^WAuL$x!b#Hug1hy?v1Qrs0P(g2_W-%a$xd(&kozpB#XUgo z*8m*bE^D%wIqw7l28)%-#kvnJCr!VQkkhtOtwewD(HOL^Z%KVveuW0tmF zp_PeZ-LL6qJpds{EbS{r1nbPiyFmKcD#>~Ucbs}NNT0~VQsgN~NaHI*D8)G{p(>HU z*N(&yhdyZ|bc;-y5_Bp}>Uis7xn9Qh)pf{7Rc`XlB0acM=3jMfDa)yyN?Ec<*lDd& zl1mekStP7V?@|c?E8)0nxbKP*IBmCVl>)}4QzqAPcPql%h9yi{(|u17-mVC>uVDvj zXlJ1b|L5yBwK_cEYQuV|^-k5}M^pZgTkZcRt~L@&h!ZUu?2a1rfK2@rbcW-u2}UI5 zIiuakMD-moI%=L{RL*mZah?+)<~fF(=R}Bkjv?nchH{=`OwMxxmzd`Wsd-M2h_R?kT zspYGWdM-x;vKDNY=1${#eTMe^|A=vtMO_bQm}Gu1HhtFbNW2 zm>5eKCISh=L?B_92+%MI3K}LEfnkyn7$!ji!z2+fOaei}Br!Bhj7h`9Si&$75Qd47 z6vIT&GE9u8873l>VUkg4m>9PV6XOZPL?CIH2&EV%g32&4nlMZfunbc&Db+ApG)dfJ zqAAlC;G`0UNko)kVtg3GM0Dx`T#_lnL?B_91f^w|2&5V&!YPI+1xy$w;!+KhLm&F; zvwn)s*FUU5`iL5&Zroa1h(7D&#s3Dp6Q}8?kPd5a@EG!{w0@f4(WmlS1AP&A@FifU z$bOp2K2lnar1$Xb*QJHqZmps20&Hl!gz`UMLv09e2-AmqLJPHD72FCJ!$KOCGke4x zvUq)}c$NpOibPt|f25RnVOe}R?xae2%azice?gQo{RPJVdzX6335^#gjr<^2eU1=* zN9eCY`^Pu24qkF}=+1Atz|_hJOsyb+sg($rT7jUcl^B{@ z#-yobEMaO12vf^Qim4@NnOervOf3=0)XJzdwTxS)mhptCC6F|=gi=f`L1k(gO_*8< zSf)0alr*b*MY9cE16ur&Yd~UzsTC1rY8fBK)Qa3GYd}e+Of7+gsTGu#sU?tVY6+*9 z+7vKhYKcoVwRY+2u;p$+7j@~<>ZuPw=o9rNz*_cZlN!;Vfx)~Ny*Ep`2qu!|Dd4ix z4rua7VyrJ1C9&dUCZ9O|Pe!BU=eco|#msYgEiu!<5#iEt@q%BT&`ZRa>QXW-YtjZ% zew0GVE@7VUF}vG30#fHg<0%TO%7L#*Gn7ad1vyQn9#pA0qN1~5sz$RwaNNklK1&g2 zRw7B~OB@KbSHarQYT*X>pIiPGeBR-N_SE|R((PBtiUeWG{}!U+Wz3LmdcQw$~*Vi`=v(+nmNAFoZJgawwtq()K=CgBu=nF1yZ zCUL0-(~-RBp%oZze(lC7zJY6e;K(4D@ULeu*Q3P#xX(LM%MbG&m;JJX| zI^wv=aPYrm&E`-Z1;=Lk=Xi7%g}_$sx0bel1F-?0&VRzd;fkN|@SfPnDdVG7qVcnAC zLp}zkXDRY6id@@_?1pyNf5iBS5Agl7PySd&FA@H~Vf-8|m=H7dw1W{FbWbm}GJ=eL zd@w>SSVoZXG$TlaGJ-Pt@xcf*l4=C0mJ}nH0w#<}<&)=L5NWuf6tKd#|lX|m`o#@m)xzr;ibi8<%4vzmZ?j3;1pq4NI^CSbnt+vv|!@4KWA z7CC&K=Fo>}$?ffaO_ZT816!;dKu)R=@rzv7T1i&t-Fq$?$;3J6zL9p^+kjgg$VtZK z$3P+$PbA3G98OuAl#_tValTB0e)XpS#xc1&ljLM>o_~O_QV;u0!-jcBcV{P|)ZuVG zP^NnP51!-B?)YCko~cj#Wp1a9S2O?4j(-HvoXK9(XQ~5yYML2aOcly(s@qnRX!c_7 z_%iZl>Z5yDnO>%NGS&8s57|(;1TI_YP3*#_Avc^Na=Cs@Kam^S(~EB# zQfaMYT6vx7BUryy$K#XI#Br?p{*%5?`xaAu3?#_M?QE(!5J(Cy0yZ0tEfIY`qRa3J;%vHM z$A9uaLG)dS&fV_$AE)LL>>uAd4(No4zZ;*J&?3e2yZoC#Eju_lRtbXml<{97r?T61q!qmV9{<8I{9bf5>iaqnxafKW z9xXuNW(0mqfdm5I!^e>q^&(I))5Dj!2+W^Nf8zL4QjlPR{Cm-EK;I0+3y%_MT26ch zG4)(LnV(pE*|Fp#(0qSVH0@5-FB8=0w;@Y4*!v~Qp5f(Vr|8vBAll(Rn7_E0bjT9d z{5P~$q8i|jmjdTJiO960&cmSLj5<>uW6pZddRn{+W|QS_z~A`4Qbpk6hYTs?;(#|8 z4otf;4khQ*$c0{O*gGjT2z2^3f!$$V5!Wf1({DjOcqb($a8qRwW#oW&(w7yHf}C{T zNi~^bn=i#X>1LAsf)Xzm-bo99niJ6LOCAPWsPWTI-!uL*hBsJE?{gd z8d98Ny_0H4NsjeSsv(PVQoWPv;+N$Z@1z<~o&!gs+B+$IBAKzEf&kh(sRmq20PUSr z1Fk24_D-q+HxfX5C)I#T0yM#;(MMt$usX+jC)IqbbJ#nnE^jTO*s^^xrlSprVgv3e zjSjU@S+uFR`vab@pitHm*N)J4Zj8>iSdT=Nj6u_jhqWk(Wc?)rcpCcgHl1 z0tF4b{?sIj3<)%m{Y+wx^l%ZEZzjp@3W>u5P#V{tdqAQ{x&C}B8fA|7Ir?&pqGSTr z^=GJ*Wgc9A5_eTP@`FjPKQ#ay5de_wR~dl52LMRwYYaeV0|0uH7Z`xv1pwvxQ`10q z0)TS;sR8Il08p+!HDF8ulN*l$ZwGz6vn z4nt0B2#Wh6L-qw!814F#OT)^bK2HhX_hu{CpVZUM3Az3xZ6F?U{Yj9yRrsUTcS0!4 z^9syG18cWzT%#7AxfwrdUc=Qw&98 zmU|2g`HY{$i2uyLf!(x$jjBqXwxc&lJj*N2%y=OG!-&sm=-M+xH_@7sJeW^bK8L*l zs-*lQBJ>rXKG*@&i=l9-9eO?qLt2>qLd3UMEt>yiTNud7VfR^E#0t^g2;t(Cb7U!0SXE!0SYXg4c-}fY*r%K(7-u zgkC37NWV^`ka?X*5%oHe0-@K56qTKH3P*O*3BWt)I;eNjDJ-uODQsRRQpCPaq*&;6 zB1QRiA_dLsL>-aWi8jf;I($y4E%kVr(6h(O2%=smssO)Eq;Q(oi7I#Kc$r4y*NGG{ zuM-ufyiTM@cqg6Wp`G+l)a;}aEV`4vWh1`ItyVi_Sc=Xo#VY%J_VHWQ*P)k1{&{*Z z_s+*Q7H*zZU4(zW2KwhK$7-88b%#YOEI8!3KqqFLzn1Q7kO2}DdARm3)Cj@(+zoB& zG}AoeV&@`S2Vr7?SzM@;{(NdY7vS?ceHzZagvWoKPwPTi@6Os@iJ0g8E0+0mKhK4< zw)0;{OS|i4VGE!J`$pTb4+}>%sr)~2?zCpGVZXTTKg{nsTB=!a&zf4uDWP2r$ z;02{!|Gp7<9!IrGXlDiG!B*EYqJ>ZB_G^s!04@J4K%WNc`~mp1_55<`zyF2e348a9 zzvBIn_=>qeok>I1CqY(?C-c3MWba5~KyQ^@P3+(gfyvJ#qxoJ6>ZnFt{DMLqzh9Tc zdlAtL?+I+%zxsWT9YYCxMo+gVO}gz-$#Pnm)MdC46+h=~kJoOWj?`mkkwFJ<+8X9mt0rakh2HZ#h zy{n-Cl?2ec8XB-V%kFAuzSUX0tD(zVizueKPsVh#0a45Yp5kZ=qL|=*oTIIXs$C6@ z+=Zyx)zHy_EZ)`7(a|j4)u1TtYGm=QhK}EZxZc&!@iD~pu7-{upmepXq2uF}u68wa z{0YSMu7-{uMqKS`=;#qd)vkt)9z|5|YUubeilg4os+t80j#C^J|2)S}P#iV?0>@8M zT!Op!9LdI*_v?OV9a#TI~FjLdhdsNzh~F%^|f4eF3`_4dWXKLVW@ z;SG)>1W<%!gM;$s#WQC5tFRu;!UhKX>kWGuvwYn$y!bBasET~L?u{k@z@6i_M{wsx zaf=OZexkmr5$^jj6RcSWKezFWLZ94B7EH&V!VxcHp5j`#d8DmFvpvs01wJTqBwxn) zisOXu8fS*~VSdX+qbpc`t;+0W$vzCxMrZ5IF#9lH1NN-+`!H|EqEhu+p?w(Le|TBZ zeHabR$O`SlG-+vN$<6~>)x9wdjAfM3_x!Q03`J_2B3Qc0CjnR0q73_ zQ2Q{N20B0h)IN*`pyLBT?Zarmm;$JM7!4R#0JRUJ0f!Yp@55-wQ4K*^za=Hn5ES;? zQWOnAX}`me(;9-}zQ~Y$0To8?!*FR>8PrQF;rrfPwGTsmb75#7hO~irXdi|k1>eLU zt-dayFwdJ2x`BmeA~V8QvYY~7X8MhqpMXSG>PC$=KFq5k8#Nl5nHAlr(NMJZhIBhM z0VYVX_}jsxXdL!64^dU+Jop4ky;=`f!q5b8M%pW3>J=f%AD$qZ>s$(Ag%2Z5&-d2G zmmy5{7+uZaN|;fUMDFFK1o+$;K;Ld#YmuD z&!%K?N~Sl%tiIu%=~Ez5Y_>zD4d4z9+8;67Ar#cxArzwR5cP}g5c;L<5cP}g5c;L< z5c<{IAr#WvAqr-;Lny}EAqquphfv6Dhfu_9hfu_9hfsvJLlg#Whv)#dLv#S!AqoZC zAsT?~5Cx#^5DlU25DMw-5DJ;?5Q?bn5DJ90LntcSAry{mhY*0bLv&DYhfr9yLnv&v zLnva#`pYP06mnFFp0`6N#cYS@h-`=0r0{l#;8@s~3_ZIYLRe}$LSVT$K>4kw1NMT)CA{Sun1 zD8TUGNIQ-#Tue>C%U#>-`InI0(oTePiLZaVFYC_hIx2w=zdcx1KZup`g&4=%cdpSl z`uexibm22dx`=P{^;)z?A8wdZ%JmEQhZuV@Uki7EHKB~(hPmAPnG?L$cU zmim=kBUw>z1KrtJ*v}V~d^oT!iR%So;o`ztSkrw0@Zf?c6}f1{q>MeCXp zW;)FGRrw34bA!i<2ekAEC)$1amr{QZk3UJ|OC zl=&(uGfur9WkeSze5JB~HKQM6boRNp6}5czI~#P1Zs)+#vdQ-~ct7K2`NgJ6hL3z| zQwde^Do+tc z*4A&TYQ~L>xR9}R7e?)AdS%f@VT`<9)&mgzTM>!>0Ri93PR*CGo%SQM6YEz~hva1> z33|u^K66V1z*X;$|@)R*ThUN3ki-< zzFadPeHS zWv#) zlkDL8oKjor`w5|E2V{b%0a*ojK&Eh-0a@h^y`Ru%JRnoV49E&o24sqa2V{ze2INrG z49Elv56Ic{4SPa){+RwHGTBaYQF;d*-<(Mw2IcuL;cveBL)H$2F_5NaC zx}w$G75VdU_7S~)VIMePs8~Wv!R-JR;*x+eid+aM(B4dX)qrje=TH3x&bo_#_W&Wv zjXVj0*7^QEMv;#1lR$kBxXnW0FQfnlHyJ!~;?&?~b!`~h)sBr2KcC(+z{56wR5hz} zFquq9Vgc0@UiBo=eDZ}ay!?8dxQG+8h%QYripV!0sZ4Hy_j7*%up}})7 zNNa}mkobC%coCi7#0Xi!v~%L*gvtAJHK06-Im4?P_Mq9C3(igT_16UG*J$<;e}8QW*T%BESzxIacMrm0Q5jIM7YY&P+x87H~R zkz=wgJ?(&3bzs5bgG5j5x@A863ktK-+{_nzeCu zWfn$JeZHW&X7!eJURmaNQ4D8+bxSAxZ*O*@B2aBkv z*inkB>1H4K^XH@wanizg6Ww{?Wq!EmQrf3nuxNt%c#F6IakYs|Z%e$)j~D%KRU|J? zWnt2vD0*CRjmI(n9T}vnLpbFyr{u;r?Z)jkJDR)bRvIt!lSNN)>4{8JvbSxZtDg$> zDNZOxDRFN`{|FpHWd3>4n64GQn*sZrhDqd~`9#s*0w+683d>1{=w&{wG&C($gI??i z`N+n1r_zEGJCl3x35tIn9pau5g?q*Rc>@6F0S7Kdwcx!x?TmH)pCARA%Y#!RPvU=<@^}L^;N2a6nv%ntx z+jin`$@%CjTNz<5|IWhc{t+xxq`Fn`vvI{_jI3{+lEDu!> zhyHgZO&vY|MxXkRGUoa$|NbxJrj7JDO3F79ldk|Y7Yl`5l-ctusphj_cRE@{(UrLA zBMr~Cj*bw+%N4_;%t*T7y~tXJQ9(1joERPfXpYwF<)pr%i%f836#`i{*s{he&okWkZ}r03dN{df;j3=to(&ksqEk$#_|3WI2qp8 zu{eBn!xqNtCE>5eaEs5-1GF-p?8^iGq#)}rQDlt2<6H#{0_`}GGkdn!=@TzjMIJJPqauYY$R7A^&`;EXQEc%rOdRy?14dSy zE_Xf4F+6iI)mP6K)S#aE&OakAYEX|o{BKAM9UhLI$@e{RrjN<8Y2uNEH}v}8TKKN( z*wuM&MVrF~&FW(pHfap?ueMteoR~*L7?K$IV^Wv6T$ryRKuaIL>!n$0|4;y6ZZ24a3Y` z*Rg9EB6nTKu2a$o-*p|ko^ir=UB_-)1_s&na16@5M=|grHOr z==g^up6z8inu%5Bk?Q2IVMu34p%FVPPU)gkT2IP}&7#<&c^^jyXzsd>y^o8V=C145 zW1NCM zW*JgZ>@!5}w~l=$FAL*c?o&kQMdr(wTF1UCRS?1{xnn2tjzLgyqEL?iN;~<6mwTD* z=~#X~IdSJJi(>`((pomO-O)dY!=yWtgM6gUixuWm3(Q!MF?~aKpOZS1Qv<#bT%GKR z&B_<4>r=CJ_Krifl^ZK!gg9s0621meqs(TM-0Akf9c>M(jZ!Z*hm#BV%52SeE<=l^ z+cRRZ;{4;P2F25PmR;3@8+dz@6k&L9z>2^#;I$WzIwyJrvSXz<*cyUa4KDphmSC0i#V~t7ESIQ^kP?VVoNAd zGG57Pt<&rK+d6P`Qhg>IyNXNSWp2A~+|`D2pJchH@YS5$Go8$`x_eL^yV-*=CVwFs z8{Hbs{hr&tcAZ7FB}0%-93@e+QKO z)bskW2UUUc!{_y5?@=(*OUZg#&g;ka=l?7R)l%p6WB2F(R<&SsS#(}M_E7!@zz9n% z-D5t?6_%b_4&uiSa6*CY{Y}~{g)a%Hp-HpIDb1 zZm0>V^^HC;9pJ(}$-nPLrrWT2c%drSUPAebupDNb2C(tptXb}hu>5J1W!=!m{yzFF zAFpMxMYU#Ra1eu|9t!-oE3-PxG^=-3di0cB?0M_4EV_80nO!-&oyyPxuol%jD0&Hu zMAGm3a{%6jbS<=ZlF*(*bOA}}X(oS|iaa(Ggmtk`MvDC#ptGbdBD?r0=PE$Q=07mM z1WKDf7k^4B0hmk1FqaIWn2ez85>@V0Ls-2>^)P;wGKB`NohN>8WI%;#Mw&qYnH9G|)Z4PV694=ab8-=N&4>E@@@~^)^RrJzSxHXSvWEJzsiszvK zmpuuJm#5s}SU?kT-}ly`{u$oO_;TP}cL_>Mm*5}Xkd~J(9@^En1l!w)FpOi%Cfwa7 z)X|ESiIwGxvE{Q^?P)Dv#@W1ro=PQt`8^*?`HU}Rpu0JXmGo=3bUy2&?4fO8SrDgu z)EbNTLHX1C$aQa7-6VqHk-jAMc{;kWed}fV|6US@GFp((yk%nzy@N~C*Hqn769zVH zX{u#UFxdVuxZ7$PH{m#aGwr9`TGw2gsM=VK%Un$QmW_>@>aiK!+*n_;5nBu7s?%TgWm*g;F@blk%}qG$Wr=LsvKk9A&A7T6Uxk?@szg&&?OF^- z2DV|-EiJg!$;2C~8k_5|Ro+;$4kfIrug89wleo6NYF!8;$6^qR+PV#!s%!9`aZ`gg zJ(|=MO3IBUp&(`OJ!5zgr8V`<)i{(JfwiouUANlHx4@=``Z~N5-L!FC0yJuxaAS?h zfP3$&0j;iEyESnu-U8I%7Mpa5xYNdf8yYul*wkE;*sRKmOKwcc+A6$=fMV9xwcySh z18%C@xDFDBJ`q5jXWJxABLUw)wYU?<O@Ub zL!#U}&jnU^=UZUYmeq`TfeWngF0?>C)SReZt5m7wdXY^JTS;|I11!B}BVNbPw-`-O z-vn4CQLHT+tLp34ZN$4}Vw0fx_J*o@@8T$y*0K`ICD9amky~HW)C2|K;<+YoK{OdB zCaTNe`!~_hf;;0D+C=t)w4tsEdO(ZQ)_$o)fI8|ot=mG4ABt6_^DeW=H4Sx6^5r(U zskUxy^HOh-g^)$BUE7F8jxVo`Es&@iasB`{ti^m~+B6(A zG^D<6LtV3XwM}YTQ(IHLrM|{nY++P{)y-aM6jHZw4URl`ODwbj&8D%Yc}wHQCa=tb z(WxaW%9dBGq7QB$FY0=h+T^C%O^xXF5-mW0{&8QOsbv+Nf51zB^=cT*&_Q_1ZL(NC zH;lRsTk5@Xo7_~t=~gVHw$$U#hULqs&-GSJkX+%dv?HY`otQdgCL_E*`IjhpDu zM?+OzV->nfuOgbF3re={U1L*7dR&FI4I~0+1QNJ5ErvTNm zwy#sx)YR33nZlw27>R}r;I*NuC9xdj@md$}X3=UhQ#Y#ZH5qV=OwRquJ>wref`-ls zkwD~}5QSk}jnx>O(3PSu2jGt$K_LX=^_?UVJ|{%+&^e({)SMF{SooZfp8RG7@c_52 z5A-BQOK?!A4UP{B44|Wb1j{imC@~Oq+cAT4%t52fMO~PQPCrG4jmdxRg^2m|;yQeI zCU`Rb3J~xz?qsi?iUT2hj3#(W5Kc3KSBLp|hIijA(0x^xa`QPEdClFC=#T!;fjX@IT-PX6TqqF0^1?rcL(dEVR^!-uj#+FYIxuDD(!q*#8lhQX-)%SVE6s zmH2J2jH}n<#pMWo2cWoqgI#ctrLjThEtm#4g{CFXp#40C93o(vOpHAO- z2Xhd-cKUVG>(J~n7kUH@FgC{+9wYd)e84G?r4gWr+5Y9ZJ|NjKj}mfb`9zTj5p?KR@ z4iA%RlnO2{1wV>dFsS6u(A%Z}D8M`|{GqMGG~Y6jbwVGB(a%?m}5ns1}pR0?dL56dJ)&kUoSqt!@Lipj`1 zp`J{mQaxdIbhycxsIF*|MvJITt}QAxiy7MNLsiywoQj!6wJ=SzK*k>$;PB*rrCL=W6;)w%w$TPxIlDw#0<=f6y&lC!*J)vi|`a>(A zIm9f6s6rLl%ywQd+Y%rPoI_vK&9!u>1r}?ooEL%u^E_kXnB!P_=Ua}H_k!p^f1#ds zTqN_2`Fh5Hy673h#g+#T$Y+=ihsMjW{B83U!Dq>!7}uRdw$XuVzd2DI(1bWN1J2c2 zgk~^nHZx%8Mo%vD!zNK+2rK0lnmj5xQ;d3+7&RuwcHTQXJnx-j%LJY1c`r?j&AfMB zv>BZzZT$SG(Oh6Ol`@iF2;GOq=!>GJFyHEeETbe8g<{X4!AMuC8jETwMnp>XS+<^J z|M95oRnw6bi;+DP#A}tX>}P~+0P7Vis{FZ;`G0|yW})Sb24hO4G^N)sW<^pYikmHp zn-f;t+_2(`qk5SqdO44kr)nKi5}HX^MYb`Kf_Sw@DnPR_Q3xtkLAtZPShvQ-Q3VL)I-(ihJT+oa5aX#p@NYL%hU0%L_WJL>+^ip-*D z%bePzG%Oz5-A4p1h7}j-WsCIe{)f`R8TLPv!c0$)7w&?w3nJRXPDoT@dW5Fsl9^$J zrlxvuHYr6`sIbLSUTEt@KLoCq%@8#$*CAvbpQjQa=kpW53heX_MgMP*y}kJqtCk1>vk@9t#OTjP*{#rD9K)>5l30u=*#Ponw}&cDzDE zygQQUF2l|zRsUn>lhLjuCu|*QniQ7|lch&JMbDuhm)i2155|_gr?!R$U8ZRZ)6a|Sv0tsZ5z}H?R1H-4(_HPGe(QZ@CquG znuBi!{-)qFZ+zzFo$(LvjCTdM9t*zcuMh4VJ9OyKi4gTH>$4}#D7!@*bHbXV|<`W;T*5HB0-df;=P2yTn7fBNBI?K6s9%l$#l z!T2TdCBgO|1an^e&Y|Gl83*@2wSWKf!N=e9VsK#Zv6~NVs|yCk)X#_gvG)X*#7l#M zmd}0gb;0asf{*XLEBFS^MEieRd*ju?;k}(13+7PLUwOm9=l8D1Zwqj)59Yr3xeq=N zlnnw_{4I__mG@q^^cKfF0;jF$wn;s-P4!7i_dW-`2$WSnPZsa^u#NA|*sX2ei{bGEP1;nCrceUiI^4DXTGv@#R-#P9=v@4~z3#W##Q z+@D4z@0gWT{bK)Z&(>}$JM_7st=qo5ZQCt3ZoPE`|F#7qW5M)iPTl;J63T~ErFve| zN_oA>!9iW_-nQYc^gX#;Dj($e@y`dvE&q^l?d;(8jLZBWH(oj#40Hy$8JGJ9MuX9@ zQB~3hR7v0YnwE58@rSOBr=f6S!~d@>R_H?K$&0l~NsIPp&#vWp_Lf%aOVNhpD$-z< zU#~izVI*XD?>%dhXVdX`;V;Xz$(-|CBh=%nwtrhCRlp1W2L0+yV?k9*aMKUA#ZSfW z4raA%tcaJ~LDPdb#IKJhz8io1#CLC3^z2ZMr6jKvs!gD>oD3FgI1Zh*}P*%&_C<1@>GnIQdx z;Fgzf2rgV7zbv>DqrmEntNn~zsINlF{R61d*{SlZMSkMEJS7$8rGcK8P_m77T1?L^y7IZ;NpZkYk&+y_My}_RA zzqj@FwOenfUw2cmdwoz4FWsMU0pyjfWcToEE4zqa{;24}Gl9s2coK1mA4L*ZkbPIM zdpO8$*)j6N>u%@@3NlvsLC$cnyL8W?V9&undFrVh&&O{F?ihXwleGByO^>|3KVG#h zC^;B(U@AvunLXBZ`=1`_x}|>m?JI)egEv&Jx#{C0|0iP(gwX&ooYMp?Xb5<48C)Unt>E*fZpR@&~Uq^*nC4zSCL=_fqFTqLNHrpP*DJWPU z491UrHDf{1NY~c;gVFVvJ&xQu5?|bVb1?In+k(MowtfPQXZMTaLE*um@0pv>@G(yJ`yjF zuimu#)_DDfy5C>BZAGx~F11YhhWBKUTN-?L?+@Y^za{vpch{})yX(8Q1$VB$Hkf%Z zIJB3#^Y445!J~WcI`o#{$KH#<16W=C+KZPg3GPv_!n@G&TY|^;cE-myTzC3fpx?Fa zhPti6$MznJe=x{?a%He4{^ZAre%W1h@$vfjzH2kG(cX?J75wD{lEd5v|In1uexC)` ztDW6DmUQDNw729|INe#gV)?3T@Ff>Kl-WFx3Klt9;!9+cKog#rw&xL5G*~k1(9obi z5Tj4Ru-xCVy=QSdd6EMjqTYU0m;MRr65ZxFm*(c2B28&+q-;4H85H|B-?r{`!N`mC zb*c|QY5vuC@j~*>f(H(c^us$MI1sO%>O35N#UtqjoL;M-IOvpD zsX~(8%IP<2vPdse>9ks+=Wt=A`n{91glBbLL0w*PRbEL|-lD3!vZ}m_b$Q4A!XT?K zb6R06RyZxQFgGZifzek#WwR?)K;lAQ;tdm|)A>+(1~~n*T)wKjf~vgY!XPNj%vguI zOeUWaXiP}qpCwOv=_+M!>lyjfIp)fNj3^HpAV;LURhv>;Y-`yw^J=J-w?dMV-;`apT z$fWqMpgVd{E{GJO*HOif@JhB@}qJWXL_E@Du1h} zD1L?0GSX^F(nRtny^RrHo`#)^-pC(~_%3~! z`KPusqOb5eD;36Nj2CXtlrCOLJ59Q7&l8n-M#ldsVy+V>;qf?tVk3vLR%ClgjxO@R1pc?YDHlLgl1% zae}bH(2u5z8v~lU(-W2>Qvs09B)M% z$N`hdFaCp~erYr<_SmmH=2%}6I!)0|cR(7_dN*Z+} zl}IG92iFbvk8uA#I6N{yzr#sS=XD)GrStlvO6>^1aEDW$A#XBwO>4V*lGewQzAz4# ztA_mj7=Qfi)jy5%B2_mfRMCKfOyK${>}%*&$N!QlY=l;qb-3B19ODn}N_Kc1yBoF3 z&55L#TJF?1-EyKlyboA&7}(g%zF)e<*~^-g8)H+h!=;-T$-S%>{ub+he2e_=sQ`vH z^<;Rv;}VuRKVZ6Z4;4)S)W6b0`6S$;L0EY0KFUG+)5^gP{-ul({uob604uXObciuyoHrW_zM=cNWy<%VPz8jvV~Ph_(}|o^g#6FWiI*znE%mJ z%fFDkPzrJgdFyC`xHIb znOsehYp2Q8q;c$D!T!9>CD@;`xsG!1pDf6d(4n6)d#O(v0lk5F>@&QaO8g}!ovn+S_;tibEY;oce?y)c@-vFn*!Q#XvJZNDB65eBB z#S*?EOP4impCt?qa7J_n68yXYHA(QF4X9Cqbb|mrzSs0S4ql1Lr3N)haFYQwZRTL7 z0X0hS-3HXOpM#$^phgKweZW!kv40=u68yO-RD+cBUo2=j)2uPH8~Qo;77O|+2mjH6 z{)2;=EK%5J9tY=JkS&f59bwMT+7d`%L}DPck!i~>K<{FZ`~vhP21(%n`ZT9k;0%xPFX}77&sY$L%#WLEvztrGIZ3V-HbF(l}(gSj5$ralq~5~_d8C6*iH+c^IO9Z(7u<0k}nOrD;VVsCRdXv z=Sd5aM)a%&eT9QBSkQMl_&p2yF$e#}g8q|(wos1Z7~`CKP{}8zM{2QQol54*b2Trt zGAfpED-(f?j|)BLSNc2%RDzN(jU7r-2h*WqNq7O55A60yQ4KrE_-2NHodn-uK+O^q zGY6Igg*pZ=fN@ZDDMB^Pl0xA8BOQ6gax zDwJ3$QWf%qr<4%-lS&`@d6Zdwjei09CI?@#pe4+FgHcAK@V?K0Hou#L;|A0yrTUfy ziDG9MIW&qEms^mi>^2J${YVYdbkR~l@~2M^nvQI1{K|r)=ntDhH3>~I?=)Rg8rnir z2qKqMSO}gSp>{5bPh@(stSvBYRhxTrEQTO%^1y zzimM-ZJ8(uN%L!IZ*^&Jb!l&PX>T>eI6#Mi=vkwGV9pH>aga`p(gV=H>X0V{0jgmo z*6}Yuw{ft|f_gbPVnJgZe2)cvn1fGRknGWkt$;k2!TgkRNU8voyBH)@0O$mRe#*Z9 ziHY25*-4Sf%^E$xk|N)2K~m%oSdbK1_9Kx|Q?P&G=v+P3{EL=Ho^|M%YX~*1 zl5$GnkWmUHB}vnYk28U9^DkPNaso#De$K5q?a;cA6HECQw1+q-`O>hHTvwP}4bor# zl?8p7ga2$nRG#qj8!qp1gVJ2jLCfBmI0*&L9O-*PlXh|+t{!={p5g5(ki4nCvc5qV zB0*Y|GQ!$HXhkj0ONM0#XP5}s`HL~=8Sh*nsqYDADQ@8}S$Si&ze5me`>&@;b9 z9ID`780NJcTx&rRtT&*|5`3KjHA!&00d1CG(tw&IxWj-NBsgF|nxna5?U49M8A{K=-{57~TW%-Q)7n+V&-vy27(9d|NF zJ09nhG;$K@e%heil1k<%1D@kwVlUyFCMmY$`wr(rLzm!>EGUO5tm5A^GYXf`W|z=r zAtY@O6JX|Ieq2H=E}<5eP>Z3!fl4lHm92hU!s{%oK*BFrn4?{xMK_(&0|7{|#ekY5 zD3e!UNl?ahX!i-uApFuOoW50|=e>q@qvVpec%UsW>MS8?4>~vgPBsE==PoC0uOb;= zwk43@?+mC}g43833ME0Io@SVEX>S(V|7mD9Nl*yFR3vC=yUm`fo~{`#<+OCoUL+=6 z5^5qK`ITONCIf&X*g`o?u1dzP=3i=<5~i=g=t)8G~ay4+s{ETtvLH?yOOPKB@q$gdO<(2p28U?MS;S>iYTbfCs) zNUjjCzhnZ!GYzi-E`5=$MO?!57FNKN%+DfDlixI?Wl&knsIb>-IVhCV^mYx5(#*dk zY6<_q!khw0zK=2v6#X;@KVw0r{2b`yq+Wxv^?nWtGv9M&T9T{F-?W!xE6S?pXA?9 z^Y1(O_bL8O<-d>hA{);KI4)buuNt4_{s8~VF7`ObQ|ZezNv0=<8R2hI5jlRY_(fbl znTV8eT&5VQY|a(Gnd!+mEba1MhNsd$SA3!KZl))@cYiYh{d2`nE#DvG|Gg3@?ZhE; z6oFPqzyAY1ob-*4rbxl=Y$ti8B->>}~7r12d|H#i3oxGW6#}M|UgKY8xVu%K@Qdf|^$;+*}QV20ciC#OO$w zY!fN4SBeW$+jLM-O()gT?L$M1qRZo#OEmH;J4mzy4*WNz?Ta^1LGa|@f8fu))KgA= z6v+IM-H=>`L+{@>6JwMP+CmePz+Y$bh671tD*<2{{s%tEH%bQpuOA>vnG9zSXPW`M zz%M~8kFrLdw=xdxe+T}3khA;jXB;}K5%_RxkCt96Fp=ff#2#P z04}eCsHA~E-@%a1pe#%fAVwu)9tSZiEKb4@N?Afih;z#yF zR-^65MUpbF1-33TWqUq?sM-#oy3Ev4+*-U1>112>IhR&YiEIz6Fp(p9vK_;YLv1uGY zmJ*2eK`n&(-PQwh}vHt2t$@GM{G0#p~CEoKvp1jPZ+Wa5pOIEQSW#T7zm}G zhoap%m#nUY>qRT(o??MeEeLNM|4l8FBq{;1eP$UFZ@nOt>l!3`PvF1FMFsQ@8wkbd z*#5!GB^N>J0QnDe>$W`2fb>8}7DC&Nw?iZrG5`qf;0Qt92*{)0sBusW60=u}|GLb8 zkWjrN_zzUnU94AI0wDeep+0#7L%6sQ#c6{_0i{-CAL+v@0n*l6U=ukc);o^4w%*5G z93H8u4O#2`2^BW-d>oL03SHBQthfA{vgJpSOV^aL|2=~525{FpCF_qq(jz?vu+`~XbcYf` zodHN|&Ez^=QC3z_Vroj6uSKqVIhWNbl}GDcT0tvtjZRhTrWYj|hv?AvLc7uR+xB$} zApg8B454-AZD>vwrw5SlL?F8X*;pqyq6=Lw(!~xGVgWHUkLbeG%dbQlI@!=8x@ZMV zx3738RR={ER4Eh!yh!5FM|ANNz*-j}2a=2`Wj?NIL3E-tC?;iY6&a?(;d$Kv@O7E# zulvxrycY%5<8qmc00_QAG{_;I}&YbE}`bn47t;51+Ip;y7(-o z;fEjBR;lfz)QfF(3@KU{ZeK^_$X5RmrPQ`6D-uev57}zhWn!znV7AC6a)@m8O3=}^ z`eQ&-$^)@3tg5Q2L<;o;fgeo=2xB*Jwr$&1JsA$%k1cGeucCoPNldL%D7Sq|HO{sM zfF{HNFUg_HLv@O_XQs2JGD0U>ZpNvuJtIza6^%#}mPeJjdRyxxI7sqnZB>H*dTq6j zu_?tqw6>xZm0nxjj27ZP)Nh=J|GHh!=!<_T&*K15?*)ZJUlejl(YlFNOT2DMp#Yuo z{3i=|x_w#av0>yFVLM~oQquO%yf)W3RGva)kCs{5#Q?xm(!h`EllaNFGmfxs7cLIr zQA@yGC)_T^2;@A}F1~|9g1QfX41_2YeW+a&B1N~0Cy@w1=+X95w}!0G+C~(I&`Iy( z_^wo}2%0qf@1#BX{i%v^`r*+!f-M3?R$osA) z_(SOQu=IW|8-?^M;2aekGke#$NP}36q&%YKeW0kdoPvO;X!$V0XVUV=jh5fx5(0#@ zJct>x*7Aozj{3^zLt6g4(egWy=vJ3Bcmn^m2B|Ngl0_fVU_KaW4Gu&TIYt`nHX7Uj zC;%xBX^?F8Od2c$p4MQY#}>MeYCxjPi!A&oQqE-I?ZD$c zO8cLVvhrr5h~uIimyOYv#vy(E4iv~n?K3rfxw0XOq_1X--NYgAzi63+LZq)*H%cq` zJ_u2Jh(4sR1oW<3!AFq@KGZ28uy)+^Tr|?@X{4Monw$n^{V)HD0edoL#R)9HWnnASVGPO{Jql zIY?i#kzMPHdVcpIef@H^=%eXd0m7(qNozWoN`$Qw5YW&{?yMdeVt_QGU`X&3&~_(Wp~&DkIScfv5Fl zeX>)2dFDeR+UC=bM6OQBVxy1rW3QD?7o$?TU968X;uz_47uu=TDOsf3|By~sK~1_{ zG$AJdDG&8gnp{X zJrTik1^66B-F>elZQCK7Yk*UL37*0sfL8~|Nrq6X^Slm%;1@sW$3@GUGL?`{Y|KNN zeWs>mqVGP``|UPbmQx~8JkoNoQMCLt8be7G0Ws3@y++HUh~Z!8khB4qZ#u59`yr|6dWz@$rhAF&5sQ)%`x!?ywf(p~4bdU{dBWJw03aln=tK7NuMmmuN&dt|06N)E zJ5sd$Q12Hl4^brh*@yp=*$<88w?J}wG)L7_9~BYPa@_%WQU(fiXHwPnBj$M%0qTY z_YrD4oPn52ifoL0@#;Qm6ck9l(T8koC&+6XyWJ%Mbh5FhkX75*{{qBCBO9YW=u9@& z39)G#bK92K813?D8w=e45h`{+X>iNLHkRmAt|*5Mj@7ctMOr5LM<3DhIBG$Y06wET7)chYl`CjfPO3exh;P_foBj5dwrNz3HharM}yV9#HlDFB7%qPXB}j%?dNttTr}z>3Sb*&vY*!* z`*H6pAzq&apVO?O4ToTEdX)06A(P zGLzBeA^V~8CM8q^^N9UW4~fJ{`xaAXbzzPnVFF}7k3tc;Z&{9*`;h(I^+vIu&!D`~ z5sh+?{fwg1(0xk@VlFAN4e~0k`rGU5txu_@p)WAzgeOEfEZMHjyX zBvNFRhjeiOeS+3SU0OOM!y;tWGTa6n078%Sz3(#eF}Ki!usp99G_-t5CY+(Y893ug zhQLv|$(7{k2;_r+44@c#9z{sx!tihe@@+sGa3o6OxZ0r)vS>D7pL5a9VWS3;g^gIU7d>zccEX@GE{Fchr0$l5`F!>biby0ehQsy8BW|P z{{WmaC1+}tuH+Yk5{eRf+}4DFKIM^l%h^c&zF8vqM^dQhC`taK<0APDESD%MN&d%1 z^6x>SD^+SuCsA8H?qH~$VCC}I0%=Wuh%(_AX|Tp<5EITcyr?yig-_I)M9!6B;buK} z6gf%W*bU<|Fh+)6X*V7HT)65ujE5RJa5Q8Et@2RlZ7?u3g>i(p|z187f z;c)*uhkL`6B>$|#eV@a<#o=D$NWNuC+~Zi%MkP2QByO59I4 z+>bci#~kj14)?=T;{Jrgy~W{P=5T+(8D)-4iTg>1`!R?6xWoN!r|w6m#QkZ9`+&o} z*5Upur|!q5#Qn6x{glJ~sKfmUr|!q6#QhbA`zsFj;|}*{9PTHk#J#}jZ5BDb4NZ)r zz0I#2?kA_j{Rd9nk2`gL!m0ba9PX#4#Qm2J_tOsdV-ELEIowZAiTf#s`w55pVTb!e z4)<54#68#1dx@j>R~+vDV!87PZSonR*TS7`JCnRrs20?cAD;l60caxM9UN7tSS=QNcUzfRbS_{g5KxYe2KLLUBdELpp9s6)8X{< zaj}+j;{GQN_hN_pX@~n-hkL=4xYs(|4~xEJKcV~{hkGeR!O~#K7f*?My~F*8!+p%* zzS`klG9~T}4)>!D_X7@hI#V32`=Tjv?{K)Ea=0ILxIgD`FPjqg2OaLUj@6YoJ?*oO z)m2Q1`oy>d$2dmQesIFdi^Nd6a&YnlK8JgS!@ay)_P?Qmb@)ZKIH z-s9B0Yf9Xk9qz}Rx{o_`?{utgU`pJtceo#LxDPno>C{wI@1s-VUg>Zjcesx_+~ZE& z_f3g=i^Ki6!~F?|`#y*JJyYV|<#4CBW-L{1@5dbO-*&i3d7QVZBx z>Lc%tU#k@~(O32}$qL$*Sys@YGIQPOp5BS-E-UE&!g`f2l6E@r>Uc$iwC;&^qs)>S34S0IoT6}Elx zm|KwAE>>p7Q~MvIWudcbp+mXSpv=e_cLg%-D$V^7#%z=i-6lLLF3J5(w zZE7L3Vygg_ zE#X@MiAQwten3hhkf#BuWjo|r05SkNR)#MEVl9D6LT`HxL`wJ@KrDAHu{)IyNn4&A zShvjQ$;L+ddivXU>NmV4ET4;jg9DNw?yCSf9Ffl^K=ws&ZUdwwQj48{&|_<{2auD9 zqS(yqeS{Oy#nXg?n%G=l1VrAjX!-mdASK9X>val{mI&lGfXI{Kr$3)rjOmH=#+vdn zK%@uIkedOCQhpsE1*WEn1ipk$Bor%a3EjYvw++a!TAOto>v*N@Rul8gz~QdIT?Xu&~em=TNodhfix`R{=+6l|&sU z_W{`#(at(RsAbxE-2%wb2u=?mha-?ZfHZ_kNME*XXg(aN#oK{15P>`Zh^%vUc|J;% zBiz3P2+gQ%dARt?-G5lJ}3jrC8P_6>x zNCa{#AoN(Nb^}rwv7fzwECP=0jp^%$u871w2}o2IM*%sET(&&NiB2TfOMvV%wMewL z^`Q6j^xJl_)kLDRx4$Fl9gWcW339bWO87gX6RB4*j_t?6+Lrk;K*}Pyt^wqDL_2E$ zAtSZ@#w~yxi{wfIG7y36rd$zTZvmttQq%VULNc^+{s15(8_VmnfD}h^JrBsf2<0CF za*x4DBxtn22x4WZdWk#LmjssA?~$t-ymZS=z?pjo^^oXoY+H^a))lGOJV0tAki~!; zHoQR4yB3f|1}8Dpy`!(K#~X{_Gy>;{#p%^rZjIn1fOFc^izxR3a?0c)$e#ex5W#r} zknsrQ{eT>f~-5jp=(Efe!XY|y0V$5;x)hKThI^uI zd;j1t+C`+M%Yk!uq;=N=QekpYX?p-UpmIr{c_$#F5jt-Lq%~6a0|bfCc?yst5exqc zAhKeGq2u{JAp0Wa`3)dthH@g&-$#?|@kn{%_^P88xvl-o281SZ+UlrR?@rvQ`~+G% zyc9UcfdipYGsCkAkoVzNLlQ&7gMID21Ny`Z^#Js20?z43y6M)cTaZVE?LOB~>S}Zb_K(**e_IWKvUx}ukWO4wx zB9!ML*HME*JT3*~WTb>ufb5I#x|MQ8bUFx#td6zB-T{cL<22+jAQh&B#OoV?9KbJ2 zUah0hw?xYGW8lOi++&#dy%H&52_Q!!_Ol$2;z+Jr02z(c{SH75MCiN)kdr73GP2%3 z3`lE)@=-v>O_>q!z6HoV5new7+F}bw(Z*ole;9=)7_VpaOWVM zu40O?DGAaT$xvp4)}~!8cK7XS>*?-j0RP_PaB{G=t*@geIp`(ujeVkhU?9=m2e)%D z(6+&0RS2EH;NG^rwjIfiwt)fiKs!tyY-8rrM93omb+rv8+S`ZwK~DJf_jDvj+Xse` z#*9)k-P+x^A%#w2N8d;S*^&dp-Ti$gtB5WlkFcb)Y?MRPx~eKqyJT8JAuaTXyh1`s zm41qHqN*Y}4=37(65T`Hy@~C~uF~XaQhmFQ?c2oe{=uDz-b9&smanK-S+)XyuSt}Z zuUKBTbXD0k6)es*{e8oU<>iTMyo3%ePgF>-EU}7%%T^{hGNSSWnQAHXLsA4p~TXP#Bv)cPb~A!Si-b*S+=ZfX+_1#ifhUe%a$)+ zwya|L@>SPxUFu*r-EBRI6)V6vOg?R>ZpR{*Pw&DW% zC(+a1-?xj4n&?QjV@{eF=*JwKnighLQGjlWdelU6Z`*Jed<-Hqltfd)r8(d~m>j^| zAOW~;PHH_$3%dTnJxCu&b}z43nHU`D8}9B+0vqNGSCb&J8;y|4Rk{+AhdD`0Y^tf% z)J%IaNrawAnifhFP@p6zlvRL2vUemgpsqep!BHJFMcgse+1A}NGMMxd>zb6_fi<28LXNmhTW% z(a=&=VS-p3XwM;p(b1pS(bK;j7D^oyGSS{#Li?rgl)zxU-FGHY<}fm8Tyc=^JW1q< zoyk4Q7NRNCC#c?&MUJmR(NA~=JJHiUggS^qn(LcrJ+r+H6LbI*yH}R$PMY!!LeaaD zCaa6k*3n^8!>+;>6BlK}w@^4cIsJE<29p6vi)_* z;f9)Urn;ex{e5JBZQFa2b$x3_1_z-Hg^@~P^`4D1CP^i!Dk7{1(5+v0?*OKRL)}V- zXhXD8pe&7BHa6F7s7W+5)oiKWlxVD}-?S!CU)R)(1qv0FOVcpe-<}*Asw4g3yJpA| ze|IJa`;tA&mnGUjRhNs5Ob>6AKar?z-qdKwB~&32ZSB1sJz(BA+*8%jv38_SNvoM^ zPg&4PM~0GvrrFj|GhYMobVHw3w3?Q*@;co=!onO(u&GBA7#R>wAgCI->T}dktjh|o zmEAB31sNI8OS=S`YFkITDl6&Kw+#)~a35kMjGhfPk{l#+qw&2RyNWdG>+0)82}ejF zyL$R}>}nfKv<;C?5|}`YKn|Vl!@Bj<_xJ5s-%B$B+E*hl-EHk44i4RD5hUax)H>1M zNd<4;N$t58{S76k5=|l*)`wo>r_vcrLJ1HD5$bKbqkk|lG_rkY4=UE1*p(a{qN#&Y zF(XLzV%uzxtw*+1A$Ca2^CRc?K-=g70m0p$}E zXu7rop#p5E+qmx5MBT=w=BoPoM0L&TE$b2+s+wzS8XKkTBxCAbF|QiwfvHmmg+|`T?mH9U#e##Z0o*1`28L!-%$YClpg zl$AT)=B`0>K&szOq;ycofjxAXDfPLcr^Jq=S~y^$L&K+%s?xl4N_yFmSQGA;Q$)ii zsjgA;67|WpU2d=1+%tq+7z3%ZQk`}J>z2guo&k#UWddHJI@yDDkkcp2APo)Df+f*2 zu%;IR!P-l=EUAsO%;+ej8McR~H<4)!w~uxs6p}A&B{_DVSP9L3(24d+CDe!@1E8+gWMd`2 ztzZd6^hXB5c0uQc5@}iwzZtWnvHOQKx|EGa5+C0{BP zCIbm5nkGc(tjIn#k0b~8G$aSnd1JlYo>c8wtpiBQx*Jv5QBepC_hw27xnzm}VAS13 zrPmDMqW>FCQem{}H6^vnn|3n8uL8Wp#6wQuiWrA<{Wn*w$Ix={^a^Mwr%li$v^ zkskDtye^DP42DMfqw@lF$Uvq*dPE9Oe|pf9W2)EL(*_rxM%a8Vf`tw9PMP$85@S6(TLq>8ey_yUQ3S04c3CeW$KF5vMm#(NtGmABG+@Jz*44 z)o}knWZ;qMElulE=D2or>KMe#doZ!9vwLu8I5CVZA<&5Eh4u&{^R)@5^&*t?Ym3OJ zAr&~&l$8|{8jBSZM^$Q=o_NY2Q^AC6c#uV^w{CisYAbBU&yYqUiy{r5ye~usYE9CQ b@R%C13e5iWLQW={=$F(oZbFmd_xAr6y-9EC literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/tmp_save/_1 b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/tmp_save/_1 new file mode 100644 index 0000000000000000000000000000000000000000..f021492263fa23b219ea6c3bc017935c494c0820 GIT binary patch literal 3629 zcmeHINm9cw5R};Wec$32fZ-|R!j*#$94M-Q;?q3BsK;(cktVUDs`wJ7%8{luOV4<$ zybRyov*GJc@$g)HeSdrwS)SkLkJ-Rfvf&oX2OH61bjh8>=(38gsOV~qmZdRx?12L; zY<)=qz-=UUCRIwNv+b_!(I;B%egri@>e-r$5OrV3j*4BMXoK`(j1X~{v7$FM6SJkF z+bX)FqPrS8-fy%tVrS6J2(=hIPcTZ6kvY`RU_6dA8*{9pCpGGp>YI_yovOBHn(cVK z=c?m{=4dWe^h!mqRrE$hZ&mb8MIG4iXg*?qwKOA!4WGUanFlK-fg+@8r7wa==TBp* zUelJvuZi)xoU?xVch&KM!8W?Jy`+8hlbn%S_Pq3X3-C__WFatu4T}Z_@%5yj(LGbe zm0t;n4A}7D`D};q@*}0{%ufFlU6?yZ_O7em_Kv`X@6YEI?wM~=ywE<>=j~5kVP0RK zHwWaxpIw{u!DAYS|NK>VI5V7o-e1#thqFcL->)n5DQHINq-*``ZxCW(`WMzsy{&(L Dk_aDy literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.c b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.c new file mode 100644 index 0000000..2c2da77 --- /dev/null +++ b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.c @@ -0,0 +1,360 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/SE-030/cpld/test/t_cs.v"; +static int ng1[] = {0, 0}; +static int ng2[] = {1, 0}; +static unsigned int ng3[] = {0U, 0U}; +static unsigned int ng4[] = {20480U, 0U}; +static unsigned int ng5[] = {24576U, 0U}; +static unsigned int ng6[] = {32512U, 0U}; +static unsigned int ng7[] = {32673U, 0U}; +static unsigned int ng8[] = {32674U, 0U}; +static unsigned int ng9[] = {16384U, 0U}; +static unsigned int ng10[] = {16289U, 0U}; +static unsigned int ng11[] = {16290U, 0U}; + + + +static void Initial_55_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 3648U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(55, ng0); + +LAB4: xsi_set_current_line(56, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(57, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(58, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(59, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2568); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(60, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2728); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(61, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 0LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(63, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(63, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 25000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(64, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(64, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(65, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2408); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(65, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(67, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(67, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 25000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(68, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(68, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 25000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(70, ng0); + t2 = ((char*)((ng3))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(70, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(71, ng0); + t2 = ((char*)((ng4))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(71, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(72, ng0); + t2 = ((char*)((ng5))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(72, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(73, ng0); + t2 = ((char*)((ng6))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(73, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(74, ng0); + t2 = ((char*)((ng7))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(74, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(75, ng0); + t2 = ((char*)((ng8))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(75, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(76, ng0); + t2 = ((char*)((ng9))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(76, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 25000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(80, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2728); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(80, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 25000LL); + *((char **)t1) = &&LAB21; + goto LAB1; + +LAB21: xsi_set_current_line(83, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(83, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 5000LL); + *((char **)t1) = &&LAB22; + goto LAB1; + +LAB22: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2728); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB23; + goto LAB1; + +LAB23: xsi_set_current_line(86, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(86, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 25000LL); + *((char **)t1) = &&LAB24; + goto LAB1; + +LAB24: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 25000LL); + *((char **)t1) = &&LAB25; + goto LAB1; + +LAB25: xsi_set_current_line(89, ng0); + t2 = ((char*)((ng3))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(89, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB26; + goto LAB1; + +LAB26: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng4))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(90, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB27; + goto LAB1; + +LAB27: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng5))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(91, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB28; + goto LAB1; + +LAB28: xsi_set_current_line(92, ng0); + t2 = ((char*)((ng3))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(92, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB29; + goto LAB1; + +LAB29: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng10))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(93, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB30; + goto LAB1; + +LAB30: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng11))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(94, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB31; + goto LAB1; + +LAB31: xsi_set_current_line(95, ng0); + t2 = ((char*)((ng9))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 16); + xsi_set_current_line(95, ng0); + t2 = (t0 + 3456); + xsi_process_wait(t2, 50000LL); + *((char **)t1) = &&LAB32; + goto LAB1; + +LAB32: goto LAB1; + +} + + +extern void work_m_00000000002201886890_2332283379_init() +{ + static char *pe[] = {(void *)Initial_55_0}; + xsi_register_didat("work_m_00000000002201886890_2332283379", "isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.didat b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000002201886890_2332283379.didat new file mode 100644 index 0000000000000000000000000000000000000000..433454d3b59f8473fa8185791924a352b5a49930 GIT binary patch literal 4244 zcmeH~&rcIU6vrQmh)4`KG4WRvH6hqtS_>^;v=)R4inc}kfo=+ILIn!aEf|dl6XL;( z95`q~q8IP{2^>9mFdhs?4%cdJFa6(@4nKwK8zHeqo)Mv)uvg|E>D^k4YVC z#Ltad*50uC8_82=Y4H`7=gH7Z)ZE5k^kcmy?IRouh8SBitbEE%)YX*Ey zTIgyxMqlxP?T)!sfc3i!+};&xFH`?^>0ue`dzcqCqn7U_`eIfr#}P*$_jwN}CC5=m z4zBG+i8+ora&Uk5m6+qWBgf9=|51U$yT^J|_PNiMsR}%CjNA9Oo93xQ!|#SNH_bBy zsJZFYdyqOe&2xu_dtaHG<^=-m^DxeC_IqmlB|7$Tdkq+4zo#^Fj&XYp+%&Hp8hZ`g zG;bUldkx$)?;IM&m12C}1qeQY&o*Hd`l_Cc;ri=s_qVdE=3!2^&w6L?ifs42TV-v8 z6W9klKLtP#w18H?^Zt2o0bB$j5C-jl=Y~$e-~I@=0=fZz$FG52a2-Se4`Xp~6ZC`I zfamPHU;x|$_W{q$Q}rJ4l7&ux z6pyz7(U_tCF`qxsr2EkZ4gH+or#Ck1!GPp)rc94dju`8QMlxwLoftLzena1I6;MPM zJBV@om6miiJyxih!JaXg8up|fr92$vLN)tBy>U&%`-o zZQHQ@uu_OE=!;4TelgK{Hq>sKR>0m&av#`w7qv^P9e#?sGTSqcpud>5`&P9!G?hZy zP^E_5NdACg_QCG+)#uQ%OUrJmYS6Nos+gADQKf0w?yA<7Y)93G7N|BY`>i%~ECv@X zSE21Wn<*K&uH|aRQQz4=Pu0^t_l(u;6$&(v!IhSwSrpQSa@A=0O>% zwk7*ZORmN`9=S|lBlO4>5EpDBfItD!#7*btn|6)o&c|-LAm4P{1h1wG^G%Ozq;bs8&q{R%2Zcj7qg4(6I`xEMirnS}iWH8tY#&DuDz#Rz(x| z4*o{21UCD(Qb$Fght<=n)sh0MvF;X(ivC#6wIa~5YCR|GoNM(Z2-m2V`nP&iu_DmJ zYMpAO`L_xxRs?!j-F!e9)iVE9)ru8?9#*?ltL6T!B8nA(9#&ITs}=sOtofE_cgz+9 zdRQH;P!ibU-zr9(ODh6BtQM(OxXb_F97v#JRrD7ApM@68A)g`NMx#Zm9-^!Ybq!oce_{-)wY5rH^+4Dd$4tqS9tI(OGjlQA!BK%8CGl$w$m(i z6x+uw)sNIZVW}Jx_i_M=i7%j-I1k0dbtoq8K`~K|JDrKApg0zWVqygp6LDGF2gStO zGIjupiIY%FT$Hs}p_mwf;hjLNPH7x2x?7 z#Y6)X6DwuyW+*0Jm9f20Ond;v#3@;O0g8!RGIke=iHTVEF;NA@XAp&AV!e!QhGOC+ zC??*NwFjY?_zH@%djX1x-=LWI8;U)~W-F|h-Ri5wIYpUBt=C?>v#V&aCZy$8j_^a**7AQTe|p_o_= z#U61eCici!4vLA7pqMx#YcE1EaYx4PK`}7}-&B~WhGLI;C?+;S@yO#)OzebWVm}lU z!%$3oEn^p#mtjNYA0 l8Sc7)?%vLUcv_E}X0kJ-55&9smHNJPZ^AH5eR~`y_AjBEXlDQb literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.c b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.c new file mode 100644 index 0000000..92d2da0 --- /dev/null +++ b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.c @@ -0,0 +1,5992 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/SE-030/cpld/CS.v"; +static unsigned int ng1[] = {4U, 0U}; +static int ng2[] = {0, 0}; +static int ng3[] = {1, 0}; +static unsigned int ng4[] = {0U, 0U}; +static unsigned int ng5[] = {3U, 0U}; +static unsigned int ng6[] = {15U, 0U}; +static unsigned int ng7[] = {14U, 0U}; +static unsigned int ng8[] = {10U, 0U}; +static unsigned int ng9[] = {2U, 0U}; +static unsigned int ng10[] = {5U, 0U}; +static unsigned int ng11[] = {8U, 0U}; +static unsigned int ng12[] = {9U, 0U}; +static unsigned int ng13[] = {11U, 0U}; +static unsigned int ng14[] = {12U, 0U}; +static unsigned int ng15[] = {13U, 0U}; + + + +static void NetDecl_12_0(char *t0) +{ + char t3[8]; + char *t1; + char *t2; + char *t4; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + unsigned int t28; + unsigned int t29; + char *t30; + unsigned int t31; + unsigned int t32; + char *t33; + unsigned int t34; + unsigned int t35; + char *t36; + +LAB0: t1 = (t0 + 4448U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(12, ng0); + t2 = (t0 + 3528); + t4 = (t2 + 56U); + t5 = *((char **)t4); + memset(t3, 0, 8); + t6 = (t5 + 4); + t7 = *((unsigned int *)t6); + t8 = (~(t7)); + t9 = *((unsigned int *)t5); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t6) == 0) + goto LAB4; + +LAB6: t12 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t12) = 1; + +LAB7: t13 = (t3 + 4); + t14 = (t5 + 4); + t15 = *((unsigned int *)t5); + t16 = (~(t15)); + *((unsigned int *)t3) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB9; + +LAB8: t21 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t0 + 7224); + t24 = (t23 + 56U); + t25 = *((char **)t24); + t26 = (t25 + 56U); + t27 = *((char **)t26); + memset(t27, 0, 8); + t28 = 1U; + t29 = t28; + t30 = (t3 + 4); + t31 = *((unsigned int *)t3); + t28 = (t28 & t31); + t32 = *((unsigned int *)t30); + t29 = (t29 & t32); + t33 = (t27 + 4); + t34 = *((unsigned int *)t27); + *((unsigned int *)t27) = (t34 | t28); + t35 = *((unsigned int *)t33); + *((unsigned int *)t33) = (t35 | t29); + xsi_driver_vfirst_trans(t23, 0, 0U); + t36 = (t0 + 7000); + *((int *)t36) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t3) = 1; + goto LAB7; + +LAB9: t17 = *((unsigned int *)t3); + t18 = *((unsigned int *)t14); + *((unsigned int *)t3) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB8; + +} + +static void NetDecl_13_1(char *t0) +{ + char t3[8]; + char t13[8]; + char *t1; + char *t2; + char *t4; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t14; + char *t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + char *t28; + char *t29; + char *t30; + char *t31; + char *t32; + char *t33; + unsigned int t34; + unsigned int t35; + char *t36; + unsigned int t37; + unsigned int t38; + char *t39; + unsigned int t40; + unsigned int t41; + char *t42; + +LAB0: t1 = (t0 + 4696U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(13, ng0); + t2 = (t0 + 1048U); + t4 = *((char **)t2); + memset(t3, 0, 8); + t2 = (t3 + 4); + t5 = (t4 + 4); + t6 = *((unsigned int *)t4); + t7 = (t6 >> 12); + *((unsigned int *)t3) = t7; + t8 = *((unsigned int *)t5); + t9 = (t8 >> 12); + *((unsigned int *)t2) = t9; + t10 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t10 & 15U); + t11 = *((unsigned int *)t2); + *((unsigned int *)t2) = (t11 & 15U); + t12 = ((char*)((ng1))); + memset(t13, 0, 8); + t14 = (t3 + 4); + t15 = (t12 + 4); + t16 = *((unsigned int *)t3); + t17 = *((unsigned int *)t12); + t18 = (t16 ^ t17); + t19 = *((unsigned int *)t14); + t20 = *((unsigned int *)t15); + t21 = (t19 ^ t20); + t22 = (t18 | t21); + t23 = *((unsigned int *)t14); + t24 = *((unsigned int *)t15); + t25 = (t23 | t24); + t26 = (~(t25)); + t27 = (t22 & t26); + if (t27 != 0) + goto LAB7; + +LAB4: if (t25 != 0) + goto LAB6; + +LAB5: *((unsigned int *)t13) = 1; + +LAB7: t29 = (t0 + 7288); + t30 = (t29 + 56U); + t31 = *((char **)t30); + t32 = (t31 + 56U); + t33 = *((char **)t32); + memset(t33, 0, 8); + t34 = 1U; + t35 = t34; + t36 = (t13 + 4); + t37 = *((unsigned int *)t13); + t34 = (t34 & t37); + t38 = *((unsigned int *)t36); + t35 = (t35 & t38); + t39 = (t33 + 4); + t40 = *((unsigned int *)t33); + *((unsigned int *)t33) = (t40 | t34); + t41 = *((unsigned int *)t39); + *((unsigned int *)t39) = (t41 | t35); + xsi_driver_vfirst_trans(t29, 0, 0U); + t42 = (t0 + 7016); + *((int *)t42) = 1; + +LAB1: return; +LAB6: t28 = (t13 + 4); + *((unsigned int *)t13) = 1; + *((unsigned int *)t28) = 1; + goto LAB7; + +} + +static void Always_14_2(char *t0) +{ + char t4[8]; + char t31[8]; + char t32[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + char *t38; + char *t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + unsigned int t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + int t48; + int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + char *t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + char *t62; + char *t63; + +LAB0: t1 = (t0 + 4944U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(14, ng0); + t2 = (t0 + 7032); + *((int *)t2) = 1; + t3 = (t0 + 4976); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(14, ng0); + +LAB5: xsi_set_current_line(15, ng0); + t5 = (t0 + 1368U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 != 0); + if (t28 > 0) + goto LAB12; + +LAB13: xsi_set_current_line(16, ng0); + t2 = (t0 + 1688U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t7 = *((unsigned int *)t2); + t8 = (~(t7)); + t9 = *((unsigned int *)t3); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB15; + +LAB16: if (*((unsigned int *)t2) != 0) + goto LAB17; + +LAB18: t6 = (t4 + 4); + t15 = *((unsigned int *)t4); + t16 = *((unsigned int *)t6); + t17 = (t15 || t16); + if (t17 > 0) + goto LAB19; + +LAB20: memcpy(t32, t4, 8); + +LAB21: t56 = (t32 + 4); + t57 = *((unsigned int *)t56); + t58 = (~(t57)); + t59 = *((unsigned int *)t32); + t60 = (t59 & t58); + t61 = (t60 != 0); + if (t61 > 0) + goto LAB29; + +LAB30: +LAB31: +LAB14: goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +LAB12: xsi_set_current_line(15, ng0); + t29 = ((char*)((ng2))); + t30 = (t0 + 3368); + xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); + goto LAB14; + +LAB15: *((unsigned int *)t4) = 1; + goto LAB18; + +LAB17: t5 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t5) = 1; + goto LAB18; + +LAB19: t12 = (t0 + 2808U); + t13 = *((char **)t12); + memset(t31, 0, 8); + t12 = (t13 + 4); + t18 = *((unsigned int *)t12); + t19 = (~(t18)); + t20 = *((unsigned int *)t13); + t21 = (t20 & t19); + t22 = (t21 & 1U); + if (t22 != 0) + goto LAB22; + +LAB23: if (*((unsigned int *)t12) != 0) + goto LAB24; + +LAB25: t24 = *((unsigned int *)t4); + t25 = *((unsigned int *)t31); + t26 = (t24 & t25); + *((unsigned int *)t32) = t26; + t23 = (t4 + 4); + t29 = (t31 + 4); + t30 = (t32 + 4); + t27 = *((unsigned int *)t23); + t28 = *((unsigned int *)t29); + t33 = (t27 | t28); + *((unsigned int *)t30) = t33; + t34 = *((unsigned int *)t30); + t35 = (t34 != 0); + if (t35 == 1) + goto LAB26; + +LAB27: +LAB28: goto LAB21; + +LAB22: *((unsigned int *)t31) = 1; + goto LAB25; + +LAB24: t14 = (t31 + 4); + *((unsigned int *)t31) = 1; + *((unsigned int *)t14) = 1; + goto LAB25; + +LAB26: t36 = *((unsigned int *)t32); + t37 = *((unsigned int *)t30); + *((unsigned int *)t32) = (t36 | t37); + t38 = (t4 + 4); + t39 = (t31 + 4); + t40 = *((unsigned int *)t4); + t41 = (~(t40)); + t42 = *((unsigned int *)t38); + t43 = (~(t42)); + t44 = *((unsigned int *)t31); + t45 = (~(t44)); + t46 = *((unsigned int *)t39); + t47 = (~(t46)); + t48 = (t41 & t43); + t49 = (t45 & t47); + t50 = (~(t48)); + t51 = (~(t49)); + t52 = *((unsigned int *)t30); + *((unsigned int *)t30) = (t52 & t50); + t53 = *((unsigned int *)t30); + *((unsigned int *)t30) = (t53 & t51); + t54 = *((unsigned int *)t32); + *((unsigned int *)t32) = (t54 & t50); + t55 = *((unsigned int *)t32); + *((unsigned int *)t32) = (t55 & t51); + goto LAB28; + +LAB29: xsi_set_current_line(16, ng0); + t62 = ((char*)((ng3))); + t63 = (t0 + 3368); + xsi_vlogvar_wait_assign_value(t63, t62, 0, 0, 1, 0LL); + goto LAB31; + +} + +static void Always_18_3(char *t0) +{ + char t4[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + char *t31; + char *t32; + +LAB0: t1 = (t0 + 5192U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(18, ng0); + t2 = (t0 + 7048); + *((int *)t2) = 1; + t3 = (t0 + 5224); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(18, ng0); + +LAB5: xsi_set_current_line(19, ng0); + t5 = (t0 + 1688U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 != 0); + if (t28 > 0) + goto LAB12; + +LAB13: +LAB14: goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +LAB12: xsi_set_current_line(19, ng0); + t29 = (t0 + 3368); + t30 = (t29 + 56U); + t31 = *((char **)t30); + t32 = (t0 + 3528); + xsi_vlogvar_wait_assign_value(t32, t31, 0, 0, 1, 0LL); + goto LAB14; + +} + +static void Cont_23_4(char *t0) +{ + char t3[8]; + char t13[8]; + char t29[8]; + char t41[8]; + char t60[8]; + char t68[8]; + char t100[8]; + char t113[8]; + char t124[8]; + char t140[8]; + char t154[8]; + char t161[8]; + char t193[8]; + char t201[8]; + char *t1; + char *t2; + char *t4; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t14; + char *t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + char *t28; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + char *t36; + char *t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + char *t42; + char *t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + char *t49; + char *t50; + char *t51; + unsigned int t52; + unsigned int t53; + unsigned int t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + char *t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + char *t67; + unsigned int t69; + unsigned int t70; + unsigned int t71; + char *t72; + char *t73; + char *t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + char *t82; + char *t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + int t92; + int t93; + unsigned int t94; + unsigned int t95; + unsigned int t96; + unsigned int t97; + unsigned int t98; + unsigned int t99; + char *t101; + unsigned int t102; + unsigned int t103; + unsigned int t104; + unsigned int t105; + unsigned int t106; + char *t107; + char *t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + unsigned int t112; + char *t114; + char *t115; + char *t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + unsigned int t120; + unsigned int t121; + unsigned int t122; + char *t123; + char *t125; + char *t126; + unsigned int t127; + unsigned int t128; + unsigned int t129; + unsigned int t130; + unsigned int t131; + unsigned int t132; + unsigned int t133; + unsigned int t134; + unsigned int t135; + unsigned int t136; + unsigned int t137; + unsigned int t138; + char *t139; + char *t141; + unsigned int t142; + unsigned int t143; + unsigned int t144; + unsigned int t145; + unsigned int t146; + char *t147; + char *t148; + unsigned int t149; + unsigned int t150; + unsigned int t151; + char *t152; + char *t153; + unsigned int t155; + unsigned int t156; + unsigned int t157; + unsigned int t158; + unsigned int t159; + char *t160; + unsigned int t162; + unsigned int t163; + unsigned int t164; + char *t165; + char *t166; + char *t167; + unsigned int t168; + unsigned int t169; + unsigned int t170; + unsigned int t171; + unsigned int t172; + unsigned int t173; + unsigned int t174; + char *t175; + char *t176; + unsigned int t177; + unsigned int t178; + unsigned int t179; + unsigned int t180; + unsigned int t181; + unsigned int t182; + unsigned int t183; + unsigned int t184; + int t185; + int t186; + unsigned int t187; + unsigned int t188; + unsigned int t189; + unsigned int t190; + unsigned int t191; + unsigned int t192; + char *t194; + unsigned int t195; + unsigned int t196; + unsigned int t197; + unsigned int t198; + unsigned int t199; + char *t200; + unsigned int t202; + unsigned int t203; + unsigned int t204; + char *t205; + char *t206; + char *t207; + unsigned int t208; + unsigned int t209; + unsigned int t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + char *t215; + char *t216; + unsigned int t217; + unsigned int t218; + unsigned int t219; + int t220; + unsigned int t221; + unsigned int t222; + unsigned int t223; + int t224; + unsigned int t225; + unsigned int t226; + unsigned int t227; + unsigned int t228; + char *t229; + char *t230; + char *t231; + char *t232; + char *t233; + unsigned int t234; + unsigned int t235; + char *t236; + unsigned int t237; + unsigned int t238; + char *t239; + unsigned int t240; + unsigned int t241; + char *t242; + +LAB0: t1 = (t0 + 5440U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(23, ng0); + t2 = (t0 + 1048U); + t4 = *((char **)t2); + memset(t3, 0, 8); + t2 = (t3 + 4); + t5 = (t4 + 4); + t6 = *((unsigned int *)t4); + t7 = (t6 >> 14); + *((unsigned int *)t3) = t7; + t8 = *((unsigned int *)t5); + t9 = (t8 >> 14); + *((unsigned int *)t2) = t9; + t10 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t10 & 3U); + t11 = *((unsigned int *)t2); + *((unsigned int *)t2) = (t11 & 3U); + t12 = ((char*)((ng4))); + memset(t13, 0, 8); + t14 = (t3 + 4); + t15 = (t12 + 4); + t16 = *((unsigned int *)t3); + t17 = *((unsigned int *)t12); + t18 = (t16 ^ t17); + t19 = *((unsigned int *)t14); + t20 = *((unsigned int *)t15); + t21 = (t19 ^ t20); + t22 = (t18 | t21); + t23 = *((unsigned int *)t14); + t24 = *((unsigned int *)t15); + t25 = (t23 | t24); + t26 = (~(t25)); + t27 = (t22 & t26); + if (t27 != 0) + goto LAB7; + +LAB4: if (t25 != 0) + goto LAB6; + +LAB5: *((unsigned int *)t13) = 1; + +LAB7: memset(t29, 0, 8); + t30 = (t13 + 4); + t31 = *((unsigned int *)t30); + t32 = (~(t31)); + t33 = *((unsigned int *)t13); + t34 = (t33 & t32); + t35 = (t34 & 1U); + if (t35 != 0) + goto LAB8; + +LAB9: if (*((unsigned int *)t30) != 0) + goto LAB10; + +LAB11: t37 = (t29 + 4); + t38 = *((unsigned int *)t29); + t39 = *((unsigned int *)t37); + t40 = (t38 || t39); + if (t40 > 0) + goto LAB12; + +LAB13: memcpy(t68, t29, 8); + +LAB14: memset(t100, 0, 8); + t101 = (t68 + 4); + t102 = *((unsigned int *)t101); + t103 = (~(t102)); + t104 = *((unsigned int *)t68); + t105 = (t104 & t103); + t106 = (t105 & 1U); + if (t106 != 0) + goto LAB28; + +LAB29: if (*((unsigned int *)t101) != 0) + goto LAB30; + +LAB31: t108 = (t100 + 4); + t109 = *((unsigned int *)t100); + t110 = (!(t109)); + t111 = *((unsigned int *)t108); + t112 = (t110 || t111); + if (t112 > 0) + goto LAB32; + +LAB33: memcpy(t201, t100, 8); + +LAB34: t229 = (t0 + 7352); + t230 = (t229 + 56U); + t231 = *((char **)t230); + t232 = (t231 + 56U); + t233 = *((char **)t232); + memset(t233, 0, 8); + t234 = 1U; + t235 = t234; + t236 = (t201 + 4); + t237 = *((unsigned int *)t201); + t234 = (t234 & t237); + t238 = *((unsigned int *)t236); + t235 = (t235 & t238); + t239 = (t233 + 4); + t240 = *((unsigned int *)t233); + *((unsigned int *)t233) = (t240 | t234); + t241 = *((unsigned int *)t239); + *((unsigned int *)t239) = (t241 | t235); + xsi_driver_vfirst_trans(t229, 0, 0); + t242 = (t0 + 7064); + *((int *)t242) = 1; + +LAB1: return; +LAB6: t28 = (t13 + 4); + *((unsigned int *)t13) = 1; + *((unsigned int *)t28) = 1; + goto LAB7; + +LAB8: *((unsigned int *)t29) = 1; + goto LAB11; + +LAB10: t36 = (t29 + 4); + *((unsigned int *)t29) = 1; + *((unsigned int *)t36) = 1; + goto LAB11; + +LAB12: t42 = (t0 + 2648U); + t43 = *((char **)t42); + memset(t41, 0, 8); + t42 = (t43 + 4); + t44 = *((unsigned int *)t42); + t45 = (~(t44)); + t46 = *((unsigned int *)t43); + t47 = (t46 & t45); + t48 = (t47 & 1U); + if (t48 != 0) + goto LAB18; + +LAB16: if (*((unsigned int *)t42) == 0) + goto LAB15; + +LAB17: t49 = (t41 + 4); + *((unsigned int *)t41) = 1; + *((unsigned int *)t49) = 1; + +LAB18: t50 = (t41 + 4); + t51 = (t43 + 4); + t52 = *((unsigned int *)t43); + t53 = (~(t52)); + *((unsigned int *)t41) = t53; + *((unsigned int *)t50) = 0; + if (*((unsigned int *)t51) != 0) + goto LAB20; + +LAB19: t58 = *((unsigned int *)t41); + *((unsigned int *)t41) = (t58 & 1U); + t59 = *((unsigned int *)t50); + *((unsigned int *)t50) = (t59 & 1U); + memset(t60, 0, 8); + t61 = (t41 + 4); + t62 = *((unsigned int *)t61); + t63 = (~(t62)); + t64 = *((unsigned int *)t41); + t65 = (t64 & t63); + t66 = (t65 & 1U); + if (t66 != 0) + goto LAB21; + +LAB22: if (*((unsigned int *)t61) != 0) + goto LAB23; + +LAB24: t69 = *((unsigned int *)t29); + t70 = *((unsigned int *)t60); + t71 = (t69 & t70); + *((unsigned int *)t68) = t71; + t72 = (t29 + 4); + t73 = (t60 + 4); + t74 = (t68 + 4); + t75 = *((unsigned int *)t72); + t76 = *((unsigned int *)t73); + t77 = (t75 | t76); + *((unsigned int *)t74) = t77; + t78 = *((unsigned int *)t74); + t79 = (t78 != 0); + if (t79 == 1) + goto LAB25; + +LAB26: +LAB27: goto LAB14; + +LAB15: *((unsigned int *)t41) = 1; + goto LAB18; + +LAB20: t54 = *((unsigned int *)t41); + t55 = *((unsigned int *)t51); + *((unsigned int *)t41) = (t54 | t55); + t56 = *((unsigned int *)t50); + t57 = *((unsigned int *)t51); + *((unsigned int *)t50) = (t56 | t57); + goto LAB19; + +LAB21: *((unsigned int *)t60) = 1; + goto LAB24; + +LAB23: t67 = (t60 + 4); + *((unsigned int *)t60) = 1; + *((unsigned int *)t67) = 1; + goto LAB24; + +LAB25: t80 = *((unsigned int *)t68); + t81 = *((unsigned int *)t74); + *((unsigned int *)t68) = (t80 | t81); + t82 = (t29 + 4); + t83 = (t60 + 4); + t84 = *((unsigned int *)t29); + t85 = (~(t84)); + t86 = *((unsigned int *)t82); + t87 = (~(t86)); + t88 = *((unsigned int *)t60); + t89 = (~(t88)); + t90 = *((unsigned int *)t83); + t91 = (~(t90)); + t92 = (t85 & t87); + t93 = (t89 & t91); + t94 = (~(t92)); + t95 = (~(t93)); + t96 = *((unsigned int *)t74); + *((unsigned int *)t74) = (t96 & t94); + t97 = *((unsigned int *)t74); + *((unsigned int *)t74) = (t97 & t95); + t98 = *((unsigned int *)t68); + *((unsigned int *)t68) = (t98 & t94); + t99 = *((unsigned int *)t68); + *((unsigned int *)t68) = (t99 & t95); + goto LAB27; + +LAB28: *((unsigned int *)t100) = 1; + goto LAB31; + +LAB30: t107 = (t100 + 4); + *((unsigned int *)t100) = 1; + *((unsigned int *)t107) = 1; + goto LAB31; + +LAB32: t114 = (t0 + 1048U); + t115 = *((char **)t114); + memset(t113, 0, 8); + t114 = (t113 + 4); + t116 = (t115 + 4); + t117 = *((unsigned int *)t115); + t118 = (t117 >> 13); + *((unsigned int *)t113) = t118; + t119 = *((unsigned int *)t116); + t120 = (t119 >> 13); + *((unsigned int *)t114) = t120; + t121 = *((unsigned int *)t113); + *((unsigned int *)t113) = (t121 & 7U); + t122 = *((unsigned int *)t114); + *((unsigned int *)t114) = (t122 & 7U); + t123 = ((char*)((ng5))); + memset(t124, 0, 8); + t125 = (t113 + 4); + t126 = (t123 + 4); + t127 = *((unsigned int *)t113); + t128 = *((unsigned int *)t123); + t129 = (t127 ^ t128); + t130 = *((unsigned int *)t125); + t131 = *((unsigned int *)t126); + t132 = (t130 ^ t131); + t133 = (t129 | t132); + t134 = *((unsigned int *)t125); + t135 = *((unsigned int *)t126); + t136 = (t134 | t135); + t137 = (~(t136)); + t138 = (t133 & t137); + if (t138 != 0) + goto LAB38; + +LAB35: if (t136 != 0) + goto LAB37; + +LAB36: *((unsigned int *)t124) = 1; + +LAB38: memset(t140, 0, 8); + t141 = (t124 + 4); + t142 = *((unsigned int *)t141); + t143 = (~(t142)); + t144 = *((unsigned int *)t124); + t145 = (t144 & t143); + t146 = (t145 & 1U); + if (t146 != 0) + goto LAB39; + +LAB40: if (*((unsigned int *)t141) != 0) + goto LAB41; + +LAB42: t148 = (t140 + 4); + t149 = *((unsigned int *)t140); + t150 = *((unsigned int *)t148); + t151 = (t149 || t150); + if (t151 > 0) + goto LAB43; + +LAB44: memcpy(t161, t140, 8); + +LAB45: memset(t193, 0, 8); + t194 = (t161 + 4); + t195 = *((unsigned int *)t194); + t196 = (~(t195)); + t197 = *((unsigned int *)t161); + t198 = (t197 & t196); + t199 = (t198 & 1U); + if (t199 != 0) + goto LAB53; + +LAB54: if (*((unsigned int *)t194) != 0) + goto LAB55; + +LAB56: t202 = *((unsigned int *)t100); + t203 = *((unsigned int *)t193); + t204 = (t202 | t203); + *((unsigned int *)t201) = t204; + t205 = (t100 + 4); + t206 = (t193 + 4); + t207 = (t201 + 4); + t208 = *((unsigned int *)t205); + t209 = *((unsigned int *)t206); + t210 = (t208 | t209); + *((unsigned int *)t207) = t210; + t211 = *((unsigned int *)t207); + t212 = (t211 != 0); + if (t212 == 1) + goto LAB57; + +LAB58: +LAB59: goto LAB34; + +LAB37: t139 = (t124 + 4); + *((unsigned int *)t124) = 1; + *((unsigned int *)t139) = 1; + goto LAB38; + +LAB39: *((unsigned int *)t140) = 1; + goto LAB42; + +LAB41: t147 = (t140 + 4); + *((unsigned int *)t140) = 1; + *((unsigned int *)t147) = 1; + goto LAB42; + +LAB43: t152 = (t0 + 2648U); + t153 = *((char **)t152); + memset(t154, 0, 8); + t152 = (t153 + 4); + t155 = *((unsigned int *)t152); + t156 = (~(t155)); + t157 = *((unsigned int *)t153); + t158 = (t157 & t156); + t159 = (t158 & 1U); + if (t159 != 0) + goto LAB46; + +LAB47: if (*((unsigned int *)t152) != 0) + goto LAB48; + +LAB49: t162 = *((unsigned int *)t140); + t163 = *((unsigned int *)t154); + t164 = (t162 & t163); + *((unsigned int *)t161) = t164; + t165 = (t140 + 4); + t166 = (t154 + 4); + t167 = (t161 + 4); + t168 = *((unsigned int *)t165); + t169 = *((unsigned int *)t166); + t170 = (t168 | t169); + *((unsigned int *)t167) = t170; + t171 = *((unsigned int *)t167); + t172 = (t171 != 0); + if (t172 == 1) + goto LAB50; + +LAB51: +LAB52: goto LAB45; + +LAB46: *((unsigned int *)t154) = 1; + goto LAB49; + +LAB48: t160 = (t154 + 4); + *((unsigned int *)t154) = 1; + *((unsigned int *)t160) = 1; + goto LAB49; + +LAB50: t173 = *((unsigned int *)t161); + t174 = *((unsigned int *)t167); + *((unsigned int *)t161) = (t173 | t174); + t175 = (t140 + 4); + t176 = (t154 + 4); + t177 = *((unsigned int *)t140); + t178 = (~(t177)); + t179 = *((unsigned int *)t175); + t180 = (~(t179)); + t181 = *((unsigned int *)t154); + t182 = (~(t181)); + t183 = *((unsigned int *)t176); + t184 = (~(t183)); + t185 = (t178 & t180); + t186 = (t182 & t184); + t187 = (~(t185)); + t188 = (~(t186)); + t189 = *((unsigned int *)t167); + *((unsigned int *)t167) = (t189 & t187); + t190 = *((unsigned int *)t167); + *((unsigned int *)t167) = (t190 & t188); + t191 = *((unsigned int *)t161); + *((unsigned int *)t161) = (t191 & t187); + t192 = *((unsigned int *)t161); + *((unsigned int *)t161) = (t192 & t188); + goto LAB52; + +LAB53: *((unsigned int *)t193) = 1; + goto LAB56; + +LAB55: t200 = (t193 + 4); + *((unsigned int *)t193) = 1; + *((unsigned int *)t200) = 1; + goto LAB56; + +LAB57: t213 = *((unsigned int *)t201); + t214 = *((unsigned int *)t207); + *((unsigned int *)t201) = (t213 | t214); + t215 = (t100 + 4); + t216 = (t193 + 4); + t217 = *((unsigned int *)t215); + t218 = (~(t217)); + t219 = *((unsigned int *)t100); + t220 = (t219 & t218); + t221 = *((unsigned int *)t216); + t222 = (~(t221)); + t223 = *((unsigned int *)t193); + t224 = (t223 & t222); + t225 = (~(t220)); + t226 = (~(t224)); + t227 = *((unsigned int *)t207); + *((unsigned int *)t207) = (t227 & t225); + t228 = *((unsigned int *)t207); + *((unsigned int *)t207) = (t228 & t226); + goto LAB59; + +} + +static void NetDecl_25_5(char *t0) +{ + char t4[8]; + char t15[8]; + char t26[8]; + char t42[8]; + char t50[8]; + char t82[8]; + char t94[8]; + char t105[8]; + char t121[8]; + char t129[8]; + char t161[8]; + char t173[8]; + char t192[8]; + char t200[8]; + char *t1; + char *t2; + char *t3; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + char *t10; + char *t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + char *t16; + char *t17; + char *t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + char *t25; + char *t27; + char *t28; + unsigned int t29; + unsigned int t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + char *t41; + char *t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + char *t49; + unsigned int t51; + unsigned int t52; + unsigned int t53; + char *t54; + char *t55; + char *t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + char *t65; + unsigned int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + int t74; + int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + unsigned int t81; + char *t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + char *t89; + char *t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + char *t95; + char *t96; + char *t97; + unsigned int t98; + unsigned int t99; + unsigned int t100; + unsigned int t101; + unsigned int t102; + unsigned int t103; + char *t104; + char *t106; + char *t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + unsigned int t112; + unsigned int t113; + unsigned int t114; + unsigned int t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + char *t120; + char *t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + unsigned int t127; + char *t128; + unsigned int t130; + unsigned int t131; + unsigned int t132; + char *t133; + char *t134; + char *t135; + unsigned int t136; + unsigned int t137; + unsigned int t138; + unsigned int t139; + unsigned int t140; + unsigned int t141; + unsigned int t142; + char *t143; + char *t144; + unsigned int t145; + unsigned int t146; + unsigned int t147; + unsigned int t148; + unsigned int t149; + unsigned int t150; + unsigned int t151; + unsigned int t152; + int t153; + int t154; + unsigned int t155; + unsigned int t156; + unsigned int t157; + unsigned int t158; + unsigned int t159; + unsigned int t160; + char *t162; + unsigned int t163; + unsigned int t164; + unsigned int t165; + unsigned int t166; + unsigned int t167; + char *t168; + char *t169; + unsigned int t170; + unsigned int t171; + unsigned int t172; + char *t174; + char *t175; + unsigned int t176; + unsigned int t177; + unsigned int t178; + unsigned int t179; + unsigned int t180; + char *t181; + char *t182; + char *t183; + unsigned int t184; + unsigned int t185; + unsigned int t186; + unsigned int t187; + unsigned int t188; + unsigned int t189; + unsigned int t190; + unsigned int t191; + char *t193; + unsigned int t194; + unsigned int t195; + unsigned int t196; + unsigned int t197; + unsigned int t198; + char *t199; + unsigned int t201; + unsigned int t202; + unsigned int t203; + char *t204; + char *t205; + char *t206; + unsigned int t207; + unsigned int t208; + unsigned int t209; + unsigned int t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + char *t214; + char *t215; + unsigned int t216; + unsigned int t217; + unsigned int t218; + unsigned int t219; + unsigned int t220; + unsigned int t221; + unsigned int t222; + unsigned int t223; + int t224; + int t225; + unsigned int t226; + unsigned int t227; + unsigned int t228; + unsigned int t229; + unsigned int t230; + unsigned int t231; + char *t232; + char *t233; + char *t234; + char *t235; + char *t236; + unsigned int t237; + unsigned int t238; + char *t239; + unsigned int t240; + unsigned int t241; + char *t242; + unsigned int t243; + unsigned int t244; + char *t245; + +LAB0: t1 = (t0 + 5688U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(25, ng0); + t2 = (t0 + 2328U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t5 = *((unsigned int *)t2); + t6 = (~(t5)); + t7 = *((unsigned int *)t3); + t8 = (t7 & t6); + t9 = (t8 & 1U); + if (t9 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t2) != 0) + goto LAB6; + +LAB7: t11 = (t4 + 4); + t12 = *((unsigned int *)t4); + t13 = *((unsigned int *)t11); + t14 = (t12 || t13); + if (t14 > 0) + goto LAB8; + +LAB9: memcpy(t50, t4, 8); + +LAB10: memset(t82, 0, 8); + t83 = (t50 + 4); + t84 = *((unsigned int *)t83); + t85 = (~(t84)); + t86 = *((unsigned int *)t50); + t87 = (t86 & t85); + t88 = (t87 & 1U); + if (t88 != 0) + goto LAB22; + +LAB23: if (*((unsigned int *)t83) != 0) + goto LAB24; + +LAB25: t90 = (t82 + 4); + t91 = *((unsigned int *)t82); + t92 = *((unsigned int *)t90); + t93 = (t91 || t92); + if (t93 > 0) + goto LAB26; + +LAB27: memcpy(t129, t82, 8); + +LAB28: memset(t161, 0, 8); + t162 = (t129 + 4); + t163 = *((unsigned int *)t162); + t164 = (~(t163)); + t165 = *((unsigned int *)t129); + t166 = (t165 & t164); + t167 = (t166 & 1U); + if (t167 != 0) + goto LAB40; + +LAB41: if (*((unsigned int *)t162) != 0) + goto LAB42; + +LAB43: t169 = (t161 + 4); + t170 = *((unsigned int *)t161); + t171 = *((unsigned int *)t169); + t172 = (t170 || t171); + if (t172 > 0) + goto LAB44; + +LAB45: memcpy(t200, t161, 8); + +LAB46: t232 = (t0 + 7416); + t233 = (t232 + 56U); + t234 = *((char **)t233); + t235 = (t234 + 56U); + t236 = *((char **)t235); + memset(t236, 0, 8); + t237 = 1U; + t238 = t237; + t239 = (t200 + 4); + t240 = *((unsigned int *)t200); + t237 = (t237 & t240); + t241 = *((unsigned int *)t239); + t238 = (t238 & t241); + t242 = (t236 + 4); + t243 = *((unsigned int *)t236); + *((unsigned int *)t236) = (t243 | t237); + t244 = *((unsigned int *)t242); + *((unsigned int *)t242) = (t244 | t238); + xsi_driver_vfirst_trans(t232, 0, 0U); + t245 = (t0 + 7080); + *((int *)t245) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB6: t10 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t10) = 1; + goto LAB7; + +LAB8: t16 = (t0 + 1048U); + t17 = *((char **)t16); + memset(t15, 0, 8); + t16 = (t15 + 4); + t18 = (t17 + 4); + t19 = *((unsigned int *)t17); + t20 = (t19 >> 12); + *((unsigned int *)t15) = t20; + t21 = *((unsigned int *)t18); + t22 = (t21 >> 12); + *((unsigned int *)t16) = t22; + t23 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t23 & 3U); + t24 = *((unsigned int *)t16); + *((unsigned int *)t16) = (t24 & 3U); + t25 = ((char*)((ng5))); + memset(t26, 0, 8); + t27 = (t15 + 4); + t28 = (t25 + 4); + t29 = *((unsigned int *)t15); + t30 = *((unsigned int *)t25); + t31 = (t29 ^ t30); + t32 = *((unsigned int *)t27); + t33 = *((unsigned int *)t28); + t34 = (t32 ^ t33); + t35 = (t31 | t34); + t36 = *((unsigned int *)t27); + t37 = *((unsigned int *)t28); + t38 = (t36 | t37); + t39 = (~(t38)); + t40 = (t35 & t39); + if (t40 != 0) + goto LAB14; + +LAB11: if (t38 != 0) + goto LAB13; + +LAB12: *((unsigned int *)t26) = 1; + +LAB14: memset(t42, 0, 8); + t43 = (t26 + 4); + t44 = *((unsigned int *)t43); + t45 = (~(t44)); + t46 = *((unsigned int *)t26); + t47 = (t46 & t45); + t48 = (t47 & 1U); + if (t48 != 0) + goto LAB15; + +LAB16: if (*((unsigned int *)t43) != 0) + goto LAB17; + +LAB18: t51 = *((unsigned int *)t4); + t52 = *((unsigned int *)t42); + t53 = (t51 & t52); + *((unsigned int *)t50) = t53; + t54 = (t4 + 4); + t55 = (t42 + 4); + t56 = (t50 + 4); + t57 = *((unsigned int *)t54); + t58 = *((unsigned int *)t55); + t59 = (t57 | t58); + *((unsigned int *)t56) = t59; + t60 = *((unsigned int *)t56); + t61 = (t60 != 0); + if (t61 == 1) + goto LAB19; + +LAB20: +LAB21: goto LAB10; + +LAB13: t41 = (t26 + 4); + *((unsigned int *)t26) = 1; + *((unsigned int *)t41) = 1; + goto LAB14; + +LAB15: *((unsigned int *)t42) = 1; + goto LAB18; + +LAB17: t49 = (t42 + 4); + *((unsigned int *)t42) = 1; + *((unsigned int *)t49) = 1; + goto LAB18; + +LAB19: t62 = *((unsigned int *)t50); + t63 = *((unsigned int *)t56); + *((unsigned int *)t50) = (t62 | t63); + t64 = (t4 + 4); + t65 = (t42 + 4); + t66 = *((unsigned int *)t4); + t67 = (~(t66)); + t68 = *((unsigned int *)t64); + t69 = (~(t68)); + t70 = *((unsigned int *)t42); + t71 = (~(t70)); + t72 = *((unsigned int *)t65); + t73 = (~(t72)); + t74 = (t67 & t69); + t75 = (t71 & t73); + t76 = (~(t74)); + t77 = (~(t75)); + t78 = *((unsigned int *)t56); + *((unsigned int *)t56) = (t78 & t76); + t79 = *((unsigned int *)t56); + *((unsigned int *)t56) = (t79 & t77); + t80 = *((unsigned int *)t50); + *((unsigned int *)t50) = (t80 & t76); + t81 = *((unsigned int *)t50); + *((unsigned int *)t50) = (t81 & t77); + goto LAB21; + +LAB22: *((unsigned int *)t82) = 1; + goto LAB25; + +LAB24: t89 = (t82 + 4); + *((unsigned int *)t82) = 1; + *((unsigned int *)t89) = 1; + goto LAB25; + +LAB26: t95 = (t0 + 1048U); + t96 = *((char **)t95); + memset(t94, 0, 8); + t95 = (t94 + 4); + t97 = (t96 + 4); + t98 = *((unsigned int *)t96); + t99 = (t98 >> 8); + *((unsigned int *)t94) = t99; + t100 = *((unsigned int *)t97); + t101 = (t100 >> 8); + *((unsigned int *)t95) = t101; + t102 = *((unsigned int *)t94); + *((unsigned int *)t94) = (t102 & 15U); + t103 = *((unsigned int *)t95); + *((unsigned int *)t95) = (t103 & 15U); + t104 = ((char*)((ng6))); + memset(t105, 0, 8); + t106 = (t94 + 4); + t107 = (t104 + 4); + t108 = *((unsigned int *)t94); + t109 = *((unsigned int *)t104); + t110 = (t108 ^ t109); + t111 = *((unsigned int *)t106); + t112 = *((unsigned int *)t107); + t113 = (t111 ^ t112); + t114 = (t110 | t113); + t115 = *((unsigned int *)t106); + t116 = *((unsigned int *)t107); + t117 = (t115 | t116); + t118 = (~(t117)); + t119 = (t114 & t118); + if (t119 != 0) + goto LAB32; + +LAB29: if (t117 != 0) + goto LAB31; + +LAB30: *((unsigned int *)t105) = 1; + +LAB32: memset(t121, 0, 8); + t122 = (t105 + 4); + t123 = *((unsigned int *)t122); + t124 = (~(t123)); + t125 = *((unsigned int *)t105); + t126 = (t125 & t124); + t127 = (t126 & 1U); + if (t127 != 0) + goto LAB33; + +LAB34: if (*((unsigned int *)t122) != 0) + goto LAB35; + +LAB36: t130 = *((unsigned int *)t82); + t131 = *((unsigned int *)t121); + t132 = (t130 & t131); + *((unsigned int *)t129) = t132; + t133 = (t82 + 4); + t134 = (t121 + 4); + t135 = (t129 + 4); + t136 = *((unsigned int *)t133); + t137 = *((unsigned int *)t134); + t138 = (t136 | t137); + *((unsigned int *)t135) = t138; + t139 = *((unsigned int *)t135); + t140 = (t139 != 0); + if (t140 == 1) + goto LAB37; + +LAB38: +LAB39: goto LAB28; + +LAB31: t120 = (t105 + 4); + *((unsigned int *)t105) = 1; + *((unsigned int *)t120) = 1; + goto LAB32; + +LAB33: *((unsigned int *)t121) = 1; + goto LAB36; + +LAB35: t128 = (t121 + 4); + *((unsigned int *)t121) = 1; + *((unsigned int *)t128) = 1; + goto LAB36; + +LAB37: t141 = *((unsigned int *)t129); + t142 = *((unsigned int *)t135); + *((unsigned int *)t129) = (t141 | t142); + t143 = (t82 + 4); + t144 = (t121 + 4); + t145 = *((unsigned int *)t82); + t146 = (~(t145)); + t147 = *((unsigned int *)t143); + t148 = (~(t147)); + t149 = *((unsigned int *)t121); + t150 = (~(t149)); + t151 = *((unsigned int *)t144); + t152 = (~(t151)); + t153 = (t146 & t148); + t154 = (t150 & t152); + t155 = (~(t153)); + t156 = (~(t154)); + t157 = *((unsigned int *)t135); + *((unsigned int *)t135) = (t157 & t155); + t158 = *((unsigned int *)t135); + *((unsigned int *)t135) = (t158 & t156); + t159 = *((unsigned int *)t129); + *((unsigned int *)t129) = (t159 & t155); + t160 = *((unsigned int *)t129); + *((unsigned int *)t129) = (t160 & t156); + goto LAB39; + +LAB40: *((unsigned int *)t161) = 1; + goto LAB43; + +LAB42: t168 = (t161 + 4); + *((unsigned int *)t161) = 1; + *((unsigned int *)t168) = 1; + goto LAB43; + +LAB44: t174 = (t0 + 1528U); + t175 = *((char **)t174); + memset(t173, 0, 8); + t174 = (t175 + 4); + t176 = *((unsigned int *)t174); + t177 = (~(t176)); + t178 = *((unsigned int *)t175); + t179 = (t178 & t177); + t180 = (t179 & 1U); + if (t180 != 0) + goto LAB50; + +LAB48: if (*((unsigned int *)t174) == 0) + goto LAB47; + +LAB49: t181 = (t173 + 4); + *((unsigned int *)t173) = 1; + *((unsigned int *)t181) = 1; + +LAB50: t182 = (t173 + 4); + t183 = (t175 + 4); + t184 = *((unsigned int *)t175); + t185 = (~(t184)); + *((unsigned int *)t173) = t185; + *((unsigned int *)t182) = 0; + if (*((unsigned int *)t183) != 0) + goto LAB52; + +LAB51: t190 = *((unsigned int *)t173); + *((unsigned int *)t173) = (t190 & 1U); + t191 = *((unsigned int *)t182); + *((unsigned int *)t182) = (t191 & 1U); + memset(t192, 0, 8); + t193 = (t173 + 4); + t194 = *((unsigned int *)t193); + t195 = (~(t194)); + t196 = *((unsigned int *)t173); + t197 = (t196 & t195); + t198 = (t197 & 1U); + if (t198 != 0) + goto LAB53; + +LAB54: if (*((unsigned int *)t193) != 0) + goto LAB55; + +LAB56: t201 = *((unsigned int *)t161); + t202 = *((unsigned int *)t192); + t203 = (t201 & t202); + *((unsigned int *)t200) = t203; + t204 = (t161 + 4); + t205 = (t192 + 4); + t206 = (t200 + 4); + t207 = *((unsigned int *)t204); + t208 = *((unsigned int *)t205); + t209 = (t207 | t208); + *((unsigned int *)t206) = t209; + t210 = *((unsigned int *)t206); + t211 = (t210 != 0); + if (t211 == 1) + goto LAB57; + +LAB58: +LAB59: goto LAB46; + +LAB47: *((unsigned int *)t173) = 1; + goto LAB50; + +LAB52: t186 = *((unsigned int *)t173); + t187 = *((unsigned int *)t183); + *((unsigned int *)t173) = (t186 | t187); + t188 = *((unsigned int *)t182); + t189 = *((unsigned int *)t183); + *((unsigned int *)t182) = (t188 | t189); + goto LAB51; + +LAB53: *((unsigned int *)t192) = 1; + goto LAB56; + +LAB55: t199 = (t192 + 4); + *((unsigned int *)t192) = 1; + *((unsigned int *)t199) = 1; + goto LAB56; + +LAB57: t212 = *((unsigned int *)t200); + t213 = *((unsigned int *)t206); + *((unsigned int *)t200) = (t212 | t213); + t214 = (t161 + 4); + t215 = (t192 + 4); + t216 = *((unsigned int *)t161); + t217 = (~(t216)); + t218 = *((unsigned int *)t214); + t219 = (~(t218)); + t220 = *((unsigned int *)t192); + t221 = (~(t220)); + t222 = *((unsigned int *)t215); + t223 = (~(t222)); + t224 = (t217 & t219); + t225 = (t221 & t223); + t226 = (~(t224)); + t227 = (~(t225)); + t228 = *((unsigned int *)t206); + *((unsigned int *)t206) = (t228 & t226); + t229 = *((unsigned int *)t206); + *((unsigned int *)t206) = (t229 & t227); + t230 = *((unsigned int *)t200); + *((unsigned int *)t200) = (t230 & t226); + t231 = *((unsigned int *)t200); + *((unsigned int *)t200) = (t231 & t227); + goto LAB59; + +} + +static void Cont_26_6(char *t0) +{ + char t4[8]; + char t15[8]; + char t26[8]; + char t42[8]; + char t54[8]; + char t65[8]; + char t81[8]; + char t94[8]; + char t105[8]; + char t121[8]; + char t129[8]; + char t157[8]; + char t165[8]; + char t197[8]; + char t210[8]; + char t221[8]; + char t237[8]; + char t249[8]; + char t260[8]; + char t276[8]; + char t289[8]; + char t300[8]; + char t316[8]; + char t324[8]; + char t352[8]; + char t360[8]; + char t392[8]; + char t400[8]; + char t428[8]; + char t436[8]; + char *t1; + char *t2; + char *t3; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + char *t10; + char *t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + char *t16; + char *t17; + char *t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + char *t25; + char *t27; + char *t28; + unsigned int t29; + unsigned int t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + char *t41; + char *t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + char *t49; + char *t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + char *t55; + char *t56; + char *t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + char *t64; + char *t66; + char *t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + char *t80; + char *t82; + unsigned int t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + unsigned int t87; + char *t88; + char *t89; + unsigned int t90; + unsigned int t91; + unsigned int t92; + unsigned int t93; + char *t95; + char *t96; + char *t97; + unsigned int t98; + unsigned int t99; + unsigned int t100; + unsigned int t101; + unsigned int t102; + unsigned int t103; + char *t104; + char *t106; + char *t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + unsigned int t112; + unsigned int t113; + unsigned int t114; + unsigned int t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + char *t120; + char *t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + unsigned int t127; + char *t128; + unsigned int t130; + unsigned int t131; + unsigned int t132; + char *t133; + char *t134; + char *t135; + unsigned int t136; + unsigned int t137; + unsigned int t138; + unsigned int t139; + unsigned int t140; + unsigned int t141; + unsigned int t142; + char *t143; + char *t144; + unsigned int t145; + unsigned int t146; + unsigned int t147; + int t148; + unsigned int t149; + unsigned int t150; + unsigned int t151; + int t152; + unsigned int t153; + unsigned int t154; + unsigned int t155; + unsigned int t156; + char *t158; + unsigned int t159; + unsigned int t160; + unsigned int t161; + unsigned int t162; + unsigned int t163; + char *t164; + unsigned int t166; + unsigned int t167; + unsigned int t168; + char *t169; + char *t170; + char *t171; + unsigned int t172; + unsigned int t173; + unsigned int t174; + unsigned int t175; + unsigned int t176; + unsigned int t177; + unsigned int t178; + char *t179; + char *t180; + unsigned int t181; + unsigned int t182; + unsigned int t183; + unsigned int t184; + unsigned int t185; + unsigned int t186; + unsigned int t187; + unsigned int t188; + int t189; + int t190; + unsigned int t191; + unsigned int t192; + unsigned int t193; + unsigned int t194; + unsigned int t195; + unsigned int t196; + char *t198; + unsigned int t199; + unsigned int t200; + unsigned int t201; + unsigned int t202; + unsigned int t203; + char *t204; + char *t205; + unsigned int t206; + unsigned int t207; + unsigned int t208; + unsigned int t209; + char *t211; + char *t212; + char *t213; + unsigned int t214; + unsigned int t215; + unsigned int t216; + unsigned int t217; + unsigned int t218; + unsigned int t219; + char *t220; + char *t222; + char *t223; + unsigned int t224; + unsigned int t225; + unsigned int t226; + unsigned int t227; + unsigned int t228; + unsigned int t229; + unsigned int t230; + unsigned int t231; + unsigned int t232; + unsigned int t233; + unsigned int t234; + unsigned int t235; + char *t236; + char *t238; + unsigned int t239; + unsigned int t240; + unsigned int t241; + unsigned int t242; + unsigned int t243; + char *t244; + char *t245; + unsigned int t246; + unsigned int t247; + unsigned int t248; + char *t250; + char *t251; + char *t252; + unsigned int t253; + unsigned int t254; + unsigned int t255; + unsigned int t256; + unsigned int t257; + unsigned int t258; + char *t259; + char *t261; + char *t262; + unsigned int t263; + unsigned int t264; + unsigned int t265; + unsigned int t266; + unsigned int t267; + unsigned int t268; + unsigned int t269; + unsigned int t270; + unsigned int t271; + unsigned int t272; + unsigned int t273; + unsigned int t274; + char *t275; + char *t277; + unsigned int t278; + unsigned int t279; + unsigned int t280; + unsigned int t281; + unsigned int t282; + char *t283; + char *t284; + unsigned int t285; + unsigned int t286; + unsigned int t287; + unsigned int t288; + char *t290; + char *t291; + char *t292; + unsigned int t293; + unsigned int t294; + unsigned int t295; + unsigned int t296; + unsigned int t297; + unsigned int t298; + char *t299; + char *t301; + char *t302; + unsigned int t303; + unsigned int t304; + unsigned int t305; + unsigned int t306; + unsigned int t307; + unsigned int t308; + unsigned int t309; + unsigned int t310; + unsigned int t311; + unsigned int t312; + unsigned int t313; + unsigned int t314; + char *t315; + char *t317; + unsigned int t318; + unsigned int t319; + unsigned int t320; + unsigned int t321; + unsigned int t322; + char *t323; + unsigned int t325; + unsigned int t326; + unsigned int t327; + char *t328; + char *t329; + char *t330; + unsigned int t331; + unsigned int t332; + unsigned int t333; + unsigned int t334; + unsigned int t335; + unsigned int t336; + unsigned int t337; + char *t338; + char *t339; + unsigned int t340; + unsigned int t341; + unsigned int t342; + int t343; + unsigned int t344; + unsigned int t345; + unsigned int t346; + int t347; + unsigned int t348; + unsigned int t349; + unsigned int t350; + unsigned int t351; + char *t353; + unsigned int t354; + unsigned int t355; + unsigned int t356; + unsigned int t357; + unsigned int t358; + char *t359; + unsigned int t361; + unsigned int t362; + unsigned int t363; + char *t364; + char *t365; + char *t366; + unsigned int t367; + unsigned int t368; + unsigned int t369; + unsigned int t370; + unsigned int t371; + unsigned int t372; + unsigned int t373; + char *t374; + char *t375; + unsigned int t376; + unsigned int t377; + unsigned int t378; + unsigned int t379; + unsigned int t380; + unsigned int t381; + unsigned int t382; + unsigned int t383; + int t384; + int t385; + unsigned int t386; + unsigned int t387; + unsigned int t388; + unsigned int t389; + unsigned int t390; + unsigned int t391; + char *t393; + unsigned int t394; + unsigned int t395; + unsigned int t396; + unsigned int t397; + unsigned int t398; + char *t399; + unsigned int t401; + unsigned int t402; + unsigned int t403; + char *t404; + char *t405; + char *t406; + unsigned int t407; + unsigned int t408; + unsigned int t409; + unsigned int t410; + unsigned int t411; + unsigned int t412; + unsigned int t413; + char *t414; + char *t415; + unsigned int t416; + unsigned int t417; + unsigned int t418; + int t419; + unsigned int t420; + unsigned int t421; + unsigned int t422; + int t423; + unsigned int t424; + unsigned int t425; + unsigned int t426; + unsigned int t427; + char *t429; + unsigned int t430; + unsigned int t431; + unsigned int t432; + unsigned int t433; + unsigned int t434; + char *t435; + unsigned int t437; + unsigned int t438; + unsigned int t439; + char *t440; + char *t441; + char *t442; + unsigned int t443; + unsigned int t444; + unsigned int t445; + unsigned int t446; + unsigned int t447; + unsigned int t448; + unsigned int t449; + char *t450; + char *t451; + unsigned int t452; + unsigned int t453; + unsigned int t454; + unsigned int t455; + unsigned int t456; + unsigned int t457; + unsigned int t458; + unsigned int t459; + int t460; + int t461; + unsigned int t462; + unsigned int t463; + unsigned int t464; + unsigned int t465; + unsigned int t466; + unsigned int t467; + char *t468; + char *t469; + char *t470; + char *t471; + char *t472; + unsigned int t473; + unsigned int t474; + char *t475; + unsigned int t476; + unsigned int t477; + char *t478; + unsigned int t479; + unsigned int t480; + char *t481; + +LAB0: t1 = (t0 + 5936U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(26, ng0); + t2 = (t0 + 2968U); + t3 = *((char **)t2); + memset(t4, 0, 8); + t2 = (t3 + 4); + t5 = *((unsigned int *)t2); + t6 = (~(t5)); + t7 = *((unsigned int *)t3); + t8 = (t7 & t6); + t9 = (t8 & 1U); + if (t9 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t2) != 0) + goto LAB6; + +LAB7: t11 = (t4 + 4); + t12 = *((unsigned int *)t4); + t13 = *((unsigned int *)t11); + t14 = (t12 || t13); + if (t14 > 0) + goto LAB8; + +LAB9: memcpy(t436, t4, 8); + +LAB10: t468 = (t0 + 7480); + t469 = (t468 + 56U); + t470 = *((char **)t469); + t471 = (t470 + 56U); + t472 = *((char **)t471); + memset(t472, 0, 8); + t473 = 1U; + t474 = t473; + t475 = (t436 + 4); + t476 = *((unsigned int *)t436); + t473 = (t473 & t476); + t477 = *((unsigned int *)t475); + t474 = (t474 & t477); + t478 = (t472 + 4); + t479 = *((unsigned int *)t472); + *((unsigned int *)t472) = (t479 | t473); + t480 = *((unsigned int *)t478); + *((unsigned int *)t478) = (t480 | t474); + xsi_driver_vfirst_trans(t468, 0, 0); + t481 = (t0 + 7096); + *((int *)t481) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t4) = 1; + goto LAB7; + +LAB6: t10 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t10) = 1; + goto LAB7; + +LAB8: t16 = (t0 + 1048U); + t17 = *((char **)t16); + memset(t15, 0, 8); + t16 = (t15 + 4); + t18 = (t17 + 4); + t19 = *((unsigned int *)t17); + t20 = (t19 >> 4); + *((unsigned int *)t15) = t20; + t21 = *((unsigned int *)t18); + t22 = (t21 >> 4); + *((unsigned int *)t16) = t22; + t23 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t23 & 15U); + t24 = *((unsigned int *)t16); + *((unsigned int *)t16) = (t24 & 15U); + t25 = ((char*)((ng6))); + memset(t26, 0, 8); + t27 = (t15 + 4); + t28 = (t25 + 4); + t29 = *((unsigned int *)t15); + t30 = *((unsigned int *)t25); + t31 = (t29 ^ t30); + t32 = *((unsigned int *)t27); + t33 = *((unsigned int *)t28); + t34 = (t32 ^ t33); + t35 = (t31 | t34); + t36 = *((unsigned int *)t27); + t37 = *((unsigned int *)t28); + t38 = (t36 | t37); + t39 = (~(t38)); + t40 = (t35 & t39); + if (t40 != 0) + goto LAB14; + +LAB11: if (t38 != 0) + goto LAB13; + +LAB12: *((unsigned int *)t26) = 1; + +LAB14: memset(t42, 0, 8); + t43 = (t26 + 4); + t44 = *((unsigned int *)t43); + t45 = (~(t44)); + t46 = *((unsigned int *)t26); + t47 = (t46 & t45); + t48 = (t47 & 1U); + if (t48 != 0) + goto LAB15; + +LAB16: if (*((unsigned int *)t43) != 0) + goto LAB17; + +LAB18: t50 = (t42 + 4); + t51 = *((unsigned int *)t42); + t52 = *((unsigned int *)t50); + t53 = (t51 || t52); + if (t53 > 0) + goto LAB19; + +LAB20: memcpy(t165, t42, 8); + +LAB21: memset(t197, 0, 8); + t198 = (t165 + 4); + t199 = *((unsigned int *)t198); + t200 = (~(t199)); + t201 = *((unsigned int *)t165); + t202 = (t201 & t200); + t203 = (t202 & 1U); + if (t203 != 0) + goto LAB51; + +LAB52: if (*((unsigned int *)t198) != 0) + goto LAB53; + +LAB54: t205 = (t197 + 4); + t206 = *((unsigned int *)t197); + t207 = (!(t206)); + t208 = *((unsigned int *)t205); + t209 = (t207 || t208); + if (t209 > 0) + goto LAB55; + +LAB56: memcpy(t400, t197, 8); + +LAB57: memset(t428, 0, 8); + t429 = (t400 + 4); + t430 = *((unsigned int *)t429); + t431 = (~(t430)); + t432 = *((unsigned int *)t400); + t433 = (t432 & t431); + t434 = (t433 & 1U); + if (t434 != 0) + goto LAB105; + +LAB106: if (*((unsigned int *)t429) != 0) + goto LAB107; + +LAB108: t437 = *((unsigned int *)t4); + t438 = *((unsigned int *)t428); + t439 = (t437 & t438); + *((unsigned int *)t436) = t439; + t440 = (t4 + 4); + t441 = (t428 + 4); + t442 = (t436 + 4); + t443 = *((unsigned int *)t440); + t444 = *((unsigned int *)t441); + t445 = (t443 | t444); + *((unsigned int *)t442) = t445; + t446 = *((unsigned int *)t442); + t447 = (t446 != 0); + if (t447 == 1) + goto LAB109; + +LAB110: +LAB111: goto LAB10; + +LAB13: t41 = (t26 + 4); + *((unsigned int *)t26) = 1; + *((unsigned int *)t41) = 1; + goto LAB14; + +LAB15: *((unsigned int *)t42) = 1; + goto LAB18; + +LAB17: t49 = (t42 + 4); + *((unsigned int *)t42) = 1; + *((unsigned int *)t49) = 1; + goto LAB18; + +LAB19: t55 = (t0 + 1048U); + t56 = *((char **)t55); + memset(t54, 0, 8); + t55 = (t54 + 4); + t57 = (t56 + 4); + t58 = *((unsigned int *)t56); + t59 = (t58 >> 0); + *((unsigned int *)t54) = t59; + t60 = *((unsigned int *)t57); + t61 = (t60 >> 0); + *((unsigned int *)t55) = t61; + t62 = *((unsigned int *)t54); + *((unsigned int *)t54) = (t62 & 15U); + t63 = *((unsigned int *)t55); + *((unsigned int *)t55) = (t63 & 15U); + t64 = ((char*)((ng7))); + memset(t65, 0, 8); + t66 = (t54 + 4); + t67 = (t64 + 4); + t68 = *((unsigned int *)t54); + t69 = *((unsigned int *)t64); + t70 = (t68 ^ t69); + t71 = *((unsigned int *)t66); + t72 = *((unsigned int *)t67); + t73 = (t71 ^ t72); + t74 = (t70 | t73); + t75 = *((unsigned int *)t66); + t76 = *((unsigned int *)t67); + t77 = (t75 | t76); + t78 = (~(t77)); + t79 = (t74 & t78); + if (t79 != 0) + goto LAB25; + +LAB22: if (t77 != 0) + goto LAB24; + +LAB23: *((unsigned int *)t65) = 1; + +LAB25: memset(t81, 0, 8); + t82 = (t65 + 4); + t83 = *((unsigned int *)t82); + t84 = (~(t83)); + t85 = *((unsigned int *)t65); + t86 = (t85 & t84); + t87 = (t86 & 1U); + if (t87 != 0) + goto LAB26; + +LAB27: if (*((unsigned int *)t82) != 0) + goto LAB28; + +LAB29: t89 = (t81 + 4); + t90 = *((unsigned int *)t81); + t91 = (!(t90)); + t92 = *((unsigned int *)t89); + t93 = (t91 || t92); + if (t93 > 0) + goto LAB30; + +LAB31: memcpy(t129, t81, 8); + +LAB32: memset(t157, 0, 8); + t158 = (t129 + 4); + t159 = *((unsigned int *)t158); + t160 = (~(t159)); + t161 = *((unsigned int *)t129); + t162 = (t161 & t160); + t163 = (t162 & 1U); + if (t163 != 0) + goto LAB44; + +LAB45: if (*((unsigned int *)t158) != 0) + goto LAB46; + +LAB47: t166 = *((unsigned int *)t42); + t167 = *((unsigned int *)t157); + t168 = (t166 & t167); + *((unsigned int *)t165) = t168; + t169 = (t42 + 4); + t170 = (t157 + 4); + t171 = (t165 + 4); + t172 = *((unsigned int *)t169); + t173 = *((unsigned int *)t170); + t174 = (t172 | t173); + *((unsigned int *)t171) = t174; + t175 = *((unsigned int *)t171); + t176 = (t175 != 0); + if (t176 == 1) + goto LAB48; + +LAB49: +LAB50: goto LAB21; + +LAB24: t80 = (t65 + 4); + *((unsigned int *)t65) = 1; + *((unsigned int *)t80) = 1; + goto LAB25; + +LAB26: *((unsigned int *)t81) = 1; + goto LAB29; + +LAB28: t88 = (t81 + 4); + *((unsigned int *)t81) = 1; + *((unsigned int *)t88) = 1; + goto LAB29; + +LAB30: t95 = (t0 + 1048U); + t96 = *((char **)t95); + memset(t94, 0, 8); + t95 = (t94 + 4); + t97 = (t96 + 4); + t98 = *((unsigned int *)t96); + t99 = (t98 >> 0); + *((unsigned int *)t94) = t99; + t100 = *((unsigned int *)t97); + t101 = (t100 >> 0); + *((unsigned int *)t95) = t101; + t102 = *((unsigned int *)t94); + *((unsigned int *)t94) = (t102 & 15U); + t103 = *((unsigned int *)t95); + *((unsigned int *)t95) = (t103 & 15U); + t104 = ((char*)((ng6))); + memset(t105, 0, 8); + t106 = (t94 + 4); + t107 = (t104 + 4); + t108 = *((unsigned int *)t94); + t109 = *((unsigned int *)t104); + t110 = (t108 ^ t109); + t111 = *((unsigned int *)t106); + t112 = *((unsigned int *)t107); + t113 = (t111 ^ t112); + t114 = (t110 | t113); + t115 = *((unsigned int *)t106); + t116 = *((unsigned int *)t107); + t117 = (t115 | t116); + t118 = (~(t117)); + t119 = (t114 & t118); + if (t119 != 0) + goto LAB36; + +LAB33: if (t117 != 0) + goto LAB35; + +LAB34: *((unsigned int *)t105) = 1; + +LAB36: memset(t121, 0, 8); + t122 = (t105 + 4); + t123 = *((unsigned int *)t122); + t124 = (~(t123)); + t125 = *((unsigned int *)t105); + t126 = (t125 & t124); + t127 = (t126 & 1U); + if (t127 != 0) + goto LAB37; + +LAB38: if (*((unsigned int *)t122) != 0) + goto LAB39; + +LAB40: t130 = *((unsigned int *)t81); + t131 = *((unsigned int *)t121); + t132 = (t130 | t131); + *((unsigned int *)t129) = t132; + t133 = (t81 + 4); + t134 = (t121 + 4); + t135 = (t129 + 4); + t136 = *((unsigned int *)t133); + t137 = *((unsigned int *)t134); + t138 = (t136 | t137); + *((unsigned int *)t135) = t138; + t139 = *((unsigned int *)t135); + t140 = (t139 != 0); + if (t140 == 1) + goto LAB41; + +LAB42: +LAB43: goto LAB32; + +LAB35: t120 = (t105 + 4); + *((unsigned int *)t105) = 1; + *((unsigned int *)t120) = 1; + goto LAB36; + +LAB37: *((unsigned int *)t121) = 1; + goto LAB40; + +LAB39: t128 = (t121 + 4); + *((unsigned int *)t121) = 1; + *((unsigned int *)t128) = 1; + goto LAB40; + +LAB41: t141 = *((unsigned int *)t129); + t142 = *((unsigned int *)t135); + *((unsigned int *)t129) = (t141 | t142); + t143 = (t81 + 4); + t144 = (t121 + 4); + t145 = *((unsigned int *)t143); + t146 = (~(t145)); + t147 = *((unsigned int *)t81); + t148 = (t147 & t146); + t149 = *((unsigned int *)t144); + t150 = (~(t149)); + t151 = *((unsigned int *)t121); + t152 = (t151 & t150); + t153 = (~(t148)); + t154 = (~(t152)); + t155 = *((unsigned int *)t135); + *((unsigned int *)t135) = (t155 & t153); + t156 = *((unsigned int *)t135); + *((unsigned int *)t135) = (t156 & t154); + goto LAB43; + +LAB44: *((unsigned int *)t157) = 1; + goto LAB47; + +LAB46: t164 = (t157 + 4); + *((unsigned int *)t157) = 1; + *((unsigned int *)t164) = 1; + goto LAB47; + +LAB48: t177 = *((unsigned int *)t165); + t178 = *((unsigned int *)t171); + *((unsigned int *)t165) = (t177 | t178); + t179 = (t42 + 4); + t180 = (t157 + 4); + t181 = *((unsigned int *)t42); + t182 = (~(t181)); + t183 = *((unsigned int *)t179); + t184 = (~(t183)); + t185 = *((unsigned int *)t157); + t186 = (~(t185)); + t187 = *((unsigned int *)t180); + t188 = (~(t187)); + t189 = (t182 & t184); + t190 = (t186 & t188); + t191 = (~(t189)); + t192 = (~(t190)); + t193 = *((unsigned int *)t171); + *((unsigned int *)t171) = (t193 & t191); + t194 = *((unsigned int *)t171); + *((unsigned int *)t171) = (t194 & t192); + t195 = *((unsigned int *)t165); + *((unsigned int *)t165) = (t195 & t191); + t196 = *((unsigned int *)t165); + *((unsigned int *)t165) = (t196 & t192); + goto LAB50; + +LAB51: *((unsigned int *)t197) = 1; + goto LAB54; + +LAB53: t204 = (t197 + 4); + *((unsigned int *)t197) = 1; + *((unsigned int *)t204) = 1; + goto LAB54; + +LAB55: t211 = (t0 + 1048U); + t212 = *((char **)t211); + memset(t210, 0, 8); + t211 = (t210 + 4); + t213 = (t212 + 4); + t214 = *((unsigned int *)t212); + t215 = (t214 >> 4); + *((unsigned int *)t210) = t215; + t216 = *((unsigned int *)t213); + t217 = (t216 >> 4); + *((unsigned int *)t211) = t217; + t218 = *((unsigned int *)t210); + *((unsigned int *)t210) = (t218 & 15U); + t219 = *((unsigned int *)t211); + *((unsigned int *)t211) = (t219 & 15U); + t220 = ((char*)((ng8))); + memset(t221, 0, 8); + t222 = (t210 + 4); + t223 = (t220 + 4); + t224 = *((unsigned int *)t210); + t225 = *((unsigned int *)t220); + t226 = (t224 ^ t225); + t227 = *((unsigned int *)t222); + t228 = *((unsigned int *)t223); + t229 = (t227 ^ t228); + t230 = (t226 | t229); + t231 = *((unsigned int *)t222); + t232 = *((unsigned int *)t223); + t233 = (t231 | t232); + t234 = (~(t233)); + t235 = (t230 & t234); + if (t235 != 0) + goto LAB61; + +LAB58: if (t233 != 0) + goto LAB60; + +LAB59: *((unsigned int *)t221) = 1; + +LAB61: memset(t237, 0, 8); + t238 = (t221 + 4); + t239 = *((unsigned int *)t238); + t240 = (~(t239)); + t241 = *((unsigned int *)t221); + t242 = (t241 & t240); + t243 = (t242 & 1U); + if (t243 != 0) + goto LAB62; + +LAB63: if (*((unsigned int *)t238) != 0) + goto LAB64; + +LAB65: t245 = (t237 + 4); + t246 = *((unsigned int *)t237); + t247 = *((unsigned int *)t245); + t248 = (t246 || t247); + if (t248 > 0) + goto LAB66; + +LAB67: memcpy(t360, t237, 8); + +LAB68: memset(t392, 0, 8); + t393 = (t360 + 4); + t394 = *((unsigned int *)t393); + t395 = (~(t394)); + t396 = *((unsigned int *)t360); + t397 = (t396 & t395); + t398 = (t397 & 1U); + if (t398 != 0) + goto LAB98; + +LAB99: if (*((unsigned int *)t393) != 0) + goto LAB100; + +LAB101: t401 = *((unsigned int *)t197); + t402 = *((unsigned int *)t392); + t403 = (t401 | t402); + *((unsigned int *)t400) = t403; + t404 = (t197 + 4); + t405 = (t392 + 4); + t406 = (t400 + 4); + t407 = *((unsigned int *)t404); + t408 = *((unsigned int *)t405); + t409 = (t407 | t408); + *((unsigned int *)t406) = t409; + t410 = *((unsigned int *)t406); + t411 = (t410 != 0); + if (t411 == 1) + goto LAB102; + +LAB103: +LAB104: goto LAB57; + +LAB60: t236 = (t221 + 4); + *((unsigned int *)t221) = 1; + *((unsigned int *)t236) = 1; + goto LAB61; + +LAB62: *((unsigned int *)t237) = 1; + goto LAB65; + +LAB64: t244 = (t237 + 4); + *((unsigned int *)t237) = 1; + *((unsigned int *)t244) = 1; + goto LAB65; + +LAB66: t250 = (t0 + 1048U); + t251 = *((char **)t250); + memset(t249, 0, 8); + t250 = (t249 + 4); + t252 = (t251 + 4); + t253 = *((unsigned int *)t251); + t254 = (t253 >> 0); + *((unsigned int *)t249) = t254; + t255 = *((unsigned int *)t252); + t256 = (t255 >> 0); + *((unsigned int *)t250) = t256; + t257 = *((unsigned int *)t249); + *((unsigned int *)t249) = (t257 & 15U); + t258 = *((unsigned int *)t250); + *((unsigned int *)t250) = (t258 & 15U); + t259 = ((char*)((ng9))); + memset(t260, 0, 8); + t261 = (t249 + 4); + t262 = (t259 + 4); + t263 = *((unsigned int *)t249); + t264 = *((unsigned int *)t259); + t265 = (t263 ^ t264); + t266 = *((unsigned int *)t261); + t267 = *((unsigned int *)t262); + t268 = (t266 ^ t267); + t269 = (t265 | t268); + t270 = *((unsigned int *)t261); + t271 = *((unsigned int *)t262); + t272 = (t270 | t271); + t273 = (~(t272)); + t274 = (t269 & t273); + if (t274 != 0) + goto LAB72; + +LAB69: if (t272 != 0) + goto LAB71; + +LAB70: *((unsigned int *)t260) = 1; + +LAB72: memset(t276, 0, 8); + t277 = (t260 + 4); + t278 = *((unsigned int *)t277); + t279 = (~(t278)); + t280 = *((unsigned int *)t260); + t281 = (t280 & t279); + t282 = (t281 & 1U); + if (t282 != 0) + goto LAB73; + +LAB74: if (*((unsigned int *)t277) != 0) + goto LAB75; + +LAB76: t284 = (t276 + 4); + t285 = *((unsigned int *)t276); + t286 = (!(t285)); + t287 = *((unsigned int *)t284); + t288 = (t286 || t287); + if (t288 > 0) + goto LAB77; + +LAB78: memcpy(t324, t276, 8); + +LAB79: memset(t352, 0, 8); + t353 = (t324 + 4); + t354 = *((unsigned int *)t353); + t355 = (~(t354)); + t356 = *((unsigned int *)t324); + t357 = (t356 & t355); + t358 = (t357 & 1U); + if (t358 != 0) + goto LAB91; + +LAB92: if (*((unsigned int *)t353) != 0) + goto LAB93; + +LAB94: t361 = *((unsigned int *)t237); + t362 = *((unsigned int *)t352); + t363 = (t361 & t362); + *((unsigned int *)t360) = t363; + t364 = (t237 + 4); + t365 = (t352 + 4); + t366 = (t360 + 4); + t367 = *((unsigned int *)t364); + t368 = *((unsigned int *)t365); + t369 = (t367 | t368); + *((unsigned int *)t366) = t369; + t370 = *((unsigned int *)t366); + t371 = (t370 != 0); + if (t371 == 1) + goto LAB95; + +LAB96: +LAB97: goto LAB68; + +LAB71: t275 = (t260 + 4); + *((unsigned int *)t260) = 1; + *((unsigned int *)t275) = 1; + goto LAB72; + +LAB73: *((unsigned int *)t276) = 1; + goto LAB76; + +LAB75: t283 = (t276 + 4); + *((unsigned int *)t276) = 1; + *((unsigned int *)t283) = 1; + goto LAB76; + +LAB77: t290 = (t0 + 1048U); + t291 = *((char **)t290); + memset(t289, 0, 8); + t290 = (t289 + 4); + t292 = (t291 + 4); + t293 = *((unsigned int *)t291); + t294 = (t293 >> 0); + *((unsigned int *)t289) = t294; + t295 = *((unsigned int *)t292); + t296 = (t295 >> 0); + *((unsigned int *)t290) = t296; + t297 = *((unsigned int *)t289); + *((unsigned int *)t289) = (t297 & 15U); + t298 = *((unsigned int *)t290); + *((unsigned int *)t290) = (t298 & 15U); + t299 = ((char*)((ng5))); + memset(t300, 0, 8); + t301 = (t289 + 4); + t302 = (t299 + 4); + t303 = *((unsigned int *)t289); + t304 = *((unsigned int *)t299); + t305 = (t303 ^ t304); + t306 = *((unsigned int *)t301); + t307 = *((unsigned int *)t302); + t308 = (t306 ^ t307); + t309 = (t305 | t308); + t310 = *((unsigned int *)t301); + t311 = *((unsigned int *)t302); + t312 = (t310 | t311); + t313 = (~(t312)); + t314 = (t309 & t313); + if (t314 != 0) + goto LAB83; + +LAB80: if (t312 != 0) + goto LAB82; + +LAB81: *((unsigned int *)t300) = 1; + +LAB83: memset(t316, 0, 8); + t317 = (t300 + 4); + t318 = *((unsigned int *)t317); + t319 = (~(t318)); + t320 = *((unsigned int *)t300); + t321 = (t320 & t319); + t322 = (t321 & 1U); + if (t322 != 0) + goto LAB84; + +LAB85: if (*((unsigned int *)t317) != 0) + goto LAB86; + +LAB87: t325 = *((unsigned int *)t276); + t326 = *((unsigned int *)t316); + t327 = (t325 | t326); + *((unsigned int *)t324) = t327; + t328 = (t276 + 4); + t329 = (t316 + 4); + t330 = (t324 + 4); + t331 = *((unsigned int *)t328); + t332 = *((unsigned int *)t329); + t333 = (t331 | t332); + *((unsigned int *)t330) = t333; + t334 = *((unsigned int *)t330); + t335 = (t334 != 0); + if (t335 == 1) + goto LAB88; + +LAB89: +LAB90: goto LAB79; + +LAB82: t315 = (t300 + 4); + *((unsigned int *)t300) = 1; + *((unsigned int *)t315) = 1; + goto LAB83; + +LAB84: *((unsigned int *)t316) = 1; + goto LAB87; + +LAB86: t323 = (t316 + 4); + *((unsigned int *)t316) = 1; + *((unsigned int *)t323) = 1; + goto LAB87; + +LAB88: t336 = *((unsigned int *)t324); + t337 = *((unsigned int *)t330); + *((unsigned int *)t324) = (t336 | t337); + t338 = (t276 + 4); + t339 = (t316 + 4); + t340 = *((unsigned int *)t338); + t341 = (~(t340)); + t342 = *((unsigned int *)t276); + t343 = (t342 & t341); + t344 = *((unsigned int *)t339); + t345 = (~(t344)); + t346 = *((unsigned int *)t316); + t347 = (t346 & t345); + t348 = (~(t343)); + t349 = (~(t347)); + t350 = *((unsigned int *)t330); + *((unsigned int *)t330) = (t350 & t348); + t351 = *((unsigned int *)t330); + *((unsigned int *)t330) = (t351 & t349); + goto LAB90; + +LAB91: *((unsigned int *)t352) = 1; + goto LAB94; + +LAB93: t359 = (t352 + 4); + *((unsigned int *)t352) = 1; + *((unsigned int *)t359) = 1; + goto LAB94; + +LAB95: t372 = *((unsigned int *)t360); + t373 = *((unsigned int *)t366); + *((unsigned int *)t360) = (t372 | t373); + t374 = (t237 + 4); + t375 = (t352 + 4); + t376 = *((unsigned int *)t237); + t377 = (~(t376)); + t378 = *((unsigned int *)t374); + t379 = (~(t378)); + t380 = *((unsigned int *)t352); + t381 = (~(t380)); + t382 = *((unsigned int *)t375); + t383 = (~(t382)); + t384 = (t377 & t379); + t385 = (t381 & t383); + t386 = (~(t384)); + t387 = (~(t385)); + t388 = *((unsigned int *)t366); + *((unsigned int *)t366) = (t388 & t386); + t389 = *((unsigned int *)t366); + *((unsigned int *)t366) = (t389 & t387); + t390 = *((unsigned int *)t360); + *((unsigned int *)t360) = (t390 & t386); + t391 = *((unsigned int *)t360); + *((unsigned int *)t360) = (t391 & t387); + goto LAB97; + +LAB98: *((unsigned int *)t392) = 1; + goto LAB101; + +LAB100: t399 = (t392 + 4); + *((unsigned int *)t392) = 1; + *((unsigned int *)t399) = 1; + goto LAB101; + +LAB102: t412 = *((unsigned int *)t400); + t413 = *((unsigned int *)t406); + *((unsigned int *)t400) = (t412 | t413); + t414 = (t197 + 4); + t415 = (t392 + 4); + t416 = *((unsigned int *)t414); + t417 = (~(t416)); + t418 = *((unsigned int *)t197); + t419 = (t418 & t417); + t420 = *((unsigned int *)t415); + t421 = (~(t420)); + t422 = *((unsigned int *)t392); + t423 = (t422 & t421); + t424 = (~(t419)); + t425 = (~(t423)); + t426 = *((unsigned int *)t406); + *((unsigned int *)t406) = (t426 & t424); + t427 = *((unsigned int *)t406); + *((unsigned int *)t406) = (t427 & t425); + goto LAB104; + +LAB105: *((unsigned int *)t428) = 1; + goto LAB108; + +LAB107: t435 = (t428 + 4); + *((unsigned int *)t428) = 1; + *((unsigned int *)t435) = 1; + goto LAB108; + +LAB109: t448 = *((unsigned int *)t436); + t449 = *((unsigned int *)t442); + *((unsigned int *)t436) = (t448 | t449); + t450 = (t4 + 4); + t451 = (t428 + 4); + t452 = *((unsigned int *)t4); + t453 = (~(t452)); + t454 = *((unsigned int *)t450); + t455 = (~(t454)); + t456 = *((unsigned int *)t428); + t457 = (~(t456)); + t458 = *((unsigned int *)t451); + t459 = (~(t458)); + t460 = (t453 & t455); + t461 = (t457 & t459); + t462 = (~(t460)); + t463 = (~(t461)); + t464 = *((unsigned int *)t442); + *((unsigned int *)t442) = (t464 & t462); + t465 = *((unsigned int *)t442); + *((unsigned int *)t442) = (t465 & t463); + t466 = *((unsigned int *)t436); + *((unsigned int *)t436) = (t466 & t462); + t467 = *((unsigned int *)t436); + *((unsigned int *)t436) = (t467 & t463); + goto LAB111; + +} + +static void Cont_30_7(char *t0) +{ + char t3[8]; + char t13[8]; + char t29[8]; + char t42[8]; + char t53[8]; + char t69[8]; + char t83[8]; + char t90[8]; + char t122[8]; + char t130[8]; + char *t1; + char *t2; + char *t4; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t14; + char *t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + char *t28; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + char *t36; + char *t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t43; + char *t44; + char *t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + char *t52; + char *t54; + char *t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + char *t68; + char *t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + char *t76; + char *t77; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + char *t82; + unsigned int t84; + unsigned int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + char *t89; + unsigned int t91; + unsigned int t92; + unsigned int t93; + char *t94; + char *t95; + char *t96; + unsigned int t97; + unsigned int t98; + unsigned int t99; + unsigned int t100; + unsigned int t101; + unsigned int t102; + unsigned int t103; + char *t104; + char *t105; + unsigned int t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + unsigned int t112; + unsigned int t113; + int t114; + int t115; + unsigned int t116; + unsigned int t117; + unsigned int t118; + unsigned int t119; + unsigned int t120; + unsigned int t121; + char *t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + unsigned int t127; + unsigned int t128; + char *t129; + unsigned int t131; + unsigned int t132; + unsigned int t133; + char *t134; + char *t135; + char *t136; + unsigned int t137; + unsigned int t138; + unsigned int t139; + unsigned int t140; + unsigned int t141; + unsigned int t142; + unsigned int t143; + char *t144; + char *t145; + unsigned int t146; + unsigned int t147; + unsigned int t148; + int t149; + unsigned int t150; + unsigned int t151; + unsigned int t152; + int t153; + unsigned int t154; + unsigned int t155; + unsigned int t156; + unsigned int t157; + char *t158; + char *t159; + char *t160; + char *t161; + char *t162; + unsigned int t163; + unsigned int t164; + char *t165; + unsigned int t166; + unsigned int t167; + char *t168; + unsigned int t169; + unsigned int t170; + char *t171; + +LAB0: t1 = (t0 + 6184U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(30, ng0); + t2 = (t0 + 1048U); + t4 = *((char **)t2); + memset(t3, 0, 8); + t2 = (t3 + 4); + t5 = (t4 + 4); + t6 = *((unsigned int *)t4); + t7 = (t6 >> 12); + *((unsigned int *)t3) = t7; + t8 = *((unsigned int *)t5); + t9 = (t8 >> 12); + *((unsigned int *)t2) = t9; + t10 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t10 & 15U); + t11 = *((unsigned int *)t2); + *((unsigned int *)t2) = (t11 & 15U); + t12 = ((char*)((ng1))); + memset(t13, 0, 8); + t14 = (t3 + 4); + t15 = (t12 + 4); + t16 = *((unsigned int *)t3); + t17 = *((unsigned int *)t12); + t18 = (t16 ^ t17); + t19 = *((unsigned int *)t14); + t20 = *((unsigned int *)t15); + t21 = (t19 ^ t20); + t22 = (t18 | t21); + t23 = *((unsigned int *)t14); + t24 = *((unsigned int *)t15); + t25 = (t23 | t24); + t26 = (~(t25)); + t27 = (t22 & t26); + if (t27 != 0) + goto LAB7; + +LAB4: if (t25 != 0) + goto LAB6; + +LAB5: *((unsigned int *)t13) = 1; + +LAB7: memset(t29, 0, 8); + t30 = (t13 + 4); + t31 = *((unsigned int *)t30); + t32 = (~(t31)); + t33 = *((unsigned int *)t13); + t34 = (t33 & t32); + t35 = (t34 & 1U); + if (t35 != 0) + goto LAB8; + +LAB9: if (*((unsigned int *)t30) != 0) + goto LAB10; + +LAB11: t37 = (t29 + 4); + t38 = *((unsigned int *)t29); + t39 = (!(t38)); + t40 = *((unsigned int *)t37); + t41 = (t39 || t40); + if (t41 > 0) + goto LAB12; + +LAB13: memcpy(t130, t29, 8); + +LAB14: t158 = (t0 + 7544); + t159 = (t158 + 56U); + t160 = *((char **)t159); + t161 = (t160 + 56U); + t162 = *((char **)t161); + memset(t162, 0, 8); + t163 = 1U; + t164 = t163; + t165 = (t130 + 4); + t166 = *((unsigned int *)t130); + t163 = (t163 & t166); + t167 = *((unsigned int *)t165); + t164 = (t164 & t167); + t168 = (t162 + 4); + t169 = *((unsigned int *)t162); + *((unsigned int *)t162) = (t169 | t163); + t170 = *((unsigned int *)t168); + *((unsigned int *)t168) = (t170 | t164); + xsi_driver_vfirst_trans(t158, 0, 0); + t171 = (t0 + 7112); + *((int *)t171) = 1; + +LAB1: return; +LAB6: t28 = (t13 + 4); + *((unsigned int *)t13) = 1; + *((unsigned int *)t28) = 1; + goto LAB7; + +LAB8: *((unsigned int *)t29) = 1; + goto LAB11; + +LAB10: t36 = (t29 + 4); + *((unsigned int *)t29) = 1; + *((unsigned int *)t36) = 1; + goto LAB11; + +LAB12: t43 = (t0 + 1048U); + t44 = *((char **)t43); + memset(t42, 0, 8); + t43 = (t42 + 4); + t45 = (t44 + 4); + t46 = *((unsigned int *)t44); + t47 = (t46 >> 12); + *((unsigned int *)t42) = t47; + t48 = *((unsigned int *)t45); + t49 = (t48 >> 12); + *((unsigned int *)t43) = t49; + t50 = *((unsigned int *)t42); + *((unsigned int *)t42) = (t50 & 15U); + t51 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t51 & 15U); + t52 = ((char*)((ng4))); + memset(t53, 0, 8); + t54 = (t42 + 4); + t55 = (t52 + 4); + t56 = *((unsigned int *)t42); + t57 = *((unsigned int *)t52); + t58 = (t56 ^ t57); + t59 = *((unsigned int *)t54); + t60 = *((unsigned int *)t55); + t61 = (t59 ^ t60); + t62 = (t58 | t61); + t63 = *((unsigned int *)t54); + t64 = *((unsigned int *)t55); + t65 = (t63 | t64); + t66 = (~(t65)); + t67 = (t62 & t66); + if (t67 != 0) + goto LAB18; + +LAB15: if (t65 != 0) + goto LAB17; + +LAB16: *((unsigned int *)t53) = 1; + +LAB18: memset(t69, 0, 8); + t70 = (t53 + 4); + t71 = *((unsigned int *)t70); + t72 = (~(t71)); + t73 = *((unsigned int *)t53); + t74 = (t73 & t72); + t75 = (t74 & 1U); + if (t75 != 0) + goto LAB19; + +LAB20: if (*((unsigned int *)t70) != 0) + goto LAB21; + +LAB22: t77 = (t69 + 4); + t78 = *((unsigned int *)t69); + t79 = *((unsigned int *)t77); + t80 = (t78 || t79); + if (t80 > 0) + goto LAB23; + +LAB24: memcpy(t90, t69, 8); + +LAB25: memset(t122, 0, 8); + t123 = (t90 + 4); + t124 = *((unsigned int *)t123); + t125 = (~(t124)); + t126 = *((unsigned int *)t90); + t127 = (t126 & t125); + t128 = (t127 & 1U); + if (t128 != 0) + goto LAB33; + +LAB34: if (*((unsigned int *)t123) != 0) + goto LAB35; + +LAB36: t131 = *((unsigned int *)t29); + t132 = *((unsigned int *)t122); + t133 = (t131 | t132); + *((unsigned int *)t130) = t133; + t134 = (t29 + 4); + t135 = (t122 + 4); + t136 = (t130 + 4); + t137 = *((unsigned int *)t134); + t138 = *((unsigned int *)t135); + t139 = (t137 | t138); + *((unsigned int *)t136) = t139; + t140 = *((unsigned int *)t136); + t141 = (t140 != 0); + if (t141 == 1) + goto LAB37; + +LAB38: +LAB39: goto LAB14; + +LAB17: t68 = (t53 + 4); + *((unsigned int *)t53) = 1; + *((unsigned int *)t68) = 1; + goto LAB18; + +LAB19: *((unsigned int *)t69) = 1; + goto LAB22; + +LAB21: t76 = (t69 + 4); + *((unsigned int *)t69) = 1; + *((unsigned int *)t76) = 1; + goto LAB22; + +LAB23: t81 = (t0 + 2648U); + t82 = *((char **)t81); + memset(t83, 0, 8); + t81 = (t82 + 4); + t84 = *((unsigned int *)t81); + t85 = (~(t84)); + t86 = *((unsigned int *)t82); + t87 = (t86 & t85); + t88 = (t87 & 1U); + if (t88 != 0) + goto LAB26; + +LAB27: if (*((unsigned int *)t81) != 0) + goto LAB28; + +LAB29: t91 = *((unsigned int *)t69); + t92 = *((unsigned int *)t83); + t93 = (t91 & t92); + *((unsigned int *)t90) = t93; + t94 = (t69 + 4); + t95 = (t83 + 4); + t96 = (t90 + 4); + t97 = *((unsigned int *)t94); + t98 = *((unsigned int *)t95); + t99 = (t97 | t98); + *((unsigned int *)t96) = t99; + t100 = *((unsigned int *)t96); + t101 = (t100 != 0); + if (t101 == 1) + goto LAB30; + +LAB31: +LAB32: goto LAB25; + +LAB26: *((unsigned int *)t83) = 1; + goto LAB29; + +LAB28: t89 = (t83 + 4); + *((unsigned int *)t83) = 1; + *((unsigned int *)t89) = 1; + goto LAB29; + +LAB30: t102 = *((unsigned int *)t90); + t103 = *((unsigned int *)t96); + *((unsigned int *)t90) = (t102 | t103); + t104 = (t69 + 4); + t105 = (t83 + 4); + t106 = *((unsigned int *)t69); + t107 = (~(t106)); + t108 = *((unsigned int *)t104); + t109 = (~(t108)); + t110 = *((unsigned int *)t83); + t111 = (~(t110)); + t112 = *((unsigned int *)t105); + t113 = (~(t112)); + t114 = (t107 & t109); + t115 = (t111 & t113); + t116 = (~(t114)); + t117 = (~(t115)); + t118 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t118 & t116); + t119 = *((unsigned int *)t96); + *((unsigned int *)t96) = (t119 & t117); + t120 = *((unsigned int *)t90); + *((unsigned int *)t90) = (t120 & t116); + t121 = *((unsigned int *)t90); + *((unsigned int *)t90) = (t121 & t117); + goto LAB32; + +LAB33: *((unsigned int *)t122) = 1; + goto LAB36; + +LAB35: t129 = (t122 + 4); + *((unsigned int *)t122) = 1; + *((unsigned int *)t129) = 1; + goto LAB36; + +LAB37: t142 = *((unsigned int *)t130); + t143 = *((unsigned int *)t136); + *((unsigned int *)t130) = (t142 | t143); + t144 = (t29 + 4); + t145 = (t122 + 4); + t146 = *((unsigned int *)t144); + t147 = (~(t146)); + t148 = *((unsigned int *)t29); + t149 = (t148 & t147); + t150 = *((unsigned int *)t145); + t151 = (~(t150)); + t152 = *((unsigned int *)t122); + t153 = (t152 & t151); + t154 = (~(t149)); + t155 = (~(t153)); + t156 = *((unsigned int *)t136); + *((unsigned int *)t136) = (t156 & t154); + t157 = *((unsigned int *)t136); + *((unsigned int *)t136) = (t157 & t155); + goto LAB39; + +} + +static void Cont_33_8(char *t0) +{ + char t3[8]; + char t13[8]; + char *t1; + char *t2; + char *t4; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t14; + char *t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + char *t28; + char *t29; + char *t30; + char *t31; + char *t32; + char *t33; + unsigned int t34; + unsigned int t35; + char *t36; + unsigned int t37; + unsigned int t38; + char *t39; + unsigned int t40; + unsigned int t41; + char *t42; + +LAB0: t1 = (t0 + 6432U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(33, ng0); + t2 = (t0 + 1048U); + t4 = *((char **)t2); + memset(t3, 0, 8); + t2 = (t3 + 4); + t5 = (t4 + 4); + t6 = *((unsigned int *)t4); + t7 = (t6 >> 12); + *((unsigned int *)t3) = t7; + t8 = *((unsigned int *)t5); + t9 = (t8 >> 12); + *((unsigned int *)t2) = t9; + t10 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t10 & 15U); + t11 = *((unsigned int *)t2); + *((unsigned int *)t2) = (t11 & 15U); + t12 = ((char*)((ng6))); + memset(t13, 0, 8); + t14 = (t3 + 4); + t15 = (t12 + 4); + t16 = *((unsigned int *)t3); + t17 = *((unsigned int *)t12); + t18 = (t16 ^ t17); + t19 = *((unsigned int *)t14); + t20 = *((unsigned int *)t15); + t21 = (t19 ^ t20); + t22 = (t18 | t21); + t23 = *((unsigned int *)t14); + t24 = *((unsigned int *)t15); + t25 = (t23 | t24); + t26 = (~(t25)); + t27 = (t22 & t26); + if (t27 != 0) + goto LAB7; + +LAB4: if (t25 != 0) + goto LAB6; + +LAB5: *((unsigned int *)t13) = 1; + +LAB7: t29 = (t0 + 7608); + t30 = (t29 + 56U); + t31 = *((char **)t30); + t32 = (t31 + 56U); + t33 = *((char **)t32); + memset(t33, 0, 8); + t34 = 1U; + t35 = t34; + t36 = (t13 + 4); + t37 = *((unsigned int *)t13); + t34 = (t34 & t37); + t38 = *((unsigned int *)t36); + t35 = (t35 & t38); + t39 = (t33 + 4); + t40 = *((unsigned int *)t33); + *((unsigned int *)t33) = (t40 | t34); + t41 = *((unsigned int *)t39); + *((unsigned int *)t39) = (t41 | t35); + xsi_driver_vfirst_trans(t29, 0, 0); + t42 = (t0 + 7128); + *((int *)t42) = 1; + +LAB1: return; +LAB6: t28 = (t13 + 4); + *((unsigned int *)t13) = 1; + *((unsigned int *)t28) = 1; + goto LAB7; + +} + +static void Cont_34_9(char *t0) +{ + char t3[8]; + char t13[8]; + char t29[8]; + char t42[8]; + char t53[8]; + char t69[8]; + char t77[8]; + char t105[8]; + char t118[8]; + char t129[8]; + char t145[8]; + char t153[8]; + char t181[8]; + char t194[8]; + char t205[8]; + char t221[8]; + char t229[8]; + char t257[8]; + char t270[8]; + char t281[8]; + char t297[8]; + char t305[8]; + char t333[8]; + char t346[8]; + char t357[8]; + char t373[8]; + char t381[8]; + char t409[8]; + char t422[8]; + char t433[8]; + char t449[8]; + char t457[8]; + char t485[8]; + char t498[8]; + char t509[8]; + char t525[8]; + char t533[8]; + char t561[8]; + char t574[8]; + char t585[8]; + char t601[8]; + char t609[8]; + char t637[8]; + char t652[8]; + char t659[8]; + char *t1; + char *t2; + char *t4; + char *t5; + unsigned int t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t14; + char *t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + char *t28; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + char *t36; + char *t37; + unsigned int t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + char *t43; + char *t44; + char *t45; + unsigned int t46; + unsigned int t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + char *t52; + char *t54; + char *t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + char *t68; + char *t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + char *t76; + unsigned int t78; + unsigned int t79; + unsigned int t80; + char *t81; + char *t82; + char *t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + char *t91; + char *t92; + unsigned int t93; + unsigned int t94; + unsigned int t95; + int t96; + unsigned int t97; + unsigned int t98; + unsigned int t99; + int t100; + unsigned int t101; + unsigned int t102; + unsigned int t103; + unsigned int t104; + char *t106; + unsigned int t107; + unsigned int t108; + unsigned int t109; + unsigned int t110; + unsigned int t111; + char *t112; + char *t113; + unsigned int t114; + unsigned int t115; + unsigned int t116; + unsigned int t117; + char *t119; + char *t120; + char *t121; + unsigned int t122; + unsigned int t123; + unsigned int t124; + unsigned int t125; + unsigned int t126; + unsigned int t127; + char *t128; + char *t130; + char *t131; + unsigned int t132; + unsigned int t133; + unsigned int t134; + unsigned int t135; + unsigned int t136; + unsigned int t137; + unsigned int t138; + unsigned int t139; + unsigned int t140; + unsigned int t141; + unsigned int t142; + unsigned int t143; + char *t144; + char *t146; + unsigned int t147; + unsigned int t148; + unsigned int t149; + unsigned int t150; + unsigned int t151; + char *t152; + unsigned int t154; + unsigned int t155; + unsigned int t156; + char *t157; + char *t158; + char *t159; + unsigned int t160; + unsigned int t161; + unsigned int t162; + unsigned int t163; + unsigned int t164; + unsigned int t165; + unsigned int t166; + char *t167; + char *t168; + unsigned int t169; + unsigned int t170; + unsigned int t171; + int t172; + unsigned int t173; + unsigned int t174; + unsigned int t175; + int t176; + unsigned int t177; + unsigned int t178; + unsigned int t179; + unsigned int t180; + char *t182; + unsigned int t183; + unsigned int t184; + unsigned int t185; + unsigned int t186; + unsigned int t187; + char *t188; + char *t189; + unsigned int t190; + unsigned int t191; + unsigned int t192; + unsigned int t193; + char *t195; + char *t196; + char *t197; + unsigned int t198; + unsigned int t199; + unsigned int t200; + unsigned int t201; + unsigned int t202; + unsigned int t203; + char *t204; + char *t206; + char *t207; + unsigned int t208; + unsigned int t209; + unsigned int t210; + unsigned int t211; + unsigned int t212; + unsigned int t213; + unsigned int t214; + unsigned int t215; + unsigned int t216; + unsigned int t217; + unsigned int t218; + unsigned int t219; + char *t220; + char *t222; + unsigned int t223; + unsigned int t224; + unsigned int t225; + unsigned int t226; + unsigned int t227; + char *t228; + unsigned int t230; + unsigned int t231; + unsigned int t232; + char *t233; + char *t234; + char *t235; + unsigned int t236; + unsigned int t237; + unsigned int t238; + unsigned int t239; + unsigned int t240; + unsigned int t241; + unsigned int t242; + char *t243; + char *t244; + unsigned int t245; + unsigned int t246; + unsigned int t247; + int t248; + unsigned int t249; + unsigned int t250; + unsigned int t251; + int t252; + unsigned int t253; + unsigned int t254; + unsigned int t255; + unsigned int t256; + char *t258; + unsigned int t259; + unsigned int t260; + unsigned int t261; + unsigned int t262; + unsigned int t263; + char *t264; + char *t265; + unsigned int t266; + unsigned int t267; + unsigned int t268; + unsigned int t269; + char *t271; + char *t272; + char *t273; + unsigned int t274; + unsigned int t275; + unsigned int t276; + unsigned int t277; + unsigned int t278; + unsigned int t279; + char *t280; + char *t282; + char *t283; + unsigned int t284; + unsigned int t285; + unsigned int t286; + unsigned int t287; + unsigned int t288; + unsigned int t289; + unsigned int t290; + unsigned int t291; + unsigned int t292; + unsigned int t293; + unsigned int t294; + unsigned int t295; + char *t296; + char *t298; + unsigned int t299; + unsigned int t300; + unsigned int t301; + unsigned int t302; + unsigned int t303; + char *t304; + unsigned int t306; + unsigned int t307; + unsigned int t308; + char *t309; + char *t310; + char *t311; + unsigned int t312; + unsigned int t313; + unsigned int t314; + unsigned int t315; + unsigned int t316; + unsigned int t317; + unsigned int t318; + char *t319; + char *t320; + unsigned int t321; + unsigned int t322; + unsigned int t323; + int t324; + unsigned int t325; + unsigned int t326; + unsigned int t327; + int t328; + unsigned int t329; + unsigned int t330; + unsigned int t331; + unsigned int t332; + char *t334; + unsigned int t335; + unsigned int t336; + unsigned int t337; + unsigned int t338; + unsigned int t339; + char *t340; + char *t341; + unsigned int t342; + unsigned int t343; + unsigned int t344; + unsigned int t345; + char *t347; + char *t348; + char *t349; + unsigned int t350; + unsigned int t351; + unsigned int t352; + unsigned int t353; + unsigned int t354; + unsigned int t355; + char *t356; + char *t358; + char *t359; + unsigned int t360; + unsigned int t361; + unsigned int t362; + unsigned int t363; + unsigned int t364; + unsigned int t365; + unsigned int t366; + unsigned int t367; + unsigned int t368; + unsigned int t369; + unsigned int t370; + unsigned int t371; + char *t372; + char *t374; + unsigned int t375; + unsigned int t376; + unsigned int t377; + unsigned int t378; + unsigned int t379; + char *t380; + unsigned int t382; + unsigned int t383; + unsigned int t384; + char *t385; + char *t386; + char *t387; + unsigned int t388; + unsigned int t389; + unsigned int t390; + unsigned int t391; + unsigned int t392; + unsigned int t393; + unsigned int t394; + char *t395; + char *t396; + unsigned int t397; + unsigned int t398; + unsigned int t399; + int t400; + unsigned int t401; + unsigned int t402; + unsigned int t403; + int t404; + unsigned int t405; + unsigned int t406; + unsigned int t407; + unsigned int t408; + char *t410; + unsigned int t411; + unsigned int t412; + unsigned int t413; + unsigned int t414; + unsigned int t415; + char *t416; + char *t417; + unsigned int t418; + unsigned int t419; + unsigned int t420; + unsigned int t421; + char *t423; + char *t424; + char *t425; + unsigned int t426; + unsigned int t427; + unsigned int t428; + unsigned int t429; + unsigned int t430; + unsigned int t431; + char *t432; + char *t434; + char *t435; + unsigned int t436; + unsigned int t437; + unsigned int t438; + unsigned int t439; + unsigned int t440; + unsigned int t441; + unsigned int t442; + unsigned int t443; + unsigned int t444; + unsigned int t445; + unsigned int t446; + unsigned int t447; + char *t448; + char *t450; + unsigned int t451; + unsigned int t452; + unsigned int t453; + unsigned int t454; + unsigned int t455; + char *t456; + unsigned int t458; + unsigned int t459; + unsigned int t460; + char *t461; + char *t462; + char *t463; + unsigned int t464; + unsigned int t465; + unsigned int t466; + unsigned int t467; + unsigned int t468; + unsigned int t469; + unsigned int t470; + char *t471; + char *t472; + unsigned int t473; + unsigned int t474; + unsigned int t475; + int t476; + unsigned int t477; + unsigned int t478; + unsigned int t479; + int t480; + unsigned int t481; + unsigned int t482; + unsigned int t483; + unsigned int t484; + char *t486; + unsigned int t487; + unsigned int t488; + unsigned int t489; + unsigned int t490; + unsigned int t491; + char *t492; + char *t493; + unsigned int t494; + unsigned int t495; + unsigned int t496; + unsigned int t497; + char *t499; + char *t500; + char *t501; + unsigned int t502; + unsigned int t503; + unsigned int t504; + unsigned int t505; + unsigned int t506; + unsigned int t507; + char *t508; + char *t510; + char *t511; + unsigned int t512; + unsigned int t513; + unsigned int t514; + unsigned int t515; + unsigned int t516; + unsigned int t517; + unsigned int t518; + unsigned int t519; + unsigned int t520; + unsigned int t521; + unsigned int t522; + unsigned int t523; + char *t524; + char *t526; + unsigned int t527; + unsigned int t528; + unsigned int t529; + unsigned int t530; + unsigned int t531; + char *t532; + unsigned int t534; + unsigned int t535; + unsigned int t536; + char *t537; + char *t538; + char *t539; + unsigned int t540; + unsigned int t541; + unsigned int t542; + unsigned int t543; + unsigned int t544; + unsigned int t545; + unsigned int t546; + char *t547; + char *t548; + unsigned int t549; + unsigned int t550; + unsigned int t551; + int t552; + unsigned int t553; + unsigned int t554; + unsigned int t555; + int t556; + unsigned int t557; + unsigned int t558; + unsigned int t559; + unsigned int t560; + char *t562; + unsigned int t563; + unsigned int t564; + unsigned int t565; + unsigned int t566; + unsigned int t567; + char *t568; + char *t569; + unsigned int t570; + unsigned int t571; + unsigned int t572; + unsigned int t573; + char *t575; + char *t576; + char *t577; + unsigned int t578; + unsigned int t579; + unsigned int t580; + unsigned int t581; + unsigned int t582; + unsigned int t583; + char *t584; + char *t586; + char *t587; + unsigned int t588; + unsigned int t589; + unsigned int t590; + unsigned int t591; + unsigned int t592; + unsigned int t593; + unsigned int t594; + unsigned int t595; + unsigned int t596; + unsigned int t597; + unsigned int t598; + unsigned int t599; + char *t600; + char *t602; + unsigned int t603; + unsigned int t604; + unsigned int t605; + unsigned int t606; + unsigned int t607; + char *t608; + unsigned int t610; + unsigned int t611; + unsigned int t612; + char *t613; + char *t614; + char *t615; + unsigned int t616; + unsigned int t617; + unsigned int t618; + unsigned int t619; + unsigned int t620; + unsigned int t621; + unsigned int t622; + char *t623; + char *t624; + unsigned int t625; + unsigned int t626; + unsigned int t627; + int t628; + unsigned int t629; + unsigned int t630; + unsigned int t631; + int t632; + unsigned int t633; + unsigned int t634; + unsigned int t635; + unsigned int t636; + char *t638; + unsigned int t639; + unsigned int t640; + unsigned int t641; + unsigned int t642; + unsigned int t643; + char *t644; + char *t645; + unsigned int t646; + unsigned int t647; + unsigned int t648; + unsigned int t649; + char *t650; + char *t651; + unsigned int t653; + unsigned int t654; + unsigned int t655; + unsigned int t656; + unsigned int t657; + char *t658; + unsigned int t660; + unsigned int t661; + unsigned int t662; + char *t663; + char *t664; + char *t665; + unsigned int t666; + unsigned int t667; + unsigned int t668; + unsigned int t669; + unsigned int t670; + unsigned int t671; + unsigned int t672; + char *t673; + char *t674; + unsigned int t675; + unsigned int t676; + unsigned int t677; + int t678; + unsigned int t679; + unsigned int t680; + unsigned int t681; + int t682; + unsigned int t683; + unsigned int t684; + unsigned int t685; + unsigned int t686; + char *t687; + char *t688; + char *t689; + char *t690; + char *t691; + unsigned int t692; + unsigned int t693; + char *t694; + unsigned int t695; + unsigned int t696; + char *t697; + unsigned int t698; + unsigned int t699; + char *t700; + +LAB0: t1 = (t0 + 6680U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(34, ng0); + t2 = (t0 + 1048U); + t4 = *((char **)t2); + memset(t3, 0, 8); + t2 = (t3 + 4); + t5 = (t4 + 4); + t6 = *((unsigned int *)t4); + t7 = (t6 >> 12); + *((unsigned int *)t3) = t7; + t8 = *((unsigned int *)t5); + t9 = (t8 >> 12); + *((unsigned int *)t2) = t9; + t10 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t10 & 15U); + t11 = *((unsigned int *)t2); + *((unsigned int *)t2) = (t11 & 15U); + t12 = ((char*)((ng10))); + memset(t13, 0, 8); + t14 = (t3 + 4); + t15 = (t12 + 4); + t16 = *((unsigned int *)t3); + t17 = *((unsigned int *)t12); + t18 = (t16 ^ t17); + t19 = *((unsigned int *)t14); + t20 = *((unsigned int *)t15); + t21 = (t19 ^ t20); + t22 = (t18 | t21); + t23 = *((unsigned int *)t14); + t24 = *((unsigned int *)t15); + t25 = (t23 | t24); + t26 = (~(t25)); + t27 = (t22 & t26); + if (t27 != 0) + goto LAB7; + +LAB4: if (t25 != 0) + goto LAB6; + +LAB5: *((unsigned int *)t13) = 1; + +LAB7: memset(t29, 0, 8); + t30 = (t13 + 4); + t31 = *((unsigned int *)t30); + t32 = (~(t31)); + t33 = *((unsigned int *)t13); + t34 = (t33 & t32); + t35 = (t34 & 1U); + if (t35 != 0) + goto LAB8; + +LAB9: if (*((unsigned int *)t30) != 0) + goto LAB10; + +LAB11: t37 = (t29 + 4); + t38 = *((unsigned int *)t29); + t39 = (!(t38)); + t40 = *((unsigned int *)t37); + t41 = (t39 || t40); + if (t41 > 0) + goto LAB12; + +LAB13: memcpy(t77, t29, 8); + +LAB14: memset(t105, 0, 8); + t106 = (t77 + 4); + t107 = *((unsigned int *)t106); + t108 = (~(t107)); + t109 = *((unsigned int *)t77); + t110 = (t109 & t108); + t111 = (t110 & 1U); + if (t111 != 0) + goto LAB26; + +LAB27: if (*((unsigned int *)t106) != 0) + goto LAB28; + +LAB29: t113 = (t105 + 4); + t114 = *((unsigned int *)t105); + t115 = (!(t114)); + t116 = *((unsigned int *)t113); + t117 = (t115 || t116); + if (t117 > 0) + goto LAB30; + +LAB31: memcpy(t153, t105, 8); + +LAB32: memset(t181, 0, 8); + t182 = (t153 + 4); + t183 = *((unsigned int *)t182); + t184 = (~(t183)); + t185 = *((unsigned int *)t153); + t186 = (t185 & t184); + t187 = (t186 & 1U); + if (t187 != 0) + goto LAB44; + +LAB45: if (*((unsigned int *)t182) != 0) + goto LAB46; + +LAB47: t189 = (t181 + 4); + t190 = *((unsigned int *)t181); + t191 = (!(t190)); + t192 = *((unsigned int *)t189); + t193 = (t191 || t192); + if (t193 > 0) + goto LAB48; + +LAB49: memcpy(t229, t181, 8); + +LAB50: memset(t257, 0, 8); + t258 = (t229 + 4); + t259 = *((unsigned int *)t258); + t260 = (~(t259)); + t261 = *((unsigned int *)t229); + t262 = (t261 & t260); + t263 = (t262 & 1U); + if (t263 != 0) + goto LAB62; + +LAB63: if (*((unsigned int *)t258) != 0) + goto LAB64; + +LAB65: t265 = (t257 + 4); + t266 = *((unsigned int *)t257); + t267 = (!(t266)); + t268 = *((unsigned int *)t265); + t269 = (t267 || t268); + if (t269 > 0) + goto LAB66; + +LAB67: memcpy(t305, t257, 8); + +LAB68: memset(t333, 0, 8); + t334 = (t305 + 4); + t335 = *((unsigned int *)t334); + t336 = (~(t335)); + t337 = *((unsigned int *)t305); + t338 = (t337 & t336); + t339 = (t338 & 1U); + if (t339 != 0) + goto LAB80; + +LAB81: if (*((unsigned int *)t334) != 0) + goto LAB82; + +LAB83: t341 = (t333 + 4); + t342 = *((unsigned int *)t333); + t343 = (!(t342)); + t344 = *((unsigned int *)t341); + t345 = (t343 || t344); + if (t345 > 0) + goto LAB84; + +LAB85: memcpy(t381, t333, 8); + +LAB86: memset(t409, 0, 8); + t410 = (t381 + 4); + t411 = *((unsigned int *)t410); + t412 = (~(t411)); + t413 = *((unsigned int *)t381); + t414 = (t413 & t412); + t415 = (t414 & 1U); + if (t415 != 0) + goto LAB98; + +LAB99: if (*((unsigned int *)t410) != 0) + goto LAB100; + +LAB101: t417 = (t409 + 4); + t418 = *((unsigned int *)t409); + t419 = (!(t418)); + t420 = *((unsigned int *)t417); + t421 = (t419 || t420); + if (t421 > 0) + goto LAB102; + +LAB103: memcpy(t457, t409, 8); + +LAB104: memset(t485, 0, 8); + t486 = (t457 + 4); + t487 = *((unsigned int *)t486); + t488 = (~(t487)); + t489 = *((unsigned int *)t457); + t490 = (t489 & t488); + t491 = (t490 & 1U); + if (t491 != 0) + goto LAB116; + +LAB117: if (*((unsigned int *)t486) != 0) + goto LAB118; + +LAB119: t493 = (t485 + 4); + t494 = *((unsigned int *)t485); + t495 = (!(t494)); + t496 = *((unsigned int *)t493); + t497 = (t495 || t496); + if (t497 > 0) + goto LAB120; + +LAB121: memcpy(t533, t485, 8); + +LAB122: memset(t561, 0, 8); + t562 = (t533 + 4); + t563 = *((unsigned int *)t562); + t564 = (~(t563)); + t565 = *((unsigned int *)t533); + t566 = (t565 & t564); + t567 = (t566 & 1U); + if (t567 != 0) + goto LAB134; + +LAB135: if (*((unsigned int *)t562) != 0) + goto LAB136; + +LAB137: t569 = (t561 + 4); + t570 = *((unsigned int *)t561); + t571 = (!(t570)); + t572 = *((unsigned int *)t569); + t573 = (t571 || t572); + if (t573 > 0) + goto LAB138; + +LAB139: memcpy(t609, t561, 8); + +LAB140: memset(t637, 0, 8); + t638 = (t609 + 4); + t639 = *((unsigned int *)t638); + t640 = (~(t639)); + t641 = *((unsigned int *)t609); + t642 = (t641 & t640); + t643 = (t642 & 1U); + if (t643 != 0) + goto LAB152; + +LAB153: if (*((unsigned int *)t638) != 0) + goto LAB154; + +LAB155: t645 = (t637 + 4); + t646 = *((unsigned int *)t637); + t647 = (!(t646)); + t648 = *((unsigned int *)t645); + t649 = (t647 || t648); + if (t649 > 0) + goto LAB156; + +LAB157: memcpy(t659, t637, 8); + +LAB158: t687 = (t0 + 7672); + t688 = (t687 + 56U); + t689 = *((char **)t688); + t690 = (t689 + 56U); + t691 = *((char **)t690); + memset(t691, 0, 8); + t692 = 1U; + t693 = t692; + t694 = (t659 + 4); + t695 = *((unsigned int *)t659); + t692 = (t692 & t695); + t696 = *((unsigned int *)t694); + t693 = (t693 & t696); + t697 = (t691 + 4); + t698 = *((unsigned int *)t691); + *((unsigned int *)t691) = (t698 | t692); + t699 = *((unsigned int *)t697); + *((unsigned int *)t697) = (t699 | t693); + xsi_driver_vfirst_trans(t687, 0, 0); + t700 = (t0 + 7144); + *((int *)t700) = 1; + +LAB1: return; +LAB6: t28 = (t13 + 4); + *((unsigned int *)t13) = 1; + *((unsigned int *)t28) = 1; + goto LAB7; + +LAB8: *((unsigned int *)t29) = 1; + goto LAB11; + +LAB10: t36 = (t29 + 4); + *((unsigned int *)t29) = 1; + *((unsigned int *)t36) = 1; + goto LAB11; + +LAB12: t43 = (t0 + 1048U); + t44 = *((char **)t43); + memset(t42, 0, 8); + t43 = (t42 + 4); + t45 = (t44 + 4); + t46 = *((unsigned int *)t44); + t47 = (t46 >> 12); + *((unsigned int *)t42) = t47; + t48 = *((unsigned int *)t45); + t49 = (t48 >> 12); + *((unsigned int *)t43) = t49; + t50 = *((unsigned int *)t42); + *((unsigned int *)t42) = (t50 & 15U); + t51 = *((unsigned int *)t43); + *((unsigned int *)t43) = (t51 & 15U); + t52 = ((char*)((ng11))); + memset(t53, 0, 8); + t54 = (t42 + 4); + t55 = (t52 + 4); + t56 = *((unsigned int *)t42); + t57 = *((unsigned int *)t52); + t58 = (t56 ^ t57); + t59 = *((unsigned int *)t54); + t60 = *((unsigned int *)t55); + t61 = (t59 ^ t60); + t62 = (t58 | t61); + t63 = *((unsigned int *)t54); + t64 = *((unsigned int *)t55); + t65 = (t63 | t64); + t66 = (~(t65)); + t67 = (t62 & t66); + if (t67 != 0) + goto LAB18; + +LAB15: if (t65 != 0) + goto LAB17; + +LAB16: *((unsigned int *)t53) = 1; + +LAB18: memset(t69, 0, 8); + t70 = (t53 + 4); + t71 = *((unsigned int *)t70); + t72 = (~(t71)); + t73 = *((unsigned int *)t53); + t74 = (t73 & t72); + t75 = (t74 & 1U); + if (t75 != 0) + goto LAB19; + +LAB20: if (*((unsigned int *)t70) != 0) + goto LAB21; + +LAB22: t78 = *((unsigned int *)t29); + t79 = *((unsigned int *)t69); + t80 = (t78 | t79); + *((unsigned int *)t77) = t80; + t81 = (t29 + 4); + t82 = (t69 + 4); + t83 = (t77 + 4); + t84 = *((unsigned int *)t81); + t85 = *((unsigned int *)t82); + t86 = (t84 | t85); + *((unsigned int *)t83) = t86; + t87 = *((unsigned int *)t83); + t88 = (t87 != 0); + if (t88 == 1) + goto LAB23; + +LAB24: +LAB25: goto LAB14; + +LAB17: t68 = (t53 + 4); + *((unsigned int *)t53) = 1; + *((unsigned int *)t68) = 1; + goto LAB18; + +LAB19: *((unsigned int *)t69) = 1; + goto LAB22; + +LAB21: t76 = (t69 + 4); + *((unsigned int *)t69) = 1; + *((unsigned int *)t76) = 1; + goto LAB22; + +LAB23: t89 = *((unsigned int *)t77); + t90 = *((unsigned int *)t83); + *((unsigned int *)t77) = (t89 | t90); + t91 = (t29 + 4); + t92 = (t69 + 4); + t93 = *((unsigned int *)t91); + t94 = (~(t93)); + t95 = *((unsigned int *)t29); + t96 = (t95 & t94); + t97 = *((unsigned int *)t92); + t98 = (~(t97)); + t99 = *((unsigned int *)t69); + t100 = (t99 & t98); + t101 = (~(t96)); + t102 = (~(t100)); + t103 = *((unsigned int *)t83); + *((unsigned int *)t83) = (t103 & t101); + t104 = *((unsigned int *)t83); + *((unsigned int *)t83) = (t104 & t102); + goto LAB25; + +LAB26: *((unsigned int *)t105) = 1; + goto LAB29; + +LAB28: t112 = (t105 + 4); + *((unsigned int *)t105) = 1; + *((unsigned int *)t112) = 1; + goto LAB29; + +LAB30: t119 = (t0 + 1048U); + t120 = *((char **)t119); + memset(t118, 0, 8); + t119 = (t118 + 4); + t121 = (t120 + 4); + t122 = *((unsigned int *)t120); + t123 = (t122 >> 12); + *((unsigned int *)t118) = t123; + t124 = *((unsigned int *)t121); + t125 = (t124 >> 12); + *((unsigned int *)t119) = t125; + t126 = *((unsigned int *)t118); + *((unsigned int *)t118) = (t126 & 15U); + t127 = *((unsigned int *)t119); + *((unsigned int *)t119) = (t127 & 15U); + t128 = ((char*)((ng12))); + memset(t129, 0, 8); + t130 = (t118 + 4); + t131 = (t128 + 4); + t132 = *((unsigned int *)t118); + t133 = *((unsigned int *)t128); + t134 = (t132 ^ t133); + t135 = *((unsigned int *)t130); + t136 = *((unsigned int *)t131); + t137 = (t135 ^ t136); + t138 = (t134 | t137); + t139 = *((unsigned int *)t130); + t140 = *((unsigned int *)t131); + t141 = (t139 | t140); + t142 = (~(t141)); + t143 = (t138 & t142); + if (t143 != 0) + goto LAB36; + +LAB33: if (t141 != 0) + goto LAB35; + +LAB34: *((unsigned int *)t129) = 1; + +LAB36: memset(t145, 0, 8); + t146 = (t129 + 4); + t147 = *((unsigned int *)t146); + t148 = (~(t147)); + t149 = *((unsigned int *)t129); + t150 = (t149 & t148); + t151 = (t150 & 1U); + if (t151 != 0) + goto LAB37; + +LAB38: if (*((unsigned int *)t146) != 0) + goto LAB39; + +LAB40: t154 = *((unsigned int *)t105); + t155 = *((unsigned int *)t145); + t156 = (t154 | t155); + *((unsigned int *)t153) = t156; + t157 = (t105 + 4); + t158 = (t145 + 4); + t159 = (t153 + 4); + t160 = *((unsigned int *)t157); + t161 = *((unsigned int *)t158); + t162 = (t160 | t161); + *((unsigned int *)t159) = t162; + t163 = *((unsigned int *)t159); + t164 = (t163 != 0); + if (t164 == 1) + goto LAB41; + +LAB42: +LAB43: goto LAB32; + +LAB35: t144 = (t129 + 4); + *((unsigned int *)t129) = 1; + *((unsigned int *)t144) = 1; + goto LAB36; + +LAB37: *((unsigned int *)t145) = 1; + goto LAB40; + +LAB39: t152 = (t145 + 4); + *((unsigned int *)t145) = 1; + *((unsigned int *)t152) = 1; + goto LAB40; + +LAB41: t165 = *((unsigned int *)t153); + t166 = *((unsigned int *)t159); + *((unsigned int *)t153) = (t165 | t166); + t167 = (t105 + 4); + t168 = (t145 + 4); + t169 = *((unsigned int *)t167); + t170 = (~(t169)); + t171 = *((unsigned int *)t105); + t172 = (t171 & t170); + t173 = *((unsigned int *)t168); + t174 = (~(t173)); + t175 = *((unsigned int *)t145); + t176 = (t175 & t174); + t177 = (~(t172)); + t178 = (~(t176)); + t179 = *((unsigned int *)t159); + *((unsigned int *)t159) = (t179 & t177); + t180 = *((unsigned int *)t159); + *((unsigned int *)t159) = (t180 & t178); + goto LAB43; + +LAB44: *((unsigned int *)t181) = 1; + goto LAB47; + +LAB46: t188 = (t181 + 4); + *((unsigned int *)t181) = 1; + *((unsigned int *)t188) = 1; + goto LAB47; + +LAB48: t195 = (t0 + 1048U); + t196 = *((char **)t195); + memset(t194, 0, 8); + t195 = (t194 + 4); + t197 = (t196 + 4); + t198 = *((unsigned int *)t196); + t199 = (t198 >> 12); + *((unsigned int *)t194) = t199; + t200 = *((unsigned int *)t197); + t201 = (t200 >> 12); + *((unsigned int *)t195) = t201; + t202 = *((unsigned int *)t194); + *((unsigned int *)t194) = (t202 & 15U); + t203 = *((unsigned int *)t195); + *((unsigned int *)t195) = (t203 & 15U); + t204 = ((char*)((ng8))); + memset(t205, 0, 8); + t206 = (t194 + 4); + t207 = (t204 + 4); + t208 = *((unsigned int *)t194); + t209 = *((unsigned int *)t204); + t210 = (t208 ^ t209); + t211 = *((unsigned int *)t206); + t212 = *((unsigned int *)t207); + t213 = (t211 ^ t212); + t214 = (t210 | t213); + t215 = *((unsigned int *)t206); + t216 = *((unsigned int *)t207); + t217 = (t215 | t216); + t218 = (~(t217)); + t219 = (t214 & t218); + if (t219 != 0) + goto LAB54; + +LAB51: if (t217 != 0) + goto LAB53; + +LAB52: *((unsigned int *)t205) = 1; + +LAB54: memset(t221, 0, 8); + t222 = (t205 + 4); + t223 = *((unsigned int *)t222); + t224 = (~(t223)); + t225 = *((unsigned int *)t205); + t226 = (t225 & t224); + t227 = (t226 & 1U); + if (t227 != 0) + goto LAB55; + +LAB56: if (*((unsigned int *)t222) != 0) + goto LAB57; + +LAB58: t230 = *((unsigned int *)t181); + t231 = *((unsigned int *)t221); + t232 = (t230 | t231); + *((unsigned int *)t229) = t232; + t233 = (t181 + 4); + t234 = (t221 + 4); + t235 = (t229 + 4); + t236 = *((unsigned int *)t233); + t237 = *((unsigned int *)t234); + t238 = (t236 | t237); + *((unsigned int *)t235) = t238; + t239 = *((unsigned int *)t235); + t240 = (t239 != 0); + if (t240 == 1) + goto LAB59; + +LAB60: +LAB61: goto LAB50; + +LAB53: t220 = (t205 + 4); + *((unsigned int *)t205) = 1; + *((unsigned int *)t220) = 1; + goto LAB54; + +LAB55: *((unsigned int *)t221) = 1; + goto LAB58; + +LAB57: t228 = (t221 + 4); + *((unsigned int *)t221) = 1; + *((unsigned int *)t228) = 1; + goto LAB58; + +LAB59: t241 = *((unsigned int *)t229); + t242 = *((unsigned int *)t235); + *((unsigned int *)t229) = (t241 | t242); + t243 = (t181 + 4); + t244 = (t221 + 4); + t245 = *((unsigned int *)t243); + t246 = (~(t245)); + t247 = *((unsigned int *)t181); + t248 = (t247 & t246); + t249 = *((unsigned int *)t244); + t250 = (~(t249)); + t251 = *((unsigned int *)t221); + t252 = (t251 & t250); + t253 = (~(t248)); + t254 = (~(t252)); + t255 = *((unsigned int *)t235); + *((unsigned int *)t235) = (t255 & t253); + t256 = *((unsigned int *)t235); + *((unsigned int *)t235) = (t256 & t254); + goto LAB61; + +LAB62: *((unsigned int *)t257) = 1; + goto LAB65; + +LAB64: t264 = (t257 + 4); + *((unsigned int *)t257) = 1; + *((unsigned int *)t264) = 1; + goto LAB65; + +LAB66: t271 = (t0 + 1048U); + t272 = *((char **)t271); + memset(t270, 0, 8); + t271 = (t270 + 4); + t273 = (t272 + 4); + t274 = *((unsigned int *)t272); + t275 = (t274 >> 12); + *((unsigned int *)t270) = t275; + t276 = *((unsigned int *)t273); + t277 = (t276 >> 12); + *((unsigned int *)t271) = t277; + t278 = *((unsigned int *)t270); + *((unsigned int *)t270) = (t278 & 15U); + t279 = *((unsigned int *)t271); + *((unsigned int *)t271) = (t279 & 15U); + t280 = ((char*)((ng13))); + memset(t281, 0, 8); + t282 = (t270 + 4); + t283 = (t280 + 4); + t284 = *((unsigned int *)t270); + t285 = *((unsigned int *)t280); + t286 = (t284 ^ t285); + t287 = *((unsigned int *)t282); + t288 = *((unsigned int *)t283); + t289 = (t287 ^ t288); + t290 = (t286 | t289); + t291 = *((unsigned int *)t282); + t292 = *((unsigned int *)t283); + t293 = (t291 | t292); + t294 = (~(t293)); + t295 = (t290 & t294); + if (t295 != 0) + goto LAB72; + +LAB69: if (t293 != 0) + goto LAB71; + +LAB70: *((unsigned int *)t281) = 1; + +LAB72: memset(t297, 0, 8); + t298 = (t281 + 4); + t299 = *((unsigned int *)t298); + t300 = (~(t299)); + t301 = *((unsigned int *)t281); + t302 = (t301 & t300); + t303 = (t302 & 1U); + if (t303 != 0) + goto LAB73; + +LAB74: if (*((unsigned int *)t298) != 0) + goto LAB75; + +LAB76: t306 = *((unsigned int *)t257); + t307 = *((unsigned int *)t297); + t308 = (t306 | t307); + *((unsigned int *)t305) = t308; + t309 = (t257 + 4); + t310 = (t297 + 4); + t311 = (t305 + 4); + t312 = *((unsigned int *)t309); + t313 = *((unsigned int *)t310); + t314 = (t312 | t313); + *((unsigned int *)t311) = t314; + t315 = *((unsigned int *)t311); + t316 = (t315 != 0); + if (t316 == 1) + goto LAB77; + +LAB78: +LAB79: goto LAB68; + +LAB71: t296 = (t281 + 4); + *((unsigned int *)t281) = 1; + *((unsigned int *)t296) = 1; + goto LAB72; + +LAB73: *((unsigned int *)t297) = 1; + goto LAB76; + +LAB75: t304 = (t297 + 4); + *((unsigned int *)t297) = 1; + *((unsigned int *)t304) = 1; + goto LAB76; + +LAB77: t317 = *((unsigned int *)t305); + t318 = *((unsigned int *)t311); + *((unsigned int *)t305) = (t317 | t318); + t319 = (t257 + 4); + t320 = (t297 + 4); + t321 = *((unsigned int *)t319); + t322 = (~(t321)); + t323 = *((unsigned int *)t257); + t324 = (t323 & t322); + t325 = *((unsigned int *)t320); + t326 = (~(t325)); + t327 = *((unsigned int *)t297); + t328 = (t327 & t326); + t329 = (~(t324)); + t330 = (~(t328)); + t331 = *((unsigned int *)t311); + *((unsigned int *)t311) = (t331 & t329); + t332 = *((unsigned int *)t311); + *((unsigned int *)t311) = (t332 & t330); + goto LAB79; + +LAB80: *((unsigned int *)t333) = 1; + goto LAB83; + +LAB82: t340 = (t333 + 4); + *((unsigned int *)t333) = 1; + *((unsigned int *)t340) = 1; + goto LAB83; + +LAB84: t347 = (t0 + 1048U); + t348 = *((char **)t347); + memset(t346, 0, 8); + t347 = (t346 + 4); + t349 = (t348 + 4); + t350 = *((unsigned int *)t348); + t351 = (t350 >> 12); + *((unsigned int *)t346) = t351; + t352 = *((unsigned int *)t349); + t353 = (t352 >> 12); + *((unsigned int *)t347) = t353; + t354 = *((unsigned int *)t346); + *((unsigned int *)t346) = (t354 & 15U); + t355 = *((unsigned int *)t347); + *((unsigned int *)t347) = (t355 & 15U); + t356 = ((char*)((ng14))); + memset(t357, 0, 8); + t358 = (t346 + 4); + t359 = (t356 + 4); + t360 = *((unsigned int *)t346); + t361 = *((unsigned int *)t356); + t362 = (t360 ^ t361); + t363 = *((unsigned int *)t358); + t364 = *((unsigned int *)t359); + t365 = (t363 ^ t364); + t366 = (t362 | t365); + t367 = *((unsigned int *)t358); + t368 = *((unsigned int *)t359); + t369 = (t367 | t368); + t370 = (~(t369)); + t371 = (t366 & t370); + if (t371 != 0) + goto LAB90; + +LAB87: if (t369 != 0) + goto LAB89; + +LAB88: *((unsigned int *)t357) = 1; + +LAB90: memset(t373, 0, 8); + t374 = (t357 + 4); + t375 = *((unsigned int *)t374); + t376 = (~(t375)); + t377 = *((unsigned int *)t357); + t378 = (t377 & t376); + t379 = (t378 & 1U); + if (t379 != 0) + goto LAB91; + +LAB92: if (*((unsigned int *)t374) != 0) + goto LAB93; + +LAB94: t382 = *((unsigned int *)t333); + t383 = *((unsigned int *)t373); + t384 = (t382 | t383); + *((unsigned int *)t381) = t384; + t385 = (t333 + 4); + t386 = (t373 + 4); + t387 = (t381 + 4); + t388 = *((unsigned int *)t385); + t389 = *((unsigned int *)t386); + t390 = (t388 | t389); + *((unsigned int *)t387) = t390; + t391 = *((unsigned int *)t387); + t392 = (t391 != 0); + if (t392 == 1) + goto LAB95; + +LAB96: +LAB97: goto LAB86; + +LAB89: t372 = (t357 + 4); + *((unsigned int *)t357) = 1; + *((unsigned int *)t372) = 1; + goto LAB90; + +LAB91: *((unsigned int *)t373) = 1; + goto LAB94; + +LAB93: t380 = (t373 + 4); + *((unsigned int *)t373) = 1; + *((unsigned int *)t380) = 1; + goto LAB94; + +LAB95: t393 = *((unsigned int *)t381); + t394 = *((unsigned int *)t387); + *((unsigned int *)t381) = (t393 | t394); + t395 = (t333 + 4); + t396 = (t373 + 4); + t397 = *((unsigned int *)t395); + t398 = (~(t397)); + t399 = *((unsigned int *)t333); + t400 = (t399 & t398); + t401 = *((unsigned int *)t396); + t402 = (~(t401)); + t403 = *((unsigned int *)t373); + t404 = (t403 & t402); + t405 = (~(t400)); + t406 = (~(t404)); + t407 = *((unsigned int *)t387); + *((unsigned int *)t387) = (t407 & t405); + t408 = *((unsigned int *)t387); + *((unsigned int *)t387) = (t408 & t406); + goto LAB97; + +LAB98: *((unsigned int *)t409) = 1; + goto LAB101; + +LAB100: t416 = (t409 + 4); + *((unsigned int *)t409) = 1; + *((unsigned int *)t416) = 1; + goto LAB101; + +LAB102: t423 = (t0 + 1048U); + t424 = *((char **)t423); + memset(t422, 0, 8); + t423 = (t422 + 4); + t425 = (t424 + 4); + t426 = *((unsigned int *)t424); + t427 = (t426 >> 12); + *((unsigned int *)t422) = t427; + t428 = *((unsigned int *)t425); + t429 = (t428 >> 12); + *((unsigned int *)t423) = t429; + t430 = *((unsigned int *)t422); + *((unsigned int *)t422) = (t430 & 15U); + t431 = *((unsigned int *)t423); + *((unsigned int *)t423) = (t431 & 15U); + t432 = ((char*)((ng15))); + memset(t433, 0, 8); + t434 = (t422 + 4); + t435 = (t432 + 4); + t436 = *((unsigned int *)t422); + t437 = *((unsigned int *)t432); + t438 = (t436 ^ t437); + t439 = *((unsigned int *)t434); + t440 = *((unsigned int *)t435); + t441 = (t439 ^ t440); + t442 = (t438 | t441); + t443 = *((unsigned int *)t434); + t444 = *((unsigned int *)t435); + t445 = (t443 | t444); + t446 = (~(t445)); + t447 = (t442 & t446); + if (t447 != 0) + goto LAB108; + +LAB105: if (t445 != 0) + goto LAB107; + +LAB106: *((unsigned int *)t433) = 1; + +LAB108: memset(t449, 0, 8); + t450 = (t433 + 4); + t451 = *((unsigned int *)t450); + t452 = (~(t451)); + t453 = *((unsigned int *)t433); + t454 = (t453 & t452); + t455 = (t454 & 1U); + if (t455 != 0) + goto LAB109; + +LAB110: if (*((unsigned int *)t450) != 0) + goto LAB111; + +LAB112: t458 = *((unsigned int *)t409); + t459 = *((unsigned int *)t449); + t460 = (t458 | t459); + *((unsigned int *)t457) = t460; + t461 = (t409 + 4); + t462 = (t449 + 4); + t463 = (t457 + 4); + t464 = *((unsigned int *)t461); + t465 = *((unsigned int *)t462); + t466 = (t464 | t465); + *((unsigned int *)t463) = t466; + t467 = *((unsigned int *)t463); + t468 = (t467 != 0); + if (t468 == 1) + goto LAB113; + +LAB114: +LAB115: goto LAB104; + +LAB107: t448 = (t433 + 4); + *((unsigned int *)t433) = 1; + *((unsigned int *)t448) = 1; + goto LAB108; + +LAB109: *((unsigned int *)t449) = 1; + goto LAB112; + +LAB111: t456 = (t449 + 4); + *((unsigned int *)t449) = 1; + *((unsigned int *)t456) = 1; + goto LAB112; + +LAB113: t469 = *((unsigned int *)t457); + t470 = *((unsigned int *)t463); + *((unsigned int *)t457) = (t469 | t470); + t471 = (t409 + 4); + t472 = (t449 + 4); + t473 = *((unsigned int *)t471); + t474 = (~(t473)); + t475 = *((unsigned int *)t409); + t476 = (t475 & t474); + t477 = *((unsigned int *)t472); + t478 = (~(t477)); + t479 = *((unsigned int *)t449); + t480 = (t479 & t478); + t481 = (~(t476)); + t482 = (~(t480)); + t483 = *((unsigned int *)t463); + *((unsigned int *)t463) = (t483 & t481); + t484 = *((unsigned int *)t463); + *((unsigned int *)t463) = (t484 & t482); + goto LAB115; + +LAB116: *((unsigned int *)t485) = 1; + goto LAB119; + +LAB118: t492 = (t485 + 4); + *((unsigned int *)t485) = 1; + *((unsigned int *)t492) = 1; + goto LAB119; + +LAB120: t499 = (t0 + 1048U); + t500 = *((char **)t499); + memset(t498, 0, 8); + t499 = (t498 + 4); + t501 = (t500 + 4); + t502 = *((unsigned int *)t500); + t503 = (t502 >> 12); + *((unsigned int *)t498) = t503; + t504 = *((unsigned int *)t501); + t505 = (t504 >> 12); + *((unsigned int *)t499) = t505; + t506 = *((unsigned int *)t498); + *((unsigned int *)t498) = (t506 & 15U); + t507 = *((unsigned int *)t499); + *((unsigned int *)t499) = (t507 & 15U); + t508 = ((char*)((ng7))); + memset(t509, 0, 8); + t510 = (t498 + 4); + t511 = (t508 + 4); + t512 = *((unsigned int *)t498); + t513 = *((unsigned int *)t508); + t514 = (t512 ^ t513); + t515 = *((unsigned int *)t510); + t516 = *((unsigned int *)t511); + t517 = (t515 ^ t516); + t518 = (t514 | t517); + t519 = *((unsigned int *)t510); + t520 = *((unsigned int *)t511); + t521 = (t519 | t520); + t522 = (~(t521)); + t523 = (t518 & t522); + if (t523 != 0) + goto LAB126; + +LAB123: if (t521 != 0) + goto LAB125; + +LAB124: *((unsigned int *)t509) = 1; + +LAB126: memset(t525, 0, 8); + t526 = (t509 + 4); + t527 = *((unsigned int *)t526); + t528 = (~(t527)); + t529 = *((unsigned int *)t509); + t530 = (t529 & t528); + t531 = (t530 & 1U); + if (t531 != 0) + goto LAB127; + +LAB128: if (*((unsigned int *)t526) != 0) + goto LAB129; + +LAB130: t534 = *((unsigned int *)t485); + t535 = *((unsigned int *)t525); + t536 = (t534 | t535); + *((unsigned int *)t533) = t536; + t537 = (t485 + 4); + t538 = (t525 + 4); + t539 = (t533 + 4); + t540 = *((unsigned int *)t537); + t541 = *((unsigned int *)t538); + t542 = (t540 | t541); + *((unsigned int *)t539) = t542; + t543 = *((unsigned int *)t539); + t544 = (t543 != 0); + if (t544 == 1) + goto LAB131; + +LAB132: +LAB133: goto LAB122; + +LAB125: t524 = (t509 + 4); + *((unsigned int *)t509) = 1; + *((unsigned int *)t524) = 1; + goto LAB126; + +LAB127: *((unsigned int *)t525) = 1; + goto LAB130; + +LAB129: t532 = (t525 + 4); + *((unsigned int *)t525) = 1; + *((unsigned int *)t532) = 1; + goto LAB130; + +LAB131: t545 = *((unsigned int *)t533); + t546 = *((unsigned int *)t539); + *((unsigned int *)t533) = (t545 | t546); + t547 = (t485 + 4); + t548 = (t525 + 4); + t549 = *((unsigned int *)t547); + t550 = (~(t549)); + t551 = *((unsigned int *)t485); + t552 = (t551 & t550); + t553 = *((unsigned int *)t548); + t554 = (~(t553)); + t555 = *((unsigned int *)t525); + t556 = (t555 & t554); + t557 = (~(t552)); + t558 = (~(t556)); + t559 = *((unsigned int *)t539); + *((unsigned int *)t539) = (t559 & t557); + t560 = *((unsigned int *)t539); + *((unsigned int *)t539) = (t560 & t558); + goto LAB133; + +LAB134: *((unsigned int *)t561) = 1; + goto LAB137; + +LAB136: t568 = (t561 + 4); + *((unsigned int *)t561) = 1; + *((unsigned int *)t568) = 1; + goto LAB137; + +LAB138: t575 = (t0 + 1048U); + t576 = *((char **)t575); + memset(t574, 0, 8); + t575 = (t574 + 4); + t577 = (t576 + 4); + t578 = *((unsigned int *)t576); + t579 = (t578 >> 12); + *((unsigned int *)t574) = t579; + t580 = *((unsigned int *)t577); + t581 = (t580 >> 12); + *((unsigned int *)t575) = t581; + t582 = *((unsigned int *)t574); + *((unsigned int *)t574) = (t582 & 15U); + t583 = *((unsigned int *)t575); + *((unsigned int *)t575) = (t583 & 15U); + t584 = ((char*)((ng6))); + memset(t585, 0, 8); + t586 = (t574 + 4); + t587 = (t584 + 4); + t588 = *((unsigned int *)t574); + t589 = *((unsigned int *)t584); + t590 = (t588 ^ t589); + t591 = *((unsigned int *)t586); + t592 = *((unsigned int *)t587); + t593 = (t591 ^ t592); + t594 = (t590 | t593); + t595 = *((unsigned int *)t586); + t596 = *((unsigned int *)t587); + t597 = (t595 | t596); + t598 = (~(t597)); + t599 = (t594 & t598); + if (t599 != 0) + goto LAB144; + +LAB141: if (t597 != 0) + goto LAB143; + +LAB142: *((unsigned int *)t585) = 1; + +LAB144: memset(t601, 0, 8); + t602 = (t585 + 4); + t603 = *((unsigned int *)t602); + t604 = (~(t603)); + t605 = *((unsigned int *)t585); + t606 = (t605 & t604); + t607 = (t606 & 1U); + if (t607 != 0) + goto LAB145; + +LAB146: if (*((unsigned int *)t602) != 0) + goto LAB147; + +LAB148: t610 = *((unsigned int *)t561); + t611 = *((unsigned int *)t601); + t612 = (t610 | t611); + *((unsigned int *)t609) = t612; + t613 = (t561 + 4); + t614 = (t601 + 4); + t615 = (t609 + 4); + t616 = *((unsigned int *)t613); + t617 = *((unsigned int *)t614); + t618 = (t616 | t617); + *((unsigned int *)t615) = t618; + t619 = *((unsigned int *)t615); + t620 = (t619 != 0); + if (t620 == 1) + goto LAB149; + +LAB150: +LAB151: goto LAB140; + +LAB143: t600 = (t585 + 4); + *((unsigned int *)t585) = 1; + *((unsigned int *)t600) = 1; + goto LAB144; + +LAB145: *((unsigned int *)t601) = 1; + goto LAB148; + +LAB147: t608 = (t601 + 4); + *((unsigned int *)t601) = 1; + *((unsigned int *)t608) = 1; + goto LAB148; + +LAB149: t621 = *((unsigned int *)t609); + t622 = *((unsigned int *)t615); + *((unsigned int *)t609) = (t621 | t622); + t623 = (t561 + 4); + t624 = (t601 + 4); + t625 = *((unsigned int *)t623); + t626 = (~(t625)); + t627 = *((unsigned int *)t561); + t628 = (t627 & t626); + t629 = *((unsigned int *)t624); + t630 = (~(t629)); + t631 = *((unsigned int *)t601); + t632 = (t631 & t630); + t633 = (~(t628)); + t634 = (~(t632)); + t635 = *((unsigned int *)t615); + *((unsigned int *)t615) = (t635 & t633); + t636 = *((unsigned int *)t615); + *((unsigned int *)t615) = (t636 & t634); + goto LAB151; + +LAB152: *((unsigned int *)t637) = 1; + goto LAB155; + +LAB154: t644 = (t637 + 4); + *((unsigned int *)t637) = 1; + *((unsigned int *)t644) = 1; + goto LAB155; + +LAB156: t650 = (t0 + 2968U); + t651 = *((char **)t650); + memset(t652, 0, 8); + t650 = (t651 + 4); + t653 = *((unsigned int *)t650); + t654 = (~(t653)); + t655 = *((unsigned int *)t651); + t656 = (t655 & t654); + t657 = (t656 & 1U); + if (t657 != 0) + goto LAB159; + +LAB160: if (*((unsigned int *)t650) != 0) + goto LAB161; + +LAB162: t660 = *((unsigned int *)t637); + t661 = *((unsigned int *)t652); + t662 = (t660 | t661); + *((unsigned int *)t659) = t662; + t663 = (t637 + 4); + t664 = (t652 + 4); + t665 = (t659 + 4); + t666 = *((unsigned int *)t663); + t667 = *((unsigned int *)t664); + t668 = (t666 | t667); + *((unsigned int *)t665) = t668; + t669 = *((unsigned int *)t665); + t670 = (t669 != 0); + if (t670 == 1) + goto LAB163; + +LAB164: +LAB165: goto LAB158; + +LAB159: *((unsigned int *)t652) = 1; + goto LAB162; + +LAB161: t658 = (t652 + 4); + *((unsigned int *)t652) = 1; + *((unsigned int *)t658) = 1; + goto LAB162; + +LAB163: t671 = *((unsigned int *)t659); + t672 = *((unsigned int *)t665); + *((unsigned int *)t659) = (t671 | t672); + t673 = (t637 + 4); + t674 = (t652 + 4); + t675 = *((unsigned int *)t673); + t676 = (~(t675)); + t677 = *((unsigned int *)t637); + t678 = (t677 & t676); + t679 = *((unsigned int *)t674); + t680 = (~(t679)); + t681 = *((unsigned int *)t652); + t682 = (t681 & t680); + t683 = (~(t678)); + t684 = (~(t682)); + t685 = *((unsigned int *)t665); + *((unsigned int *)t665) = (t685 & t683); + t686 = *((unsigned int *)t665); + *((unsigned int *)t665) = (t686 & t684); + goto LAB165; + +} + + +extern void work_m_00000000003586053589_0453017098_init() +{ + static char *pe[] = {(void *)NetDecl_12_0,(void *)NetDecl_13_1,(void *)Always_14_2,(void *)Always_18_3,(void *)Cont_23_4,(void *)NetDecl_25_5,(void *)Cont_26_6,(void *)Cont_30_7,(void *)Cont_33_8,(void *)Cont_34_9}; + xsi_register_didat("work_m_00000000003586053589_0453017098", "isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.didat b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.didat new file mode 100644 index 0000000000000000000000000000000000000000..229fac1903a3980017987545d5bdb6fef48cad8b GIT binary patch literal 5320 zcmeI0J#1T56vuBVl<-jqUm`G|Hf)H-P8_EW708wopb&5sx3o+TH+fR6I!=h)gjO9p zFmxyq14D<7ATcvBF)>7lF=H2I7%HJo=Ks5X=ka**M?ySM)Fb_U&OP^>|GW3xkN1*W ze~fLP>a=TzoOAad*Ng&pCZ;GXA6&MjdNk%nTfr{aE9zF}AP_zPW}dC|GggpCM4OM^=q z|E}<+c*dS~ZbJH)@U?Pp3roUv0l{ZTxAEI^(FnCO!3NJnTIKR>^Q%<8jea84XEAB5 zuzx&*b}zBKetnDyc}Ic7svKS}L>grr=+{&2WFN8nk%EFdl1F_~r-Qt`&gh#5;5i!QqQ5!-&nwCum9T%y7ya@X|p!Oy&G zTr&F#_;J;<+dH67SELV*BwhFStyMpLMe_(8ro1X&%c>`;CXZ`^_sI-;d`(_xD?0)(l~O2KG2$UGecgVcmEipt&>H3+#1bUJ{6# z5a`>__epYnlp|2PLI~kX(2Ytl|*vUA%AA3EQSd--a zh_4s{J1KUCdhsQnYwzA(aY??1_Jdu4qZ}Lw`;k44ws#2s*W$ZX|0?p|ot!Ja_xM&j zE=&qrZ&Y3Rt<1SrxY^2Ft2M*RnT7S0D{Eo1-O9XIZ@+)#Vy3!yBAd%*RyG=|nR0b% z%axT=)t}Vmr4Lb%jS6jjKY%mksg&^n3>&vulL&gOI3>66*{!qjSgwbpij0s33n-T(jq literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.nt64.obj b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000003586053589_0453017098.nt64.obj new file mode 100644 index 0000000000000000000000000000000000000000..050e4f830ac1be63ff2efd89591ebfb6cd7efeb3 GIT binary patch literal 15183 zcmbtb3wTslwLS?0N^)FRbun`x$&3QcAb9s#cH9C}6$y_1^S7m9X5AwVq9G|5oZ z+8ge0YLC04(fVnx*GKBJTCU<(ROnYV0onk*0=19t`Y6`=I1ROUwGP%&?*H$7&dHo1 zP`=y!k=g64z4lsr|9kJX_g?3)=B|jwG_pX`=1MA}HS~6D>6Ntl*^$(=ogjbFq@GtI ztJB)r8`iAqU8Pv^cM!j8Qkr%%bTLKom)6<`8*c9Dkqqyrw*0}P`?R*fhVHP!9Vkn9 zHvKATtu1X8j?T7<=aFRsJ4pR5=U5RnCdh`)C{@jI5k$$ieyAOVhsK19Mh>P)Wr(% zm^HjDF#=g8p_^jjdqHHJAwxfqaSj@#!)9toXw4H>fUOT1_QCucGWLO({qO`$^Qu;% zh-wBsH8f9^!itzeGYUtloc*N{!yb&;UqCI^{u*+Mu}T)rDzs>^Z4ZKJ6c%YQ`+gP+ zRctxi5`7S(g|0FS6fSS7IVUtlT=pI|X8u$UH-%weGpITNdrH;#on zCn^hfA*UFt1QrCC%EDF_!vc$G;Rs-oU=uFS0(s+D*fdF5*o>SOMj#eg_z;-N!fh;u z1s2mnKXde<54mrFym2htG+9|#i<}l%C9rS{n99N`7Q+IIX(7!V7Lv$)3*?Ps;p!S? z;RfUqOo#;*R)VQ4T*G2mphj9a2DP+sMCw;n$s5PQ<+`%)4&=1JDuIQ615;TrSPTm+ zriB6Ku+Wd3iB$)Xk7J=>in6c(ImK8dTTH9jx&%yv^VUwW7#3J;IcB2G8a5MYJfISu zL|a7E6nyj;3ko&*wO)Y|)4q zqC0{I!%4!)81Oa3d;qdc8KIftyfvUq=Sfq4%y9l{lpfEdMugrxwH<6j-=DGf=41BX z^Xt8OvI879PX}#NpSTTPrb>F5zmyIc_Wpb;YTc0Kc(G{^>qBmcWHjldmKVDqJ~I=Y zo-MvRl5x(>*oX6J_ly5zPnE*qDw-NLBqpQxcqYjk{U&9oH7s+lsZTeAUOH4?BPPMq z)oVH(UVMVP40@rU3stDVeZRD$7-2-)P~sul&Ssw_qHU06QiyHkC8wkROFulQHoOR2=X%{`lEj+M5!>077?W$ zT2*tDPhpwUBf1WHpTdNZKz22|vq0a1!l>=-qkac6q*?+Q^W45LLvsR#c&L`jBaPLJqkpce{`pfVM> z4@*0W5k_s^~ zM)c%M3eiDGhS4IT)I;;ikMb!jb9zL-4!uy&?CvSNx={8XY3($+9M zI$N#tng;e&I6d9Q)02}bT%;~(W}=HSyrE6~90=ac^n*aO^rV?OAZ9e5cOSU=A^T_f zsXWqLk0WT8g3(O0qX^(!m*^5#MMP8K4^_^=(qa3_{FU~B{Bo<9O|-MLO{8!{YLW*d z5zRzvvc-4dh%^QFz5GJ=64@Q-7_O$?;ic+)^hFqImwBO+4hg6RyE*xgjd z9?UPu;vk)5PwKqEZ!NCCWjAU@r(}!Q_`RricPO(-+2Vt&kPMIS_e@W)J>@8WgmqgCO%cpUBXF7HC{F3vtBz4N-5KJ@%KPAw1nVtGW4G;iP+;b4q2 zKD#DnsIfJi{f0imJ9z0(>L4%Qa%}e)_8|`LVE#tdU=MIJ*!8+@22cApgTlV_O56&d zH#7vJpGW-RX`d&J&yOTVWKJw*&pHK*3s9)A$QBF4V!1#7LandYMnql7 zphAN37379I%urlqJ+k_HSfcUKj5BecXiytMFhI9;{pU5p{V_8vqd+6gPe{>}d=FTfmeOTe92&ULs2`-`8_Y51^8 zjl8i@<0RyAO;Zq;<0>;4bAL69iwzU=iW8S=&M@4z=qHU4BTQtIdgSi0bi?Jl8=DL8O7DdX?si# zsW(3kfGOw4AWN|#WvLeT?}gWUJj>ogE=iQO?jv}6 z;dR(3IS~IUCyru-*X2bh$rj}v(JH2M?H*x2htVw_1Q14vI7x<@R2U_~FiKqzMj4@Y zBpj`*;(Q(l7}9Va&**zi=b6$|45WCwhM^Cd_Aou$;8uV1L3FMoI z51XRaKsd>C1{t;86SJqGKrWf{KrpbvTCLc~3^^F*<4HxrVxTxIEN84O$sAVdkTcN= zd9)&uaubIQ`>~k4eS&A=ArKT}6$`-{85!OMX5n%K#=gx`7?7nH_jH+Sz|*OElQo{+ zc_6$)f+-IP^-WGPI3(y<^hOLa`5j>_ex7-7jnC|NER zQOyo^M-8uNbY^F9IuZYlVO=7JwO&QXs1C^xOHA<=bz=Ute!_5$8l_*Dsb@H*bn*JI zVZV|;*M1>p{~V8K%K&P!GO_OWw9qQ~VoOBKF8sdAIS!;BjoIIWoP9E8|B&*Kp*))- zf!+(IQCI?`>Cxq$hhh$88*`{nBWGe&@FLdK9y zQucDAzQt*=ikl+h!T1DI{ zK9cA|p*4&cHZh%>a2l=RtvD2}NkmMiLn~YoD|BcQ`7Y{25*szKz;OO%=tnco?~T$E zsXvP=n%^#uU#}YWOZnQ2{an5S4PgydA|&lzZFZM@YyzTzh+_*tomT7sH?TRBqf8rR z8l$tFhAi4+z^D?I=%3+U@?dJrsvp%?M6*pLf>r(t!gMcXiFixDPf z2#$vj{hy}u>(Ucu>M33+n_q52;pw~8AQUETn-YMJ^P z(}`t^9dH8YBr?1j=74=vzR>&{#NA8eYEUf?BU-1hAB0VI)xFZgo{X?0M@c%M?J}5P z4QC(%IAPLsTG7*1<%2R#oc_n~UcwGYDazrFe=(;cTu?^;X~y}pQF=0y`YrrK^JP20 zH*`0Hi!Xf93;8a<&zfwL^!~`1tm1abH$^M(?*OFFVbcG!^n_s_&0otK{mLw&CHehy}iXgrhX-lgd9g!I}gv|>q&rj z3{0lV^KdgX@jSeQ8hIXuOmJUHgDH<-3t7tZFiWvp?_lmt4Unjzc$M0laAc+S#mK3B zK+1Zj?JK~P+83}C+GQzDUF~JQ4^Nd!=fI0mX%ac_R=D}_EhS%-&I3!Tq>Lr#6#X}x z|3b$9_YLO*{^@<|K0?1z9>dWW(3;Bw8v!6V;gNh0C0RdIdGOt!e_n9f3Y38R49&R_wuyzDM1ZGpYSNuyG`C2Ojb25z zj7kQPGqHhGs1D#Y^l07zd???BeI&m^9=ttCYR!lmvwn){)C7A;*(nx>h*$g*EM!C7 z9{q@Cmu(n*fR+bOk@>`FX86~j71ak&L4y03e`KrF;BH4r8Myrr@J_%AT=?R0aBC#E z!5ya$;!Fx$FXUMXE)Qnq;I2W-`oL4*23v(Jw=@#Q zwBPq!qu-&Xu5jt+PlM(|`?<^+h)g3gRpD(Bj|c*h^1{7b=)O1b zZ)6C~PAdz|PIIPUi5D)CtNaK7L1J{4(J((cOUP9qlBGc)5`m>gGzSy$2-u+HD(A{( zqTwURD_!PH2-0Og~t@4#KYC7g90%^C(~>_e-8YdvMnIIyiC>e1S#cw6Wo`_ggXhgr|1B# zOW~sW#m?o+iyy!Qd85gXlWy`SNWFW$GAoa@qj+a^N>CW@tY-SB(di5da}LaOf6IyG zpJiW_6W}`d-166V?jONE?K`>?aJyx1yjIKrh~cB`RG{@b-*_?9@B7rTH(mqa(x;B| z#%s&#@<{7_k5o9_8!z@asSfY$(@*K~IpsaprlA}V*MW)obxnl6M(02q&Y)2m$=E~r znLzAL?sj#dbtgH>$H+LZ7aExY_wgS17z2NJyVoN7dJVq&dl$a@tEL$oi1>a%X!y~q zO&v#T-ju^%{H+-4WfF&B7?&R8qr9~kdM#e-6^^dAocAUy%fWPFKx2jFY~cHrA6v!m zSZ_dg5OD3i$`Y5gLAm8TzZ;{Eb@z7KI{6eC=PF9vdmleUYWe5EQWnN zziM>3|G_fVcvGfWxEt+MuvkuEJ*^feI$S}{BulV$f~^y5onY$<`_}ITo>vmR@aaKv z_~KUW;|eRW2Lyx5KO@fY2qd^Wr1V`(rzswwDIcicCz;aiX14L+d-mc0ppj5{o$-NN@KeXZn} zX1~?|<753ADD=je(ODI7b=N?h7krgb2KQQGD+4(bPbPf#ArpNr6NX79&TuAAt;SiNvA&^WOGg8_x8K@*OXJ2|GDywyTNWhe<9AUmId6V*GPN+dsHI^|C;n%L zM&aCR3TxVxiyN=+>FDlh{NSoh9k(=^x311_?AX-X)3~g&*UI1Acx~pgWOK4{b=QV9 zjaOdVuvxWo>~}IqLK@-z;!%Y363N4g*5Z=l?`%me3wZAWb*bd>D5d1MfED$AK*dpr zXp;fH;$09>?}i^&ysm(H5RFm1JppwB1EzRyz>q2GoftGltqrLAF@TD?0!Bo5{ z7*0i9fo>}5`ha>IgR6MI0i}BMX3QtWO9j+6P^w4I1ia@#sTxUa;Ht(u0_qh|s>XxZ zi|QpBdjjft0Ih1g1WIZ77#xMFaW$fOs&rjIT|CR@EeWU{i18`81`Lc7U`Fwl1k}q|Pl`9O&TmT=4Aqt=K&jHB0o8==DBkjbT92uucs-z0k9LDnJ^y(? zJ@-~$^G`6TRE=i?>QNX~yb(}J%T)LU#j6jf&7f5Kz8~=RfKoNy3y+~nzXeLw{Q)Rd zdOYAwfQM1M`vdAQC@8|*ozdXeje}Bh?+$ot0$z2aU+M(ZPEe}n4}((rZb|xbp9ZB` z^*K+ItixXtY_4p%TDgyoalQu*chE7;UU0a#Dh|eiFw0q|+6)ds)pp@ewXpnu zI&z{+sQM~~ZzY9-RQ|slWv!|~ltqJb66OEHQQE2km=&q~e>ZYIPN=%SLP9-`lyPZK z)1E(tBM(a+SIN|~`615I5QppFHMib|)mrZCHtAgFrp{h1cjc{{dUMV5a*KSjIoINo z$=pJZY+8_8pyjUa=ruc5Z^$*x&&~G>nsf8U3R2CvRM}HgE~({=4Y#lQKu<2!l4~A& zn3rqPa=FfpUAf$rp3Yo%$GXm*-j42^I%SMkg5%2Sd~Zijd9|Jn9BK01-8fm~Hgs<4 zC@)*n-MJYGH?QsN?&;0-cCXqLs=9f@t?M?g>dxK1sz literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c new file mode 100644 index 0000000..cc8d098 --- /dev/null +++ b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c @@ -0,0 +1,337 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Xilinx/14.7/ISE_DS/ISE/verilog/src/glbl.v"; +static unsigned int ng1[] = {1U, 0U}; +static unsigned int ng2[] = {0U, 0U}; + + + +static void NetDecl_16_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + unsigned int t8; + unsigned int t9; + char *t10; + unsigned int t11; + unsigned int t12; + char *t13; + unsigned int t14; + unsigned int t15; + char *t16; + +LAB0: t1 = (t0 + 6960U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(16, ng0); + t2 = (t0 + 1960U); + t3 = *((char **)t2); + t2 = (t0 + 8648); + t4 = (t2 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + memset(t7, 0, 8); + t8 = 1U; + t9 = t8; + t10 = (t3 + 4); + t11 = *((unsigned int *)t3); + t8 = (t8 & t11); + t12 = *((unsigned int *)t10); + t9 = (t9 & t12); + t13 = (t7 + 4); + t14 = *((unsigned int *)t7); + *((unsigned int *)t7) = (t14 | t8); + t15 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t15 | t9); + xsi_driver_vfirst_trans(t2, 0, 0U); + t16 = (t0 + 8520); + *((int *)t16) = 1; + +LAB1: return; +} + +static void Cont_48_1(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 7208U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(48, ng0); + t2 = (t0 + 3640); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 8712); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 8536); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Cont_49_2(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 7456U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(49, ng0); + t2 = (t0 + 3800); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 8776); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 8552); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Cont_50_3(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 7704U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(50, ng0); + t2 = (t0 + 3960); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 8840); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 8568); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Initial_52_4(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + +LAB0: t1 = (t0 + 7952U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(52, ng0); + +LAB4: xsi_set_current_line(53, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3640); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(54, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3960); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(55, ng0); + t2 = (t0 + 7760); + xsi_process_wait(t2, 100000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(56, ng0); + t3 = ((char*)((ng2))); + t4 = (t0 + 3640); + xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); + xsi_set_current_line(57, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3960); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + goto LAB1; + +} + +static void Initial_60_5(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + +LAB0: t1 = (t0 + 8200U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(60, ng0); + +LAB4: xsi_set_current_line(61, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3800); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(62, ng0); + t2 = (t0 + 8008); + xsi_process_wait(t2, 0LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(63, ng0); + t3 = ((char*)((ng2))); + t4 = (t0 + 3800); + xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); + goto LAB1; + +} + + +extern void work_m_00000000004134447467_2073120511_init() +{ + static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5}; + xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat new file mode 100644 index 0000000000000000000000000000000000000000..bfc2343e824c886b0301dace72fe22d8ca0a3184 GIT binary patch literal 5496 zcmeH~OKe+36ox0IEr9|pP#&TM6{vU?zj70&w3MW_aZKFW@^us>Dh+9B8mrEO#H1EN zhy_AngNnogc2QSdc2OZ#sEaNTVu?h=sz_acx?vGkAesN4J7bMU!H-@f9^yzRXXeZ~ z-<=tsJ9Bg4m%;N#n)R6hA;itw@dr|bey}aj3$WDd+OFLu#Ks*$?7vfp^?QW42K@=z zK<*O!YrBQG3~lTY;u7>KbQ3ywuMm5o9`qD+4obO#v2OAmVs1EbqxT76bPVlxwSKu* zh_9j7wKg8WT%dE9{|59^aQ0w+@58P^f5$wwBlimI4Lv9NjB;+KZLE1NErok$1lyzf z*b-%l;4tN{(V5*ymg+p9Mz!H4IwL(eB%AE2XFA z2%?&h?JBz2q+y%Sh(pQVY;Gz)n*SJi^I&8L=XS)I5!XW3p!Rbu`t?zs0pwwuMMjSs z!#w8Ld8Tb*!dUV--vX3vAvlZPgn3-YE+})E>Ff5baPHE%th4D5oV#@{=k|IC4&OZCyO_ehK(+HmM6OO1D=1a+wH6(s+NB%bYtLq9ocyax{Rn0ymSiJ+eRIlT9O%M$#$oWTIp;7K z(`hZwIt<=3?>G#e%bgg{I}CpFeC#kryD$zp41VuibQoh@7%w;s{*GTbjEOFch{O0h zyXp?X1XzOwo+2-+|q_Ab7s;-R8N(Z#{me@f&Lx%K!P3 zP@Xr3q5Nig33?3rGIaGhU!-1)zE-VO7aGyH7fD8QenzH!n&@g}xmsI18(mqRjh?NY zu0>V_P4K5wNXhA3x;!Dutyb1AiEP;y+3AcZmhx$_B;Q_=_4y@H%;#mkkebZGU&tPp z+5GXmNTu?Vg`kN{SzV|zTUlnS#;fJ=tSqN>SkOyN21$A>XZKF{cCX}@t!`?(Se`0n zEKe!pXY2@nBKL~jpDL!u%WVl$C(D^OsGrHlEh4&!_U=%7*R#4)ex}65*#P6SDaL1` zj8F4UR_f`>Y)!^TWK5(M7wXa*m2urVEE7R%I3|ZgZlPMQ&eY^^LVB&vNK6j*R|+Vf zo@D()bHeo$u7XU)R<^oQosZV#?22Sdp03PADve5n-t&vg=c4m6))Fs17%g5S~rkHa!4QAhi4&>PiuawVl|Bi%M~5khaJ|5fLI%kzO2o>#T4Rv%7J9 z=mD@OU9F7xIU#`*ae*U990;eNRtgdl;y?)ERFw-q6++@xp_*^rTd&tm-J*=-_r94o zGvAweyU$xbA0w8}4iG&kQH*rgY`7Aup$3;P0b~1>egspTW*78w(Jd;I9P=0x`-m2i zi-o5=Eo|zGjw21hFdS6^{5s8U>UM9!b*z<-Buq{xU zm&Pu_bKdY?N#qRAP2>&lT*5HCYGT&#zB5{148@V4d4k;IhCiA@GG&{9-*uW6zmbr55qxO%86P*a-5u&f5 zbkuFw^=VF&`R&2p5C*}(%dqI^wjLfp^wSs??w_fnB zZ164&KPw4;GFq|*>^s-52i`T*Exorn{1{*xaem{jK27tkwYPu&M6!ha%1AZRFXnIW z6k12+cKXwUvY_av+uPjeNf~$xenbSWQytgYhtc8n^IEsVSFiW&aKYy$qSp)P_0q*T zG&|1i^xrs)G{#$-?m+u;=P?>*3U#FZ2cM%O*w}@~xWUsnxqQypf&i!d0F#E znpd=g!!rl-G4+H+&V8%dc$A1ALGZ>;M~UMP?IKRYFC-pT#KvBUm--Qp;i9;qVt$N5 z;u;Iq8a$BN&NAb4fQFrq0&0tM;qOWswRclb*1X6x~ z1Kp2bj0$L)iZ~6VBFaE2vj?Oiz6t$)2>pHyZ9_O2<@X|x%KQUUy{UuyIfd5AoI|8I)=YUi!(#yVTG!!EC=3Z;kTcLPp8w*hi zh)+Tz{ft#Lfmp7$i7Kd-_kE*qEQ>~Q8jwCp?~I~m>e*B($2y896anG$fpWWV>2+Tx3X` zTwQTRHYFwkm^miKJ1{5GBwjGx8M9Os=}D0yA*|(^5Dmu?wppy7n*|rHswCrvd*F}PW0_3B|6dX=$#xoQ|90an9~%`_o=3&x6TR?s1lhVKCjcn|7OV!!!-U zHqBNWpun?q)3U6V)ogKtwM^Y$4PD1OxYiwsq2CWY?>e7_f(w5qxN5;gtm-&^Rj4DL zP}&tl>aq%$2q%?x1M!s7ZXr_3Rk)3KPHA@#&nxXd;t{1iL_DpuUgir>JEMHsju@qv z@^1k!RCiLv*iyLH6@Frtpa$iYX`_Tn=?x;y*i!P7hJ%WnWh~Y5Q&!o;$xRs5RVm#| z)3Y@{UGz38CHf0Rp(&t$OHu~T^POQ|Ybqq>Wr9Sg6M&nEMN8~?Q1HAcc-|E}?=uhm zRg&)o&*7{p;+SYj-VeD5g~xmD6V08ustv6V*+5}y!W;9%7LpI#5T`Ehp14C1N_V0L zaBaX7J|E+&dM{6oB7hK;&cEX$uzQtEGU53&D+=Mgt2M8WM=QabeLnIM;;((XnAHayJZ@Z>$)6xHVX>`BtxUG3>)=uf@=}Cr(ZECMJ5b ziwg^L$B#@c_AX!j=3;MhesbrYQ@u;K_T9L$x3_ZR+R|6IE`B}J`*P{pg`ayXt4k}d z^o~t+s=nCqxip3HVhm3IVBMNyC2Pok-2de_KDY7~4X?>~y+k=U%GkNpE)zzij2kHgIzId;y z9&92-I~s?%aSl7ffuuKfCa9|JaACdSqJOCClx=&%mhs;BHAfF*-aBUk1X5ew0hz6H zGCUxm?T$UMkaTt=4^t-=x&aTZA5O=UPJlK7NXpsN2ZHw5uw|XW%SQb96vL)yUJPHe zuzuQ1u)x-)!>64A*swXq_2^UjyB_WEEANi6vfj2HV>U$$>*-b%IR6#OOo1{;P;{oo zQb?^RrZygTP);V3TR~Uso~dcX5Ibzd6A{{NitL z=-);P^Mv%(4v^5sI_wzO$2+)0N8nVl)l>9}5jcKqwZlZC-EAQM;lSBS8|&bJV;$-v zw{3*!dV;|e9hUTNq&pu2lt}$kQkz=63U(6)0`qY}p!&!_o&XH7yNAaLgA?d6vovZ( z9;KmyXwM9z)rU?PqSd_5gfKL`9?=u2E=LQ!j^Z)gn+)#<6py}vYbEhOR)g_m>hnp}2XJ5xCLaf6f!U8T)6S%Rbmr_A zb8=AG$GOW`>deWLQT9<5IP_y|TIrWL^o=P7jdD&W7|NM-yy{F*A`O;P9l~5rN~Eos zr~dfYC7MZuj6{j-vqb8qi`3XB1gWtPKd+Rs-;`C05ina!KFG(LjfGG>7}6WizFo0s zs;fQ|4q5kx)LxDkzV(f-{Y)Cm!(AIYqHM&UPcb|KTusryC6k7mNpUrxsmVH#e-49Dr{O*=fsFhr}jHXK6(6@PYY z#j!A_9x!krkRtnhe%0||itMwi*#-NGw5pp{b>>uNJkx3)X;tP9%(~ZZbPtFC~-u9!n1yG?6Z!AvgtuYKQ=HAhkc&z>MlsV zjAwJ7P4SdnUynm|FQ*6@HXjas%x!#vm(e__b$wE3!46uP2~KMAKR-7AScuYtQFt_1 zqU@|650R67Y( zHlgZm3Dw7hZh5qU7n+2Mq7I5OsT9RNP?SYcZ$r^XlsMCx;Wv&HDC(dnXB7KDQ5HqL z4MiVOVu_+0El|`!QO+p#fubymdK-#9qNI!ESb?GrigHG=4-{ol)Z0+>5hX4mv+`Vl zq7I63MzIeRWl_}IQ1lTcu4Yk=7bxnWC}$M=Kv5P&y$wYlQQ{|}D9;xt>YylR6#GC? z7Dc@cMITYpSG5xbiaIFD8O1(Oltoc*L(xZ+=AdS}7aA0%W5~fD*EmJ^?aDVBzG(4F bn?stLhTERsbM>Em_zwu7{D7K{e~&!6vy9C5Co>QohdW@J#Dc_1Z6rXZR%)^x-d~7(T#DTR%0S@Wn39!pj28G zVhBwHq77|bm>QD?HqZs>AHd(>%DpaKnAG#RyzlGj>unQH@_OGn=lebPob%q?Gt-VQ z23npf-`h*{;LfnEd_d@meieJ-FBIx!(Tdqfc4Sy?*YEeYWmN zlJ%!;t!@0WbZKdNX4dYL5QQ6w8P>(-zQr6@mKQ}H+LbKS;I63i7Iwqrl!abtot>V& zR=m8lSiJWA?99^KO0ih2my7jMljTI~aIN^;^_kh3iQ-86zT~vhv~{i>*rLGw6)+moVx0bZLVVKs*b0wBxALji&E|^+`ktBx`;>2cfgEO?_8Xm`h3zj z$n=Lv^6wsYY%@RQbal4(RhpFwWttQW@J|4A7~r5;7H%%gPu`qg)fSuEmpo$ir-fU$ zLI~SaWpx^YUM^psX=YVlc|S=Whb@jecUJkPyJBuixOo1}$;}cs{oUp-n!nOf>1y_s z8qKb@#?gEVJs#BA9tZV$P1N!1L+3mG!6 zj*c}3+S*QUIR!P=DE?X??s?mcwrZSH!^r`M=g z8~tPPeMbzKRlB%w`+*C0IVDE^aG2P!;n`ZCxOZHkOTv* zmjnY{mIMP0l@u=6KJ*UZT(dcnp8D(>-1JMp z1V|nX6bX@}XWOOD&_Pbe%mJHWBY`bF19^6bXY+C}h$OJ3e-^8vWJnwk8Br35(xb$( zBQm@s5T!?nohgw*nQ9V<(xZhk?lK2##+?MV^bC|bLz63cHgiw{TY8jT&9fPh64=tC zY`)B74l-wQN?=RRK&dli-tE~uCnl-{w)AMbdkl#KA`?~uQF@fv>xj%;2}J2pVxJ>2 zg(VQBM~VH8$XJ#@lpZDWeGUgT`mq2}dX!jmL_aS!)1`u3<;i6Asl z8DM!E2c(UJ^)oK2J;W`#L4sH_R=MHF96ujovd0+<{DeAV!CrL1@sosXBu2x2rqw1F zoDUN*Yz0QBmm;BL14BJNlzh2RCoR<3NGRC|#djdK9E;#&1DDTUK5c1XfY}nljYq=C z1}8{VdulvF>TbH=1mda5Mxtf2`BuxPL~R8|t6zzPk_`;s uCfV}U2u?O|`7PzsmKFw>Emk*m@C*rVA4vOW4ZoNdf2bAh!r5W}(D@I&Bp}cL literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/work/t_cs.sdb b/cpld/XC95144XL/isim/work/t_cs.sdb new file mode 100644 index 0000000000000000000000000000000000000000..8055a5cdf1504a8e44cc535619579b6b8fe63104 GIT binary patch literal 5397 zcmaKwU2hdt6ozNo`h}vUV2}jt(IO^_B9#RBF!Th}o?2-mM1!9djF#%9SKj!U$c;2j z)ErDOa}F9S2}zS0a^!;a2k;xb@?QTzUGH?B>FQ>Mm7LD3_g!m0v-j+o)10AChx_l& zvLdti=kwwIfg`J*eUK~LW}gRiV)5V2TQ?fn(xw4B*JtwF%!fM8j*rdVWyiiOTe;7U zjn!YfUv|I#_B%Vz%29Men6N^(&il>y=1Pa=$M4uo)nj+8nzCV6El$|bpUms>in-a= zTrodi{Br&3)y^NkwXYWwC(n)--No+rmwLtV^FPhK^g}T_H`RLW{P@hz#bj%$`EfCO zt~L8t@q2qm*5K;xcwvi@ea4W^0#IO6TGIKyH?RGz<30LgVS0AIeGXdRPU}-XXdjEO z&ScrGJ{h~r(0V+)y<~XnQM1JAU0Sy3Dc0MDtF}iDT}-V;)pn!3&`!M(hHNkWxaMVN z?V|d1CA({H;WqCH^K`%WZ`rwPG;Q3oZVujNK56DA>sbD?*S@^4JTcYt?Y0~%Pg#Xl z;L74+WmSiPT#Z|;%P=O?A3s8tz#) zoUO9TkW{88L}mNja9VNIp{Z_jRrj2$>)Gn74o!6rR(1O~)Gd^CXsUatsvE89>bs%= z5ml(2rVD(2W8vVa3K3PPeW$_$RpG|FrD_~i4_S2(xz^*f)oP;?r(FI~j%sfar#IdC zb}RAuz3VOYS*~$(%Qc{YdVmN4$vseh*{HeEsK<*?BYD`UT|uLsJwlD-VWZZCMm?E? z8p*>(Z5$c>R;b68P$T&T^L>_4WoJaT$)&D=^CC0SZEOoFRxhS;wZ#IOIa@mEHttFb2x3|;OPyge;vwD zp(crmE-)JZ5y{hnz7l}ZA~0SJwyVhiV|p-J3LX!@Xb~8%2G2M!rU#>?;8p-ei@|Kd^CA~VAr@M?hNlA-TC|3E8dvl3 zIV`kv4c`b@XwkgzVEG&tTDpdB1}wCQg_l?JA{R%|w7H_CtTO=%O|10m3a@^!1yG?D z6jPc)V6^nXek%Z@MPR%dJZ%8Lm?gCWqov@t129?y#;d_I4vgu+Xes!e0E`xa@oKOj z%ox*y(Ngfc0T?X;;{om*b6`vlMoYo(1z@xYj0d>u!I&P5mV)08z-SQ|5AcSsD@+eY a^I-YWd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/t_cnt_isim_beh1.wdb b/cpld/XC95144XL/t_cnt_isim_beh1.wdb new file mode 100644 index 0000000000000000000000000000000000000000..042703ca4e54bd50f67eb8facb26e40a237acde7 GIT binary patch literal 7779 zcmeI0TWDNG7{{k-wchLf(yAwkHfn7*w_c(}lk9FcvD+l>Zfw2vn5Nqhnp=}i>jm*a zP#+WoeGt?>2x<}WP4vOrljxhGh@uZ(3KbEopimz~|G%>{Iy)hzf@mN1gKxj{edjm- zGiPSbIg|X@_tqm_FLvJetJr58M4ui>b{TtAJ@n1ZOqe+CfO*;H1UporCOQCy8CC1G}}(Rxd7|Njp+J& z$nJyb?}hS)sHw>||1(5Ubc&J{wy;u>VZKa`%;j>rd4-%|jN}YmgB-~xjx5{%$|(1u;m1g| z&!zFL)!C?=@mNTQYiJ21*Yabe+F@z@WOX`~FQ#**)$t=bzer;Vjb+!%7t=XQ4E^$B zqrb0y{D@9T8pBp+g+lO zolTbUBh6ox^G;+go$(BC&dMk6K#pINuav_&K4cqp4)z^6?^Za*6ARfU)nMP3^Ztb; z$3pf>)$~d=AIW)dz>;IIMAw!+a_&=kB!_i#6|(dZ_6vD~9G3SJ7P9n_=lBPCkDU8* z??PCvk5lJAF|bGEX*o6bISpAj{AYPa4r{+?HRC{?=O6Z{JS(TBFMVR6F3&jZZ}QD@ zSogQ-meQLS3F&&Mg<)tLXZr!+ESec?r8hY@cQ67Z&RB?;~_q ziM?47UH6#`S-wrM9b&gwmVRL&%ld}x5t~;;_Z&GEvaB80L9tsEVYvq^WLZ0~JH+-Y z!g3E-$g*~ry9u!aim>c6Fj%6S3-%qbb7BV-VX0vu%iaX`0kO9z!cxOR_9E3_9~N6s zgr$asY@{0O(_)K?uoug*kZn*6_Mq63BCOjFglwZ_-w-=wS+~y!*(S?=Aofb+ZAD%ODtsBN5K9n_BKUW<`N6pt5t(tCg^rWSl(4w z$X=rw>_vj^P=t--Sjetc4R*DlI~8FYj;ZIojnyGAwGqM#j$u#7nt zvTId?9Tn822+NpjSP~<;^~-w&cCVmrMcAw4FwPyzJCXPALxRww&ir8Xf!uEc`>0qL z&M`mOLhR#WnHN|h=2-fLHs1zxpHkskMOfwsTZla%ma&GV4dz(aUv%*o#4>)c%q0d( zbbVmVU|&^%Jp(NI*h1{$~8~E<1{vcjI zKK{pn=f};LfBaw0o%fDo-TWTr^b527OxAs;@;&nO^{JuR^2}`NzTwI8o>XRPWNxB7 zS(#1c#wxvYJ5$B%nwIvK)X4Psu2iKwTS-;ok;%&1egCLoy1!Iyv3+cOZ1SE|YscCR zslH-1&J+o$edU?4@u|_&?951NbbRNy;J7k1UHwTeXsW-3^6o-;uTdD98C5q;X>6iA zHCO3&2R&wUI={uFyVE78?vXtP!Rk-0STMO#(d4#e&0ry)G1KwfbX=L3HiP+moF7PU z$*De&>xpyup1es*X`p&|bE!KQmohb3dP~i@K0n)9^s_>-R87@^cuc)kCz41fl7mD) z-91eX?tzj;iW^x zY=OJ>1zgQnaW!Ac)vR7&ARTY(%anRe>CdCNxr))ssGYIe>9tchf0lJ3t$|d?Oih+e z-{e?jYP&6D+$wC~uc_Fmel=6|K@TGTp8U2oR4#T~Vk^)2zbYUK~N6@WAV literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/t_cs_beh.prj b/cpld/XC95144XL/t_cs_beh.prj new file mode 100644 index 0000000..5557bbe --- /dev/null +++ b/cpld/XC95144XL/t_cs_beh.prj @@ -0,0 +1,3 @@ +verilog work "../CS.v" +verilog work "../test/t_cs.v" +verilog work "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/t_cs_isim_beh.exe b/cpld/XC95144XL/t_cs_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..fb891a9535ba402686c3a210d1430e181af1bb22 GIT binary patch literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/t_cs_isim_beh.wdb b/cpld/XC95144XL/t_cs_isim_beh.wdb new file mode 100644 index 0000000000000000000000000000000000000000..02dfc72bd49658b7f414f1fff4b87fb5bec048fe GIT binary patch literal 7995 zcmeI0YiwLc700J>lBTqwX$U2RQm*X;QfRX4XY4dDXLswkacbjT*P$(39NSIZZq}~7 z?n|gi#Zw4Xks?qeD2hNuP*nn?AXT)WB2ZNcDg{1N5f3#;m4FWw1{H+(68^utGwbm* z>$RnR0db_`Gv~~2{&()&*||6QYu``4c;GwT`+k34^RwUlW8+VaxyoRtlfTBCy}Hu) z%Bo8Ioy~jKRr1U4u+8_aGJ`)iiPJuPp&@CX`@&TQ$Ff;tT;GB94d&9B)k*yZb(1?s zhcU3pIAQ6LHHqGZKden+Qr90e;KTY-JldzWtX-Iw)wxog`(Pd+|4!A>Ue3C?wQ=|B z*2t|7I&KZZwfpXf^an*5bjmCZwY^UDkE`6u3; z4BAu~YImYB+XX`L6e~Nxn1Zb}c(qfuhOXnDv$b2i+C^LYs8?IPrgDv)UM+2FX|Hy# zt+jZyFWFkFS37TOZC>q?t+ji#>(*AT+u_x^Y^~F)joaETuXftjy1m+qwzk`={n^&` zc(qOX9kZr;z1jg=+vnAawx&|m&b_ccX|s2)u~m0oRGwxPHI8M!>Gl(Q-o{!id(<8? z=0Y8oz3O!5Yp{d=x-RMQ*>!e<)S83OMs-+z({2u3D_{p#z}~+C_S6d4vnybKP>1#R z!z*=I_Je!I^L1FBg|={F8|-^1d~WU>;aqx`WpAy)y0eLB5p%Q#J9wkLlFl&B8f?4< z>&`Wz(=FFv-F%6TJ>{|d2BWAkinw4si>_#F`VZKlHz~5pACz;Io8{cjhvXcWksRxi zb5u6UIaZqF93{>2+vHp293xDRqhr5qpPpa$$o|Xa(YN$D@D0`K`RDXJw{9@z7aJ?S z;XTH@b))8@h?Cc|_X=RXIL3Xv;hvG&uBpqIugSkI=WFHtHYy~>dayQ_`}|bOscCW2;{;=h>izF2_q4Bh?;N@iTICKCIl` zhnmS)#-Zh2f3DxX`p6^sC273L1!UXg)EVmxecCSa8p8Y-DgQfju{sBovmUi{xCVPP zjFD=qH1K-+0DF|z)8U1LjvpiC8#VA|t8-9!Jsmzk(D7rWyio&pSe=aWdOF(HiH;x9 zNo(M4tCL-Z&iky6AJN$>jXSJPZW%i4Kkmzqk@6$b7_mCHE2p{C^J34V}8FKgfvR;N#SJ)I^o==d>G{-g#zWp$>M zv$boj4}F|9VT@EeBaNr6&a`Eg(n(v!kLY|$8qZmshnAtkXDajYW2F3t(s;q@%vffr z`LtTbkLbK4jaRMC>@sxt^kqJNjFkUI8n0WOvSpT<5APs!{K)zLtekfq&(axZ7SdKe zd6&U{Uw)Gu*6|^`ReiAM<-9xLxGom5+f;-7vE1!zcg>LHtcHC_&N+`RITo@XQH{0u zr96_uk`tY|>u^8xy(({%!>pBK=n@^v{lNZO-Xw>0pJXA+{lLB^ZetF)-<0R&uBPUqHKPuP|4m+yyPBGBV|i!5 z{zE=2hoy#vbKt!I`)~POa#(5@EbHgy%X=5LK?^XV2ulqMbvZX+*NZ)>2ulqM*^R2f zZWj9qMc5nUSjh4j1=}q4ZbeweVNv?AU-9_(ku9Sw}2nn^c4S zk=O}ESk@5>*=E&XUlx0xA}s5Og)F~a*o$J1E5h>I#zL0!4E9fA?^lG41(g(GSsN^5cd7=yQhoVcoXrzb4^PZY z7N?G@ zev^^bK&5#{p{F-4WEW+b!;AX*{Om~H&xZ1aN~#IOlch3~NFtL+8i{_UXRt6ll=DXF zK5}+wLgWwi-R|eZgV~u+70wk=NEy>vLA8{)2g0ax=? zT+NqqHLEu?kcmh7vV}vYP;Jf4m5m}Y+|sIw1gd#k+>%VG_{ivEvvEs%-0G)YaT{r7s#K0! z+v0YAXlqB@vC!EWcUF_Obi8XJX^XoSlJ>ZpqWd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/t_fsb_dtack_isim_beh.wdb b/cpld/XC95144XL/t_fsb_dtack_isim_beh.wdb new file mode 100644 index 0000000000000000000000000000000000000000..6d28f5b3f8cdb7d5ca63402da0c0450bc9dc5ec7 GIT binary patch literal 6370 zcmeH~ZHQde8OKjd8l$x~YSg}@dUw}o>Pu#4UwjSi&dy9GV!#5I%I zZFV+RDcBFCLJ4hJC@pOXqM%aRQc6KTv?5qANCSmH38EkLgNR6tB0}+l{(twLvz|S1 z+!chj& zS!uk*wjZ|fgU{HWY#1}~oH3`%XDvmpajaA@1_nD@-oI!}G?wxocYX99YZG?&$#u!N zP@A@6F1ld#dYhkLljt#*|Ag~U1#4WpXW#m(HAy{A{WGmg*ghVuP5K#g;>3wHfBeaM z^T-d=HP_>tk+@)Ub zmp1n$FZVZ_`?8liZF5R3*@lguPp;V~ZtiXmyS9Vf{voEj%9uaf&XB$559cO5?2+`! zm}k4NZVs?c=gltc$LVgg#c^ZpP_y@B`fSWMvdQgT*rzM$ELrC-SoU}qcH)xsPV51d zG&NfgdcGp>>+9)@(jNaa>gkEjQR1sMxml6Bd`*tRWpX4hm$U4VoTcuOv%I}>mb6dK zZH}JaaQ_ScT4=nh@wy5o%Lze~}#-X)UPM_=ly4Q|$eL@;9awFLlayZ61 zL!WCGhi!)+BjsL`#yeJLL~ZKPO^16hw=hP^y@!Tf%bdOZB%SlbpyS6#?RC;P-|CF2 zz0!R6%Aw=ONbL(X@G`5DS9>KLZS6$IkLdJj;I&pqzl1C5TxfOth)%yWwp*RzDs(Qk zI(|fFL>jxT&V6cA_HK2ikMB|#Bju{nxXbDsu*@gv^jpS{=rp8p!0NPCp_8?YAJLhY z#)DSp;3{+mEaOLX9+JiptJ7YE&X8sNh|W)?am?z>twLwJW&FrF{H&Zan?34`?`_u>fcR6Q29P5jP>=nwvo|Nae2 zoOxfqTTZ>)nU5|t!O3&J!SWDea&qo9BxK?6wYvGZWf_l!EawyK*h91B^_DA+f|PAS4tb1Y;zcVPc5c3KgZ?=}{)S1AX(LC}mMY$V4*mUk`KO9eF* zVSD6Q$nqWrd!?X#im<(MEM%`y4)$h2`xRlS4HmN3DhE3z=w3xwYJ-LBb;`j`37S=e z-6F?AcAIjrhXw6Ygr$xuVPeF!a`K!ju-{jsVsq3H#<^oTYuC$vCKf&N)DdG2wEZ!# zzYq&UA9cjKvA-63wfbR+m}AwIXmkFf`=}Ds50;u^-Pk9@QWIFlV2*Y3r4H!+UM%lB zu+$vu#{Nkx?;^11V~!<<_IYx&pAtK$NIoOSV2SEVSo&a}QQ`(g+RO#(#y%(Z7WKpO zeuafBHADBf*l#MrGH=YW;K(4@O^db=wJUgtf_Z~v(G*V+nRc^ zSHGi}zd19x&^)w|d9cxL9>^5trWX%3+nt3>X|}U-aVk?QUe`a^pP8O-&15>wg-)gu z?^~FPXF84P1KSRNs^L3oqv?pfv#r_ocQe_cZ8v1fwPIYT5i*CHhh|%I`!frNrZf9n zQ!T-9XKp_I@NR4T>vo}@%kMJn+b41+S02md>t>XXJRdvq6?NrmrrK=GJYdSXe9fSo zew1oeQ>xcYX;0BiR4WBDA1}_worCjcqEd+~N`z+>1uJYW3)v+S~RSS39)Nl-skN*+wfK9*p~!?UG#U{>B3f zaW<&(x)o=ymvpkX678WI erR_CulK4l>;eKf+&7~O+NPk)8`hMLZ$$SU|WC|$& literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/test.v b/cpld/XC95144XL/test.v new file mode 100644 index 0000000..5cd1107 --- /dev/null +++ b/cpld/XC95144XL/test.v @@ -0,0 +1,150 @@ +`timescale 1ns / 1ps + +//////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 04:40:18 10/23/2021 +// Design Name: RAM +// Module Name: C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/test.v +// Project Name: MXSE +// Target Device: +// Tool versions: +// Description: +// +// Verilog Test Fixture created by ISE for module: RAM +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +//////////////////////////////////////////////////////////////////////////////// + +module test; + + // Inputs + reg CLK; + reg [21:1] A; + reg nWE; + reg nAS; + reg nLDS; + reg nUDS; + reg CACT; + reg RAMCS; + reg ROMCS; + reg RefReq; + reg RefUrgent; + + // Outputs + wire Ready; + wire RefAck; + wire [11:0] RA; + wire nRAS; + wire nCAS; + wire nLWE; + wire nUWE; + wire nOE; + wire nROMCS; + wire nROMWE; + + // Instantiate the Unit Under Test (UUT) + RAM uut ( + .CLK(CLK), + .A(A), + .nWE(nWE), + .nAS(nAS), + .nLDS(nLDS), + .nUDS(nUDS), + .CACT(CACT), + .RAMCS(RAMCS), + .ROMCS(ROMCS), + .Ready(Ready), + .RefReq(RefReq), + .RefUrgent(RefUrgent), + .RefAck(RefAck), + .RA(RA), + .nRAS(nRAS), + .nCAS(nCAS), + .nLWE(nLWE), + .nUWE(nUWE), + .nOE(nOE), + .nROMCS(nROMCS), + .nROMWE(nROMWE) + ); + + initial begin + CLK = 0; + RAMCS = 0; ROMCS = 0; CACT = 0; + RefReq = 0; RefUrgent = 0; + nAS = 1; nLDS = 1; nUDS = 1; nWE = 1; + A = 0; + #100; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; + A = 8195; #5; ROMCS = 1; + CLK = 1; #5; #5; #5; #5; + nAS = 0; nLDS = 0; nUDS = 0; nWE = 1; #5; CACT = 1; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; RefUrgent = 1; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; RefUrgent = 0; #5; #5; #5; #5; + + CLK = 0; #5; CACT = 0; #5; #5; #5; + nAS = 1; nLDS = 1; nUDS = 1; nWE = 1; #5; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; A = 0; #5; ROMCS = 0; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; #5; #5; #5; #5; + + CLK = 0; #5; #5; #5; #5; #5; + CLK = 1; #5; #5; #5; #5; #5; + end + +endmodule + diff --git a/cpld/XC95144XL/test_fsb.v b/cpld/XC95144XL/test_fsb.v new file mode 100644 index 0000000..df847cd --- /dev/null +++ b/cpld/XC95144XL/test_fsb.v @@ -0,0 +1,124 @@ +`timescale 1ns / 1ps + +//////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 06:38:49 10/23/2021 +// Design Name: FSB +// Module Name: C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/test_fsb.v +// Project Name: MXSE +// Target Device: +// Tool versions: +// Description: +// +// Verilog Test Fixture created by ISE for module: FSB +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +//////////////////////////////////////////////////////////////////////////////// + +module test_fsb; + + // Inputs + reg FCLK; + reg nAS; + reg Ready; + reg IACS; + + // Outputs + wire nDTACK; + wire nVPA; + wire AINACT; + wire BACT; + wire CACT; + + // Instantiate the Unit Under Test (UUT) + FSB uut ( + .FCLK(FCLK), + .nAS(nAS), + .nDTACK(nDTACK), + .nVPA(nVPA), + .AINACT(AINACT), + .BACT(BACT), + .CACT(CACT), + .Ready(Ready), + .IACS(IACS) + ); + + initial begin + FCLK = 0; + nAS = 1; + Ready = 1; + IACS = 0; + #0; + + FCLK = 0; #25; + FCLK = 1; #5; + nAS = 1'bX; #20; + nAS = 0; + + FCLK = 0; #25; + FCLK = 1; #25; + + FCLK = 0; #25; + FCLK = 1; #25; + + FCLK = 0; #5; + nAS = 1'bX; #20; + nAS = 1; + FCLK = 1; #25; + + FCLK = 0; #25; + FCLK = 1; #5; + nAS = 1'bX; #20; + nAS = 0; + + FCLK = 0; #25; + FCLK = 1; #25; + + FCLK = 0; #25; + FCLK = 1; #25; + + FCLK = 0; #5; + nAS = 1'bX; #20; + nAS = 1; + FCLK = 1; #25; + + FCLK = 0; #25; + FCLK = 1; #5; + Ready = 0; nAS = 1'bX; #20; + nAS = 0; + + FCLK = 0; #25; + FCLK = 1; #25; + + FCLK = 0; #25; + FCLK = 1; #5; + Ready = 1; #20; + + FCLK = 0; #25; + FCLK = 1; #25; + + FCLK = 0; #25; + FCLK = 1; #25; + + FCLK = 0; #5; + nAS = 1'bX; #20; + nAS = 1; + FCLK = 1; #25; + + FCLK = 0; #25; + FCLK = 1; #25; + + FCLK = 0; #25; + FCLK = 1; #25; + + end + +endmodule + diff --git a/cpld/XC95144XL/test_fsb_beh.prj b/cpld/XC95144XL/test_fsb_beh.prj new file mode 100644 index 0000000..bee07fd --- /dev/null +++ b/cpld/XC95144XL/test_fsb_beh.prj @@ -0,0 +1,3 @@ +verilog work "../FSB.v" +verilog work "test_fsb.v" +verilog work "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/test_fsb_isim_beh.exe b/cpld/XC95144XL/test_fsb_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..fb891a9535ba402686c3a210d1430e181af1bb22 GIT binary patch literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/test_fsb_isim_beh.wdb b/cpld/XC95144XL/test_fsb_isim_beh.wdb new file mode 100644 index 0000000000000000000000000000000000000000..72a721cb957c3ecdeef21d7dd4672e358c408fbd GIT binary patch literal 6379 zcmeH~Z-`u18Hdj%v0ZJeTT`u?sCRdpnySt0?9Qf*7Mt0b$z-yV-F0TRiLLGJW_OZ~ zoy;yfvu&z^ezDb3w51;kQc9sHT0{!*Ly-ChBB&pNA1pU>Z zr=4U45d~j(=e_THp7Xoso^$5RotG;=cwqlG_kH4p?ToFx*Q@=()>K-zGu5{x*X$KHcDHX`?qIiPh;}QR@7czX9eVMtNelZT zy@N47?!&qap)rWps3SG)kNN&uDl!;zm1cai=0Z-!ErM877h+l(X!SoTVO?v%Dj6 zmUL9kZ61DQ@ZQ&6>Mp#hBjj=A7xSgJ7_RmOZr5huTxvE3)E!!qv2 z-8|^pT_W|Q{2OvkIL1v>NQ{1V){?qw3u7eiY58e6Z9KPc=y1<;0n--lh50d3{%84L zzMykCmjlWx+yz-0bTyAyzh|X)$ zxYTZhsZHqcm18`9jFev{jjOFrK{=NfdM<74M8}VjYCF_%m(|g)-$ixaX?6UF&UMn5 zv^u3t=)BA7_z|6b(l}ssKBJr|@Aq7^@m&gIq}sGJ=B>`%mbsA5m}UHk&aKi|vO4We z=wvP9M|4`!=vtkVo6s4zj33cCC5``ZYCy}=D$=M8hR=!gX>-dlzRU7QjY;wgZ+nmMo!JWhJ-8}&I8ZNU4LC}m^12}Pq14x z&^bkF5qlFoCUBu#MTvI>5qjh=NIg? zVjGIE^v6PWyK1mmvGa;5 zy39EivZJcOJ|}iT5tcc}LY6ZM_K#wZDZ(=6Sjckj!2VV2q9QEcZ7gIzq#7)LotG40 zBRLkbylcU37t~aQ9hPGu%X=8?h@j(&up@FTWIv)B>|Q}96k(YgEMz~b8tfrKcPYX$ zH(1DCuNv$ff?A5OyX08N?okbPRnW8|Ec2)-OpLfyPMvcF_VY@rw#GcdICm^(E$8@G z#iB=@dBhk4x!(u&QL!+zF^^b3_A#*^Ry!;abF7*YZO(smpHPAMgJsUKe(Y0XnG;z0 zV2*X;WggJ|wpiYGV3~8QANyUgyosa;tZ6?O3K>x_)e8 zEVH=MUdkLT?3>Kya!0F~UbEYak9Uvlx#wRJI8dKTdmL@GTb)yxY;MmDnM%DB7wd$~ zJXzJQbI# zQ&m%t*lc=vsF5$njpBx^FukFz;%A5JepahD(o_S8Tb&+*NFswsE)xAhey%ZJD|tO@ zrFzMBO^Euz$^k#0pDX4Y8#>I-G)nGnX_rzp>nYYt)$xt##Ktt|rStVtji>enT+LT; zHDAirtX^%l5Fe=&8wX8e{c3HkXS6xaFPdG>WF@@?%(JrovM1}Eudg0Al}@YIT4=|U z6Y<#jEs}5FyKrAO&Sq8Q28HELFV2p~SudN2$CJ#lxp=~By)n-Dt>f8vGRbF}y<&5* r9cTASI@wr>cJ4-Ld+9!jpHC;pq@ASe!yT9YhRWVC-65$=UZCxtN_GeL literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/test_fsb_isim_beh1.wdb b/cpld/XC95144XL/test_fsb_isim_beh1.wdb new file mode 100644 index 0000000000000000000000000000000000000000..1d691ac5372b1083aeba620a0c33266cf06cb7ca GIT binary patch literal 5803 zcmeH~TZmg#6o$9fOSRtXyTx=g)@Yg;CK;_F z=!+LbL{aEV5kVA{B0^t8yn#OGlRgwd5N{7!P-ziGL`47ZWbf&otz$>}s)GyPMlP3;SofAA0Qh z8h3QGbtG)f3yHS2#0kn5+1dq)wB8mr&h50d|{5$#ga&*=y`gWX>1#)_i z7P@NJA7Vna2Q~SK9GxY~-F0Ya(BU|=mdcrP^UJ(Eq4E3D_=pq97R%u{)*1SYUF@|J zeoUzLnKZt!I;)k_kJ)rM2WtysLbZd^_}S{Dl^;pxB&*{`bbgh_@%BOJn}g2DR>zO% zERe=IRwpwD9X;Cdb@>sUMbcPeb+U8NIm7Ds5uIhy=(RezIp~~ab^M6VDru~-I=3sQ z<+I%{=J+m!F`?RkG={Ct9hUhkoleX65uGj47_&OHIq0M;<41I=(r8$nopaFXvWy?m z*(Hq!tWJFnI{a+WA3r9PKO&9YR%hHYf7PFBE#pV_;n(Ht*?ec5@ts84%Ex;%>^t%c zA-hC#u>0lgW3c2{$X=ovz4%z3ki)v( zz$%?S57)!or}8#AEc+Z5vRn`Bm-2Qw?D29eWVs&L19Ex->wbPimg`}k`ANQBPQToq z4@*yQ>g;c@zsNVpshudtLKY6^f(Pa9cn$x+vOmGj(?W+7Vac&jmpzEN`C@NSgynb) zmY%rv^6~_Gy4a#3EXQM^E_(s&BC#b!SdPa+mi-I%60v1PSdPa+cA;vpDY3(fu>96z zAsj@Vlj(WU2D$abg(%Rhw?MOb={g)Dm% z>{nv9DZ8w=S>Rf9c7(3m1@LXL$j?^>`61yvMb+vHft@*W1; zE@-@YOuEmsw%=Rkz*mdTs7E9 zK?91g^ieI0jW|zEoqYxNUL^%vqmMAo9m`(JKK__k^r+KEj5Uz^$G|=*7KSIW`;nvRK|l zVA01MOAYOlIPO5$%{{Q&D+Xud{?mqhCf5ScX_HdrF-@;r=H)(l8p1C?X+^9@8 zlJ|_%D|aNbop4pJ;u! zbDHTvJzGv^226e9P}-#P8`7Dw>Ek2A$C^w*Q|Xc^Rz}9|Hu-d>WYBDV^p}dJzg#l? zn{sBTSjd`*Xlf#A?wl|~g+f#q%nbCaKG@$E^%wdICL^)I)^J@p-5-^+GqTLUjJdp@ ztuOgmu~crQT0m5-H(5j+SwuXD^)u@~ literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/test_fsb_stx_beh.prj b/cpld/XC95144XL/test_fsb_stx_beh.prj new file mode 100644 index 0000000..4e24ae6 --- /dev/null +++ b/cpld/XC95144XL/test_fsb_stx_beh.prj @@ -0,0 +1,3 @@ +verilog isim_temp "../FSB.v" +verilog isim_temp "test_fsb.v" +verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/test_fsb_vpa_isim_beh.exe b/cpld/XC95144XL/test_fsb_vpa_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..fb891a9535ba402686c3a210d1430e181af1bb22 GIT binary patch literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/test_fsb_vpa_isim_beh1.wdb b/cpld/XC95144XL/test_fsb_vpa_isim_beh1.wdb new file mode 100644 index 0000000000000000000000000000000000000000..0681ea6242a7288b4beea977d9239ea5cdb0c7d2 GIT binary patch literal 6334 zcmeH~Z;YHp9mj`KYWX8oT57?HyLS{@u-)DJ14R*UZ+E-hc6)c--D`^|4|msXIoR%9 z?)HlH1-u}EHxOzf#u$ResMW?7eWM{H8V!jt7$S*@X@VyD!bA<)zG#dZBYZ#4Gjp9C zSno)9fr-E5x4)U+eC9jz%*@U{d!h2B^+= zrzCAQu1)HZjc>lk_Pwb@{{sq?Ctfxtd-y7Iv9iXTel3|ySz~9Hj7g0pZGM^F2R3&1 zbsDs7)N}Z}U#5Ml24>v%i!-O9GnL(ZA3C!(e*W{nN!G#D;a`3A3Ult+b>^E->S1Lc zmXC~Xh|BBaPq)j)d}WO>d%jV7lk@;ZmY`SMjvf8t+UKbZRFY9dbc^gC43}0qBYuJlPh@Z(82wXNPrwqhkedX+KTuQ%rD4<-JhVzkMaA1LC~S2B+KpmkX0ea5YW%C3miH{{=zbBi%= zqC#x+v#S>8U0WDa;=V8ck(@T3+c$I=(`_4VjD`6zr8-CDpK^5eDf-5d<`A&_lz39EJtUX>h3vIjK3Q6Lu)k`7;Tbo`i7eZ4x~V0A`SUrC2ADms2l zslHhq`>aku^_6sVv|}AVqH~)%X01+f6*@Oq9Y3NoB#jZPQ(A@2jaJ8x=x~i6v^o!~ z&erwXFWUGng)ybvA!$5lbsn+If78iY#*gSUr7>r9TC32>S;mj(bfoc^)j6>WodL`E z5uGQbaoXy%SD`ax89$=)oHV{=b>>!~bB|^G$UXeBoI9H{>WuFs(pEmcn_*v(ZsJXbz_F?Hp{-Q$UO#2Uo2#AQ;xIpdwEI@>&_0W zs>|c>Jhc5$o|eP9SAdY^d0_u6?~}v2SAdY^_YU@goHc=UKg}V_^Kj4nM}9!gdbv9v zmNmi2bHBl^k>HrkU89JFEF8X0?6{)quj1QS?kCu5#7-!}QpZAF?jYJWh&`wXOMeWO zHF5LZpfc)v}pHf`CL#7357&9RW}Qx4r%#6GBqE^Cg3Y`=1_uZx{hgk{aKkmZho z{j1n%MOfAx3t8?R*nf+iQH15YjfLzdl!Lui&{0L$lpG6L-nC$F7SvRPP0O*6=UX~ZH{$>aqd{|TJG`Z#G*%@b;Othb-xen*Turn#yVoX z*cZg!u69@==2$hw+T8!>en$z`50*8@da-B4vL>+f!5r)6%Q~QYPAu;`u&g=Oi~XTk z-bG;1#~e!z?d#-dza*CbLF6-X43?;-n57N&XG+|qNS(Q0z1W|N{j}O)dB4I!mNi57 zmtsGw2+O=N$C6{d{O<8Psr=*k|I7co>%jNb?W13QKkTV@hjY%}4C|V@8Ido@3!lnN zE;bhyGhdo&Hy_Cq=Vq2pG~1oUOnJ7mcWF9PFYU|@W-~MMt)rPvbFmYDM8_7Vqm%Pf zyH38V{(I`9NslA5t=aY$Gr6H%cV#N|QdF!HGAEl0v#q(~nZ<>f%<I% z+>L9xD(zw;U)XQjhbHnSUm4368fKJ_0v~${RW;@7rq-M~ddgJtg}OmI`6$vqjGh$Y6=n?Pm22*`Et}KcGU{|yV@## z?LghH)#{C;stH81?GBTOBa?^=v3?;x(U`22yq>jEy=1$_M15~%k6)jhDCQeo9VW*b zB{y2qrBuy%iuF=;pu0NQT^;hOll4-Kr}hP0&R20cU&`gIUTwS(9j+7`drf1xw6xSQ zIwY4j&2DGBlimjA*;#+v)ry7(qipvv$+sSzI<*+(a%y0Z!d$x( zWd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/test_isim_beh1.wdb b/cpld/XC95144XL/test_isim_beh1.wdb new file mode 100644 index 0000000000000000000000000000000000000000..401243c0e3fc8f5ba350385c0a24116072c8d7b0 GIT binary patch literal 9745 zcmeI1ZEzJ=8ON8Gw$K)#*dlGUYB^*j`V!l-hern|+eXLY*Xfu1;LhaYW4(Nm^2HOz1g-BS?eOH5x4GN?Vs{Yy zKQ8GYpU;n_KbY-{Jw9JQ-0PmN-QMRpT1^wo9!O1eLUB|>^MF&B(Ss4B}oR3{thCN?@TQ7oL zbrI}_GOQg3cYGf%!=CTnb~$Xf#;+=Lttv4yt``_wfwRXb#n}y#x!Fj4T#*iZ!sHVa znW0>IH0_ee_qRL|CAu;yD9Pa z6p!hq-d4oPD;Z-{Fb;c_{2$3fMSA85WPIq)^KxEPH=3vr8{<049NQNeDaURMmAoK7 zET_%yB04WR19Jn!5?F-V_ZFJTv=dhd(*Z7#+ zkD+RNbm9S{vq543+;#8m}6i&no9|r2ArRqT|L; z`7d^tbVF;sp+ zC!RDq{mLupa1J_dczka?EF$E7()=+vKo(hhsh)ss{UC`5ZayL^$C}$nPPLg9j%Ur>Z5yU!zy-tq#EOP}rUJ&aDcCsAvS>_6Mq9E20EPp#-KFeIe zP7%a9g1ugj`7CqA*v}EPQ<3M#ejCFwXE=4{6L!AXCrpiRV9aOX@EWnZ6gi*oNX%!M zPuO~~Usi~2L^`}csa%Y4Ev7yDJivR7d~ z%N)VpEA~l6bXotH&oW1_YsEgL2+R7%e3rh!ZV|gj5tj9j`7C{deN^mTMOfB9=Ckw- z_9?OZ6k$U-=Ckw-_JG*0DZ*CCF`uPx%-vzJPbzpe<&`p0~hH3j=?vCk;Nvi>oj4ON5vqu6gK!m|D`pRH02_Jr7H6=B({FrS^S z8tlKtep3;ay$bVL?iuzfLEln@Wv{|~mV1W1QP8&)Vduy(pXEMaXA3%@2+LlD`7HMV z+aTyWim-F#n9p(_uuB9zrwBVwj`=M20ox_$yNa;vRhZAxH`rc52Nhx2t1zFXZ?KOD zdR`H>T8{ZFeS_U6=zEH=yz?-hrEjo@1U;?@%R5ig7#|bu`ek2%eOb_#Ona@OWo$%a zoSgOkOC{)`k&$EcfgIkR1N*vI7~1Mg+gOwP>~F=^n>Hd=PWSg>7pNWG20&Rk_L$f^ z)DFuzSUL8%*oA6`Z3L8+WB(*}k=kL=$9(n*)fk7rh+V7*d#4=pS>^(ke^GBzgrzT7 zIre?Ad9}md1t_zun&P>zx+hgwq8cpy#w=^+V!Uef;Xh(q6=6Rm$I7vz1l_H6*u`?p zvbGOacbuShwZmrRT$eE-w=C~t`f!bk%S~I8BIfH_mj7{Bp>}j~fHI$D|3~)*K?Oxv z#ttjT-Xy3~?Xb;&vU2P#f{2<`yi$%)Bj>vWHmgFnB6a2( zv$~e$y99QL3TqUpFOy?F%XcPhhoBxsSk?vRvy)YW?Gm(B5w=5)`7GlF`=FqbA}nhN z^I15&Bxs!?>^*YKXZdbpy{rC3{POYTakx}#fOoK6SMKqp(!Z=T@>=D6gIz7xJe+0^ zVed0@a(bGMv2wZP*-JRz>e-xq7qjPc%zJ&aJae}j5&p_YW)T&%#T{@Fl)t(wE4GzuUc2Or6vdfY)R`vGv_CK6T zXXZDg+KSClzDP)ID{blR+q^zCxTPnxzHd#R;Am*`K=Q-&g7~LU>R!7eD3sP^do~7z zY|vlG7K8pAKkX}0pWjPfURLQk3)t5m(Z84cwOP79f*<7boAQN7~h0;Ul zcWqg(aR}&2^3zf*1TCG#phYSx3hnt|Alf<*4Q(0-RgLf%+#8dGkk*mr8L4S(&h4PS}ECO&C8QDS11M@ z{XHd0W?^PEMx+AMCf2Z=Sf*|Ly+gg-eNjV0RGqBm<)xu~si!ZhsZ~X11!oGGC_X*N zZSEh6Y8oPmaIsHcMCqhIrjV(L(n*(Wp&?2qy)uP#ZDW*ndX~se6jYV}{$VhfH)?h}n?8y^BJ2aA zvuo#fQh?7br|bM5@^WWPQ^8HRtDwbq6NoEIf?|O<2MunSIE}%-|v)6RG0o zN*Ff10YeB0f?<;^er{IrHl;Zse&3IqtmV=9Nla`(R=v z(tEWljQ)6ieUn`G`@bj5;osG_i;<+-fCHv?O>@z$T~Tv&xAn{lLTY~#e{Dr|do~Kn z2}FqS?2qR;+r5`-QP$LMVj&v`4#zvi?`u=)fsy~VY}-f4Lv!DP>muLC-@W_vNFY~L z`x^j0sd`0n9;j#}?IUj?LtlTlO8yTImVv5Z*|slb-K0 zDfMOMlOo?_)urtr7tGhG_bY_MX?O|$|)eX85x#%kn|I;ku6r7da(K? zpwr59F+pC6LRMxN#WURw? ztlApZkc>6_E;lVLd#|$Ax*E^$j=^+MTGpnr_F*;tSu=y_lysGQW$kh`-m-~7;pJ*t zLYzx@w_FLDf-vn`s%_bB2@Bks>NJhSv_@b}ONIMU2bYV7k_#s36H;d+^8udd))BqP z@mYhHp-)(|RM-z#SAL#w@uljkS$n(fm zcfZY~L8S(iw{h;vcHZp;uOF%^blY9p6IV5hX@{=LUN)OB#P-gkD0(%`HRWQZ4L&A1g@rJ*2}rI@}3lW7AI#|wZieV|Ha{ZQOTs0H`m(-dxj+5 z*TQ&IIC~@pYmH(46HM7mJreElu=6~fOfr*eT5ekWJe|xbRD){)*38E69hIi!amRrJ ze-9>C_zqZB=7UF}Z&6{>J&81#FdyCYz*TNFa_IL%pIsH0KR<#wrQpljLPaBz|MHK1 zufhWntOrdqC!v~SFyPsyN_koQR0vI+po?L1r9u$j6KsyuK&5UIv%}npalGEMm$n0Z z2AT8@aitf98P@ZdXWA-Oqs)P&>T)*hD+H*aV!JfsuOaIaLv2Pk?_52b?ELO6KQe8dD zA3a|0DD(usBx!uA=l9l1ydWRbal-w>wdw=eZ%59nH}866smoT~ulo;z*sl2RZ;($a z1oEu)gz`Vn&9XMFuN!1Ebc${q7Yy0_%8iydIGNK6nmK5w_RSwqSD#*V>9$&S4OMv? zCGw&{fzVc^WW+n&_Z~AOT&#Glf=Mn=IRz(7LQx0_a!Wi`b4yLXZlQv z)9cw5!ix3OV;9>jFFdGLvb2%j4H1w$?-Q4%mwsHg)Ot(QWu^5k$uty~hXqjQfKL80%mSqQU|bB`>cw$)-vK3&PC86jdv zcjxWv*!Jj`voOy zvNL-^B}-G~+45Gf{&MCjAI80g(8Qa44F;mFS~5x-4zFBBhjQ-Qu6$`wEX>P1+N=6h zfv6JN9AR0m{8Dig`_^FWnv2N0_OD~TwLv*9xZH5=POe|(qOI=^vDHb~j3~lj64ok; zP@aUHj3TTjVU?l?uadA0QG}T!Z1xd0TZ^!%pEX6E*t?2U+g>blxw&LHZMHMwRsUjf zCVYDrf4{E#;eMB6t{>D{S7Q_LsR{inb(aS1+HAYrZr4TC)GXYH*^;DTz?}%pEDR5N zmFyGjwuR8C*Q^5LA6zFR%R{H!=kAm%TJv;$+uiw@V%5g(DFU1Q2#F@2())&~M4Dud zCqpe>$3BW>?3VAgjn)+tW&N&f?)(b;r=tV)CysDyvDFGS1xJOne`q>DkaU+d9(A}u z5w25qopICJ*-!Qtr&vbU~DYJLhqkVeH`g|Dk;W zC~FL~szf8<6DyU5n_&|ZkVZ;fLQ?6wCvd*}4#}a`eSMZv2$u7L_!od(@laK+hgUb;4xvnBRItr=q! z)QITN5@J|}gF6!Mt$GJlPFkqga`cpm%?jOf)6waW?44a6da5O6abBL;Uzx~>r+e~ZRL8*4Sr^s-f@lsar4-@> z1YVtHK#Jm3(AjLSfk5~)3z88J2AXNZmucn!Bp*H%XcnEPp#)>Hsa#``j5rx+AQ;7g z4*+W5Eds<*P<8=GF0sdt%@s)0G2+Jb_(5GEiG&MG?@4=*4JRsodQeO2;3 z={iP{|MVQm5ZS^=l0hEIU~2HBRES$#kDF+e0LxvyLka#tal7{_i7`>T#!zXF|{1@t_d@B6-x4NMU(!Eulx_gjrm*C@0Xt>H)bk!bA0r0$NR*@=d z)Yx4Ifb}Sqp6Jni0%(4_!h<$Cu?0~sbbA1rE6rdu>+dNcVh~0E5YnBdr_kW#&`(6T z0U><_D($qVG>0^LyhLM+Ebb!I5zvmWr6WpVI)QxnG%H0}kA%W=+r&D*u z0kzTgKfQv6c=zV~qpQ71>oE*;oG64- zh3j<6XhYZwM&Gg%Gzx*--E!Ot=G^kfF{Nj>*M3|j$Dt#EQ#w*7 z@D<*|Mbv=oP#?`7ZluUO>g{K2zk|+S~37a;A;)$W7ig`$3!`*-i(jM~Q zMFAZn)OjKoL!j`sw*^$rzqHBfC3g%5KP7!iqTR5xDngzcn54pnnL=^fJK^g{D6 z88eW4OokdH|6xWea(QqI z8%Z5403lF2W02Ii>zHgn4&DNG=Q?{`?NC=1ci|3N;aG|zz)so&IPn62F-C*R5)wgG z1YLwY)kU#ighNN3)&RA2(INK1)`%N2f&um*<}Fu=x=?( z_!EE*DhLdSYD&6}UeIK67u94KOQF0(87ELwukzv9f$f+=j+%<&a0cY`-PeEs%&xua}%?DVj zrK@I&={sq#i$BeG%f<#?4jlBBSk;KLA1&G+8pN32sd1a+(_tConq3-fSG*@AewSEt z{)iek|+o~;9Wm%vnKbs-k-CSH}-4I@@<@$%X8hdwi!}Rrq|( zv~l|0S+~A1A&|YugnGAevYTGfRXqMNGL7yJVnA&~xch0^Ikh@yJ- zFuxEwKhXO5wQ^RQ3Rd?+YK};?u~m`ZlEj%sDgUz1N=SfnMjD@5fkTFbGNHfeO#b!! zvQJ)cz`U5imEiyCB+PZNso8%^rN0z8^fd)do&^r#Un@Jbs01dQP14zCtN?`m;lI1= z`%ZjboNXCCv+%UPR`zUG31mD=5y48B_g1Gy(x35|YKh^R>eTkcv(W(CjFRCm{hiOx zIG=5Xch8sp1=F(~mn)1joc)%_g=eiUQ$?+nO+g2fL8BHX8&v8HSHx|8ZdOcM__03- zKI=)=;eB-&GU8SsSoA%_t>9c=IGiz(^=au6Jcjj6`VQ5|QG!kEi_wKzk(BByp^<$h YOYlY4@qz8kKUv`J!(+~Hg)xu+0o6Qc9{>OV literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/xst/work/hdllib.ref b/cpld/XC95144XL/xst/work/hdllib.ref new file mode 100644 index 0000000..036c0a2 --- /dev/null +++ b/cpld/XC95144XL/xst/work/hdllib.ref @@ -0,0 +1,7 @@ +MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1635074003 +MO CS NULL ../CS.v vlg22/_c_s.bin 1635074003 +MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1635074003 +MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1635074003 +MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1635074003 +MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1635074003 +MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1635074003 diff --git a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin new file mode 100644 index 0000000000000000000000000000000000000000..fdd9c5d55e9256938f0b56615c3d82974ef46287 GIT binary patch literal 18158 zcmeI2ces_+vBvlM4n+i`qJm%n6}v)I?1~^@g`h`JtcZB9A1fAY*n3Rxz4zYBO}pvE zN{Q zjmeXz^v;+&`NF1M-A+Foxdhq7`|A=T_)pjqyzc7O&+##zc5{rl zc5@7$YA#1)tB)~czt-Tn*gL?Vi2Psg^IB>xWNSU1i@pNB)=zmf|B$`WXCNz(x_>YC z<+_RsZusk1_?25CTm7oy|CL`{mg&2jSwA$^jO{+*&dmK?1Jo$ zXp3hfa}X`dd}JYV9C9LZGNPs&Ju~NMv_mBQGiPYly~r>|FzaAh??Q&|KV#ERopHo$&Hjyu z@?xWX66xumr-CPhqwid_T@j{bLpc(CXm8)*lXW1{#7H)Grs&(cG5ZB5A41l^6Opeo z=e%a*ju<`tmQMS}TueU(-R5lRPBKq(WXjm^9hbT~Z7qV{k9-XI9P$n1`^a6$?~p$t ze`gbhBJ5Rl1M)&J*;A3D5w3)ac~;keThka8FPpjoW1){n7vdYhX4tGS(uoQ|A{ z^dsjWTqzB0g^v6eBg>J?kSmZC$koWT$o0sL$j!*Dh(`A!q;2!j^u#g^7mypQ9=Dmc zwbEQvq4IV^jZ{YT1RF#m?+0#IlC!FfVc}PCeG9f7Blw!g$O!hnV{-XvPr^}K!~Pv1 z2(3rf^N>!Z*2cF^1be@_rPfls8aY;L@$My1ZFKkR9@O=l6<5FJADSf_W4f;y)D63> z`4_J_zWbU%-MHJDk9o~ayRRA4iK*t$Rox6jJy4Gjwar^Nefhyw7WH*L}^P?$B+`Uwh5T-Pa82^Ha?ct6E!oS`hpjugME~u+*vD5+CuJ zyLDePsC#r<^Kq}q8EdfAeG)Mq)%8A>d?e+jA^V9#FS{`viEBD?g>xppSrOc!A4u-x z-@{SUQK-?_KUgvjL^_o^2;adG+@bGO>Hsg@9JZ6&7V^NmH5wWx%E40Y-0P)Awq)^) zPc=(4=C!F2yjtktZfhQ+VzTY#G0FoU@*14_21{MgE%6?&c~ti`gL-tgH6Qkx9P0;5 zJ-%DwO0T)7`RRVUl|r2|<$eMko9>`-FPb`a@6mQRQ1 z;G7#u%VEUHfyHX;pfW6x&ku6k<#wuYa$rH0Pp9?ZEDI$9?H%8NET0|8-G5P?Y%l8$ zWcfxQmHRIVa?1-)%crlMsC-SGY;T7SWcl3k$7$6YFAc-{fneFR|5Xs}Wys3~(63`bVR#=z`>X|fR4m#nkym-l zx|z6cjc7L5{YGmvUPJ#{j~ShbqiaO7!SwTr+Ut=wc+3Ww7+=^iRI>^Ev(XxjH_^Y@ ziDNQxjDtZm8|+U`d@KFiJZ3Dq9`vybV}lgWEyYh4?t4;ppvMexA&^C&8ukIV zKaB6Au8+%GGS0ymq}gD5$FT^l-r*M z`>g95qvtyDYr@^&Q75qcx;Kmv)%il?QcugT$l%(d%Sn82bujrN@})NTSHQoVadca! zj1i;u-^f>MxW@Ih8uod&{|n#OUEc&f&wmr)wEP?mEYIICxT^DQ5$GfCUi4VIh|^rZ zgM2r~VvGO18um@MzlHA(*EdDa<4cD558ZyJ^?+i(*)tPd%$B) zCK&R}GkKj1BSdxXk)Pf$)VxlHdE9;Ya-0sHEj2vufgA^>IV$J<8As3SG{Jp})#2{J z9E&Yn=eCDxxW@l*4ZGXzmH2+^`b6}+P7{UG@>@KxyiSI}Rh>sgppUq`PC4$e97nvy zuEX2oHC#ELs9}$|t#|q#T;Ci$k9~8;?SP2SY_NQIj2OLzRR2$r%lq}Rjh;WMf~yyS zxPQ&D*iX8x`{XItw?NNh+`{oS!>^u}$LKLCVRbA3J-BfeFdJtcV{o;GBq){0iTiZZ zF7gd=-z5BbjFTLj#y0Gi`IJA;!}Av%>@`+9 zJx44(<1L)qOYB#Sr(U;B&VfIQMhyS=jR4I@r_yO*5k5tmQ(5f}U8cG(BszT&CDwe>{JdmC#U+=$8Jh#2kde#rD%KK(q= z!pGFy_D5#aV)GtGUd7HrX4Yc!HIrixAa-_+^?C<^AL#gw>S=kdh7mhl4*H16bB!3y z^$_IHT5O(c#A^I=kvX;4Jl7mMkJuOFSReo4;Du>SD+3VS}D8&UgUDjTE*n#M6;8GPgM%dr|XYR=(~&dr_e0xd*0$Y~&6s z-(c~Q(ZlAPoDZ7j*^iu^arC_InSXwcbN+eo&uxP*1HZuWsp@HY{DzT3IRnf5!19_H=Cv=+`Fu{7Qs=TZ_?6&SI3DXF%7w^s$78(0uR>PT z@__5-`EosS6LKqZyT{lI20dRt@zRMysoUjRc-M)iM$X-vTJz_$jHzI&U2cGTqsNPD z4+89b;Eabuajx6tX1KQ`uDt-U^>Gk&;-wf|x65sCUzoV|^25&8MPPZq0?Q9x!ziii z=OxOC9+<9`7a=chgTD;?r8Qhb*Pgr@c^&dbsNZkEg&wFB6s_S-n6Wlj@yv97; z^}Hv6`;hm0ytuPn&+Bhk;<{Zv2=_yYdw}bC{SAW~V|KfI z1n8rQ8wVYg(KY!gbUaJzgJ-q*xaV{PU&wU+D9u(WPr4}<#+sEe^Jd8ZzaYwePVHmug90TWWWTnTeh8?!C^L`knqRw@@ z+za=<#9iom-Vei|#(ub69sqhUagTOA?}uTD>vnkv?r#$J7}xWD7?!wh7h=lq68Biw z^L`ixH`Xd_?FVt?QE~7Gj%xeCkEVqWp~5+|aAvP!y^d>B!!US5JeS+$akx)-ylNcp zdfpGiRMfd{7v6p4$;3Uu^}HX3C9d0rbuWKO+(oYE{V)t}?4{e~Z$M9ZyvBT@>v=y6 zOI)|h)1J;K_!m1j?}uUdVy(hvKPukYRopj$6RQ2Fh9hetYa#sTSFNYq_)cn5!!UgD zG46JyJi|O*+$FB({V)t)?1$Uc8gNG>?#Zs_{V*(X-L6K$9hJC!uIK$Q3~rH+-tFpn zaMw=UrLO1wFf7$|yW$7ZYINeB;(Fc>!{82;k3MYe$NIv^pVgBxo#V8h)S*+v}vfvb%6 zV@Krq$S%lk$ete8-=>CP@Z$b(yV@S!4j!)>XS<&755rW{^SfP5hC3y3&v8BPhhd59 zcC{1SofG$5*YkcDmbh+LQ{nEKxaYZ^_rtKnb-UUf?jDJIzUz5E41*hM6}Ik=y^y`d z!5_HF@JEj7EF|W8f#=QNGlmh{L)+T~s&uc={a zd~R3#7+TFwPWbDHgo(k=jL@WEOFhgj)rSzBe_yc5Yr1!xGo+>NL3Vei7HNlj?BrUSyHy^n10M z0k%I9?}2N*R(>WKmTI|O^}~zju4?&nrQ#1R)xMrbxIh1^ZNaxg;`wNE#2$l;MdI1F zxH-m3&l~MyJg<&z;^p@&_haDkyjkG*ufXpDkLSib$G-*sZSZ&|?CkiN;ClAO{l1gq zaR#_SHjOj&z#bWfsrxbRrHsWr;#hSVadBNnoYILOduTXyo{~ca=>p65Q1G=baossq zT?rP~*Z?0a?wy;+$+LdoS$dP|&^Y%G)C?@|yv4c6>+#LYE`%9SnL~{cE#MP+X!m>1MeFI6#xJL literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin b/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin new file mode 100644 index 0000000000000000000000000000000000000000..53203ebe0e5637b3ff6674f327b98caba3a4b123 GIT binary patch literal 16105 zcmeHOcbFB`9euNfW$7KINV6e`sMs~IEUTi6kX@P;DGQ?V5D}`_uZMfcLo-JHGfeqmum?` zK=q(O8;zRSSU2dP0ug;kp^8V?T7imz5*`%J%=;yp{P^mcO#& zKX& zod+|S@xRPpomu|5=$x{y7vTKa4#GCr-WsR@769VKl`3Ct`BPi7jecr%Dpj9izh^1! z%J|iF-pt`|uYRFxk{pkb7w4}#t-yj~k*zEEB)9Fp;F|+&fsQ~|pgX{OcRye~U;wZY zuqm(wz?`8#EwBTyGcX!x1SSBx1CxNM0PC?IFdJZhT>u;poDBQ|I14xrxCr3FvMchn zH7simP*q#M^Q4iZhhuXy?&fP6ZFeMgo8d@ZLjz82RAFz-gjza31S;|kb==++sLoSn z#&IC3@*`%?KX7y%HqUXp4K<_2P2g@5P&smRP3>5e$}?-8uOFezpJTsSV{PL|m-4bQ01)bkI>$Ghzc)40o}) zCD?A3Zx}tw)o3R78k(lpOl3nZ15m$)IusYN4aypLe>e(g(U_lxl4~0$pha?D0?qT| z$JAI|*1a1E@VaK{h zLE0Zgq1_72!q%@Cxu6P;{~Smf5;%VqZ5?w1k@>Nyq(MIZ#D|zkuUG zG;t;xbv=d6^YynH45nXqg>?%uH7IaJ0%+re(TThwu%{>=I;VvaaEsXS1}-W+r^q zGP?ztnXEm$%&N3Z{l=eK=2}5!CTnjmvw2!(a}zGJ%)UWpChOW>W_4PoIyi3(T-FUT zGg;U7GFzl&wlE=YLR>ZoGBa5RdYLWLGFzHZH@ZPVW+v-kFSAuzrn~7tyu5IloJsyr z>k!Y_IxVxc2^U-D=0RpA>y}<-o3zX}CVa~>w+=EhS-15v+ool%Gj5 zX_*~O_(dTyw@Z+j$vVc%?39+Nhu$}q*$`xAvX1pKJEvuKHsQCHIX=kDWS!_`c1g?Z zV!}r)bGINflXVX-vuj$W8(=~FkY(-_WM;DN?Pac!mZ<^7SC%;?$joG&=4Gy#mbs=0 zKd{W{L1rfFK3-;7J5_q5FJCj3_+GM5iBGg%MtGTnF#MY_i` z*4kH9dVre?%%g|LfgES$8lR~w9XuGv4w2Z_B?~t*=hs~3yT1>Tn|p@?rJ|0&u_GnU z7=%j}z$+1G@8A;9I_ zATyKod@r+KT4p~JK3Ry&T^M9$vR>?Ex>p6*U&><1VXt_%9_4=ICY?Co|{o zk!Svu%)w;a%;IF`M7+#1|61l?)@){RGIK`FHzxA@MjOHO*UaK%=G54|7O~^Mm09-` zH;=W0;$-HrN#Xw3e~>wtBAQv8%$z

    d0@3?{*%nXq|MCYWL^#<-#E$hXKe(tFEfjinKLuKl9J~y+6bmm zW)>$ir&z5#^NlhG^C2^flbLg+)}HyVG6xeIGmDd%lb$w!I>{|E2Qw5ii<6nNm$shy zHkpH|hMC35%xOqF&wPi>!JNU&;$-H0qP=InOXgtkZ)R~ab7If|kmnw41fzH}i<6ln z{EnXaKAD5zxS7St%%OTGK&}0N%)z+Y%;IF`n7gxQen{qEfNW-QGIQ|U#WOFIIT-1h zS)9xq1$Xt#kIEbjSId)aWZp=)x$F{mbn)VSloDs8xZy48jH+4*3&aT zCv)$DZiO4za2q0VGV|D4p80v1gAt0E#mUUkOE1s-qRf3Q*$p+!EKX(~>+P9emN^(R zm|2|698dJ|%&*G4t~T^^vzoY*U)Od%gpGyKHU7hlA7nKyGUG>CjZc~JldML5`{MFhR^vMbU+xRf zIEdTe^)boqgIY?h9h1fln?P%yR}gQt-}pLgv!8z(m_c%+ljJD;E=jt#N<~Uso)mJt znB-XE_aXZM#mAxsS!qu5yoy2M^UatUAb2H5Vdi!d*2X>uzXA>O3>Jh zKhze5LqP`<#~&|#@9Ibx+#ts@F2%OOrHNRmG$;h4i3r1ysH@Lon*s4lIi>m?Qyhiy zTP=~#C6leh9zk_LE-WF8-(#r6H%bV5tHiB%0$BWOLLBQD&!o30=vMgMNF0T0t3;80 z#}r3l{7@6=enggzx{9N4eU-SKMY{)<3B^&kK~m@*P(_3TlS21EDk2;t;ZQs1rdp_< z+Xv^5yrfBZqK#3t!GOE2JTI%fX&^>{?!710Yy?*zHYwaJDdYu}?kg(K!9 zN8wgUlDF(6N8vU}(!H-^(a;a$8ViNnC57&#JdY-XLz6;|t&kg{}#4N#>dRKy%of!t4&L+HQ{?^+%c>1OEd12 z)%cwm>pkO$w5qBxJ~HDjS&g5VaZFa@17>XSjCJ732y$50*|8_6O>nNp3C>l<=jcPH z84pZI@a5nphFs)6tAQT}>}tpG1vIFWdI4ucs*^Z(PV(8BIeUg&w0mJc+5^Y-vST}_ zuws392a2$~$WWN}F6_ESS|#K2W}K4M_^=tLc}8_hf1D_ew}|OF6_Nf^Rvd-(dJASr`nX{*8 z#EpL;I@}*~mY1DFZy2h0Ly19Jd)qWQqVz@fn5z>&bwz%jsazzM)fz$w6Kyc`R4 z`_JQVHzW9xSrrq<+Mb>ymzNNpnG`NAAv{|`cPB$U=Mzbe(dQ<~FG>i{PYU@OHp#KX z3zH<@6el?fFBZ9nH4k5ACpik^4>l4$T|yXtoRRRG62kaHjD-F~SsbI|k1p;hfO@{| zl_-wF_ydc`uS*Eyk0}!R&qQ%7G5&BO^2rh<#ve)cws!Py1>#s@{6R#de=872Vf^t! zq<uoC?#qc{rhO$y&+ zwP?c17v7&F{VAg_d{Dxf7QMtPQ5>TmP6}O%ShO#EM8c_duzaff&l@bayml8prBI$* zs!;A-z&*fy03IpPL%>4dQ7WuX@ozlK2*1U}v6At33X%SxNgRdoHwlrSDh_LL6vp2l zL@p~KjK4KV_)H1mVztj~I~l)Mh+}m84PlN6{WlKcD2%@si1Y_d;wX&24TyZltE0Fj zNe=tvFu%fDVB6`ij_?QlG%8ajAQu1aqvI^dz1YXLbbd#Zj^RrI z_b%VlGU%;jkUun3p%gBYaDJgeD;A-oBuC-9BKM;Zn)2!MrcjHe9RK|VBxG)B1^E*I l9?{WL0D3|6EPx&x;b)03LXMUIc$h_K{V2Y@TgkcHzX3e{^)dhe literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin new file mode 100644 index 0000000000000000000000000000000000000000..84da7e6435f48338ad64c8e03795a23e86acce79 GIT binary patch literal 12744 zcmd^EXP8yh6@7=H7ePRfqM#J%4AP`1!hkvo4l)XMq$+}=5<$T>_TFMIv1{zTcMWQ~ zNldqpC?q6AlNd>2BxSAh_ImHU?Ryj6e4jt^<$T|obN1Tx?tAaK_r7_1(~7q;nM@lX z04;_M8(vjAY-LsqZ;}EA7|5>zIE&k^2-n>>=^88dvN-bpGUXx2)f@P-=GBPJp7B`H zbvKj?g)+1pb6E!IQNF&=wfjF(P1HGA7){>3=O^Ztsfb1ylabzPNnth7yIaQ)xn zY>7>=`b!-zEWptjsHmFG4Rvdvd3DXqIaST`id2Ll~bqvxEQeb=90UctZx#nH7 zcrmz3fTmL>S1}GB@hwb?<-tJf+Pb8?abTKO*Tl#>VBNkjU>&IIfM$`#VssR0aCBI( zxQew)c{ZU44?+s4=6e; zQ-#f4o!n9~oCDA#kuwz_T98NwIP%SUmtI(-0n#c_Q2}6#pvKNZdmgCx?+?=0hQ)W7rpHr@#%gwpba&{>{r(Cy9 zayDzILGet(_!G<7y&z{X;<+@9f zGj7q4;}%RF;l=%qsidGG6sKe6mJ}aHrk^6`g8jpk;^fS|r5T`y=2Y(-@8%ND)bSxI=RLf0 zye&&OQ^y}zUco1={NU&&z{0L(UBTdaA#s)KFi zq$`AWalk0Pxf@=zEW3E2rW|xSd-B7#M&<pOi$4eJ^RsN^bxdcPU03MSTePcA zaX+z9Rl;3mh%%cu`8}mcvxEr|F@wb_LJ9PKix(C+jvG!=_;_g8wjK9N) z8_J@6QCXfw zu&01$Tr6HfPXJFko~Pjv;5o;~s^XJ!ajL;OeMweUTECduL1xBhffpRljL!ov7vWz4 z|B~Y$F!^EVUbS^ktkVtQb#nfsQ=%;^Kv3+;%qW(HLcL>4}$3 zeA_}C$=|~Io4~hStbB`ib{e+sJHU61-`-|rk21J4yKIzQ@>_`Wec%T!RyI4x^-jU$ zKLmbcd@q}oJj&qGP~3@Y<$;8!N^XtSz{GPtxV*{CYX{D|Xs=tdVSU)5NrVO9Kk z`^b289aLrm@LS{i*sQ9e3@)uoHmXW8pC|lI`^3e{S2fRRSQVdkpBk^OgUayx`eWn! z+N`Rg3@)uoHmXW8_Y1zdedc22tD5gLtcttR=fK5sU@zs;&D%HYzfWTUD~4$OhyG(vnELrmG_ zt6J!?&;vgwg!ofCJk)h81D;c%!t8f)RYloVB^y;`a=`a&z(;q`$Aj$hRV{K^SXFyK zk70G4RpD2Jpx+4wxT>P;s*;VWGC6ccoKApVI%Jov3PILca4A?+7eKG4YA%cF2yAWk zIy~}tZ47#4jSoPQz?+!#$|n9B=KltCdIJ~;+w_S%kTw{+O?BysOCPL*Xao~oL{SEn zUPQ7{V@wV^Ab)Q_w~g$+&=@K7<4crFBxz{{DM^1M=m)4-ij_<9ZlOb_F-Zm^!60CW zi^VfJ0EnGKm%fnv9p})PInul;d+9(e;=H8VLtIz{E4q zXh4q;@l4zY&@C>Wo6|d^!^B1I%!- zn0zl_Z^!eXtAQHF=ZaeI6b!U4u%F`@XeKbr@wDF!nB;g~Jo^K+#_OVDobkW`#_Lw2 zeJ@~kAs_6GG~hpdVUFo=mlSM%L&;k!~D21N|n z<(js^DH@Yx9ugb@%y+S}Nsu+@_ZXGV*D!y0Z2tmap^L(HE(Y`f)gjRSaA2;pXTGC= zBMbR(P*x5HL$}0q*t`nbAM1pUFR!XkwW~9-N!u?Q-Nq(|I^;SAI9B;=j-Ba%98DKv zI3*A4IN^m`Rhvixqkmp_9(mTvQc)E!yE+;9}zyH+Q1ennGWwDk{p*rA5g`MVTBfM}o_MD_jy5brEo>@oEW+x&*k=<<1rL zzA5y4S5Z-hE-gwnD$3+=EfQP9McxF2}XC1Fwcl{egH zygI_7?gbt;UU73ptuw`V&KUem)>bHE27d^6lm<|%V+{TX@VN1^C;tTSnDN>kPsqvv z|33;(nhx)V1zYk2@)(=ItB%M)RKsMGo<-THVJ3&?kn36Cc?Lxc+2tCbEm;a4>LJB9K4=mV`9ysCWrPQPh}3>?I0zqoPs47JKi#_uhN&*b6H5 z-u|$6>>A&jn>pw9ySwE0aKG=}&b*nov%A0F?%mB_&@(R*i4*}@KtWwyeQQT^-G1tS zhCUyd4UE*jJc&OCTm``1;`FH-sGn~9{_6J^uf;qnf&Z)Lx|ajER@SHjs)22RHh>(l zIaXubj_t9HW#gF7F}+RS>ZH>9F~WQsi)*nw+MGB2WNT;K z_JRAvJz#Dow&}gDL&sT^9LMDIvAyqgH5T=nId6{1J@)If{b8Io=Opv$LxY{AeKroO znu~!R1mppOfns1OU>Hyii~`00Sfy$E+RE z)6Z#+wsfK|1M=HvcFmaGFbn23Kz4VO(<=aST3e<`HtbkdBeS-PyG?Yr2Xa}p0S4a! zaT;N8$+_J+p%OUR)7$uJJp$y3g8CWe$>5w}uFMF=E29`#<9D4Ce4L2T}S`#S9{i1g4svX;Eq5dJ`6JRFp&^pk*C*e9mZO}nDS6Pc z=4L^jpReU1EwVYVh0ldQUHwgAZ>jcB|6uH!`*$R;ZOtS$*hv$Aku>~0m8>+03pBCI zC6@ToB^vl%6L;t@@jFeN=@R)D#BXq^y+@8U(24a@ShjcV>Ou$orY``6%{*j0sr*=7eG=H56ha9#O7({Oz>zx>ICxJU9;6TZX z^`P?h+t^=i!Xb}yJ=Nz?jK;6&vw(Af3xJD(%QSBUdo+L0ghP(Kd*+`3>daWWtYxIy z{*VdhwC0};?i{BbrM5p{!a1$^=Yc!lX)DzB@2qf6YyO4cF4BDNf2G>~eHG4W&A$ZP zrA|AVHuKQ9r`G^C0=EHo0}p6?jB5OaW}M$=;h@X%I`~%tSLqn6XL(n^zS{9sYWs`N zIR8w9gVySpe;v5%!|}jf3*6xNYPJ0ZX`Fw4!nr!;-wf`Sa6E7~0k=AStlIv5GR{A1 z;anZ_?*MmaI3Bp$fx8?(PHlg`8Ry>%;anZ_?*(^XI3BorfcqV9=khf0rvsNWhTiUg zlhv<@I8lw-KOm9qVHc}~@4p>tHO7AdG6p*1{ADN{V!R+8r^e+;9nT@g%jpSVZopIj zWWYVD{$q$erS^FCX#O%34moVkFo@nb)_XSKo&)znz=4vveWH9Fdo+I;3Wq$-^+g8J z8wXSN?^X1#Ypk94~0XXJrDEegL=(r8`YLyNwg6+ z&VNA(hZxuMrq6@VeR~6VE8s!NI^ReCk;as%e? z+pByXXZ=NLm6uh&I^G4h1N3X}Mk8qb>M7s-?JvTqudo+Pf<>TMHL`n_@%KfIwDJY@ zkZvcq9UKF%0CDcCx%igyE8 zNp$qwsn+Z~!Fn(@bNWncZed<8d2@;n;NJ`+pKhJSTMdSqlg%0ISY(=|&jUn_Sh3t| z8G5S6!3#kC2jB-#hI$=e*#8s3>gcPN^Y8!Jwpae@9o+(UfZKqM$elo2aW7bMMAtzt zdsIXos0JhcJ30)sA5yHc{Yc&FnuV8R&f)&&w6ya`v>IM+vBUA4zr~y!s9?0*zNF** z3jd5b*YrQ9rR(~g^RJk5L;rJHdVQL6G`}ss^gm*bja_prTYBTwdj1|gw_LraOK(a& zeFn>M7Ee2Kzp6EqkGhY`HajjXhpUHJIsQl5tHW%!xa)w0*qmq9;nO{^BJ#J^;gKiXOLUA4IEL=UlYy4n5A_gK6t3n*~h z$-~_Tc14{&4UPL9gzXe7W?WJcV*>0JfgLYJ4#HaKf98LrVmt^QiW+?k@^+PLnq0X< zTqDaUdO2u(oolxqt++iAKSJ)Y@VOVd=2;`2kxxV6s4IJebxnC-6`R9833NvHRs2Yf z@t4x>5AD;r7Oos+>~ixS2<>F0)mokc&tx7yzcW9d-r2LcE_zO3n@jM|!5^&nCnDZU z?)mW7$};lBP&jHdUQ`lmPHR3?afjiKR2)>t9emYK~Ugya%qWwQrz%Gv?B~8^X^Qj=9{gaMb(x z9KQqiZru;=ZSY>=y`I2m+}5be=e2Mu&NYNON1ubUna9u1W3Bhy@fYakV=k@9{5kxE#QTGu z*Hbv^ydKx&d0nG5ehI#cHJaDHUj!F2kDuRXr#9E1`38I|P6OX{U*mt*X1n(O6#U0v MF6w!>KUG}3$Tz4zYxO>Z~F z^yrO5>?P{e#2$6-`>lVix$FF#Gl$_mJ~!Eq%e~gOzi;jJ@4f$WhTlK9;+n3muCZ9b z#!QGNAuTX%^T~L z>uber$ICf#9WiG+UEu#N8r2&X`=>svzP53Fv95}>C!N+duV-lMd;CB>C;0$9S);lowq7|1dP`DS? z-M?IO{5Ljg-h#e4q8zMX?&7{VI-x&Tu;i$|#O77aUQILL({R#Ukb{jeWL9eBMCH8sjC)gw41>-Bf zFjrx13n!z|z^JovIUH-6f7yyzs%y5_q7(n*|BhCk{a@#P33e=YJa!^>GIlC<8g>Sz z!*vdJ9(DmXfL)Afi7&%6^Oe}u*mJPwVJ(|i(;Z9HD!7?!$mr=0hD&P_V! z4C?uV+<_bAMBT1x{2*Re>7ZX?#1K38Mr zQ|jVX4A!wITVtb>cv%pe-l9HpU?J8UwigS{>EQ&?-f3;b8q<%5(3}}gi0$RnMyxTu z&4$Eh*TwdhX(QIy`bm6lh~p_^ZyFZ|7T2t?u~@A3`E{|qLfVKmre6>tzOXK~7eE`a z#`sno5?@po+mpVHSYsO{@g*USN1;9aT^v|kv&J?~;!Epddv>=GYiwK+uc(Xd`Q1jW zu}!ehToF!`Xiw8NVvUVY;;ZUnd!n`xYi!dbes*1K&$l*Wjp?_0Xr3F+2IjbG1Mi0h z71LHrNqauFQULvi>S_7OX&7UA;Jr|T!E@|IHSERUFRfufrhi4o@mFi0hUJZlxnBnD z<>IN~2mTU|$K?wiXRN`&JCvOEDvu>>Nxa8sU0tu~MEtuGU)PEFkQ3j~iFmgY-_(hC zpA+BGiFmUU-`0tEs}tXmh?}^d_unw*zDwiyf$2=VyN107?0q#%a=m#zgnbPA6!tmi zj8{*y?UKV-VD{rGV~pwb_kkMrey|S;Q^Sv6o3>#!EWa&`2VXfql6k}*#y%=c4S%!B z8?-H}VfiIuJow7_iOeJZIQB`;qnw+&e=~mE2Z7~xi(ycd^D~|^uup@1Hsy@Hd9U;0 zS90D{!_aB}zL0s2eX)jp3H&QH49NEM8}#47zK{LbIa{iy*_|bavB2`48pfEe>uZ@u z{8jAh!qo7$s=PtFw`y44Q{%x`&TnNN@i(z=dmiPS;J)2m&J8T@sbTPy^ShZx{2$o& zJdbk5Ul8p6a&BOGPYr{woIlJw;vZl?N;zY{zU;hh7;ngiVt3*@BD5-K8xJ1FSNmBE z;x~!^ojS^b72lSx@{^kN*?@SME>5&xKEnQ{Srai4xHsK&1AYDzwwk zG$x$!!15g$Sl(g7;H&W8V}Hnc;%hwq9sVEPpM;+apEO+IlO&?uh)C_)DzqXexo>0g z84oN!t^&))-7xqnTu1(TVE5NB?fnBa49N5k zXB^*(tzr2mXUwgue@Hwv{J{U=@eVf7{JCeCCZ;`_dBnPw$7+~9LZ7H%K;o8Iiv_(=G&jFq%b1Mg+sVwB3U7|fSRng+cYJ5{w5&Bum1}@*IL>JD@$;-~ zoVjS?GbnP2$B(IEpJ9=co>ussRGRo}QxBTWv8QQXH4?=iX7jbQ#WZo&5swGj3X4~> zX^FSQ;&nT;9$@@XDqCP%dmi7PvOXBUcT2p@{LGY5&YM1T5}9fROGr8!605p0?;wWtX!9zwfUBTegLnCgKSe)O7W56|UT z*%NHr}AL_Vi@bg+kp57Wqe}ag$2#&6MA8g+m_r?}n)wmxvBXi*ipNZ|Cas0gY zF<))|G7H^-(y5Va&&q4M9>Y>S5v%rtvA&u%&l}vh!8|T=(9QL^hUNvEjrAwo>n;a? zAA}v^bHyI*<#DWEJe(tr>)jXaJnUJ)*SZ}Zf%ijX#k6a6(eUPDM+hLW#mCJzUTlcC z3o=gt{~gtgm4#qOH)Aj>uD$v3_tQAdYLF9{h_zT7u^2n1hKs8CS2%751b?*#GNzcO z8}kh8Z0tNApQ)afzdj6e{_z^b4@`M9=P8aKpq`em!!YqQK<{xm3Fu^DYT&cH$F-cN zdmINg=QK>j%t5vcJ587x!(L}O0sKVlRL2iC!r(-&v9LI795feT7dl^c#SNCP$*`pLxLkzx z;-rn6Fkh2lN$YW0j`q@|^#@To7W_Eue6Q0Un)vxz;z1f~9I?*y<=7QjtGQ`fr{`eL z$6n~;hpDIK+=juAhl0oDYIM)8=yoRNxu4g`FtkyZ$K|;|&r4c+pb+PEiib>SJuWNJ zz94Ds`9qv*j%QNT9I@8pMc9j_r>?+pZ9QJW*sHPE`S^VGw4B>8@ijp2ad{cKmkUz^ zw`U!0UXOVG#Wi_cUWxWqCaknax}Vp>F!F}h=y#sr8_@gvH z?{WD6x(^Cd1Go1KZayFJ?uqsAxO^DxM@(30m$;wT!!YuO*5mRqppPf*QulMshNYS# z)_Qyr`;;_{2ac=1Sd^b*zx1(VJ#T);1@^E6HQt>qF#9u7=83}`pTj<%aeVs&NXDG| zMYvyRfqxnNOEp~Y*w12Lso_ec=i@iA?_l5e@#ECf^4b{&KYpotT)vL(8x`Hw&ff9F zdB5YmA8X@r`4-x5C+!LDKcT|p(*<^09V1rl-_1N?{s>yWCrk~_iQY@Dz5FruGsjL+ zPs`V77~DA59+w}Y`%y)=yeGS#uhTHJaW*|JKLPq_(%N|e`zrP|><1b{cdB#pc{eQO z^tkZ1%<_wrbD8`3S`0%Qdo~oK{T23WX&4V2*VdFjMV3Ed*ZKHqEpi(Mzc+Kx{1*G2 z^OgH__wza#rpa9EarpzK>erVN~E5WY9?sj}X$CrRD#qM!@hU4)*ypS5XpNGEJF4Q>f2C&!z z!`6(;eIkoT@|GuGGv-cHRJYI}Dy4r1(hEcBQA zeD{jrn!xfIFbqnZ+u=Cc(`RD49 z-ZebVZKs@jq20HpRnC1de{XbI4!}6K5o?WRU^83j_Xj_qhU+ZNYQ`EngB>VLjXAEU zyg}Y0Lwgw*@BKBfyuZeS68jsvRvgtn8=I5oMH~AYxx|}`9a7_o+K>4=cc||C?2H_` zZvXp5#Z!%7hhg!zis5RF^D!JpbfsLsQ&1-1I)e00irB-({Ft#TfP#c$lW z&Nv?ttENTRF)j3q!I#u|noh#}cStoa+Bi3nOT1ICWw}1p0d^{OTE_A7xrrRQ?lUqEvDWBx?97bg zx2iSHO{eQQn|$%j8*{79v#|5>Jb-a-I^{eU?FBWha-NUHcUa67=O$vU9p9pjcsB(u pJ%1-{#5*o{utK?$p2fjG)hiPN{=1ABATo=FjS^NvyNJ&{&w4KGur;jDSgJ zjOIWd=b*7m7arr3TfQaEi#FGy&={4AevU=8qRpJea78*x)YQ%b~qq^*+M_;k^>#Vl8v6l2=zV6J6V^K}5wQ?8YGfwNKp8LK9 zQUhs+@GhmUHDDb+N3=V89_i1HL$-2g-_^?>$5ZV5u|~1=QXS5pI_pr6^_YXU{M40` z82wsD+S#$S10$|j>Fia9ecetp^vU~5zOh^%1iT#51M((FU&uhnU`P#Q7-SS=9ArO8 zE#zRxGziBx3o-{XA956gZ68R*+(r(!64C?Z>K8ADc4*FOZkfxi9s#LtXlia*yry+E z_BbsCP}R^>H}_a5Qy`VjnHJYCf^r~@ z#tp5j4}x+Vq_S{Oc{ABJ5^ZW*wFdny)Ek;?h@1)Yv@pjJGMMKM2%;M4;5ngA z{4Pk9Psm;r6>Tl`z-LR0TaAU4n{ z+{+a)HvUgub4XszlBj!^TJxV?6W{y) zDRp?M#BE-4Wa%|U9lc9U0?4bi{JYl}+ewWAq2oi&>SFsUgLng8bw1V?UFOBi+o%w)p*To;PoOmy~UOwOSQKI$=xOH@S0OguPN#wVX%GT z$oshCS+6lYuSU_(nO)`lqvt%l>zoRm-Br%td(OJ9b1HOhS2>^aocP4(Zs?IAuwP{9 z!rtmR8}f1%4P6*=>YZw@XW~dF>=~v8q*u3<2XwR)1=`E90GU3#^K+v^*OVpOTdx3_ zzVbj`lqK8is{olkeq@QWM2d!O@1X)@`uMFZ&Qd9P?;Q}ya*N2i2<@ff zWMLxH$8SM#mP=8fy&VdW>EmC!#5qBV$aYs3Ak)_iQttkfvShoz3y|rn4&)Ug=UvQB z7N$A#(&mpHRc;koSDM|pP8KFIeZ2#DRhDd5X#p~Q{HY?&8Y!w`7h3@`efZ|;Ag>iU z@3M2UFb$g*VPA;mye><&tEvE*zJ7sxdX{W=QUNl3{R8>TEZOd<0%ZCI1o8%v^DZPO z3)7r=Sq%*2vqc_gin9iK-{GW<_BSxu&Vigu0KL8;_i z$YL*wICL9B28-uQwJ!kwLdLN{7rxo;!N7R4Y4-y6!?+B)i z_Gbp6T@Sf|0Q#DEGHM(Y(QIHZk%e{>3Tf9hI#D0^g|cM9l9@r-4E=+3`RO*Kj-); z_Gq@iRNym=fzgI;Ke8f*5WZ3#f;=2?=<&oUAL~8}c_b5SdlWIm@Dx9h!N`9c@>s;7 z^X|wSD@^j=8slJ-{}#xTo#1Z={i5q0>5#V67*_}&Y7U*L~*-HxmC4MUu2%l-hc4+icyj|Xfw%%{+Q*0J#(7teuV zh^dG%u=x%05y(d)4n3}ixY`%l9|!LfUW;=V{xRsE4EO}s<2(&RoN~(kG@#D}uFl&# zfWH&+VfxVPS$V+iiOz}7m|=)z`$CL^&GYlc4E7~pU&&yAEcSbj@6R4B&c!eWqLo9w z1^F(6&>z4a%`Ols0gIot76%{C`Zr=6?5{(o`LjPL8wT54I{$%&Xbv6tWta1V7oej*BscaCA;@;p2j_|sjFcfGK9rwfaBw_(V~ z_1MNd=!J1le+PM?6P#b2FJ^E+mWS)db$G@7GuWfWbv2CfbfA~b@xDZi4Z8kX5ZBc( z__VIF`Aoc=V;c7`*WLZ2Kn4eX19jF$zn!m9{}0Qn7UY#G= zJfEW=qazn_Y+RSA5Z$lGc;5Ky(6C&%#qWoBz02)g22LD@-fudKZl?+0?d$ouUd^t@ zb8HxE(We;jCPMUGLVS_?8Ok{v1kt;4vFD9rGK{g#b307}??8`d-X*TbF&PG*=Hqrc z7|`Uv^>s?)fRBgNdab1%6Q4Q5kW=$?J52-6-j&W>=G-_J!@_uEbDyU}^ga>JGCaTa zkOdH(zZTCO_u4Rz*E>LQaSV#n_}xykk^czK%k@02lkpn{pZ3D-GzVPWi{vWjEZ}tz z-Eqr3XWS#hz|nZzPV>Rjox_~RJ2&oyVS(#*Y5-Sv0=d4PX(n*JONsk)mc{@d3u$Bx z;B#_)sshHn(etQt`ZL7*9Jrn@J4?WRyQmfFD z0kJXIZNAab(y{Wx$ny~o*j7eI3?@&NPJ(e^>*-hqT|3?CHR2bwVa$m*?SL@6j?)QV z%dvDKu#@w#92xl8j-TRn;@6;Ih~!vTWa8r2pyD(~w^J*4%FFdwpv|c<1=f^l>+XIv?g0J0uYuTgO14jjMhA}?hP8Wc8Au%?%ZO@?c>sPVb$BdmW z2KN&3*br{}2Q}`Guz0?-&&pRUhG*t7$mOvHYH7cfi*Z*#uFAwQ^h(Ip5r-b%9LmA< zy*9>?jDMFxu8BDGc<#k#?DdfAVyx%55%>)m94`9;xE;Q`+_#=RTHHHfJ4m1tonh!X z=3DczbPKTCh_NBYo*$@jPld&t{+y*dz`xVTIlj*?-30vRfcv>jn}KhE+)W?q`E!vr zx!ndVUh;Y_w*l9DgvGnbFobZ94=5LVw75Rv#`{TF+$ZJZK0OS1go%(}IMnnY

    az>o35mka!>^ApAon_mOM(nb2N zvC>x(u=8Ur;cIZ_6uc){c+kNoBWBj}68BGmg$E=a@ecwlszm^Qp}zUqzv$}rXzJM( z^S@5vz9)Y~{QYhIUV?ujzbYWqFk?V~eF@G`WCzuxzNn|Mj2%c_VYJ_+OUcRow!+lH zhCZ#`L!12@@HhR0A`nDuJGbw53^akFoG{2Y;9FHO-~C@K-xFT)0{7oZ7QWuWmlNJbUt5l*cD3+E2cJcFP}uil zS6L~l9X!b8f6eXNYvExBKb7#hP29c@EIiA>se@(B8@YY2S$MjGe@5+TSlIWBg}3#w z)4z%EHT69Hhb_Ft!Kt5RVPW6B7QWuWZzg=#%iO*O3vUD-^WO#tUa7~^z02{$YU%C% zoW>XPN!};yzs8aeJNhSa{m=9CRa$tKgAV~7%Q){ucs80^Y%%nVi_RL)q>{cyp>K@E zzUyS$9|tD@575`G(Vtp)vxC1yc=b)(Ung1k8V6s`T8w|l|QYLS%ojOY49 zd<7PNGx#A-;k{4bZ|+~=zoEq6hI6C9ZTlOEU*M^P2VC}7JNQ@Qfcpe)+aGrD&k5hP zfv4ZLKZ|gYp1lL`w_?vH;E!dT(~H-KrB(uBCkiGfd)^36g@yPCQQm;8cI4(WL3Eew zJMdADj{$U;y8WS{XgK>-47z=}&kP4cel+)e8nM4HvOR`@z^-#~z^|bX#sV`DEguN{ z0)NmC%1Q8YW%$MGAN%VvECWPS+m4Y1X|7|J^w)GsZ`(6Gy&qU?K{8AD^De?$1pbKzmwPjU1u1_#oLj|r!@TYSA6T$z}aKYc*{$vyGPF04aJU#W}J43)%6JXDOh$aJ`y(NQk zAoU=mN4yh?6r{v5ZaPkQOZBFx-;mwg3vHQ9?^X(TcEZn6_*>L1i9gEuC)xh^J%v|P z9kTFM6wY(PH&A%M3&LMizA5~mqwiV@Z^GP9>c0`;P;B6aW68DPr|{kyi=Xl@l3GhV z`j@PPSGYeSsaHt6S16KEN`DW2J5;~>P%L9chVBLEUp^Z8^PKqarSQmZKUwxXOyL1e z_!$cKa>C;&yd8B<+W!rOH(6nx{~zE{2MnSoj6)BnxP&X)br)ORR6z|tR0eVM{{F0k|a z2?|e>VYCM~?ysYoYfZB2hui>$4z<;x=fny;YXsp=jZNO!o8KL$SufNT*Pw1v0s@bescDU2{63YXD4b4X$5Er&-37-k}>e zks(_1LH;_1rw47J%&$Y|!u~wWJ!N<=g`c?6PTz+Vu5rSzQ+V2Qc6ok|!rRf7Ncq1} z_*=}qWVngK<&ONXDLlXl*HO5$qwiM~Ug7AQP2mR}eN!pi%aPBc@b>w(y`w1n#Iv@2 zXHa;gqwhotPjmF8P)@$&T@9(Abg##W)nVh>M1w`CP-_;)Aq zZ+hDH$6FMB!U?~KFzWY*sj_}kc<-GK{y68y+)n!MPZS>D*mEa^_f*>H{R@RxIQ(}} zIM2~{3x%gWDD=78=VW1|=VFro_9;6(JiJGSk3v2`@=rMOCsFu8C)}OFn=p40>GgO0 z5yctrKb!$KHTaJV1p4FxYmfop(~)>mZpo|bS)qvk4S-_dD&pTF{|<$s)3B0-3KU|? zZ~_x`FZDsf(120{`4Iih8_2d;Mov0!AhTsRf%xtKymx?YU+Rq%-t(mGk0lh|?u4(Q z@Fpuv?REY51$ajN)8ER_bEALyGL837m*BD0Kkb$)3vvC^FTjNIvKDxP{xzCfN%2jy z;)|v}L*YDxQThL$|NP~6n2n$9lJ1f8kfhyu3B0eQS&{}NeNysmlGIAjrmjM6ouv0j zdb^}oNm?T51(Ie;nj&diiqP|pq|ZtEsHFEx+9LUPOS-+gkb6$jwURy{>F^AhE=hYx z+9uQWv7|3ax=zyjBwZ$HiKJ~Z-gZfQbQAgqNIFu|JV|FrS}o~qG9Ak#oiFJ<5`R$A z^^!JAx=qqOk{*_{hfHT*Ne4+fR?YlUkb12AOz$Dm^OMB)OKRbhC2oZ; zk^WpPX^EusC0!x;m&tIUq&LZOv_R5xB^@B?36ge^^w4p_uKkkklys}4PfNN^(!WT0 zr=&Max=hk?NyCy}An7Nry|CDQSO6Pmr{Sq#Y$alrHQ)DCr(aKa_Nvq%TSO zq@)i@dcUN1NP4TJb&_5s=>kb-OM024VM#BLbgZO9B^@AXZ%KPd+ELQBp2Ge;l71}d zR!KKX`h=v9O8S7LzmxQKN$Vu7k#xSKGbEiNX`ZBGCC!rb3`zS+nl5Pk}j5Xo}@D+ohIo>Ne4;VSJLAoO_8)cRoM5vr28e^ zE$I$PUzPMZNgtQ=AxWDgy;IVgBwZ@$Y)L0bnki`yNqv&G$@XKrq>oFwO46HUdt$Xm zVcDKq;gRBxI_w3}Pn}4euMQvM;o%xdmq;oIHWOdN>L-3?ZxysnQVYN84e|U@N&CMk z!eL48lXQ>7Ly}tZb(;l$M;XsS8Mg2*UKjXZB(TE0HuP>8&q_=UrrY--{x=Nzx`smq~hwq~j#*FKK(TklQI~v!uV1^eRb< zCC!%fWJ!IJ?w0m!lJr4I&ye<6>3Lj+122ns$4Oc&>1~p3kaWMKy*3H{LP?iO`a4Ot zOWNxd!Iv%R3`y%GeMr(4Nzu?X*%bj2qk+?Tf4@biSEh5r=cJ(6C#ON7@-x?9q|pNi*U zNpF_4zx0z;E=J1s!U}KyUdXMI^fF0%NxJ4cfrljB|E&nGk@S2?5C2O%-yrEdl7=Mx z=^KHcFKPAHBD}v%(EB9a_mv1gAZezgYY&R&<&vHuX%|VKJ0S2mlAbH+$NR zRfLC2x_h4p-!JL;lD@xJJpYTN*Gf7~(nCzjNg9+iUDAiX6nIe5{a=W1Z%M!TT!i0{ zv`*5olJ4Fk@T(-vleGOa@qCS>7fJflZt;Abq{Wh+A!&;&4`=@%_?Ah!P14?n#Pj)* zZj|&}Nkcyh{C-IfN;=`Nc-|=K9!ax~$ao~(B5ALm#PbqKAC>fwqmNc(j zJYOT}7D-3O#Pf1VZ<4f5`gykukMxNi?>0%dNqSrd@%$c1r%Ad&(rz6EzEg%r%J37C zZkP0sq{W@2K1q-3EW%SHeM8a{{NnlJlJ1xE{4U~olcZlrI{X;%e3_&#Ny;Qmm;L=| zvYaoM`mB0x(|eDp!>i=JfTTf58zpU%H2prwCuva95=rYMT_b6;q`M^bt(NkV1|_YQ zv{BMElCGDuMbb7&)9)90vLp>lS}kd#r0XT!C29EgLatiUU6T5mq+OB*B`uM(PSQ1! zHcProQr{n>yre-%OC+t6bd99VlJ1h!w?@iK8kDp|(mF}kNZKsvE=hfVl=6}WB`uM( zPSQ1!wn*A0Y5D^~K1L`F?+qbl2Yi|x6PE}h;_aANoyZP6 zB>~v%wmYw4N#$I)+0s7|?wVS$prVYtKXG1Z)!d5wt17B$966Oijo>Qt+KLsqiHoYQxwz_=iz{m?pn2k=1yxq8V8V}@ zPM|X9mN?I+)-GIFy7-#B(wb6@e_~}#Ud8O%xm=6mPuFALbz??lotrg#PS%i-bIu(( zWaRL&(L+irMxQ%m_=q`U%ErtoA5~E{TP?Rm$OP2Uyviljr8Q;qcx6Q#?)nGUdgPqhBg#gP&Q{~2f>2pg2~qyz zj>m?f|MURrVMY0`~>jOGlShj8XOfqP7(J zZU0&2Zc1&{)Ecx=OKK|1mQ1NAEk{DB+$F?$_ATb+#ARP`Wp&xqiYiD?V$Qj$osK5%#{}^d!q|!`B_{5V z|4BTSCfMnYXW;^wvr{U{Dk`s1Vxv?cU310^8$PD2v|`ApF%`pyjI0=S?vODkVMB(a zMa(MC9y7cAT&+A6$7%GHFIZstd1~3>%Icc%g4(&2RTD|ST_0SC{e0qu3l_~TU0~G* zE5G!oPCj0^xU_m+Wm)NhODZd_cA5z%9*x0~%dK4+Uc9K{25ev%{V{Nf zkI3xGDlLr1zF7rTm9!RBTXkjCqN}R{kXel8!&h8TIIHmD+`L&u`BSInUYI`>JT;3& zoPqHZ0^BJ4I$FMhswFkDCCjg>K?L$geZCZy3|uml%<`$vEq)6l(b=U-D$8aqsaafE z#hbL#{J%Yk#@XeAaav6N;8HE$tq2EXm~e}4jf=0@gKvk6?@$81j?f!8+liOXD$GDQ z07^eryu`P{#n+sGkJb#C!gmV7TZVM?$*$1WNAWZdb>hW_vM=+TuD%c`{aEo5eF)F3 zAM(p~gah({%D3Oe7syJ?myPGv59upUz}E%OS15dBpKn;aK6*Y6&#fOENA}Ga-qp8D zKCtXdL3rc{hYts2d@TqUyZDH|c%;Ke_ANy?kbtkz#nkJIm;730{z ze(}8JvrhbZ%5&m*iR81w_ej3=@_G6JA!p%%V};)9hGmzRk3M(y$RWcj=9CT@i7_Jj zL9~;jMwbmk4=|#9*q9M$|EJBXToS|4+b=0Ud|1 zn~(5DI{hktNbVjFzAY}k77xB&V|9If5e`WG6d(DS;%UZn3f}`t^r}^KldhhM}YbG>) z+`sG7Z{9lf(uKE7->`S~!kFdlqjv`@By!y!-T&g}+}rCHKCZnVYLS zU;EG>{@$g>fA`sUaq)tsmy8^qcfK^o$HOC>aJR8jr{zwW<{O(oIgfY>F$AevQW3bM zVlky=yd`%D2CIt}2PT&;tl-az7M0g7sE7;OVv@h0TxytBxv*kMP3gjF8P2aNTYOD5 z%{Bv2C3I3;dHGWVlPa#^TqB1L_YKTm>ik=hK>x%c!pnvnHn`SVk+0yr!X9dOvib|JOF05S`sGMCpXUVmJ%z@=+1uB-7RbXkP zoQH-6rc{*ImQ@6gMxwG0;dTaJ~9z}2No0%eO9FRrbwsVF}h zKe~z;&A>Cyg3%_s{YmDB{j8I zUA^?0YqRXmZ16dO|L`Z7p9r`6M=Z``c!41WMiS_77Kv^Kv!j|tivmj)V(oCZahLh`q!ajr_TN^ z$E0-a*1bn+TF>-jk2}6s#tA3(K1pakxliAI{Z9#;dfMp&2A*+d=2?RVpFL#gIpji) z{}0liSMk4){$%l5UUKI^<=sVPZFEtoR{Q?{^KtLox#uRqkA3(3{q}*$+?n~$^E~s+ zoH=u5=FF*TaPGx8ueH4+Bz2gq{4qZMa5&`eW*ZZYDRvv>dtQw;x!RhmIT*q;f^N39kXzwE;!C_Tr_Z;f5|9z zw@U=^nyBAU65P`z@wgWdcC+6F3ztzk%hRj`n0EFeUK8auAnd3Jjbk~&29D)8o&+~) z;ZnE7^J{)Z&A&Y9d?ovu>#lDsx@&K>vov?X-AkS7Rg3E9Z7*GR-;UXZ z^Zj|*8*aIFr*Gz>&8dOii>~qBy7;CWW>(i;SF?MyQ+xZ?m9v&+Z){psc=H`)Yc^!< zT;!c|L(cU2*$b!Lx9g_a>o)juZ@z!;+WW5A*_^#-=d2xDH{6-MY0=68?=6|TtL`XT zm^SU+eL22eTN@W|T)caB&fN=qwR4v(xpu+yyzA1+%kbgmtuyaf*KmV(Xa21D&5Lfk zHEZAYHNGWPjT^4nIBo9jYi4+BQ?};Mt-r2x_5Ih+*?9k*Gs?CtS-)Y!yfw3K+C8Uy z=Y0$2RNpaU&Mxn@*_$&1o9}5VzU#(aI~Hc_O1&bn#y^3q!FEWUGd_RIp`?YpPX zz5o6#O*gO1oOkW?8(KErpEq@d`O}ja7&E3;-*Ea3SoV}|0=IWBh!p6H+ObZmux#_m) z^EU3zTD*MchMh}pt6aJL_Q1x~d#=4{ZeAeJl6hNIN?`R2{~hIv(r0bCal@*Nocq@; zE=|3C!HWD_Qg6L8yJXc}3+CN%Q4dZ^yj#RX47$n&I4#wxDL~T+h6Pd$!J*w_(;zzWMX^ zE}fOXe*WwtZ{e1O4Mq2DTC?=Vh0V3M-##z@nl;mQ-BuD4sIcO?S>I*;H1wuHoJtv+8#4$j!{nTXsj|x|u1S#>#2MbC!8p zytP?ryXrP=ylcz0ijA{Z&c1Qpil%kV^Y-ReRApx0@4a+$h_1jZYb}aU7PEFZb zf9t)ad1?3Ev7~bP-o4k~l(OTd%`-~3PR}a5X6LGTcg?x=&f2>@cNJD-W!%%e(%G_a zd0^p!lm&sCtBY&zTDfz}##xJRxXG6`uQ6x$9jk6%w5isgvu;~)Ufr%4c{vS*r3(TD z_coTzuHG^0_S@DubBY${?U}!DUGwxM-kC*t`{p&=xVXA;R4TUYO_ zzhQlD+1^=|l?(5y@$B?%+c<4gPR72*8=M<%T3L42wB_0J$_sXR)8}rwzC3^3O?R$b zzr6nblDl`^;w-y%+48&Z%vo8Hk-q!Z8(Z!w^{(4It8w1VH`On^b=9pa3K}Z5SEt;+ zF?~&LNlMG^g|{r+SG93h)!lWq)y4j;J9CzMnwHj8ZQ0l~vu5UsThsDq%qeed@iwO} zU*z4r;QoS?jCn=*3$JGc7~`>%TRH=5W_9Yffye|*8fG2bPtpVITSDcj@u)gx^3)3R*+*mttuOo8J( zZ#tX<=Y#8m`wiT$;eG}83%FO{UWWT3+~aVhJ~$uT2%G~q1lJ5V21kAaa5ZqFa9+4! zxG>x}9A);ymBF2X%Yr)&*9LbHE(2~5j%zUI;0oYQ!X1LU0!O`{fGdGJ4YvgD7+etU z0vz73_dEqx4|f(WAMONP1nx2%*TRm%RlvOfmksv}TnF4GxJ7VJ!!^R4hua8u3hoHp zYjCvPo8Y#>eF<(c+@Hg>zb~cKfo=8`wO^MxPOPc8SedXyWzeG zw-)Zxa1X=%9PT=}C*i8#{sk@u(*U>%igWCo74Y)OM&%yP={S1z4 zgYSZ?g!^Z>9Js%RI{^2eaJRvI5RP-3--X)*_XW7e;C>CqYx2!-#c+QIcNg48-~w>} z1~(7xy>MLL{yN-hxM$&d;QkAaYY6Xz+X44aaCgJ~72JbxKZ4_0<)6asgZmEL2Ds0| zJqq_LIIexX4Q@N!m*JMd{UzLfxc`8|i7TEzhT8-8Ex2`XpMg6F_Y1h|;oc2b4fj>J zm2iIp*9G?q9M^_F4A%trGTaupFTyAJ|<7a+`qt1gbRjZxCcXrJ?*#{!X20a zj^GhPa7F#Khq2cv77;pxM@-Bms$@}atUE+{doX`qR99D8B2}b5I(}PGd4-NI2w+!G zFtk39*MdiJKdV8IwcNn&@wbZS`bKH-Rwu`)_hX^9#gA$6F!oJ3`Ch>@nVjF3UX#gR zzoxaozb3RM7+jqfTrYKEL9rDpj%4X^pRgzzpXZJHr{iPtbDXO3x_YOMQv&`Dr>3_h z*Wre*ymd}x_3k>ShE~a2wZ^Fx>odyYt6Tl~-cW&meL;ROzaWp@grNM;+SYaJR(aR1 zU$=UdcU``Yx73T(TXe1}emy3p-?s7^D6wm+Q;>(cYQbXH);uSxORibvvHv5j^{-l& zw+@>*TM7yaur*G`BEDel8tSoj{n{XZ>G(g8KC84o1?yJ_*W`r)*wnUmb;}xdV}jPM zg?(1RK6z^cYXg69eO7CIlGRJHdi(>~Cstoc>Lpn{{(pE6Nmh@4Abq0sm8@Qp z)#GoYPx01b^nd2{)&B6NOcJwjgM}gVlb+C;z`8&%7%EtYje@Jz@pdgJ znLg3W+FECg%}pm>?}_@z>%G|Jde6t!%+=_t3j(VP)(5;Cz6nZpy(iQEcho1=ehOBv zD=5fczuvzt;9b+YHmXmO>phwNzoS0U_LHn$lG))8U0=!SC7B(P>G?a_Cstoc>Sb+` zdQ7J0@2F3-zOHikw@DB1)b{d1Oypw*JmT-j=C3eb&In;voP0eT2XeQ-aa?={+)}uiaD0xs4vrVj&2W5fx*d+sCo|yq zoG}-U1C5*D_*`)t+!DAHI6jA_!EwMbACAwRi{S2py9SQW33K3hBc2Dx=YoZBeEysc zw*rnv;`8Q>aC~lD0LSOEX>h!c&Vu9f*bQ(TVB8Alz>)YIo(jhSMmk&pTm~G=%<@qt z^`cJHgR+SuvD_>(`LQhI&$3e zi}|P%bzpwth^LO^Lmre%qFfU3{7pXOM;@A=nU_47mpsUq{F#SxnU7)Sqb%|up1diS z`6xr{LmniZ&b-V+ex_ZBBOm6Y4C+Io49a4D%4dG2GhX90FUr+rqAn!z)pjHwVu>R^ zVl_XFrwnZi>PH#643x{fj3b7;wEc)7FY=*o%*(P+24xY)bmpTBrZJv8h#^nrBY&+6 zc~T~MF&~M)b@??9;>eRSm{;qlc~dUa7*Eo=F`Y8WTiZg*Bp>Re?autfG7s~UC)20{ ziCF4DKFmu#Z8k!pF$sEnUB0FN83~9)8$|q zc~TZJ9CNB7e%#ymb9Ao;YoPEtmX=CyxA?kG!Z4 zi881Y^Ap4TS`Yk;rjxfWk1jX)n&l#%JeW>?)Ir;nJjsJ)BOl74Zj`TiXdS2zF}mE$ ztL;lH^N`4kGRTYZl+C_)Q>!M8OVcp=A~>B z^(22{h}ZHdSL;uH#4(T76F&tXtv`8C7O~{Tc;?Z1;-|<@8oew0Jq$zS`FNnNNX$1mFFn1y2zJ%vpD8j4tFOU^HCP{W0^LwtAZJF}i0xXFm+p`$KtZnCt=+UI2LW3u*Zx1Y({>tyX|vg^Ta zpZ5A^E?=4iIl-<_kKBTN2=BGh{DP3I-&u4R!S#uf!=3&E?SYE+7QO)>iShb90A@Dx zZOrW1Z1d;!w7sHyYq83&yx3i}=9EO}w(5bG= zb!xcF5TFpZvtzdy-!cey`ClubxbZE!B@VTi5EtD z`0BYJ^_=Yldqd6l>X7O#y+!G&V0o#Ux3t*9)j!lvBviW1^& z`8MCl(jD+vvE>N6263(Px+mHB^tygcWvpE6g9Z_1zd>TTZTb2=tkkMlKH4K6VFx~m z`82M=!iAIIeAV&%nh|!$3`BmK%6_8uO?_MTyT9*w7@OZ2C9mc?QeOIts=xob^d05< zl;5oUu=4wqKcK_kkom^GCH=m$(jQlR+1{HBJv}1cus4dhH_Kc};Z%?RmKd$69#qa@t80&pKAqEfl!=CcGQcqPi*7xOm zR-U4o8g9_`lvP)j64QWJl{yc>7n@X@c9+&}t*$F=s>YAnax792Q(Z+K_ygLDsG^Dr zxh`AU`LHgr+21`7#`=0^H}+=k@5Ea*LFZwA2f7VxY30jLq0WcfySqBs8zG^)T`YNt z6FLPckBusza-my-fm@8b?xhmo_zScdP9 z*O%7SODWh_Vc3gtlZ9=2PZq8ys@lE_@3U)pHr|xSd+DB{+N$!Z?U?TruibJ7ajbvF zS_Qgo9GcRLHOpMb+110+M_IB%j0V7q_d@kLKKzwvMl0d5`OrrP$_Apy)Pqq9A7y7} zn=Cyy9Bfs5a14Nw7dj-`OF4gNuakDAQPY{xmg)61QgDIRO_q0c-D!!Jw7h zU$B4X_-(}KcV{4c+3I(7Ki*gq-#_;wY~Cj{ZpgxoAnbrIN#7Sz@cW#BBj@~`v&8t+ z#Bm&a1o4_UR?etRJRg9#QN(K^uA?rIAIrC-K8Cvv;Q@qoU#ImGx9(jr9LL5Z2$#Vp zX&mJq-yOqIZpEHi9v^&?iA&)}i4;-pGYI#qFmaucwH(%aYJMvF<$+h_ec_A>kE^h@ zvv+TdAM3FIVTTMrB|Fp41HyEIWHuPpLC^_;?Nq0jZR0fl0bF6xG&-DWjl$ACbrL_?oe*7xcAMDuNYMq zXkw~;Vfz{b(H3oA&$@p*ac``Dj=U&Ki-E=8#vB$W%(0v z)V~?=nwak?7aZ+%%D_>N)Ry>mQ1CN#AGpoEpO4l*@@XR9GK3u!VcN~U|3;GF1}%O= z7Vf0h>rSbc_vcbi?_DySOt0)fqF&VZDa2FH4)|=R&m_SW1SKvVKJ8Tl-+@mu%Q=X6 zP2@k63}=;d)CI@-^n_ykSfA+#JB$ZWuJa37{`5>){^QCXzGX3cP>%uRBfbs37>B_x zLzu*PO%vX~*XBiU;PO6!&J8!N`pO#7|LSp0eJLUo@jX#-ui|JQ@8NdthlgRgcubUM zL*Ug)fOshAL3H~E{PGNmgiNwebbbCFCiF)X{zb#f4}wPC3-x(L|n^LAmc7;ysOEO23f0;P=WEDo*)$ zK4rGdApSEi=aoq${a>3hCXU{kznlw;dxio`&X{f3kEMv5CjeB#}Q^Iu1e&s9JE9KUq3XI*v54 z0}3@oaJ&JvaOE>qTtEyWjW(8q-&Nvpo^r5>7iu#76u+tB)MYQYxt3S)n-tfL!+9Ro z72wi-rk36$Pu@(Lf1-3OKTRlq;`9KH0FGYCF7hW!m;Go{04FhZVD3N~)P>JfaZ_z) zQ^ifzZ%>*Y-=5`Bz%J#p+Oxu0=Z3oEn_Bxw+D>J5)jVAKugTI2Co8{vE_O^R&&Hae?YX2Q zaXy&1KE!JxzhQ)ZD#9#B>VbG%0m3$p_>%^X7DMlEW~Rfzp^B_ zW((Jcuuo+))W9*vc^7`fFA2x^ zvAi`1JMc-GALX_gILaMF*ax4aar8$F9Qj>BIEx^V#*tsjLovDJmyfUyKFP#2TDYeW zw)rvtDFes7J5G0E`y;L&@tTMmcfnD=hMpKs{0k6v;FC0t<)|@mW09Yee({_^%E@+0mk#B1WO3rTQg zZ;ZzcBJ5Kc&GIgJQw&GD)FW)-2GxAqn3`YnX32Rr#VUGUb3v<`FNB|p#2WaH0#RO} z3XENm^LO?>n&#z_Z^NrHz4>t&9#!FyM`QDQcmzfyL4t1PES`P7f)Ir@aGpCEkJ&ol5H_$1mh+gU93gB8Ljom2drcf|8M{+g20 zAFB`Qm;aV{`;{QwkF?yzgzX@>2gAgdHjaqCV$-E$uY$ZfPfHK>DLkN`F|TkErmdvg?=%oApP2M=W_y zSn@o-QT6g($)9?VXm9S@ThuS>|1$D%J?aR;X8R_Vr12GRm-r>{iGK!uGCcL$_>P!8 zX#Wy~9rz@sYtrqp&A^N25`-~bEXWOi&ca`r0MGg;duL1^_G`@u`viBXk3H{ihvWCf z#nu5fZaz}DV$0&$g9xAb&%U@;%Bqej}e9^2lDdBAqD`G_*iIrgUB zUNML5wtmX~ApKo2`*3}y8DR%LiS}nb(Z6iqSg(toOvKT?*@)M~{$(HuZq&jRygQK} z<(xshChGfI65OJJcw9Zgj>@RZ!TiSz9QC_`u-TqMku^buiO~g^p2E=P3|0fW3RD>=E^?usG zaXhx@sl;(U@lPOL6ZsuO*ijLhAN^AnZp;NoyIe7Fv`g0e-1*fYUK8bpli>O-+;9@y z84EX#u>D-aa&){uCYSm?g|Gvkq|3|r69$g;a|vO)T`@lGk7N8;Z_Nlh@JX5kB_Q;63@{gynPs2_1b z#A_mM)CEUG`Uhh;wyTo}JMc-my!4$9#c-@&AHoiNlE$&T4F-;Osrhi?a|82VLA)lG zf8$Uhj`a~iye5|848r#H#`;bDvlx!~du;dl~VXSYIh0jmJ%RpTnULhj1^)mGj>P#;>Z4O{{gHb*W+yJ1OCp##`f0$K2{N$`2C4|=&6b2 zt+({n{qvB8J8j|Y@^Sw)zj07mT~%IRT`RulWrl5hIqtpK(}g`uVml(2qVW}tPWb>vI(oy{vxygN4)pR3Antd=)=wAwW{BY9d`?kk&p{NZ3~TP9TEr(%#2&Y*u1mEChD#3Er%V-5iKHT=>HI-`J0hJ>;lFo)%5>#;P-NI4m{^7C9~0 zP9e4?*lhscGLhyIBRqL!!Jyfq%AqFPBvr*{UYN*cfnBnwJ$yN`gE2HeTPx1WhSgjA zfd`$KaaFs~p0G3boT4GY!%~ZGaelr=fikg$>0KGvo{t?Q!63grgN^A}2aXy*F-%%6 zeAGo1OMDx{-x1!Mv15d^=*Pi$&@Y*U8E@RIrpR z`zZvI^iz|idvnD<+*nv+n z^{cUPkt8_#J8#D=oNSlHT&iWel>N8bqfMFi{nBnv#E|s;ebHa3=X1#6cqAWThX4@u z*QD_y3O@*+_B%HLp7nh08OeVbKChfB@E!Ohn?Lb`e=YH0_|)eld_<1d*r)~;;+eOJl<^aT9bUTXeEmNyGN%i9LOOetaO&+Fk7(lyau zFCgrw2pdoSCBu^cBKYLr2tOHr*3T)VYvOn}?UU~IvBxiQ^A@YT(ed15-)o!fdu%*E z66eXu@2nZ$SxETqn)RVIJ?}d^?n`5MpFi$1W3ljV`PP%p=9w)nu@JrzCav-R(|6rA zKxK|U%WIk{%8N^@@M@%f@6n7B1zV}U+SY@;g)4Fqkj3Q~1p5lx!?_W8G_2@H%EQXa z>ZS**+28Q{a>;PG$#T5Pmu|Wb@Xcf1(wFr(ZC$vNMfY5A7_UCWsXk_cfj(YzLhctO zgSa<{_s>(?SJ`On6W6ErS@cum9| zbHP#0xPjw5P{D~rew=3tBVN-|{5ba9Eb+|(#&LW?x%AI|I))?vD+oLANpc+1C)N?h z_4?Av>3uR@VzmBxeJ=PJIUYR<-;t1n@gMCnigZmJKaE-VbDmj3PR*J`dBkU*jL%YYvqgVeA_aFDUfpP07Z3(%E8O+7?8-Gh|geIySC$y^yOj}PR-8A@0f~- zm;;yz!>kM{wMUOlAl`$wWjLhaMs!YcaB>NAKgQuU54VR7I=ivqKG@}ygnHWdcRF>w z*piCF5HJ^lHV_PPY+AWheDkwKyqJqIRSb%6T5V2Wb*M>)YDfjcnaO_4MF4h92i2r+YBbfFVdPrx^r^VVi8EPEk0F`<^JX zn5x6~32@{*27b`a&xs=Fbig?%-a91|8c}oCHoDsHcU}#i3hQRoql5%~8^h=_uTTLfzzm_mHq#yQe+aL{K?C+nWF@FA+Gm>xlA)AYT*v z*$b9Fy5C#$#Tbt5y8>ZHWz^RZ`#byl@&hh7T42=RHy>eVB%WVQQN4I<)bdA>u8H!; zEWB+uxAIcI)W41Avk_rOG1ldvA2e{Z(-Ri1Y`VODn|tK->pUQRpYofP->3W$}c?Kdk&w<&P^r{UM3-D!)egVdW1fe^~jW${$yLdbi52{2Jwll|P{T zVdal1e_Z*x{ZxD@zTO)VcB}WN4IJzJn1w@>AiKTA%}1<}`zZ81lo{~Wp`5Um-I;tU z#rjLGc%>d+p+^4}I{<>O!i&X%5T}K+9V~G02r|AH(Ayzk(|w2a1CV{E>)Qz~IZ1ZIMX0aOKK_2M^}*$-DDVE|zjus)ZTdEqZ*_ za=*;R zf^_vxQqjb5Z0A&>N^%8n8cSy z#lyZ^Kg#|w{qJMf6R(qv2;0vE#GSWrY5$P8&QIJEh}T4Z#}Rf^1k?5XbHu`3vT$;| ziKWoGI-CyGR90G4Qd+C>v;WuQ_kl0V@%u^m4&q6aqbcJW*}sQBCi|b!C#CO+NZ5nOY_-!)W*Dw8=w@csi4(SgozxkaqoPJdLBgzlIONJd)Zl_m<`;k)QTV_~})Q0-;pZzX@dfA)XXU6zSs_*vf7t!hi45O+u?Z&XSaKfDYau8 z%}FFCd+O>->gsDtiz*$D`1a^F#NnsN3lHfsu^zw8v_y6}f}faI#W<=if+g<*atuX2 z=6ILk*t}{GGdGwfiEVvRQw!LqLKbSt49 zxYsOP*1sgm74uSv*TnMnSvb4@;hHwj*Kuae75xH#BMeBq^_I*o60vkO%wo!~`s7MrA^DH5vyS=tW(}uU=2`RPL21h~~2~81WbS zx>$bKgMT5H>)%j=JN^(7N3E#N2>BP|yi~{|Mx1M-Qw+Y*Qc)Kp-c??dn>d|GoT!AY zv6=;nhDEc9Y$M89TD6;HLpjwi_wg3n)6=7|`eb`Mjj#isWVW}muf^lq5VoIFi5N9- z+=mi*F@C=?+d0Q;i@qM?$Nk;|2%G#6B}iXC`gyb9>?{x0bDH6s`|#~{7dMZzN*=8F zIw)72@tfP?7hCso0|$Z>1dmD1%7Eip8Hk4EIwYr@lI$1c>Gyt2I{8~TDUV$b(HSYd zvy%HB4`N>*cjEHyRamHIutdu*m#^gT^7gK-eJ&M4T^0&U4w%=OdTp^T0`!K9q4y zpXN*Xx?l5tSI(m~z;_T&a>I=(+!YIF_kYRyIouPlxvXkeL(?8i8T0&bx##KshyI6l zf989!dKJH3p5-|wtQE5t?N)|(P0SZT*ijKo_s)>@)wfjEhiyOJD+uRxOZ-ub->}8+ zxZ*duO!D()x${ldufAsScgEuNb!JL^$CpdKx}Dj2C*xlaewt{HBM6(hJ(8-e{2rMvH@wV{%LY z@sKy(e-P*YrQS4-kki_wfQ;us{-qC{GoMg zb5^ZxT_0HA8e9_!w3zKSVZ(wHU|+8ZFmcu5I$0MAcnenhy*aIUYw~jP{i|@r6nMQk zp-}6({MMG$fpzN&OnGc*IGI1BE)-i%G+FZx1=p-!Rgf3VS-lpQV17P|;$NG;K4;bX z{FXJr7XLbbXuZjQXD{9+iX7JV)9t#px06%NIH|Th&{K=I=tQp+vtwMks6O@@3H??> zdpJ;s4L+gnVxhUx5_ZT71pRC9ar2zK)oY<=L2yluKYvXKfAU-NR|O03BHCJ0&lsm< zaw?!~sMC`4TglO!T*`BxL)C1pT!Ks}SL^z`RqF%(P|lk5p;bBgp*3rB)}w{x;B)eM z-eAG{mf+gB_SBHTQIt>BLtUV|Jshd&=-uDmSIi?{LZ!>;a~y`kPvT=^}$oNH*R-BndrUsPY$RK1O}r|!?=91k8d?$ap< zpS8vT9FOq9@v?#A_W_UntNZgt_V;7=eLin=And>=aa^OHOO9E%3rTQ`{wU|k9`P=9me&Th-i%+yjzCTX%AxL z#q`SPDY}@~FD>3xiwz=-uiIOPv$**Szq?u^8qY9!SG2bz)Gtm@4&e(eo|XKH5^ySv z$*@qMR~#A6+!&AVDBarxQMIL2^-a6##9o4Ed_{F}5nj74DlQYxB+>ZdilVx@(z>SF z>T0e#B#Y+>+G-lnlZDgBxocxNA{h7kkjr{Y){ZY*?aBF%_<1b0V_wHKh}XpD+v5ly zQW0i5_FRnN*xr{Q>{vL)ml-(DryWg#yJX;a{TKWokste=A;fE%fgk4)RztqH>n!Dm zF&y>qB5cq9usmfJ?uZMHa-TAAlzR?gd;Wqr&yQkqiSr>GW;{sSkGM7qcMM@SJHKGy zSdJ?Qd&vw$es({`Hb6?&4l*vq^dmnX!VWWlO#K=R9NWcF7aZH|h=Jq2&LjUh%hL>> zr1>%bq94cP@>c}mEP_B9N4ty~Z(dWs7hG_}r@Ru+FAZS_@g&WUeusf0zX-yn{n+kB zeIlOtVfd-=J>!Y|h#NpWac%Hf{*y^?%|DU20{F!B!!LtR()zKydS1ozQ#oIp1>bC^ zcKzD*YNrbUvu4kA_(S=b9f!o3gw%sX`J%1%;rAQS|G>!z_jW_O4gNf4Z`S8Igzfqx z-zx@=`4;^m(TyP>S4IKNeQH1UG#qSWO{yHW%fN(xqg;~$kkNGOD(Y1s<{0O(r zoSndrdWL7kaMa^zgdO-KrVpxlnBniqd6;j0PVURWNVS90|6+N_(WgJFBPx(D>smQ~ zGxl|v&v#b(Ln=O9g>}5P>!_iRxSlM1GEya9eQx2H#AVExBEKo(GSa5VZ;Ck9o7w*?nLXRX`*Q_+2R?~*w{b%X zcV-gYqB)XZ34DjlKyLg76>ek_-261jZzFt1aC7H3pl~NA!KGoDm*vfeKM}uvg&Up( zmvWutmkr;IUl{S4czyI)xbStdeup;7_O7q1u^VIgc%7fJ@?BKvSB&rY}xoAnVvI&r66 zaBl5o3EGbXJc;F?J}5|38(WWl;Kew^%4g#i-7NL!fNzf*OnuKNT*fVlIO-cjye8WH z2*QqvpuXD9CoSBl3y%G6Mn*ipEQD=-Zn$s~oE}G>L^`j7F&CU!-i?@@Vf`G1Z^}iK zptc+3_3zvw`y+j>u=c~#WW4rARk+WJ*Z2VyPdiP6gtKCHNXnvp&s%!n{0*DS1xF7I%j3aj+KRWhvkk6HY+U9=p{->c+= zm9P0XuZibBzB-#){ex3~HQvFnZPEd^mm6^`w3OvxXaA?<3**Yz`C)xV?g$?9LrKc_d4K^Em_ zTkvv;Pgr^oHyz5HK)j|D{5YKi z=UEt!OGnsI8EJpbuf)RDTR1-N2HJ1{8D1=I#cnsnB5D49D}ORMr>2M-PlC%@6e}O= zw*X;BDaZ1S-y-X4Xs)a;?{(52OOyT?l|HJ%kZdUmng*&eFADBe{ksK+<)_+{-JDL8D%5Ur6oJ9YTY$>l#@lU3| zZfE+wd>r+|>tocaCmWZ3o5U5scYr6czBMj_cugFKJYnHv80D>3e@D{q*@Vzek6aU!(Yr{~*5p(IfYz^QE+L(AH{Llh?kS`bcVQCTM%)*PI||={PttPf+xMZdB)HVOV)f7dHyvRIK8bu% z7s=~kWUH))h6OS_s=|F4GM;uOzQE$=v-n-SP3Cu0Kj~Hcy|+m|UKOveTU{Uh;6r;3 zTl{R?xWZ*Di7$uNuNm>0cpq+a!SOj@1o14-ApBJL<4JIbG9|7CK5@t4JMc-&Pr1}P zb!kj4aajnP&sFl?j9q%_8-~g9^;`Mu@?S(c<)tr6)Pv>K_N+lVafe)REdK?>Q~y)& zsqg%(M1I5#A)dHH@QE9B!BLJ_u!Bz`?y2R8^SExfaTgrrMpne^$?M=4!VY|rwmat+ z>hG5G94YYUBK$P`GJ-%R?nt)8Wy5E_)9`H^uaAZtSza%E_LpJ!4t$d4N8AO4JEd^1 zx!}zDIF>7)KVN|FkQqqxGjU5+N?ZkeN1!CG;C6Xkd3`B;%$KghJ{8v2X}^jeQ1Q(w zJfyeY5fe19^mh~JriFXPm zzh?N3%E)+K-s6bZM1E%xw%3b@&)*P_Yed*l8BKl>3-@#q+zAUehOk**TE8n6ZplV> z+(yJ}VmaE9;QB1wGcGvV?*#+L`c2!ExIRYQM#O6(?nn~cGZyYr65OKA@%$PQw%7S+ z@1QS+V|hCecHooD`moPoekuvhQxwlH6=6qki|3cNH6Aw~;UNo0yObC>_KWohI~I<5 z4j4F=V=xKsNO8Q}ClGdPry~~b48m@5Q%d6br6KIr{>m&|4Z?2xZ2KLVB5t5Go*zsg zNVoqJ+v4>+g|MSAv>$Q2pI@F49vG_9E=SCux4fjVRn8eD-hWTyVrMxhGz~Y=j-elQcj2gBETm3C=$E+Os2( zAN30(o_x#TvmE{K%ixo=T#j=tB3{#t_~EUXov=TLh%v-#nnzsa?700yI?cW>#l5GO zxAymT{&d!b?$2d!`R?bwezNw!2R~k0^nnfce>t4;$ng(9J2UOMg+Hto=TJ{{E_EUH zYu46#3QMa>sJlMER2?k3NteesVpqf&2l1NWXf}l}4=N7P^IcWA6yK0O9OkiuJW4mD zV$r|gJnbE!!y?S{nllRyMI9~A_xGA4^P-w^_j8_!)9?EjdW(v?+Wba2r<|WQ)AHjF z??s!Mg8s}OJ1;;kd*X~j+KWdnVF#o*BP$1Q<2pFVFw}{&yTn0EUBO;_d2RMAqtJY# za`r5v6mb!%x(e}7uITFZ;}<}bj)%Biy>ov|MGWG9d%jqKjByeL#H*)R&lOCks;7Ks zl=@LIaay-BpVZB5yvY09C0y5m9@njN>lF096}BR@*%V?SbF@8xx+O*M$;%T@fo z4BxXN{YHAPEB(9qLJ3Q<)`J9N7bL#Lu}x2Mv7*mF;BCtQc8sCU1oE)@1u2O@aq z{9#W?DBy8`GaZ5+oY0oJB7l?Gl*c#+uBR98^@4K`&P&IecH&)lrm?kRnq3^zURW5t zeqHs9b^^$U_s4m}9p5+?3%TMOGX^u!GWb~;ap*)_2p0msp@R~t7vT9CyWEuSxV?am zDiNghc4p1?FlwTDVLe9Ag_uIU5I-3|yIv;3JJ9cHTG`=v>V`o{@4U3P(5gzIPVrm;pGZ-Jz0&xyO;0Fy-)aATMG5h)9|V6CHM|}665u_F;X2ZirBX@h_FKtNaNUFoiuRFv8X0-Tur$hh}V>cAEyvz zzeO_nE!i2v@mD{>Xg-28j{Z3VNB*ALMEy7p%Rsy)&PSa@*dF(DJegG&!|~TJ!fh&} zmMi4c$8a1s*C6azIL7xIxa$zk*p>L4b3Jj0C;zP7vpu)NzXX2(K1s{vxbgfRiK}Rs z?cx6AbMS5bSl&Z>C2kBp$Cr)wV*Ug^N%Ir;9mH$m^VO1l?shIgye5`EjIjAU=}iSmw2fTz7LAf5S6!Kb8@riuA? zAYBvrM<&42KF16^<(;tb;l;APY8J}+(!6P3;tQH%@)&MF*ij(rt%-QrbI`!E9gZTL zr9d`b@b|~~v-}MRA9umq;~n+>S4p)vjkT#^S9wVj&eAHc+TOIgsG_{2sJ^_q3Va^* zD$1d6{n2TU;p{COIF7+C25daUHqzZ2h&b%K3k%8GY3;^NGel!hH=E~yFHK=rKDON+Y9UJ2icmMU5u3 zt8Nje=aw4>^cFf&HRy_0r+Pz9olqWM*pTs$W_k-6ax%U7_8-Q>9&Yyy*565^zSzFT z5H6D}MLT9YAa2~kWwa#XSpQjw*TnYIkFeRVXnrFGj_v*e!j8&Fd3O7Vd;X0*{>t&m zz3pMX*JY1`$*wLGdQctwQs~r1zy2tq`L326B1ULbe3LILGpug);y!=%e5=K#5Y$R$ zFxx57CmvPu1a+qh2i_a$(oV_hWqu%LN7^SJVTT0*(LUOa9Tx6r65JIFwj zg5|ZZdt4uQJ+iyoe^}1d@VeB`xy)9FFi(&Q_6E@1@{`()U=S;eccvqgrTH^_5p`if*&pD!}1!hKug5*+-yUd^cUG1|w~uoV zM5|2fQ@nz(LjZ{FL*p_YjK>uq><|PpaYroN(@AhAEZkTU+!YJAq$9rn(E4peye78u zHiUgD!ql(N!ab7&_kxAHjId+z#U!}&&Uk(m2>Za7q{~6M z5es)5VTT})i5s+q;T>?0= z73YP9LxJ|z_JBj%IsS(+w3gFpm?F#ML5n=BF)jzrbPZwpH5lr|cORGu6LIYrhVzXI zbk^#N+o6uGgSo1jr($pFIu$$qw)UPfe*n=x_8cs9>hR4A4DDFnL-AcC3g%2v-^FNz zvcD~Kin{kF;TCJFQ`M8EE$KZFX1|U5NpWRKQSJ7+^2!=~Lzxd4ycbQyp5#*%{iJ!1 zC~~Mr4035|K~v$gF^&&zFFkmgaju@v(j3eeAKaH2ysuDv8GI8O?A7Rz)5`piFIkAJ zQ_-JCV2XT(z^UYOFd&@@Zn=Z^r{c7lT0Zt`xJesYO)Ve9O-V2Ehl$l=6rPD&51^0g zru8;0&I~L@4yI9d?~CPM?vjJdZgtIvF;rpx0(AQ7|ztN608k~wGG=N3%HSx|!u7~BoF6&oz8=y!w0*%gW_{A`pFzGjCUQ3&X23_>~@BrKsW9&IN}O* zsr#f{Pm%g9ItG_=QZ7F>qhYu)=^1#jM98}pO)?oyp93kDl#pRpXS52I9a$D_&{_<> zt``Z(R>)Ruv}=4WSfX(isk@2hL_G|?rPUQtq}hB#^EGhG9#is}KMsh5xRZCK*$6Y` z81*KuOOc*vcZ{rX1@X&!{P15g`DT2fp_j>Te|J}JxW`$RwOqH_cz(-`daZU_QdAz~=+q*I1hHRdB-E$DPPrh_! zlwm4*@V*BgPypG*T{|-Y5D$7}Hd|yC*000}_9+`Ukam&A}YDZP|o+`;sO?XVT zJX6Kt{v@7q9NthJJw8`6Hy9Oge;@D5YS6^TG@*$(PsMtjgpblbetwJjjB#csM)Ejv z5yN^pb4<~={Rs7V_mL{%LD1I6k)Q4qb4AG79)vVgzsx{pfU-zeEe}I9@nO(7?uq4) z++%i#DU6K#dP(#d$jzcoc_eE4mQdti2+y{-*Yf6_$8b!jew0Hr71>S>7YY-(>Z!(0!h~&p&gO|4l2x3`X{%WM3J^!(csA_9Qz2)_VxOVG zW>cjKKFKj@I~4Z+Ef|{ZhIJ~=`RwF`1ix^LFYRH%K@FI@!t#?Kyhso$o4B3d=vTA> zW2#M%&$Js_Q0P#gqc@1_k=KMeDhE$cN~lZyO`x~BlwH-2yKY#1OAoeW{a`J6NV~ii z{tu_OVLR$Mi5`>cO&%oOAmv5R7K_I%-DpFHaL_cj1-f>NVme#4?idhtC)z5m!d5=# z;V3BXqZT_z$z6O=Sijd9BD5q)w!ZqomQ%j41?>FEQU`IXp_ z+V;SBCSl!oI_wjSsDT=ObKlSq!Zq+o)8M$SO58aE$92K<-o*7o?pr88ye97Rc*+Gw zzLyOg*J(^-Eo=Ac_X5cu!I^%+4{Fs4b zyUys3mn+*{d$-;JW!K9}JoV^L&;!#g zSTV+0H=ghC{!4A>;dVYM6gqjic?F{1Bzscd6S+lF?+Cv1;l96=DPn6THbPOutHoyq z3ps6-tLLt+Htc3E+Trp$WA@^>aLLj5`Z%Yq&*mBOerwl<8(-E#ANXiuJq%fV^>uR6 z!kxEpw!FA{Sd}m8Vdm|{9*)gP!me1Z#Y?G4?_&}v|HjtUS`J6B=o`j9e{pl*0x%{w zi0DTkK=2sm8A8ZAEqUmG}sc)UPLUAauZ0zu35^wL64e zikPVpuP{3Y@l)FhorRu)n~YyQJ|rY|7X-xzh#bEoK0uB695Tb4kJ@(5&#JRnmcE6B z*fP=6Bc_eG7>pHONeMWxKhV_E{zyn}kee76z&^`Ka4mfCTQZC;LPIpNRbi_H6EQ_5 zJ5Fs2YKHW!;+1i9T#ke5sfp8-v2|>u*LOuQugYj3GK0=a{>jP=W@auI>n5)K{aK?O zi2nZF@$H~y*?;Htw%5n9;x1P#{qbUb{e=g#<>mW=hY&WezX`7+y!X3AGL-EU6NPQ+ z25FDW^muw}Z{R_ELmjVacSf-C6x7n)t|#`_CoKKWBkU-dOh2dW=u^*K`h5l4ugQ}3 zuDL^AcVo9nf8ciM4=LZyH>z+G+QE45fZx@{8x6IkMHLl$n@Y+{m9FBt!=-s(zZ^o? z_9;?tP&@uG=TXJo-QmP*cQ3Zl$afRum7OggKzi|p9N)d!i5z`@z&da(^!HB3=`(lNy8_6~X$|{c702k>8Mov+GA*w3cAIe93WRHaYnRuSn~M!W+!GY_^=}=IFv-rRug9UM;_Wel zup@HBkAKfuxYsP)sA})&tK9E1@#7Wi`AU4LUJg@C`*4g~6~c)F^08F5L$&`(KCa^a zpr4Gz2kh0lauR+l7w;o>9u`;BLy~+BaA1H_HL&=ogdtwPQ&3Y>FQ%hv{1GuC z(D9S;6(X^GW^%?V9}be~>fDd3Dmp(bfnv3d^_?j$y&l)=f#ZUU?~Bzd+pqKf_Bacma{Bd=B%Ht40>QiAHv(hIbWcU@8o}SPS1qgp^{`$O@ z3T$%PRkU4qYaZMdu^YL)M-96?cDfy&2%p&wJJNMZE#B|F_F%UEx*vaT=d3@u_4I*j z-+lRk@S2YXe(;g^1wXW^|2x+mJ9+-_?LYX&SpQ2e{_))vpPu!FBcFW3wK*sM<2|>1 zaUhufncIHq3_noz&}aYadqvMb@z~jKFZj`g?;hCs+}X=te#_V2ynC=?-pKI>gO9x0 z^6N`q3B9AbaoYTsI%Wm}o38)m&*rAS>x|R6;r{gYiVH=}-{^j5|DS*D!ZjPWpH12E z>$l8(@xkY2fAp8fpIg&0_^GYm{L1IQ<9qegGym3cZdGsN%cWnP`_1!vH+}7|p7Fl4 zw9xatoqapMb>?RO=og>6eB#c#PCvW*Pu};ruU`MrFP!|)$>~|C{WF?A^-|hDEcwBl zPrmI)*UB3z+8X}qHvivl%4z-dK+*Y!XFl|uX{VeQ2Unzjzv{XE$>X!$pD}pdzkYu11CQryI*|Iu9l`fZYYhDR<(&^ch|jDp zI_C|&rOMOt)Bk+m%#KGtI&I>pkl4%PhNGdKS58b>?sD-~ZR6C!4?Blao^Ne{Q>GbyLOckALOJ-0yCDXhzM)i>5D2PoMMr zTb;D8WIorn=h?S(IkV2Te)hu`{Qq*@tKYkS@GCDZUpzQEeB$`GzI@B3XZOBw?upzD zjbHf8Uw3?N#Ch|{|NQi`U;IGY_dk8j2VVSSWc~JU{P1rMzWBkLmVPJY%{QO#xp7Y5 z-=5eId}{Lp2j(8X_kl;|eD%5uAAEh*dzXE}Gy9Vty7sY>_g+8#;zv^7*7nnBxBuo# zGu!Xm)AEad+8^qBXl=)>|1tBy&W9JC{mt0jUw`|(k9<4*g?qlczw71CzWVAb&p%oG z{AX_Y#*@R%7eDgNZ@%HZU;DGuUpiM%`_q@Jf3pAcU)#Uu)L(pe=5t$ito>Bkx9^^N zu43Wrzxnw+*Q{-RB&F!@UTJ=L*US5lzw%^f-r?ulw;g@f|4$3v+2MC@2jKFz4Jpa zW#9IR@9i7@Qt(fg{xopu>5n|nJNvx{rhjbatf#lEz3xYE*?(>Kygi;7Z@TA;@0C^`Z~ULnymJ1?oiBf9&e<2g{?@JEKl_s_&wjV9^UdGB z+xcSicXAk}O#kt?Ae{)LJm!7?5y?^Qczx>6`&7+mu+snUmuygZ04}9$t=kNXI zORaNW_Kj~ix32CJr#|-gANu_F9{=j6w*TPu=e&!4`h_pN<4d3WNAG)|t^13QoLDkr z?da#;JM&xrynN61>c6=ErMq$;X?^zOJ^s_v7q;E^)ZJYx);&Mx#GgHx_IC?ko^kKH zUYVX_-)HsxvHwG{ew5>d69_x9yAaP;8aMRec-$z$Sq83g%L);)`#I*~Gds^*X@qkN zGfus~;K{^qc2|@2b9Q-bxpul9_f#CCG)cT~96{JoVLd*gf6BnoE*FyEmV7kEkGKki z9rz@ZU&O*aodkE=w)6MA#1$rEzDee&n<9&^| za9ejYDAI%e!y+QnyQ(9Ie8R^@?5N)nr-Y zPnGh=w}^NTd>R)tS-soo`un^3B)Xbh9=DVSC;4r~>o0+}!uGps?p}rCK~}Gk(Vm9l zx_bZq#P|}7-#V~F(p}gc>cH4Z!EtWZ6wY-jN_wo5W;3Dx`sQxGW8>0 zlT5h-$TNcUK?`H!&l%|#jo-wPhbB`l`I=BGivV#q_2SswB=$&@?5Jg=mB&RG}}&wEe?Fq#VRJ6YL@ zAy3+hWa>dVCYgF<{5i^x^kxfVQ7=k z9Q?Lr6Hi{6w<(|eP0|>~6?`Pk69&IBgTIO8Z_4jC(ub1BCoj$0lu!O9X$<3q#~AcD1im$f923jm#19zhL&k5WlZPg={N!tr zDc3oM@+19>g|YFe{}tnrVf-eJJT#ed$=4)P?jZ8CfsfDPW#VbWJ|le)zirvXlb7ag z$|rx5G=_1`N7FnPkv?K!67ZK}JkpKdnuo@la>>^uQ*IgLHiJ)r#mmIA+=q~9YUTlq@T1fCZ6)I80qOhbC*wEnzt#R{7upr#tpOl;8y^bj70g3 z^noPu$xHJ#<&(cj8pF7AEI-muS{NID#Yj*6c|1Sz&}7ObUz1F^S$~n{X#gLu#mmNr zjr2a_H*w^l$&^dJCYf@}kY^O>$1RMFzi6aiF@6(A9-2(K?H?GI2HF??&X=W~5D~OU7TixBFp(hbf1;oH5dpmD99i4P>Ogn(7%d@|isOn|KEp zOQJbg%SurM}$&`3XS{3eb(G?{YA*CbQ!81h^}`lyAm@#(*e z@o^ z3`v*gxW$WUX1Qzr3hf`5Q5T%Xa*j3q*D;-%@q41O_ZwL9n2e}{=5CgcdYPpAl|IW3 zZvBe3gD&5&i%zryd66{kToRl_VI1{LnkVZw(2Fh!k)_W_uQ7fz3wdZV%PaWm1o%od z5i^23L*R4B;$^@E{-lw9#`sMfd1x}_lCMdWdr?L7{dJlrwCyw<$HXllUk zKDaTs;pnb9of`AW+wHzec_xhl=XPH`ZsBwu@*FYJCey|FM6CZL50<9}E;Whn)WtE< z3h=wx2qRgL$=9^E&9@EmHJP}67oNnieuj)RTeiuMc$UWpXY(`L*8p{xHp_F);EODA z#ByfYA z|AEh_#fxd0h)$C6GI-FOu6K1k|}o_dBWgRX7Mudw0FOeK7`+AjIhR%m*#EC zCx4TiVRt>pEu79no~hTwc-XSFOyV2B+Xrlhf!BPrPke)s-e&wJhCDQx#GAMw;KqPC>4MX|*>7Ai(lb(Kd6LL^AcI9Ft5vQa_3D5BU1vk`eKJ zM*4v9n|a7XlPQ;cO)}+rk!K9)CoPPPzhb1P&WPtn9-2(KIm<&v*SrrZJK8AbYW3uEIi8tGSz-^7uJCQ~l?nqqQOWHNprhM`@Nn;r2JAwK~`k;j|@sxkgNFT>{6_jXe!IyhFU{MO zPyQxp4C988$2S}0hf7AH{6=~hzun}Mm*#ECCx4SPM#Oyv{6_jXe!I!{ zfS2ZN$|rx5G=_0C$m5%X^1~$~QGO#mjNfkZ$xHJ#<&(cj8pF6DmjC~?a?ea_iu zsMF+cXekqxkJ=yP*I94pU$$b~p?}#AB`%}1y4v4I`&mYlsQp2Hp7nPAWh=HF`j`Ds z;xbChY@+?-Z)hnKmXF#W#gS;mCNG4ZTo||Di=%C{)s{RS#Le3sBH4u_I9TS zbyY6*t@SE(HjtlhDWkktqV@;*m0(?EWFwYvd&+Jopd9uiIOHdkFpgTK z&!v(*ZbwU*xV*~hUfyEL#FE!KbB6G~<%S?XF4s!=(mhZ~*iZ5wN_<~%I?ls#?d}Vz zj3jPHrNx%d^?#$e-ax}wiuKX(ACd+0E_kcLz3PKOAqXe%$AZ^?K>&T#Il(6R^Q5#t=M+xU-m}L;tcLN?b;1Y3g)Oq5Umo!tzo3DHF?L*4z1) zt=M+xU-m5=Wh=HF`j`Ds;xbArsQpuEe@mILypAs^ z%EXdkeY7#CGP0?dC1D)$6G|9InL0)CSGAN0%PWqJLH^CGk2V%nPd3}dAwQvnanx_& z{{J-2r7dOR@+zzQw`t16vX=GH&V8kzTwH!M%D0}mNy2_pJfXzTg)#&amTUK1C_WFy z?Yg4%XYhX*k8;tnkb&NL4Jw# z)N`D>WlOf(#Up>Agz*$^=e{xdH@B1t%PXGl84Vk0)(g)g9@%aekNkxa#*@5*_aCso zBJdZMl|Aier8fCnNlVUTyD>M7=if#2O)NE*=PQb#-TtPyLMc(M-E-^3ZG0(i*~|uc z|JhjM=YdJ=hWkV7^T6==o62f?wtKD@J}-;QWhmS3d0CotNxZ$fXEpbY^1|(!`w92I zDU)tfPG#fmnh)|fv0h^duVZqv|M~4H-|e=i;t8crxpv#L8=tKbZ_iYa_n(cme5XGz zsqe$>xi0V##-SKWL0*ORXM5Hh+n0@Jv#;&8K!uQayKN2p_?+hLt9?P^J~?OSzLLFo zyu{@ek5VqSt!<%x&y7+pjxR2^Zj^GdJy-2>TPRa*Q%+^nmx{a|}-O4wg<+^dMCRl@zNi0w_3DYhx6dU5-zL4GR5zVu(TkI9E(XxBc)6-qe1 z;<%R*ORK0{%@QkjasPud`8MU^dOEI^gZwS5Ki58?y0WeQk%Zet{z3`+d)?i<|1wOz zmQh6IGeLefSXUL}u*4z!dB%d6cBC=*MD^>+7@Wh=HF`j`Ds;xbBGd=J+@wqJ3dUkT-oE^I?`&yK&tT_S;=g)dCwTTcupwwlI!W z*ls7XSqSn*iy^it8$0k(p$^|lZD%q{({W-;m_MKE74*^U$A)CP-El(xLJ8wZ|BUCq z*ymfNVUebF*<2OmuVek$Vv)^u{VhMCgmI|v)z5q*GAt6Uhvja@w%d*OpW2j*E2{i- z%EYoDSXWwP!!pC|EW4qEey88d?|;bWn{Hu|rgimGF~~2o{%rjuo9+5ZenJW3$Wq7c zPWxNRw3eSvnOGJC>q?7kSY}iETgrug%W6OQd}Av%yAz}K2l-{zpRN6}*|zzuMeSHZ zJJcSiv@~`kS=pP@?dGhU;D(m>(UxARj_v(-SkiJ`E~=5!4*&NYmc~^_=!`)923g`Tr7A0^4H$`LFFF{_2rclO)o-yr~B8Ycg*(s5LEejQ1&)dya&XSHO6?rs;o4 z7OG4hs!kEA&1E<+yUPt;nXF9APssIU$EUSjR{Rs&rC|QPVsVU}gJEPMR2)Y@`CbO) z`!p!uAA#!EFPgsRT%W%fs{Bi!+V>$0&&pW9>2G&g_cR5!eQikrP?&?UF^)W%iE zR@5&W16%1`ye+q@w>1IEjwE^8=oFwk=tmSn11;&-G>%_m05l^WCrN7Rz<>eQb9^#j$udzkOZ`wLMQU{hd(T z_5G%Q8mj!aptkchrauO?|LnbcqrcqkU8Fddf@)*Nn1ixeFqWX|R*W^HyThlapw3Pi z({oV!QUMMv>~({QUEPHG_*zeOTxD#&GuM$Flip7Mq;4PQ#^Ct*_RW8`T;AG#9hBS- zqjqznPks7%DE$Vg_TLZHhrcy_>K?uiPlW2Z(@nn~jzhoO^!`2lv4_u|NB#D4*hBu$ zU@zQi`uThL{ClDLYti1$>Fj?rlzs|SzyA;_o?D>ey9Y{s1+}duCHh)Yfhtoox}W%M znKEXeZ03vwIJ7I<>+a@lvy^RfD&_vOeV*FK$9N)?tb~g1n^1i|;RRk#L$&cGP<{S# z)6a&A_gbhH-e&qtKDwzs-wP^#kaL^r&xNwTr`-%b0Qw%{d`nxyc}t!)#_h{lxr?mc zSAu#~)!V1n?ZfeD4~|dsIX+F_Hc;)aOo)zk8v;93tM}vm2m<*jl>dPloo)ul$DVC| zezM_?ajw9(s+3o@Z&qDv`Y`qCN?XKnIMeHfCw97tYsR^;9DBp`R+|-U%3glhvk3Fp zP}*0a%AKN;tS_-{zbQ#l6G4>|@aEf)FHvh@J2DdR+#ytB(KhP4B|ug-&f=3Y>~l`okM zRe!PRL*qN#_$A}AUFK`C{7>(s4c#McP+Bap|5XPz`_Eu+DTOlT?=Egx?XLogr z_r)6gWa30vplwlKywLakcc5gm>B^UU49do}rYrW;!OV|XYKmR)E%#$KOi%Q8GLPtv z<`Ak=r2I<`VgFrB9gXwtypOlJw<$d_rQ1!REmIZ_)cUIv@_o7SS=CJ*%Dj&ytGa!i zu5V4h>s&dpDLtX|MM>9pWp_3ltLxN9!8MHZSkx{pMeJtcL|CP*8mAR}YFs`BC36n* zy7DCtTHpND^w4iveup^bt{mTVy`pr9{EjguU)bzFUG@IuTV*9l(qcVhajb=s4?@{1 zTe|8?4ukS>lIa7px|zTAxoL~WyPjpySd7NY7Gjd^Jmm_9`!?PHC6Adtz`0}BMSX6{ zvVnXu*B>1R+?{s(p~@3O8D|gox!!xmbKLB0%1`u; zb-hcvDxLX`+?Z_hF)WKee++3H2bCrXx6S4F$waCCPo4NQHsWoe)GBGQULiKE&pq0g z-v`RxA*Sb`@?}Tz4AeH-^)9db{z}qc{q)yFH*0mHztsNXG0Yi`q{R49x9zbC*x!I5E{t+~&{!>hpE9H+O=M=Q1eyp6R~M0Ar=! z^{j7Xzc!&dzS5KL&Na?aYc0M!{v<^xA7v;X zRjBytP(G4B_3>q(YUJTKSTen0`88NK|Fd4<=SIt6H)UUE`nREM+yFHnowMBQZ-Q#a z2OwuJx8C$`K*iAiO5g5-pkllbD&|X|DN(0BjBF_&fD;f#I!gu2b|^3guQ z{3-hy#tlo>%7(g?O^^7tdrm`>@pm5APCxYf_;cwy)t|z>vb)EmRX<03>Z{Z}%qgR} zO5-@Q;Fpd0Xyx_3+|Qxp@20D6hPu(5{mSM!dzSii!^oUAwbI*oIh5RZy4QaOCEcsM zzAKdMVftdz-)Xvh=H!!mt^?P%UdcJ9Yq_=fX84WxE?M0N%+_sh^u8W|k~`ky^#`Hk zx28W~`f+de`3XP29NB*9w{Wk8C7JQtI@FuS%FIqTbN#gZ)ZCQx@F3Tq+nI|#JkIsq z)9t!9_qfjGNms|tE@$w47PA%V3C%_9THcQx_K-ZsW0rL)uVXCsq%UCkq|!9!+1~BO z(pO5$vTQWlt$I^EbpT~!+fD7zxs3VJAakj~Mcgx5n9R4{i`hwU%qep|_HI{sr*luT z9PwiH8#~XUmb7H2OWxDVd85a@^<8{VnPp{EmhU(Rdg8clcO2IuNy;U$kzCkUiF~YN zjN-jC45l3wl8`FQ)+?JZvnejev z7E`pf%$#+nk0d>l+oFTGLABiGOleIp3MYy6O%gJr0dyJbNJn* z&+WqgH|OCVH-oX7 z|DNR!lU8MYJIj0dXKvBhThrB)rWmpoLmtXbQL*su$c*!*)(2~os*OAGzRhKh?Q!3? zvT~u1?RQY}1e8rz^z)-V);G3IMSe6tUFPSfTLQaTEB6K{`B+e|Zt*7X^YNzPv&HLv zGkUzKPxyF_gOXQ4#rt~5nZSMgBA*{04~F%ua7|})zo|mrv7e%EkM_rpW}Vp~<=mn! z7p5l;8s`q;oV5qnDarG=7nEh+#7}91bKhZ^uI(hx%-x#OrgXX~q>WuPrYU`B);Kre z3(@lcyL;^CVhm62k#L>2o%<>rFUp@`o_Y!Q5|;W{Lp?Fn%QHfjMLlk)KCL#TI=P}N z*63WjhI9F%gbUBRL%XtXa-VN-eX>5W)>rMVbmzzAy0V(XEyZti&Rx^Ny%}G3XnwDo zx_pwGx@f>nT|cQ7KM7FzjLO?w(#BFc;(CA2C;dGRKP=(+4b!YnE}CE1eZbl#j9yJ! zU+UW$>NaOehwT|j)AQhkJP+>Y-V(?9evbA1tH=9!0>{Dh5BMJrEUV0Js9PK(bFuQZ z+?!_ktd$M*);Wpx!JNkMDEAs%XZOhdR{EhIj}Jh}zCW_@8Qt@;>w_7+ZpIq+U&h%C z=0-CZgEJORtt1B9%$EkoxWQ$~bYe6n8}}?n$|y}^mq9j?HZkEQEZ<;?X=XuDFd8WYfux^i5kf0MNB zW=Hv<9@g>OoBM^_kM;Gjc_Z^UmP3ysgj6js9)b!#Z(WNk zM`hQKsSHo+;#|*pJ0OWx|-0W9g`tPk!P8|n9xwpeNOf$~GWsg9e- z2H-eHJ%@dVeTRKNXK1z`_m?pr?Xzr0-Mg>e?%ZEkZZ@Bx9&J1Nf^FybMamcMaPD&~ zJ5*j{e>44sZ>8PI(s{g(y;TqEX}>G5-$_bP$Nh@-!>L^yt9X}swZ99d^Zb@zAGG+h zG0Q*k`{2`1a^#)t2k;`ObI7fx?|_ndRiD2vlpG8d%Th~!g{7Zj=`H=1R@s{3+@;&? z!oAYj9J|A36UniS<3-^vZ~I$N@+;G2gOBjodoDdA@3|}v>L%~@bq|D+V@ywsc6^!B z=jL#noU^i*`zJka&LZZU3un}(SEp8nhMC`P8(-&4Rf(T-#@GDO{&P>iEBws4r&+E! z!Ed`zAL4#olKEixoK*E!5m$6h-rn!JA5Oa3eVmiG@Ci+`5$bAlN^K7A#pHta79a5K zy&OuuZ@Tt@D(l->!t+p=HnNT4b6L#bxGev9{7Z@>eb0M2_Kwk(-o|!|$5!z{AJg?v z@=K_(wZrtpFMa+_=g`=Hd^~;*U9puFQ}oVIAM=6cd+=_2BevYHywBTfiir8fue~lC zRoMuh9V`gzS-G>JcqJA3 zMpA<+<9=>^Y0MaN#-gzd2WBw8;T+nxy1UwCPb_q-F8vquX~AN=-eRso#rFrOK79tN zPbdD?>w81>;fqW!n|_1ogIj%{9u8IiOxOj_H~pJ%9Qq@sPyC(FABHM_095`_rk@EF z&wHWd!_fCA=LY&Fl3(~fO+nenK*gMcLwk>%@`|QI|`hzgahicnXP%`!pjkXntT~dN7Q-P{e zGr9+D{1`LFoUv#u!+{k4muvX|&y13;e|_T}9F3v+f58}P?YE4z<9sMt57mb6K(*mU zsD8N5^glz5sqR1e{C7j?7nuGa9E<*#>3xs+F*6s+-bJQwH2rC)F*N^AKL146js6zX zKL&fyZ!`S~%kQfD@-w0G_cr}iQ1QPGO5O%X#vuJ0$uDgTLdBFZ=8Oen*;s`G^ZR(; zZ4&Rh4e-8O(k0jT)q87xAJ?{--q$!6wf6Dz)k_xZ?=AK}L$zh-ao^TGp|-_h(_aPE z_ir%$cy<)&CquRCTTt8L9@9IY@Y`Y#D*we$egCxSbGQ5aeWCJS0+qkq^!Gu<^I<5t z2*!Que&zc%Wy~0JP%#&vVk<$#R585{2lkra_F8#>+kN>Wo=xxXCMWiGjo$V5cQXG4 z{ad$q$Nz-@kX@kKlQ#VfsCIt{D&}uN#ryzN%qKnR`)3VQzpR7G{~A>JckSR0av4;= zv_3B?(gvkxm`}K;e3>~=GR#tZn(GIabth`BNhHh1HmA+$VjjzL4$}3EJS?A>IL1v} z)|at$5NwOXmU*QvBWo`W6I;SO2U8NIVTk`~MpN9?qw>0R|UX=+c3mj#bt z3FoIxX_P6EzqzGMQ=05_V27p2PLLMGra08bn7U^Yx2>h^+6L*G&eb~KOyztt7@cpl zALj=e`*Eo&5pAzY<1|W~J07ZTL|Fk14HJ)z;Sc*Mc?{tj;A+^5xh@xW7c}+*{$ju-JA?`KZgsY`yEp z^LO_7-qrqHzg+02-^W&hlG{y>?ToO^y*BwsKIF%48V<}G@8+$X%^YMFbC8*A!>P`n z<@`2W7x<00U2VYo|H35i|7s|^cbT5#dAxG6Q0WrI8B=*xe8;-_zaHqC59t3IEr40l zmqMkvuW%0uB>&w%=U0+M+f6oCp3)O-OKn$;0i~@a&A%_i{U+=P`-B`^!l5i+Nd^2PJycV7O0rNVET70e>Uw>+{Z(S>gHK* z{teCek>Aj431TjquP348g;Tum_`b4i6=f@U*5M{M+FZBt$*I2n45&JZ>&Ln4*L1s; zjK^{3>CJE-SH)ti!KlW=Hs^)viwsm>7l| zZ97zd?tsz0%!iHSdoxsj-eLNEP;HVFh)Ys}vQdGuQG>GKenZ?m@90|=9nqq9-Ln5g z%ysiMX~@SiZHA9+7brgmLix=>$(x{$jB^#fCD}GHtZfrR!Rl@_Ti=1QdaLOjGaI(^ zX1fUGuOc7Z+nBX-2HR)Ijc5Pw%=>-6)W%o5jZ$XwI4HRoYVC5qfmarakmw_ zxmiB_olsIRy>$+iK1!@_W(nsNO=*-# z%}Ka@S>Ahe<5^{x=HHFtUMKe!^&a!|h4xNl%ez7aVyX^%-%mivGp2{fm5r1eijMdG zU90%rZlz09Q~f9wUf=vqqkClMcN%N9T`BHTyLkUgq2%3AYacQFV#}8u$pk20^Gpxp ztSZiy{XuDxFvfX2Z^=Zd{!g||jA_!eO;n<6F;p$4ze3sT+ttT>vcS_HWR{tW?{k%%g3>){EQ#>E4T7J(w?{6|xeeb7vn=GZg@=6v<1?H`M(dvH< z%F?$@zuEGm^UbM^_Y8~J8q)h5%tt5R-CvL2MAV#Ac@o))xBYuhYwp+NZ}~kM`HDwn zB{kxS^~`+Vj+gA_+x1?kwF^uix4X}m9myOhUoSU3-1csdc#KYD%yS-H@8={+mxOW7 zdqZ=a1?(-ROdOZW=SYk7^a3BpYAD$bwKlMa*XKZ$l^w|iP;p*jx*d;3u6yF=U~bQZ zo5Zq#c!pIm(Az$v;`(7|!C0QTT)?}b>s&v_K;7F_$^AYONJ99j@nz)eb#$ZmW{;gVn)}g}Q|yFtn4 zpw{j({TG(+``VskulK)8Om6ktG7VdOEp6kgxS#iRC6sK1THAl2*AItkL+f@`TGo8! zp-LB_#!p$k^^D-|G10j_-^Op;)|hqklic6?oddOYJe19|pym!AH~rr%{~J)U2`VN@ z&Ej&u^Kqr%z_d=@+fFK`yp97Ko+YNL+1g>YyB7Ia4uFc~Gp28Zl5a!#jjs)~p2epm zFW>5mD}7&7+W1W#;Op-LB_~3yy~p$qT7J82P&7Z~7C&3t_{kpV{hR?M7elRm#q=vI ze~5b{{VTa=%6snpSB}qXpNo%&Rr!goqnm$op5*yoye%_}y`L{b$*)XLoZRhBUen`V zxqO_P$@A~%T@2k%ud!qA*z1PC`LdknQ%Mow!$Hq`kEbMd( z*YI6F+Xgj@$35D-4Yst2rS>A<&iEsy`(}JBOQ0kR)kYuF#OT}>9ZPwCAWzScp^Su1xIlw2IttF+Wx z8`P^>xu>k&&=C!PwYZ)gk4iy*X06=YpyUTZJ@*I3L-g!;JkO4s=Xy5>HfmONldj(S81&TzB-WzI)!zsNbZ4qkQmSHp}{eUsZfs+>X7!#iJA1s`+dU9UUJT=S>t;7u z_x+!S?fSdg#!ozMa%S%;v%l9e@9#LM{<_rkjZpGEOW*4i78jJf0jm5vE&W_e|0s;( z%@Us^uQ-GL-O(n#vem1aoqs@inzY>eOTpM~N%m%SaStuY{e}LSX{N1xRwU>CFt{ZMOnByagFl*rF>vJ`6?gF*-$d})s5Iv z&thXkU?XjHE`^dqS9lv1cl69GgDpu;HiQ1J1a{(fR;>PmP||avZ|77f8HQ?O>ve1) zwr%Ivt%2=|)p^it{N8lgDYeu~?$+3L$$#;_UI-;enI5*SVr{F%cI;fWIIt79&7I`! z&4!YfLan{Z^w(K_Y`@(#mD}d~G6hvP12z70FuL~P_b=UdH{Ko1wTUB+CuZt3KCWY+ zWChgPze3r*3hG$)chmb$_W3iQWIj}14h{2eG0*g)=Sa*gqx&Hg8RmJ#r0omb#E19b ze#&0_?q@%jrOufw^VTxIjeak>XQW?3+M!CruJS`YtRsI#>x;759zFkT+`CxUrrp(3 ze4N{$WX@}SI}U}CqoM3ds%E&@2*U9Lj<7M82d zqJQl@cIgkYTob3Q>5TM8NUJIhd&&>>raI(@b`^V8vFo_V?=i8L9`Q1Dt z+?RW?d_QK^qA9L_n|}hT-##uN*0)nO-j=Nl?~|%*YktMr({;Mv=F_0|q4iKPTnZ&$ zgNprHsJp6jR{4I|A4-3P>905aC#I`S616{V?ao5~JC5<(PwI8yHzTrbVobi#`}!P| zTxI%Cp;8_*T|V-%-E@uB_&(v=vPPQOA)o)L5&UE^5rzp(08C#p^NC=z6y<3wvSS^q58T38YIVvXs&LVHHZR!vUCl zvdc|^X&9H!pvRIWeJP6SOlBEmQQH=u!+!VzJ&SxL&l#5UEHdl#EK>X7biU#Cb{Z9r ziT`Ov`}s2e9VNw5xBh=^-fy3GLiP0pP<@;{)9=sop!VPUp!)Pt*attcn%^qH??Cn8 z%`gccF#QqBANTe|)TeWy`t;RMeU^i&|7NH@UIVr5-Vfz}J=7TZJk*%@uI2yO^6#Wj_zYm{&cInBBX)&lHrr z0BY@ID4QRGiuv=Vf6MZ(g_4`0Vj9|W9N*CxKZf^s#!RQ9#xs^R7fP|Am0z-$D;9Ij zVs=k8$6S0KG3URjXfQrc>hP9QgX|Ab^?4)UfBpbKI=EIxXw8g#0`<@LY$3d;V*7P$hzxBC)p68G9Rpyyh z>TGZ0R4BRB^kLTX=Oo;EmdzP^roV{iwqY7&YUqjgHJ1s~n%>i1-Ta<*#o{PhoAa=O zEyeZ-lnfPooA!kg-xhza(z717r*DgU+V@)x8|m}Bjn_fddKZl9M(51vet7hpC~b8s z@@sV-wX%OTeVB@=_b1!|EWdca&HLzwFwKAai{Iw){m|C$KUl|tHc|Tu=isZXca-F3QvtDDp&JvATbgloLWy1#=+is^c z;tcDx?k{PJrAiFh5BV6r2qhCg?DcRv$16L)&_jS{zyAHN0ivR3a*DDm&lAMEt*jK*Br z%9bdrKB+*Jtifn3{exqu*|^SZR84;zs%+nW{@xw)}4Z4&}KI{+N%+U(Woc zu&Xi|C>uE_e+8Je`X7aoFPeTmRLX-eifx1U*8>~=4#wZ&5r4(Feb|*$%wG*=tlp=g zbVk_4I|>W=UWM-Gtl_um7bo0>EE_(;{!hJ7AF0#Hc3i?f9lQ%< z^ZCYaDdIA%4C{pJ7RQL4_WH;-DvF;=xX-af3$VA&e`UEUStH>L4g{;;0+6@9-U7q?H_*#A1nxfFX%LcXB3RSt%8 zf$|HU`+6z)c|UIUhmymf){cg<`Esc7d=ixJIF6lY+sls!z8&_3gqy{(@dDqcp+0IK z+P0IQqS`WBze{L-Cvr>Brt%kkOh1JZ)vHiXQiD-y!uL%IsvQ~V&vlLWG9CBgtUV*| zn~kRDzT|y=0!qFNwe~Hj{<<2<&kaz=tUI9OE~xkoSmb*oTy>#*JXqYM6t zIP2zbuP=N5he53!4WsP}qwNY6=V?&#MyMF$eKy{n71HluS$m=1o}u2fJ=^bp(es$p z7~k$J^mE0=cbT(o+LrpNkL7SEc^%Z+8Ky^lX*Nr;Id!a?aB9ob6D0CefvXQanvl1iZR*Yw`m%-zSm!B6I1JWtKmy_r+m%Fwh2mZ zf=a(1YCBH4%x}ltq4b4NqB7YwzHbhEXDO?4tAaWO>PSjZmMTzoYqF(#nl?|Z2XFJ&K)1uY=j@h~a%IaOFKV|u{ zoi*Ees4~S7AB}epGHrZ}wC8dk=MpG69ct}rsCGSLdiOVc{t76+lCt@(nQu4N$B=@p z@3^gcmKfq#3TFEf^HqcLn)#-Wb;^)Pi`cR_=%^y`9UT zuSFlLUUdJY@y(O&{Tk!AYGsGN?d$FX1e(h)Q|h9X7$Ej9&I)UT=jAanLB z$5rC*;ix?ugZl1!zWl|Ty#L#v{98NIiHY3%=UpM*DUZG>5}lujrG9n7z3Kbh)BY64 zXU$3Zy^QqtvZRzoUo`9Nu`TuFCpnH7N9G-k^HVj5G5rG{)2pGxV#~)d%1#D5lKhB0 zeXpotZ%1G+-iDQHyxreI$)s!jHk<<`vRgE}6=Tilx{15xo<(Y5W1Pi4TA9PP?4Dyj zzGObjrr!*8Y`e$wKS1q6J4{#I6uu=Hs7P~AbqY{rOHlh+1#17Q8C{RpQ^pMR`%2?^ z`qJmohSoMcm!A5eZ}SOI@=2(*FF?ip4XC&`LB)OHkNk0A2h)mS$s$J6)odfhz!Z&QkQIVP`fe63vjX#T%pJ3QBRs#rffZvC>)^}e58 z2qi0^`r;i>{dunGU$Ok(L-oy*P~)!S2CvVB>Z8-4dgUFa-v_n*eq;IroBj5C1giYw zQ1<=?C9{6)?^E0ZC1Y+(ME4^mLP;9x+1sI}pAGfw>}u1seT($FBn?$73)QB)=@nxQ z`t395x6hT$+h@anVEee6{5X37l)MOP?PRDJUU#$a*ZZLKhoJK3+~WITPbhr}RR5k} z`iW4Y_PIXaz7$ky2Fg|rYMT{cyK9}wKhd5d{^aX6^ZTI1@mnZgk3;$TE7bNId#jJH z2dbW=OdOIbRBj!rZgPT;GYv=kR^`@zBG!!gJl|sY6qLUbl)uZMV!a9~)*nL2_0UJk zF@abl1sK(Zs#}37Q-iAOCfe~8YW!xPYUW_OK2Prd+~XnTy$#YEf6x4mm4AlOgg99^o#(HJr zYi>HG=7Kome*5oc-r9c+RP0-zw#)BK@4v%uuM|{%7OD?Uhw6hfq59xGP<`+LsO@qg zRJl(;)%yz6x$k<@?}a+{)u8(1_fUPb9jed%4plGt6aBTh4*e#n7;EasXpZB5H={mW z_bh#w!Irl3DQ5FBDC^%f{RybH|HJgC?^pZpt+)a2I}KGQ3sp7`eGH9nWt5*KhIo6H z?)0(z5K10{TANt)G3*VspS;)fe}&3l4<%|x(PFA72F6qL9k})W3n=P~Ep6hcP+xT) zHamOYdtS{4C*CvV`AD2-CvnVL7(tP<&mz+W&r4 zX%bmfpNU8CJTe1gDKSRE=fz4dkf!mh^$PyA{sfdH?)CjU6)IizCEtMZahvIiKer{} z&b`0+8EBZ67}U3@C%8erLp;cLhzD2mzpVy3<8SUp*Y@jZgZ$LYuA4H>l^*cEpMjD| zKld^14<&~~)rs%fYCT20@SK=Aps`O^9`xmZ4JFT*Zu>$yk?C}qMeL&s$29$JyWM?W z`O3&wv@IInidhx<`?dG?l83y%`=IKL`0Hd$FQKnv#`loheKRc1Yb_h=t&Gb*2mO6+ zp7Z@a;mRzzujrluTbO5C&1szXgEAvA=Qy9Q>2@da9?Hn~)K~I%SUJoVjH+^IqTmKKu=6^KuJ+w}qz3SbxB4y>LiXTZG%0hCg zXBzt7&Kp{!KCK1)5yw@t`V;@`?d=M+_EytZLvNjJCA-mn)VL4ZeMn>b7Om{nR`(9m z<35(1vc*`1@>3rr)^uPujx}cfFFvNvL&^7`)^3C9v->UmK^VuLnnvuSe9M1D;LAPf z>lC5n%ch&}rvGVBCGYnvTfgS---WvTX5=%N_ZI>`*&W``nNac}(^W60dM*9DF{oRz zvbR{>2Tk|$zQ+IOs!~Vxvgb1{p7Q>Nq2w*5NAI{T;=N^#0pa;8b13Jpk^79yC71bc zoG4u)E9z^p`|B;|Fyi0o97dXAp}4C*?PHnwS0BsXP-};oek@ek5_KfsfbzB3^f1o6 z;%xa2kJ2PzjF-2>SjSdtj8)R&7;_fG`z@x=K-v4c>Cae9gVTMC!{k-T`wh$9m)kvF zj?H13@9XGzn^XDp-xBUXmTUIY{}R6)oY{pjx#*(8wt^JZBO=UAM?w<;AYW+_zl4pGXOoOt2km(0Q z$x^8DvM)IUDu(k-58F^x8#pH5S=RqV;Uk8d12{U_<{;X8uavN9X%ZgLgOn2aT@# zlrK?)>Ra*9Z#8eXJZ)J)y5azlWJrtkY{JKQxK66b+o0?eOn(fjtn5h6fhu{0>8;1c zy7ghj@@ufr;cZ+FB^4+iKe6=IcBc-f-6{Gn{$^Fp|MyI_S$UGM&FOM;o6|FPZnGmT zZgb82ZsvD@lBb~T_452kQh+KeJCehpa?Uh;K-*-|r^P4?}NHVyvX!hEdLfh_!vB6uj348+ zUg75hp+251|D8*W#ABXnqadVe%qTKh~#Ke_u zTt!bKIrgyK>PL3F^XQ~_E|g+^p?YUQ$)%ILZuukM0rUS)m;a;7v&kv!&;0-6O8jiH z%>NmBm}TRYKJHL|w*Px9zcu0&9p6lBH|LywRAYWP67#M;#*?AsL#BTWYP|f`^pR^9 z#>dEahj^z?{!;i8Gx8hEu`+G^)#rJCGv|AM2SL^S7bt)4G<~Gc;%hX%ak2~V0!-ll z8BFl+v}RQ=hfhhtSW*npHB9sOl~%QhCGLad?mmX|pyZQKv0P{RjZitu7Wn+rp?pg! z=C=k_$IZ5V6l(voH_-nAM;T6vI+ko&xt}|l zb80{RYVUDLk43R+S^K>nmx&W$a;~5AmG|>8{2WT2GF|zS*--TlG`)3Bkj9tVkg@z6 z%r5jc-wajvOelG;rH}T1ms;Pc%bV?j*)BoZtC%j9_V>OjP2f6e^4l=q*45>dV+G2MaQ?*b@U0u|TEP-VVz zkWar3s^4}xe%f(6zIT;8WQBYxj&m2V zg!iPvv=JNeIb;-Dq1~o+s~3$6`eyJbie<<5ZQCwtP2+qKl-X&lOy>7;OW40Ba=-pm z7{(pz=aJsSeg0UFsdSZ(`GpoePXC|gsV(hT^1non8f9$zN7}F zyJ4FnLFp;j?%PZY0{f%QPfF(J8S~qJia##y1tt4J`FIOd$~vgy<(Ez0X!$yR<#J=( znJm%qOZ)g5|9@=5bbl|f@jheb*lt%o)%&;~N_Ks{*Q1zuMjY)AyV&*guGVH_1`f>V z)Ga?O;xcuT6>eHdE0M*lJiXeG}QUvdeiTQD)B4R)dxBAS&+|3%ulxYXSh4s z_)EXR`#BLx&Nuy&P<}pddh~z4R}OL zy1pehDY3hY|9hS4FQvZ9mKht88dM#(i=88(wqpiH^J`H1d7bG>t5H6Zd2H)w?%22&UBPzi_{tH3 z>YZ<~Tnc6LD$_SvzRILzGkC7FHLzE;a&@aW{!QL)v=5y6zvNtS-p+A^?b&pK%{^(S zBqzSzw__HR901in$C;kB{C2;st(m<7c5+tk2UhQ1)BQZh<`?n#w~O(`HFo@eGW`G6 z<~ow+hQ)KnxX-X`(Qn!{dbz*YoW?i}%8Z=DNBiHT@j1TbUQ5&bD?G2O5A}bs*G%Lc z?+N^GY~IsfJ*76eI#Bt)CS858c>@0jx{v<@J)UDQ`s%6G=sM#6hV*D#1<#FJbnUAp z?W?o-)+zs6yl=h#c2q~sf9tAyS>rfRzR-{F;i4bkk3!XtzRSKWdVfAWEc@FzFY^DH z$MRddEX+|-DS(Cy7RVk zU+H1K1Iu?GN|b$wW!{ZG{$`ycI%OSY9c3ML!+gbAQ=Bcw+!aA=^^%We%IAG-E56`$ z)lJT)Zi@TZjsLq?(XZ6hzCvK5V0Cu?qPJ1}inpQuuCV?ymhhUtDUC9zuX6pz5`V)% z>xZ+v<|f)amh&99OcIspU)9&cul@OEXbz{56+c#$Z zdcU17f|A2;usJGJ%1NfLgcA95yZP~%h8mw)82Mb?@R@lQp9O5m&X>*RU(H9~X76)4 zl-*${QQ5rtEXo&sr){8P!@4$p(m(e0kAsrapzNJx`q`E*yJgvHIcAjuo4Fgktq(xS zznLD!ko*@vZ>n01by%@-zqEQ!Ld7utCU2)7%743g(_*ui+@0f&m3xoXyU6rtyew}# z8?x;g?dNIg$#xbF?Agn6)9G&Z-9zOr+t75j6RK2giK-oR=F7Imx zl|Mk7 z+uGAlY4l6x9v{PHP;%YR8tt#jMszQ3BKP7ZxSrKxD|~}6I%j198+EI5(!JisiT5>Z z)So4eRe_DN)tRxy+t}~^hK=Nc(c>rvHgZVkiRK;H z*eQ);Oi*T2I}c)qr5@U8O2bZ|k80GGR6D=I4oe}l)0BptKyMz? zU2Vp>rHzbf#`{j=JV@K=er+_T%tigqeedGKW87sd^QwNn7wS#dWjoDHd2YRs|CQO^ zep9-(dp;VU@wd1ezgt|FYwTyG-}*6fE0mnK)y7PhT?@txecH8KJZ~%hZj8H>Wrx}` zmbo1Fv7~>K#oguG*s6zh6l+nja!%%05S?GjL5%MAKBnEEWRdCdHD(+~p7gU>3i4@j zNdFkiI*X%K59=t7itUdzsPl%~!!r$aZzcY`X*uqm9+!y|VTJx!DsJ=so`#Y;NLTsCp=6jP{lpk|EK3<1 z!*jaboO`<5RK8;{fqM*Nc}^APC%QXa_oXZW8A?k+vT5lLOmJ-d$DbI>vOxU8Q|QObaR&XN52i4u)e1@t~%C#U*Xio zwyt1H^83Nl=o4 z-a5xivtN|`-Fw{bysI-gG0%;>iAcZ6PxtE^@y34gX6H+0_q$Nr>Mql7eA@f@4V2ve zSFisbN^X0`>%W7NO@H^gKQ}S|V$M0pHL~VlinpQ|`~5aiOz9w|@;`jtpF_!?Ojo^{ z>J94uVmIF7UJ=wyGSXFUNi^b}d!^}0b9+;FMvogfbwa)I)ldI_a1}!#u#>lXpEo<_ zj`4P7CxsnJ#;A2gnhSKLNp!4A?&Hfx3H({{CH+dzxdP?aMr)0A2Zxz^mq3W~rOWZ#h zi)Rg#+yLd{w@^OPJ-(e^gVL{p%D)~;?tzL?k|G{S2C7U>@$J*gcAM@}+jhRb+VL#$ zC40Tyqo8C1l+8DCFGF$6?(_NrC^-`PDCk@Hjo*v0|NEKZcQkRE3s&~?R`>CsZfT@$ zzw5oyzfZq3sGFML{TvP@pE6y(t0Q$A|8F!O)U8$uNLR{timcc1AGo8Hg7LNU#OlEa|3_v5BNZTVdTK7W6x^5MBpdby=d zi-TBFlYE_hpk#&Vs#k5Pmka9Ut=!pG?~0&aGVS}KPW_?%#<{sGU&K41hx7ZSgI)X` z_;uLg^ARKCFYc3y*?HS!-`1O;Bsu8yYoSE(W$@$A2aW54au8#Bif`L1pyX?&w>vL| z_h-A`(0qSZdMxUHEk``qJnzX7i|)_Xrh1>_r+J@4P_Zgsat2iWkC;BpdTDwG|Kq%) zX)Y6{g|Vd-8_&Q8mQBd_<;F*I2c^Xl$M zmmR-P9Bn7AbNn?*uS?JBaPMMS{7cQDI0~(4P2+eOlxdxV<@nv&IV@|V`Wznv-Nr<8 zf2vA6>c_e<$+#Liq{r>C=)mqNi5GM?p4RyHjTfKZ?M{91&f_Z{XW2QvA8vt?Uz-+V{+K61nn`>fCRb&lE1 z`#ceP3*1AIjh4AhIjEc3-IrYkC2ur6?jwz(^nwnzoF$wShiP$H`OLTRmt56|)$Qs1 z?GGhKnjYIo9Ngg!UexJ-UuxIcvRl-4*{$*aZ}skX9=oSvW27JU^0r^Qx3_&iRNd&B zWS5b0e!F8&QdYdWhr8O}!=0XQ#2VXmFYtDzLdhOb`@>15%SURVx96bpBw`j_wenDN zkD{?`tQzZ3<&ygoPxLOv9!>9JWZJ|P$M?^&VD0&w#ebEx=MPXZJPj4=+IG2x z2~cyzlT3dbRI3Z77omA!^%^;??uO0veh+8?|Zv+7yeolN`f z@^&aW7pl*zrf-EDIozL3pShneFTX|eS2k8#{1%_ZZ^rC=5lXIr(KcV`_32Q4=bL^k zlut?B{3W?}EJ;KE?$M-?caJtbi|@SIdeCe?3g!E-{k`v3Lis+`^mR~vN3P3t4`>m; z?P_xmOXaePr_Fn6?##x1Q9H=n99Zmq9snaBvCS4n$MoggccpfMT z4eEY??j1bb>H2vOq1Wym6b|cf_p)4Lu{P_Be#$$_JIXumHH7*8Hz)kogf>s1pQkLe z-<;4lg-nOLk!99zd>@2*bdO>Q_b7N?IXt<`P3BzKyOO@+8AR&E9q!dE%T-U?_MXm2 z|0roWv!(ooPK=b%`P5;%nn5KgxJo5R9kvOZ@nG9h7{) zbd9OvDD|^J{i>Bu9O3KFhtYTp@6QJ7t>?qF*iw1NeWLV{zV3-oa<=JF`_|CED}#N< z?)j>2S#fH-tlaq-&dqOc>^t?Ny#4t{d;4#Osz0(nM$cXw^CIO{u`Q_^lLz=~(li{H z)8(clCcBCG3Aw(kpSRTmf1{ln3QN7;&qK*ippGN+Uh4IqK^;eqJjNfx&xg`K2PNMz zy<+-q$9j8;H><{Z=4H2NTGW#0`YSD5a{kiVZVJ9+F#icqnY+t|$nc3az;InKwl z3Q9f#W#_vSugYY0Z_4j*Yxb_O6O{~?dk73EneT*xiV*9q~S1$AU@{yNq?GOI>Yqhu1`0mlB zz;?~*?E4CD^Bk!9lA>%p$Ftm8U@NoS*Zn$_JZ-vcm95Ry5u5yvDE=qgY<>@#wD*^~ zD*pdZcfX@CR^u^Md8N0VdX=}mA5_~5reE{wM%;CL$Y=5(;@3BHxn~spf5vT%JA~2w z$u@rKX6IEayq_;Y**fw>@9%q1x+IN#F)KeQ{qA;}i~l!a{C?SrHa>Fy;%$5zO2(b! z^=qKoboy%=KJv27Z)j$)9s5={kC8%P+nwy|91SHGn;wr(#aWfDHoxDl26n2acsox) z$zHE*#8RieB)J$nE$>O}2yDdLENgYwK*@Pf?b~4KR*rW&nTv2AS@tQ0yQ758Qlx*`&dvlGf?y392}U&Zw2{&O5?7)zi*U!=gwm+j{85#OwRY!S}6G< zRQy*%9W!n>z3X-Un0^dYJ61s5H~kFM{Qe54c5H@f$4{Z=?~hx4>QrBE36!Vfq5PZy z<)>i!MNsk;sD70c=@UuWST)wwS2M@DN!Q0`GT%>2pGQAcWBcaskk|WoUJ51ehKlPe zrau9d)3ws)4?@KjzDJYCZ|XFkejHT$a!}&G>p6jax=;5@Mt)nSeR>1+qINP?D^~xH zR_~i{@b>-&C5N4E_Mv2z>F+ZA6Q+-RJ8pI37+NtuW&9*pc{@cYxyG5hRwu?Q- z&5dlA=I6Ldk41558Qj*~jym?1lAb)n`#T0oo*`Z3=O60*53^n%?-G{JVne#tGjHWS zlyqI|aQ)JhrtiEw%=gak;aI}FVCNT1`~v&yh+uNfc3vqkh>yK0E zXS)uG;@0zM#VxkR)vAYW4%g#$#|-nr^?t66Id4YaOAFWaT^`bTCZ3PpU4O3iB>#Vg zGRcK~yu&++&9F=uL%2R#-O=I+>xS$9tew%yhcSoigQI+3WBJHC__=6&ui?JH2f4Sw zviQ$_9|-k!&l{S?%JbdcVcY*R>*M+60l%|~#$LESip?S3(Vw((Chthj;J0T}x!>u( z{ZV50H??E$Ubi=WF@^no3VjscJK1SJ)p%WR<9{Ix{OZ_NIouyxYEZg3a!>inF?ron z?q1vNmdU>#`y>Bfs9N;LtL~5cNe{-{&27AIm$)egU zW;{mIpY=9RfRX~#dHF)qud@7|&Mm>dwo~5!^l>PR<^Q>L`+Z{6uK%6u8h5pk@z(gw zYc&{e^(*{1n{uTeXD30`lO!{Y)#w?-WRCTdT=(7nzRZrGe#y#TWA&r)yV{QZP+P&U5{wa-0d`kyRcWlH#y zRE#yKvhKw`J!Q;5U4Q3HFBnTuGwO=zHKSYNze|*Yl8iA2_1&C;=_O+Y>RZk=)7?wV zKO9tj({siGoJM-d^op?tXOQlWu=>UfoK14h^n$SjQ>0f+uNmEuUB_ZA=JM0nCaub>-VuqP$e>^9}guLKwYaoVEPkK`_q{3`TJRW zL+LMsl6OOmpl_Of6V!foFVuamXH4(i&V-v+2}tN+OK+bzHE`yFmF=@}?F5o*6&1*gCZP5-Rr{{l`WJ^2G)eh;Yq zbupX{Uu*hXEdO#iM0(Bir=a%Z?rS>SOt>$Uekhc@7tVrZ({F*=zqi0S@E@l4UF*vq z2j`MrF#TefLf;67;hm=c!t!VRu*2;_dKOCF2zP~R;XL?nreALPkHYz+&;5}vzZmK` zaWvc=zSZ>iSpGF|0qJ$qdnz5#@nbsNlk^vvejJos0QZ8|nf?IOapiY#ADFz(>$9Qc z6!-$T-t@0S9dEu5)9}}(KW6#!ukVPyYjz@(tcE&Hp9}T9vW=#H$MW~Np>Zy(a17JA zqz1K5xTC$EGG?I0e$MoQu>>{7E2h_sd`vPL%PAmp`M9+!1T{S?N?=} zb4JbdKUn_WH~Di&4yyiHQ0I=1K%FywVERp#zsJq~7;rLF`FBF?Z|k7W8JkSsZ26tH z_;bi&sPZp|+7Dk3bHlW=zk)i4Oup5Z-y3TGJRItr@mAB{ZTa7aI)^-F`ncQt zxnl_G9Pv`qUkT;^BB*o7Ev7#NwLfo%I%mwg-Ro(n@_DFp$d^pN7HU7g6Q?Tn2ZA519Ul<6L>&1P zD4ULmSP}5|exLK)%$dog3$1+l<9A-UdCzl}=XuU^)_d-`=K?uqvu|uTXWKd6wnHn!Nu76Zdi;-I(7SdS4*j;X>QbZ<1f*^P7A; zW5;{8btQV=D*YVCcFJpdak26_o++3T}pt7DAKy&`KqknUSTGn{TPkUS0&+O~Nlhiv*e z&XHYJnwf8($c~AuUy1C03C(c2c_RDl9J24oA)9`Vb7bF|B|9Rr-LDxN9}c8jA~eJ4 zt`gaI<&d4oA)9`Vb7Xr4XXZODvLhnvHj(|J&;#BpTKas!9emjNNBsfJzwwJ#^~obM|Sy;%zXPqc2HzpBC_unn&EWw zME2Q2XRoc-LKbz6Ae%R5UE@Cr)Uw}5m%5xNq; zgRc)zm*eV*@!J%bSzvEihM#^Ondz6kM`erX>z+4IdEYR4eho3s^l=_>gC(;~A!gS6uqoASNxV$FxV9KW&f^MQ7pA2wk9^EnW zndWLBW8Nb44jhGdAL#w3(Pb`>ekTK|O8`jMCgFDb-3)#a@m~vMy8D1k_q5PkfOM|G1vs<+AT`)k zr3yot?YSrd`}2&Zy7YNT^m80JkazxwzV=TI&$NFWdC9haNc(a&*?P7R~_>NFdEJ|`m3e@b7Sl=vk@r;-0L_F4&~%^6NN7)ZPNfYg<| z=xkk+Idr9;;~ZV{NB7k=m8EMO{Il&B62G|U_D|8Z{$r{CqSM!b)b*!8>PlX8W)6}5 z-dNFvrd}l_T-BNQ9$-v(J_IC>O+fN|5lHurglF^g3Qr$+&;^BOg!Csy&wYU8IRQwX zQ-E}}5?fKI?(xzgg%PBz#AF zBjBq(HToS6q&pEvKCMEBCEPCaJCM#kCy}({n3mVm<(c&`37uKCG2!p`x*Z8kw2I9FI_Y(&~|PMuqcvt4OxUXEWg{CrMCpdWIw>zqgY+^&4x z9=-&mYY>{@bcX`T`zRoFCNDbs42_11WG;oUsHDG3_{D{`<8QtgXL08nxsL(q-W1v% z4{I+eQr-d+F94+by3jVi5aQ{66!KFNo>yq%9}Hxe&38NE2gQHA#Cyt#mt*g_=TgHz zA$d;$eFF{OAdq&s97wlT{QFyLsTE%CdSgc~FfM6c7hXe)46iXjt@|+6k3)2y&bAT~ z9)95w0!Ah7;#n)TwJYrlUL(lJd`^4J4(ml{4t0Ga*)p|kJ#)*x+cu!;L? zAl*Rh?;}4mULKawzxys@J4?4g+L(ThqbyzzkIigXDd?ozyYSusr29zdkA=4Tl~&4f zn=xC@7jwv&FfLP$8)G}=xUq+jdGG+~zAE%tLYq2gor5R$tx?EeIxqOp`GHAETZ27^ zbYBHBk4uGK1!Njq_sHeYy##C2Gt=j{Xg|G%X5A+vp5vT8$uquhKUE4ppA!)nlYH8K zA^6oYO!V0(`u$C4hSOaDWIuHskoh1lI%AW;So18!m{Y=cxb+(29ng{ai%YyD(1Z7* z=(h?;cO8(tekAlg5^mchdPQHGXum9*(9dy>O{VPI*CrnL`J9MA?}EO4w}KySk`R5i ziGKSIGx{@}ZU~Sz`7)6CATK&w|Bci?tM1d!aZJZ+W0qci@M4|xi_Aqpy1Rs4FLWjR z;`se8uJezTbrI~l&hSGU9SpyMX7q(tTwBj1^|aazX~#J_p5e)~T}X87!M(pOYarc! zg#J`$>gdOw%=39!I%fD;I@nmDqSyPP zXBFPbrrr#vdk;uG{{^I;(b`j{t{PkdN5D^}?0O=kQ+Ma{lA@L*N=SE+_w6lS9 ztwP)Jw@dsO;`d1SR*7GPy`)U#mq8&{_JL2~UY+Ke|g}P~-dq&!E%onel@gAbj z@dU;pOOJ0j^M(930O?*7`X!-lIiV~$q#ftT$)AuZCn<8G`xrUvfOHQE{R^R8m~WTk zo%!;5^R7>MojD!8O!o^5^?k$|$fK^R0rxrw7=FJ5(%E{(sVC3$kTp`$j(#j}{$8J@ zrw=@75AyfmcebN{5dN%(5g?_;fJxCqRhjXm0Z11B@>eU6&y`mKnf4+edHF5-HfyMecq)t9yO!SBYDWm*AqxZf*{;C2}@99A5 zJrhV?lt)*#FTcq-1?MkK#`}#EmGezb&U@Tfn{o<54qXJuv@yXrFfRPw2ht5X$mlT* z$hhYMSyopF9R*U4eGfL_JCa`u`96u)1*E$S$b8-}{(lFOf5{<+pBqU2-xu00mk{{b z?TfVIobvg2|EzLB9$7AN;rBd{Zq%VhUOAA?ma~R(taTjHj&tNR;|w~V<4HVSd8PgZ`y_N_sCi{Tvyz3x6lFWcfrE%g2M?*^d4}_|uLNASK6uZqem% zAo)f`ryGDe4v=;29w5^`2_!F{$gp+amP2>?W$S)rRi>Si;MLnsgfB$(I?7XDm39K8 zUOr$_bSXH@=pF>pEdlaZ2uR%{K!@gww%@=&%1n+ZcuIQIb=_B4>X?l;-wWh#*Lbwb}J^g}}Dl098yrx1s{_n%^T9}Oh0?*i#= z7rNkZLyrV9{9vJ-{0BiljLXiy?Pu22^qxEgXRCh)#;tiE?=dC>27h|e^<*m}v= zcW|+yHoP3aL*VBF?YI>5V*T_v9>5;)qijEZJB~aZ7ZU%d74C#_-x8gJ@o6R>b<<70 zTY=2)`9j|YWXR8iE;_>G-wUK`08$s~MfVFJe{BU)MoRo`JN4wS6a8}8sTJ>eksmL| zPWABffp%Qz%)WN=iXU}zmjUvM87C7$AQ& z1IdFr(%E*{LOYbR&rQD%K|kuq%jt7x;|xz9Xvg({m(y=Wg|8Dvj2&fkc?d{8zXsAJ z#GmQuY+bOR)JGTkW$Tj2(j{f-lE|Tp=V+tLQ9$xK4oK%0f2OCa#1(_zCS3NJav6Sz z<2fc@orkM^qV$P4C)xIM4KBoUEw{qC$#I<66wF?~sOrsUdJho&9OvYBBl!CqPoVoO zxd(@Amh(S>bO(IJ&#NY`zJ-*g@z-t^DbdrOwyDwx(gD!h9{?_>_W zJ+q7+3xRZDAoKVwAoactNSO}{{W6exQx09Oat%RG#_>oT#?y8KO`aj3XSU%J1kx=B zGVD?yhWQ_#m8d98*JG-&5(G&*uEg800<$c8nj*k{uV>Ng%^jqnSr} z1bsmEk3k@F77;oIe~ zm;|l$Ycl8oa&Nj1m=ar@Jjd9q14x@)1fn^Enz3>mkYmF%p;rQ_^PNEE@n=A` z_;-Y^IM#%p0A!vQ1DWTq1G(?>N+9=p-UQ@+&pUzS_Yja8eF9`&Cf4GedEita_X&rD zUJGP+0!UlD1EekTj?35kfXjf~|LXyA|L;Vgwk44KJAky!<-l^#_W`-@cPo%K`mcmn z98rR^;A1!oevL|hU1vZr&u{9^_X&`BDm%f{lXf88^+5i*3&?u1N$7n}H063Cka?^JvYxCK`aTJN9mqVZ zd8VF>2C|;)4`e+#9LRby3rHK(0V(eaAoKDoAnVC9K-QDNCmH%EAj6jfX^ZawX^T66 z+%JAVkoDvVAnVBsK*oOqNd6;EHu;|hWIbsDvYvbgNE@w}aJ$bg`>U);lh|lcKj9VUE5Is#C+exn&z$Pg-=o@M@lTy;_*`(7 z;rkenJm{EL2Yn>OCBdI{+;No8%XBzxau)qB#^cTqQsvDR5#=w}{D zr$pA`fRTMUkn+f%?mZy+7PcCiVIKV6QQoS+*Rfej_+1z@{5J#1gO2)%|p`c-)4Bs1yVkp zYU`gz`L*f#6O%Nf&Ne)z0u2Y$!yWMOSv;bWrtloYqYCKo@a=#{tHmQCX+9JlXoLT>_Q%S(zpb@q<)m&_s0(`opf38Z@zNO`XcogeBe&jUGhzMaS`x-MN` z9D4?Z-z`A8{{ks*{JDmn4P^c*;TP%3SJ&ezuW_DBXZhvhJ67Q=KQiscJP4OgCvh_T z5XBwN$G4j@d1v@ZI@?c|F$Qqz7Dd`0I_V{Dh9C7+(XB9gO6w)woXd!7d~4&7&~Ro|uC77HogS^L#U3or24jpW!F+ zZ9f4=LDV1jRi?bXK)NG^w&OP-eoFk^SDScafaKw{J9AzQzXgf&xA2~t$PKwh4^pF> z%hl-m(O7#QrAFf%Pj?7&{ET<)(rZf*(JgWg_K;m;WV8b5?h!iIH$Z6*-}U*b4wv4e zAhxyr%=1yK?VxYw8e)01c|NMk^oD#D!WF^X(>y!KS3%nk{9~X`Uz4vk&X72`H?sYD z_pMhK>UyGX%vTTMvg6x+WmP4rD!h-H&^!j;$*xdi@!g?PoZ(dvH~SgyaBP8c^4@H0 zar7<57Uu$Ke{-&7sVc2YpKF>8Z&WzPfkyw7vJX%`B0Pu*$k@*N=ElXr=o z_{}+dOSKYbd5y)HUqkAOQ$@-2{Iynic)-K`W0U4xAl*IdbMYA5het?w_`oA2X_o)Q z@ECP>E*^dLSR*`w;1QHGvwmuLl=TP?y_TMCdvT=kOIQbx?rTD`tYY}xgUc>s+t2g? zz31qW3Z!MVl`{QV5B zTA}&-d0bOSGp`?*@C?6A#hOQNy1`iA*orgeU>BRetE@nk%{2F{j$IND<>PFVOuN{A zJNnLi`3h_TgqK;6Go72+PEOqGX52S@ow>iaIAq>CdRT?zrI3rVanntno~K%+L#g=2^+x2q0^j7>2Yu$?I`gcF z_uBNQV;;CRL(D%ebNwfR{5$Jb2-YqLKk84y`)iYz(|~m60?FqJp>LFM@}av5NLjZE zZR?rr)f3-3we+Mvovr8kEIkw8m#t?H{2V=dM30w5ufGGyujDDC_n$T2c(r0WMl;LOQQ6;#a#y8fhha~uY_5Ngf zMWzQb)ze1iVL-aD(3IJO-_PSpQf4vg63^ebxD(vriZJ$&{a&%&3+*#p}xt-WEE^$*p@^*I`9$p}6 zKakQxK=uVuAlq|~U;-EsJ$??PoBCU$=Yv4{OnBb3{iA?PJNkEKz5H+>Y4;z@y7@#P z>8pU0bq|o~p9fNxt}SN$^fDm(nwZc}0vTTNl99iod?zH|Dr9Wt74!pXyAY7PqeAxp zsY?P#-BLiNbf0U`3-m)S%j^=7|97C)=VhaB4UjzQfaK8zB#+P^O}?)Nl3w|$c}{RW zkn}%*j9>9*lYTmoI!;QO{MQ1R$hXz|Su>{ILF9oL0ai>c!SmF| z@l5E!oX>1I${A+lt6zRJgZkMk=W8KWwT@U8Li1BOnQ0`C9?>HSWIj~4(a!^9z4igiMnK1l zu-gJUatvH)$;d9(Y&w-PIt=`~(P1Kxa%KZ*hdLnTd>6;knxWJ(oyFi zbfSv@85X0CT&Kggwa4Sz+GDV1WE7rj4BBA6s~y{czCrLM&o#pT9-%i0{U(qyCjP_7 zKLW`3#{=nqHjw$)F7yD*bLoZv8PA!Y@poMGPKn-}k1(wlNM1y}&VY5<@mPB(d%rj| zC|IQL^)~My501^FBJ)kr;cr5J3MBs}|1`Ru52TiF0qMWbheqecK++MRsk5ItjV)AT zVb>A(9!w$5%w`=(S^A_9pK*QvGJKkWr0IH)o-QPLj0&9;S}l{gqo5zC;{(eovF70# zrpl5-6NBR=q2k~mf05L|@^$HU>*RlT(YxK~*#%_2e*|QC{0d0-jQGC-q|cy#n|^f+ zkn|cL``e8|$ARQi`H|_5X8`G(c1L?eC4W7F2_R*rfNTfuNn!QhMoqb zjO&5a=Pse?*CTo)fJ~DDGLCzNv9DLq52Swx$a+>e#iPnxV^ndXC~kJ!8v90fpy$r& zTT=8ItF4Fgbb!=pI*_vO1=7y{1TtquE<>*c(%yd-x-73i*Ru$a>7E0U&!2#F?*N&1 zx+wDFIL3)fK9fMoQY(#JJV2)Q0a=HGf)OCc)|g-%NIpp*&$3gisKlDXSXpy0_TRV%_TO3gWQS*u z|CDWy|D-(wjIB=t(scouuRj8rr#FDKb3wi-)8RnUULeDd0y6&DKyp|Hr2Vf2(xU5x zei}%-ZUu5LND9dPFNYNv|6_qnR|h1YGlArL36SM^p63_H4db1NucALIufGC*ZS6x5d54v z!c>e;850^%M|hrd^C*1lY-I15&K!f1dr&vB%bL9GvL+pbjdYt5Tiq?T8#mCDWfhS5 zKNiULJ74H73I95fcDWWvyQ~A!E{_12$ESq;y@V%$jQoO_9Q*8 z*YNe)SkVu6e>~1E1M;xTfV8*Bl)(u=x}`wo=UE_ic?HOPz6oR*Y!|wGkO|)($oR(q z$)O&|yaa_lN5Yo_>F$Odn9u)+oyNfiq)!9V(dHrf9Ruci*WH`L7BTQ=+9yQLry{qw z*vMsAoc#3jcz#c`1oN$8RoG?bTMZV^DAF)~LelP2Vrc2lyv}^1mp0!uN$;)M30=vI z4k=J0alP_QIgbp_3vEBUoZ>9E5qPd>=}SL4+MeY^Jmieb^$c}^sb9cMk3Rq?n&E*gIFJ z*PM0zrk~@e1Fw&>^5c{I#N9^kJgi&OtpYM145yn1Wcp^IEAcyuICtXG=f5#GIBPD$ zk89{ze%bBEb1U>N$1`f{eFOT@QJzx{_nw(q4pHdAwoe@ymo5Z9hmPR61b@E-q#HQg zl*33M{h6L_Es!#v7TT;Qm@~fm+^0e>&j^$LC?H+4&}BP$W)(qN%1uH}MAAGbJZ6qG zJni!ApM-}`S*8`*;_^F~IcQcUg4?xCw6G;C5 z6#tKbOhdkO^MI6nj?m_LUs?9PFMSV~lzc}J*E7oSxqY;e*8?QqT>Hk|x5@Jkkr5|W znD`zb^*jSew?zCa@jHs&!^U795#;&vfUrzDU%rhv57bbK>X=hcH}_3MVGa@`+BguhSt z1%-b^Xd-#hIY^!{_(z5RBS5-80V#hwka15OXZ#z0OtS*WG37#`UB!BD9-hfyPd&bM zwJ)BP?}KOMC3sfuoR8Ea`o%?`l%V@UlYbvDj&BW-|FV6JysLrKV=a(%>O~;K|0v;a z1F7G>9uxl{Aj2z0nr~!reTnT{j|*MqdnrN4F?-O;@ZA-+D%xPayAu3SdOTNOGJN(0 z(vfGhpLDCObUl*pIV)Wp>6ktVWM0%oGG76j`^9On)l}RsdX;^@*h9W~F2%j(+4FXX z4((_3yaPxV7y7FcjNPsU(r!Ni(oTN_QWrWOG-*O_xWx8ISrY3KmM?@A!uO+xn>yPZ9}g5(>?;_JCH%{N|U`2GP%_mYXTZ}jH0}Iq&amAa=8l+8Aml$=)*h83p?o(^&6*}1HwH`?K zU!nWx<>=?kQEWXOKPQfZ;!l0z(1$Kby>y??eT`|ILY7WWy@^gVy8RMJ_dJkw^Djcb zF5%?w`KIBo1pR^`U>W+SGOTmxRXbUyT9ZSbBiBLqVMcx}kaDj8(j|bbuWt%n>^1fF zDInc{gdR4@&~{x*K`!;}5&fdT5aQAAW+3JKG)FqmUGl8%VzDFBSDSQ)0GZAQq~jUl z!@JVoHRUNnyf5<$4=;G6B<;w_Ce4LY46n^Vx}y&_^gJNl4}|`y&;zEL@a*|R1Twr5 z)&QhC$B8?p5bs(KQKi?U_ZanvP9f+Nm3a3^x_<~=2_Eig1?oUtdcOthUKnd%*dvzV zk9ZNpxg1yd5_xWj^-S)mv;Acp2-zC}3-?RIEtaKb#1HET}{cbp?Tl_lE)-VKNp5!sDL8rj2+GI?e=-9#XHoF#Ob zb>>3?a#)5Tgay}|@o_1T?mD4+$Axh?TfeI!g(tMZN}ex(=V&y6hFygys423HSC;E9f3Kl8?O_JU@#r>_*K1-RBrI-7QlEyXhcT(~bC zi~GE>)#a&CNwXg@=UzEIH^kX?z5?|lT3AdHl2|MHjE(dt+?#>j%`14P6N(ofDOjLhGWo<$5fAs>vn}Q zvCk$<{Ukls`e&OmJ{L%LkC5jaZ>~6JqxN8lMS|DAc(B$F1)ZxK3i&BiW zCB17FQHw`Z(rgwU|FU>^dwC264}8-U`-kyO*AT~&jTR5jaYm2RfOK~XO+EZO;E}X= zBqUAI@rK7jAk#ZG=kE}C<(NAZ{WM*6$rDVvvJ(xTDqt_4Md-shHzC^dm)-nLeo^Q` z*F&Ak@ciGr=b^`0ucePOm++os^f(DfcP5bi&Nqac|w$hF&E(q;R@j@D?Eee6#d>q$hlXE z%r8*i#TC|k(C=IH4#zL&KElCaMQT_*_L$+m!P#f#j6Ly&0`B~{ZsModm}l5wefT3>-=DMn@;oVf{Lp7h^_{ag<5(|R zY0UeGm!n=^hW8OK?R_87gQlIq+vzWu*5onNn@7&epqIa^KwX4uOSj2mCM|hHT;|aZ z>(fU$vYfVueVE6GOVy0#5_L4@nI%|DEa@5;XaDA2ZRW;ap!t5)FsyZ#sgez5rHJ!| zZNEv6(Nb=H_j1!e?!UtHm-hk5Z?n*zm43|}VfOh( zhm(QS;Ub~00#e>XK+1ap$ThKl3!VRU6YiQ+tR|r!8;(A0IO@XiYO@!IX+6}@THgv< zdL=J0=|2Y26<#X*_}zPyts8lA*OkUAS;xW{2kP-W0C6UKt3VyH+|((XraT|z>GQlZ z&;DI)$&DjD_p^#dtr)yk|ZZb630{qj!L?F7;S^9lJW{ z6Tat*oXdgac^#1Ud|kpRH$gd;owitf-Ip2t_6O3f6xvmUZw)TWPx8ADPXDbgFHqBP z)vthkMqnI$0p~N|-me({UfAcu?Oy4#Ty~^b46Chpj)y6&t0_he3$)Ct%AZ4p7WIY^MwqFs} zCWfeyb?Gx3STAE1ZznI=m=YP|ZZt9v0+RnUAnWH0Alv&!AoVc)KkSY(1u8M$E#p{* z_1_f2Yra>YHmx#s%BGpl4?XEZK=O}L?=P2P&)RV8Su4YyHMeqKlRlS$`fuKW{wc^J z-v@3odJnqU=-mpW-j@mekkF3^{fy9u-D2V&38b3?B)^5?e}nk16aSxye^UJ45r3xX zk-Q}&Zz&-C-B%)yo?^TMITX(-hG1{+pcLK?h!2o=5K}wIpHohrwMMVkfOONpZ}h$i z$b9}%=zj_QkAtI5}Z z+e~=j?IwN^kh-|c-8^w4t>bj zaQwrDehEk$)&IiKR{@O%SeJoJ{_X*?tt6a4r)aSHc8M+zB`1d|x;_LNq-1m>bn!$)_z2m{mYh``I{bYd}u^DkM zG-IeulTV6yD8oA+8_c&1la}t$r;V&zfpo76&2;YXARX52Mq*vfd2V0yT)Hn-&zQ93 zK)Obunbya&mQ1geE-LYEm2~e3t>3@H^98Jlq)L-|p6st2hO@+w_X5n_yw4V>3vg|d zeA+Z+1}T&0LxcxojieN7y}kQ!;;b_bmJZ2;(S6)+jqa0x3=N{C0`o zBQ(Qfl$&EccY4CI)$@kG7f3f(Xr_-dy`JBt*S3RJ+K|M(QPMssbjCfkbsw(l3)a#` z)DOg*5p&gQw?5M<2|1Lft}%7n17yAP0nMChSif_s`19$!^w-BFUNCw5+3!srUjtI! ze}ta;2SdkztoKDPntHz-$iD2rErzZGGW>QR)6)g100qeXT)JOZdFw1tErC(Cg z9rlvZ;{l-Ig0dtZeJ{}WyVfgzm(F8S(s*7rJiZ4c56Qb(E5W^lUZ0WYM(U3R>Qr3U zUW|4)Bz^t}{qDpSqd)SMP21^mm0dq;8bNb!Yt~DAuNJ5}T<%MZjBGlFuuZt^XH>SI zv!0{x1*haI|DOv~1FmM_XVblL^}RKpUk_9<#Qiz0sKmEv+AT)A=V&9fFQjemO&S|7 z0@9r)G}FhKKIynke=&O82&DUk&`hhon>!zI zD}74hSH5P_pA2MLI!{08w^`{!68}L-|Ax>R?OoQj$kW#kPxtTBwoUz?(c!?YMyGi| zrlkw^lYY9DJ|yw)l=ROC?bw%mBIKi=H>B^cgBB0Z>qh2RfONVZJ!bB46G%(fLmqm6 zMdleUt1UiG|C17a!{0Fc4h6E`I7Mjtxxf1lcowhMb~4X0roZEumRERn=JS7Su-AJd zqT7uAPXXx$!=Ldd0qGoDWR#QDFVjXo#5M1<&cr*d({sMlx(#{V0LH!fe9Oq4`L>b! z-FFRL37Mhy3e*j_>@#&T{HWO93RE?&;AQ9=oW9EP%Q`7oz;_n6O4P0X{H~kcU ztM@S%B|RMLfSZa{Y4b4bH7LVg1GkRb8?Mi_D#_sC8ig}OH<*2(l%Wkf%~vlLwSGQREuheFPf z41bqihb&WWj92arrE#W<{1bZ(aBX8czJrS}+fSdD86K`GkpBv-J6CKNnH-)d(`kD? zEKq;ORdof^;yG=21ne_R+5WEKIA^S0zrzxAmE*n{>yG8ffB7{dLM9KUU7FwK`)lU6 z*+Z@CTUTDNa(JN{4jU9>{#1Z<)SgcY)DyT`DI58)>52-huOjdKRyLmt`4F!8;Q6|? z4Rl}`#Q$5MUc}WSJZw4_54M${w5tfv-Xl@|V!B^*+6WHV(*4;@K)MHjF5vS*zbxU- z*d^(_X1v9|0+}n~9Hfw`Bd8zHn{|Y`#IkeGBE<&2(|5%Nn=VSZO^H z_c$QknL_vKXZ0U?ZrWw`z^Rwa+%yUPsewk`*doJYK9I8embvr1XMifi7|-uEn=%@J z_1!$>9#p7~z~#Tnl(S9iy|KM(#d#`$cpu=pSmN4r1@?Rl?J7y>_eewe`b-Pf4el?~ z^?uVZ6)Y}PALHtg^ful990+{tah`HD+O2Neg(`{j`ai*yf3?xsrd^D~=hOW>%c70c zb*At30PQ-KfPJ01!<E_^BS;Uo%a!u zS4_L|R}NIkTh0BF>o!wI4hFIu*a!MBM`^}o&!=ra#tX81bA2E7PwBFag9qcj38ahQ zOd!(z)mazENUQ@4$Fl(ES(&yE?)QRY3)S7YO21?BYtwpP9@5FaJag~BeR)%`KLR?Q ziEFl`v+3Ucl4T4Tx~}mz>It9Is_}(tJg$o+Z8q)Sw@`&~*>m#qNNN&`k9pM zJ%}DwsD6O!3>_a#Ved>o%mJ-9tS9RF%<;pWOxHJ`*VK=5fOO{z&2%27%bFidx6(!> z?&Feni_oHX?>m?5liWBvdI~PPt=WFr{eK1exS_5hS5c}kZccYjk584HKW;5_xnCIQ zDw;CX6@(4e;%c}~`j!kD`9fTUxGF2I)27V$l&@mb(C6cNSoqj9?cswx=z_G1UN4X} zJlPMi?JsG&_O`$2#=dnxx(*=Q-K9c*Tf!^GW6j!ir7B4A$&$&d7gej0?eB%lROP12 zRYmv;)R}8k9BB?a0`D_jkNk43zIC;xZ-rlwe&Ek=o3_)~?TB$xI1_xt(V1mq`?-eX zsUg+HUT3TeeFc3guB{@=rk(e{oVf0qLRE>&eS^`_44x$C&Ikl=q_t^#o|5sKV?j0Uy=V`#E%#j1JzQJKLu^FakLz9Gn@JlRWu$|RY&w^Xg2xuB zvvJklXl!KDx#IUA?t{2iN_?Ak+WKBcW1HT#5jh@nL0sy4MrWI@IIB>d6&|e?;C;w_ zToro09fKXr{qR8C52IhJ9uca*nCx~PrSN1uS>VstXX^K$)2JMbGeW~hs{H0zDq4>^ ziL0J+*hjxGT@`IQLi^2~U#QweKI7Q5ozA7p&N{!^q4n5`{ulc2ekQwbw(Ev2f6NUM z!9q2z5%XUy7v-Jh=V~j$K3DL=JZwL#cM-y@7s`8cE54cXIht<*d?KJSBZa!i&#>Yo*_Pad@m`py;Hiwo5$xVC8C z;9=3aZe4`(OVq^D$=+qn?Xr-uFe$#h8lA zeT%dSi+0*$CDVnuUXQlZU8n}(@=1J~cJbN8^Ek&jQRuBas8}7ewOEbbgmW>mCNvo9 zIYn4wiX!c=afKwkO*^)84JlMZrWA8rPIK3lv|0GcQ--{R^EQg$Dnf`K9^F zSjlfwgo{)e`+L;;$f`p19bE3UVgrkIY~UL1Qp0CT{aLgF#Mn@eIr+(`zs{VT^OFeY zCH=h9;(aqcH;G<-xK@Abx2C>nW0Nn>J^>V;!*G>}hnqf$icNBH#7!=XrI#*n~ZP*f;6D z1miuf`tQ?*XxH#pef)9WkQI-1iNP)v2jZ+BSGh{>axwRD4Y11w*g*2`Pa!SSoO!9S z&HX^qWl;J8^|@v95f-*NRYKKpaj5!+AqbErQ~AIvn7Z==t}wQ)9OAY-hrwjcRq`ME5azH16` z-hZJw&*OkUJ$m?<8iS{ejjx)hWXVx@GQw! zB~!SEKFfb(u^P$uAz#3{IG+9LcSsP=PTOyuocX=(gHdj%Gof|(zAvs#lnJ}rw9`-M z=LqN<-KbCQ>e9G=t}OFg{|^h*Ww<`p=~1WPpXrBkx8ev-d2Vdp!-?ElsBXel`$OnJ zTTC(Z6Y%rX4|*}&rtLJ^-p;xZ#|^AckVnsLsPDLL);w6>2WI(U-NlOI^5iRzQ$ClL zs!L(x3+szj8P?#-s`c)-Jw8+J9=d!!_*DVy)55fOrN<_YspIqpKq@> zd>;jBzHIAyK4<2M72`<{Mc;yFSa>$U{;U>yFs`Q`IU!4q|8B$gZ$P?XKg}(N{CxfJ zTVwG{O8Rf~7=FJ3GOd~Gu@5wQ(=XT$zqrM(=VvDU$r}v6>wvlV?Z^%h$f>9vgnc5F z>2EEB%soHX6}Lg2Js$b)H8LIt(!D3Nt^-&<%)mOKEu?-i$Rx&@#{-9`16K}EUv9;` zuNixk@tm&$dsc8>K!PhRTxX8G)wixC?l*cY+i3Lo8IU~x3v@xRng_%dGLMxy+Pel+ zCjPfSZsIS5oL}JD0-fm0^Tk1UzKCbxXpa>rn~Lg@@j;{c9e8|ex66EM*Gb=vx^)$< zzau@dtQ_YNERwz+a}g)ra|lb}@~#m%oTuUgkgkCP)WDhM8yEV08q6K}jgYZ*d}D1y z$Vq?1qlGGf>vSjmxKcF^^P6$#f4N_O9QxRC)p#d8IWkc(66=}p*K3>b$KLfg`Jm;i zuRd0&j=}G5fe&#$=vlz~9loV`SpO-@co%7q%&8q+Mn6%gj>nZi8lvMqe#rce-N925 zGabvOC(GX(fxh<1Fs*&;tFDE_GlOtZ_KXwfBJMt}~ zhwrYw`Ez1(UuzWM8*wFZ75x}{32`;xqHQC;<7tp;vT|OZDIL~8!^&TcX?a1Zg z*8qOmj&(Z%|2uXfi|K+-_LVn2hrDQxcoTBO^S{Yl;$9_(UbNZtpA@SguGP5gy4nMJ z3$9&tsb6R6&H9qUI!?Ar#xvvj5YPLD(b0|@*^n8J^~L}DzVTRJ80OT29n}}ga^m$@ zUk(x*dhYISGD#)EcP^Si$JpPHk5dp==ow2>V0*gtNAf3E&< zWsZK&FT6BE{JUdg+6L<$!?)Wu4pb{2Nc(;7iDI=4epL^q{T_qgng?)}jFmR@WU<Lt9lxJC;XCDyr-YRy(HsVav%H);z4fgp)@~V z5#G;y2s&G7&w}5!`v$6QJ?VI_mXxT5o`GuPhP0oj6yJ#3Fi@?w(pJH5JJLoi8MEQ1 zAY+S_b|w63k#?Kq*Dwq+;1{*z){Q_~$nDSFKAdkr;B`mAt%BPHitDftpC6--IKUJ-mu@NU8H37#()6ikWSPX$Yh4gU#(Qv_!Vo*@_#yj=2ezTnw{ zYb5+u!5+c5;Io2T1wRrjm3)pBJV@|J!4m~r1(yp(1uqsp+KBk)W*vn4Si;{G)Smcv zl7u_-0jbYCyizbC z7#2KR@C?B^!P$aG3VH?i7aS|-7AzF}Xo%4_CHRKmD}v7pZWeq*aJ}GK!PSE23w8;% z3Z5lcFE~Z;Ai=SM!vu>2KOJoJ`%v&*!Pf-02tFbBu;2#4I|SDXUMqN!;7Y+of?pA= z5-b%|f+^`gUJ%?Mc(vfA(w{i}kze{#hn`}7M9d6GMNIBgq*MI4MF%d@EocDi<(N~@ zEqcmeO3(>kj=2QgdcpCSN6`5NzbCj=!fOR}B>anD9z&NWa{el`_Qbz8Fn^)DT~HJF z=aYB`2^I;aFju1U2z|WZ>4Gmy__KmKGX6y{7o!Ub`UF=$YWxd@e*2e(-XyqI@O;4q zf=3IE7yLAC(!DAe7rb6@xnP6fbipx#O7Jz&XOrNqg8PepIurP}L1@q8M()vq4T6^o zJ}CIE;0WyDr#n$_rQr2~F9?qKm5Dc9@C?C-;2nYqLAThuPVnt4eeV$ed$YLrJ41gUc=Zd0uKK;f#{|##gP}hZ zjJ{~-gSHslBzVS4hWAwF1uqpGFLu&}ihon2zi{XmJ~ZjB7Cc>WgkbESCcIYg-46^M6Pzjd(Lap; zgMw=WYXv`k--OQ;4E^2E@1_iXPw?%(8G4;ymEc`}HU7~-4aW$C2; zIVKc|aZ&&|H@7tPCN`lnW;3)<)r6LXTRN8ahH9}0+E=GT(%ultb99=lYF0FLXUPmK zL~vjs`R=1rceRAtdy_X%?rbgfKph(H1dHBagzEH+;MLq0b+t5}V~0CY0t=z!jM`en zoTOtmwYM~O^v0whqeZpRvL#aq9n8e)D>^*fxpYy69?Yd?Fj(u^)YUY(x|jQLG(q45 zBtJyeENcsQHueVT93F>|D#_onwy9HkLjxx;C4}Q|HpNd=HOu=gSQflGpqEd!0u~#! zpTx=#c6^pFoIp|2-QCpKkx_|I!B~U7EF99-rk=CCG1Xy>AIk^ilC7?q-|+q zxT!Ur)03D`=U})FZ*A;uYE35&=y1(>ybjN-WvsjD`rF>Nh%F*;YPfk~b9=iw30CiD z?`$%<2I^b8=Ai6l+X$douR+<^hosLN$ z;aFI1m*PXlOvvDKGE3jwys5f6NYymAgd3$m(~9iO#He9uTN(c8oKkC(SzRwi_tnMc zbBw2Es+x?ls%vRl*45p%yyZle`_4+*-=~J`UV8Mm>3rl!N+Y2~q{}`lj+2ceRn6I* zZKhw!wxSdw&VR{})!5w9wXmx#+|rfKsV)<=Gh1GEJV&JR^|4%b)EzgIlXKW^_c$y- zpUND}&upZ1XpMdIwuAPHc5mvCZuNPpsdH(ltEIcUS{Qu9hX}&}PrCnV^3K!d;DR;cnmT1vL{^Os+P;y`H{Bot@p7Fl6Hl^hCH8Ov2E` z-k9Ukk5o-lX9s%QWliA#)CfZ)`lI%M?!VD7F(#xmwxjtqhS7~ehwf#IOt=p171JGV z4+Xlz&1kltP0y~D0K1vCc84W3ZkRD=CKT8kc9`s2IK?O)Slr&Yq}ykVsSVKB0eepN zu~#f})tJ%ii)0Em(!fdRXLRA3585htSCC1pk>d8La(x?(`9ZPf#Yie!mN>{FQDQ8rR*}iI@ zFCE5u*1};o(A3%7;uG1%zSC7rSIg4Q|=a6pFFKOv$!9B)jVPLd0 z3gptTg5#4mYI93>Q&(GvoktrwCd|T?c01LO)tdSY;HbB2v6&|?asf-7$C`vW-7X~z zU;;d2cHnrVyHZA^T~CM!J`<{=QCm2g>{?3B%D%x_S<5-QmH=(CX2Rl7XSc7`YD|IS z^}UIndG1nHeYJs;sI4iZUZdwKCiNXv)7BkW844`!>_WHaTN$!Ssja)6H=bsCPL9!C zicNK|(lJ{)nz~kn!Y$1^;foT17CVbgzL%JqySxR{zs`=FY_%25fU$?&7)L+Iy!i8! zsmuCmZI8B&z=K_2IdD{?A{p6EgMcXRQ4E^}^b= zZLg_c6nmYTrC088^7FUX!YsXVkCLCiUSG}9EB6@r`Rf(P)T^Hn^7GdV&+~RVK7RgM z=_hDT#_HZut>+MO$-A`r($W3GeV(gT=LXjR z(a%h!cS#ud;Vk+5nw{4TKJbQYQ#;1w*97WXP$e5Xnp(IN9cbxdt=kK=6*8QPJ82T@ zOoc6L=Mq?l`)LpsYcPHgw!`D0J+z&B@071zMLx)dXE64|+u4?Kz5VDFjMcTQBiy#M z1!m`7nRM~@?ju;q9?7W+d$_EwMVrTX=?BZReUsR;yhk~Kz)6iuTbctcE1OzEVXpJ1 zHL?=#!5Y7oHQU%{57=a>g%01VTYX~)I{=z{dy+l0*Kt^}XEQ}F#m-*umziSZWbPCA zAIQ14sAfego(t`$NaoL(i8eNKHpjc=|BHHpZi+4URJ4uL_F^5RO~G3C)>Rluum@ys z71=2;N#F#tEl}MJy#v#BWWlL3eK;)vHNU@h*jww3Dc;@XR$}7gN$K8gy=G;fJ2U#U z;8a0P%hFJI)m}9vw?3%WLhgv`<=Z9?takKVDy!qD1)JvzYp}Pbvx}pPY5Jdmku*nY zbv9-Ve8Rg2+^Cic>lTd(aC>_D&vHD!Lw~G?pi|qSr!^zXnz>~Z#jYgac9+clt08a# zdSz6#`GK6m^h0;`&w+UA-rdr*oCf^d6=Xkf07mdr105|Z!+~z>(1IG+4B8%mL6%}N znqy8II6+S{N{aNe_$7N%R8sJ;wmhddMuA520^!!K&J}^h*uk_6wF?t*Gj&cInH{;l zD~J@;a1YrYX<}~{Fq=BC6E@w-+ZOMB^U%W!rw$i!WXzEPXF3qlJz8&#$A*PnY=rFS zRJA?s?yjDW3Th@w)0F<_Sqa&#v$b}aJba#_%~lz8u#ueZcXz3=rz@czN|1%$ncDI> z=OBC9w@b?F;eQ6&SfD$EO$FFFwitUBbh~fg(Y>shyJz=hxP|rVIaCNWXhmb_^Y6x_ z6JcNWhyN#~u#=9ScZHc_`>q$0x?Ydcfpq=+d`F3y+}UVPOLj?h-GPqVJNI6CtofxL z&AHyeEi=6%&Mw_VXSUS_JwJU&_qhE{5818mWBP@_aot$w!Dhf+?2(=BKpn=>G0g3t z*34+vdv@|^;Mj2uS5dlKG4^3=zB5GZ;hl+QuI*3&Ef+0Y8fvmxW82cAWs7$w$9l$9 zH4r;}S2}Zuy>uHW14JCF0eA^1UGjUw0_e3%On>p2N}1h`Qy{xE=bgma)ah!bJzkqx z#cr6}8L&Uix7Q5q7Mi^*!EW2!I$^~%J)_xe$((L+cj*LOv#GL^``usV3Evc>J4EA}koY%IT| zZs^YTf^M>zcBGy&8>V|~KG~mpuC?;GqZ(!^7;a+qdr%K!je~Ef^jhgN^wKh<^S)Sb z6@HF)N!Xk0)VN)0Z>XZn$!qA|;dLx|4cj}s^se{edxsZakyT^&4liAON9-M5^QhOzy~9iI zyD#N<({j!p-Q7#OlD+>?&Z!o4uj<%?j*@dI_T)u{HO|A8y)@!0h2ZNQ6}D`#HQa&YC{j)K1>^nw)?3(N1dTMP@Z?k2v;S&3XtkXuK?& zHcm}>leYM-^ceD2IndZY$rp^=O?%wWhH;hm5dQD?6+d_Yf zFTJh7DPGj!-G6yj8~au6zgQY-)DNfZcdc;xNV;b_RUZWtSm@O&O>Z$xh>zQLkN~C6 z!&J@rrg#)*T6!w^M19oa!8Y=`9k00&G{`q$DLZn z<{=j5Zg9oo%tGD*jO@2&>;^Nb8_gN@drzLa9Buazox91&=_u3&-5a)bEN^UYYYsGa zEm@{Fex<9A9xX1UtQ|yh*!_dK+d;hE$}gh^#o%<7iHA>|q&J{_?3#x&8XA|h%)P`ucS(P>??Z1 zg%l??c8BM1mx@yFhP2B46<*q zQj7B!;)Dk1KM!iOh1y$AUUW7dLrW6bMpUe>Np zli>as#P~6NZ?= z`DyHGYV|jUTW6>z$Z5{Xw(u-$WbEbN>Xgo@=%$^rQP)Y{B^q=)O6N1&ET&S|I58+l z)eMlBldD+`)G=vVR~wwMDe>z&JKI}v(9h)RQ#%?%STYRbTQ&oDNQk4-Ndrz<*3z}g z-_oVcg-vj?IQsHO!<>~$eItKiTgRN0Elui#Idvz^Inil>Znf|vR3EmCIUUQE;*2iR{8`sxwyMs+ z8}Ia^uJoUw=K1S%^Idpqb->{>9QD-Hn`4)?H7nKG-XcMBO886xkLp(h(DYE>xVH=^ zgq${mXB{=1ZvOMGWZtO@X8DisYTobXFKt=M6C!vj`fJx_ep$VIs)iqhEzcJ4^?M7A$a3^zp5tCiCWit@SQ&0ZN3W!b#yd0nG=0ZGt^>s(nhJ5^8!oH(Yy*> z0f{vbl5}NKbMpf2T$oX6c0srk%i?HX&mb4euv@PSeQ5xhZ*E$F8axN##`mYjmz|rs zNB<6?in|MVK2KvizEP0BSnohq>el?lV%Td?!fl<40*i4hky1?sIMuF$Mf-;Vf#uyR z9P1xOyXwN+0v%QuW2-;#w~m5yw-`gGJeNH5v2;qE#^1UQT$qm|dD@hE0%@AtmY@Lt zh+iwsPt^`B>gs2&f;rooW?|ICzKZ}3=tB>Ja%>4NMVF}57E7lg z#tiCMSj74LYxo=M{hl$*Pc@e@JcznI0Yeg)1=c#hewp29CbdmqKnvE5L}GKGV;M^JHI)0(?j_ie%wFK_UfZ~gmEm_ji6=?13JV$+vzC6Fu;>ez|yO$+2$g68v z!a@0jmQ|dt9z=Q;dqsGtX{2Viw4*BrIYZTw=I|}C8%gU42d8H`imKKe^yE)st)LGk zs|y<2m$m3Q@si$jX}=TbN8Z|NhMGaz$aZ{YFPPy!&Y3IB%%9(3rUK2qTYXZYNBJZ ztxndn#NLVWjBZX$>)A5y(&GgOlsvS%R&==d_D)`)YpEWgKEf|dW3dA$(AdP8yPBIH z(9a?9L3H%cp~e**%h6l+bx;1}^g8-pd{&8a0q5fLDZDo0O!;IrzRz-Ui3%>qw=;i{ zuYAk$IlQanHMsEG2aF;tcu~GeJeIG#H^Xl&eqWfcVz(d+{vP~JT?O21FofU1I}is~ z48Ie&+_+Lt8jSx4d=M7D5`MU%xDp>jF_%jPp<&MldHUiv#r*h^RuWfaj7xuuEIGiX zVz`3%I9dGrF6GCSTI+&NNOy}%C2n-7C@{n;fUsK;_7wbnjWqZjeH(mmDPC{7RPqhP z`w%?0gBPyo1biFhs5})rGEYTt#gD*mT)xNhl>e)^@V%cT^z`BKz6CwExG!_(luy>=_Yiu98275R5uK)MU?%wU*?cD|a z{{FmpzxJ~8Onc^;y0f!Ie6eUK>EK%UIPy;-zo8-8H!?&4-`DOLKzgB&F=G_e-+OYu z*bs%#Rc?rU&eQ7phj_O$7({SCW{A!{bnz2u{Sp0~ZHV5N3GYrr^j&6%Xo)4dhg%|l zge7{)EYZQWU@Y*vCTfY^QQYU+$35|~C1TL~27X?$MBx}qbV64t_kP1o=X;C2+=s5u z@grHmeJHT`)}LjI?p1721Ks=L=S|`m$nOnp(NSoN2y_qT+i80tyC1rg_wVHUt$dHM zcN@~UE#Y$Q*o83pEwJg{cI=OG?8MG`v9Z2&D0`DKU5C~HJ8H*bDd*@7gh@H~byAj7fimvKt>y{jo}%nGHADwx+P)X%F_v-|K)F#~ z5z4ENvg%vLbu@V`M^8}riY3~ilY0^Vj{J@eK12uTxSMNd4tiS^_T%rjxW6{PH|2Yg z=v3^6{?KQPbatW7DEjN(4&9@VK6Fv|6}tEg`#9bdQQD2pF0Rmi2KD(aQ$(QV0rb4k zagWM2fxlyKxQ?DUWAC)>q;aQup}lM(@4oz5O%t!rvX# zxvmG%F}n7`ts%|%)Ft`1I2`>^KO)oz>BplB9rlzMA_jffeg1c(1N{hTd*~rxY7l^A#BiFBHuOj4kb~|n+Jif2nL;a~)hAefp54(z8fF0e9Jbi_b`M|#V zwnwkC@zX*ZG7B4o?h_rN&6L*>#LKsI+ixdbd<(bxNNB>Iq4Pu11>GyC*FTY8^4VU5 zJUWl@J>i<2Xg6ptB0JNTajkg;8>224a4o!)zK3?a6S~PWQxp-!&bxtuuIPqde#g#6 z*PWz`tLPw~CvhJN_}v9H)#&Xc^i6sq)FGx9qW5|HH4w(L_~Tme0{Lv@K7SW9A;aHY zGwCn+yO(Q(zYAl?@OKT@j%noY7NUELwr3#M&1id~*y5|SN2EJ{G55)4ReNQ8t>FDPK^u!#k{S)%thhBcEHX!;Ta$AwUErIlOui*YY+&dFpzQErPP$zaIjXTh$Od%|0Xq~mVeMLD?_Oje% znalF-B~8(}TuD=O0at!U=OM%If|E(-G34iH%AIQmbf1EbpbrX8B|lpb?)S6}kiV?Jls`J|ToXN`?*jRI2kfefJbxir$ zvTn4Kt}fcqF7nw+9t!7?53YT`0_7N?f9|}UJU}}opYx)nCm zPMEYs9n_JIo6yI*#Q!b!i5(Z-L7cR?eR4d_@5p`R3!;#}oN}VS?%359OKEqHspZ@8 z=ueEm9v}jJjMr+oMt{>qJJ+6_$p2lW2c7pG2Zxh>($p#4D8qtnXutR!!9D+2%9DG& z{4L4|lQt?QW%w<)M&v!pJ|DexFutkb?-=2UV~F#8ba_1S&L=F|rk)wZJCDE7L5wyk zI-UFv=Sq8Cb0{*s!Yjb9 zfI`A8jPSQ?6Az#-K{g*h`P*V!+hN0NlP`!s7wwn)7I`<{A8*G_3%954pO1b!0e^+G z{gofABIdwEh{AM;K|9nycMo=b3FUDqdb|ePTS7g;);lla_r;Xi)r7_UcEZgk+#2%K zNqQnjktV`#=i2!`bp+byQ2w-|?T4aw=%DQ^*qFYuo+~=+l)5C}odvXcvd$idKB%7^ zr}KM9>dBMzv*bgNk6x}dT=Neko?+y19rC^r&zop%vd zH+rg}F2x`U?NGRkGI$wVp$w7d7m$9+v3+y;9@>CNC21IkpI2!EFGZgE zkbf0=6McOumDZuPj#9ilC!T>QZ|Td3_T*p)6}? zpYjX2%D(hN(uR#i?m(xd=tuUk)Y*cgvHb=7%{8Cm%O1+NhO+I$mTIu?t_A3WI@yce zM7JUjTQf%G?{50vUfT1T72G4AowOO9`(d|d5iV)$s3iTz6CQ|aep64{=khnd3rS-i z^`(Y3p@+7j;80|sQ?@e@K{o}^EysS7NCWLl{#4|TCGY%>koI=je$?ScxCJ$YNn6uP z7~L`~8Sa7nO&ERXp@+2fp{L$cDZh_Q@fmq*XKc{Uy}qMyKZfw2knjt+3a$mmQ7;dq zJ{M75@6iTuEqoV!M)><3ejmVCoZp>g$gM{@Hp1@+bQ7UHdz`U6L|*0kI(^BtmYK0#m1ojRDq#>iu|7~Mex8G%e>B68@x2fcSpAg@P}x7m!}up<^` z87HIDPYDCR`BF#s(l(7J+!%Rjqpl)Th`%WQc(5)y|D?Z!Ze;Sg7n#L<+za{L$?tC5 z+M!VDl=%C$z_zzUH${YnURauINs>-$q~YHuZq==!VE}Y!&k5+6U!dP|9!q?&f#u%zIfO}(M9C72`iF@Q;^7s(xj6wx*BiD^w2XYD;B zgZRZgihJx^z(P*PQtr|aJk>Z{es)L-puuOt~YW;E{c06e@D6BiF@~5 z__-hVF+du7NJl5>$me&yOfT^k5^o>r=p!99E3lf||^D5A; z)T~CINgXc0uDZDPLD#`tp%7w_Pa7J8_Dj*@AlLz2(pGgqFLXm8adlN-YX>3QNZmda zzp|b!B&-I~c@kIdi_^KzBp)YpZ6Z%+@|(7#<9OoZer!Hh?sp;A#ozhJb@6vTay`;6 zF63{*>p77t;YCkFo@>`BT!}As7T_#U>We!f=iLPmj$a#!`&ZjO>9x-gWV>Rk+A7dZrD<@53 z$Pd>V(pdoQq%{JC*l;0b8joRqx|*=uo^={SYIFG3!f zj*F3B#Pt$%Njwqa>xQnI`AwYBTS@N?+#}8o!YSn1y_B#CuaB^zh3Eik=rcM>`Hd~s zMA6M?>`-3EaBpwQcQE%Mb|q>3i#BFU^i7)_r7tR^FY4I`+uNHk784KcKs)VrK6c;H zO!?2ljeeo$D9UXP`mdr)xG%UL<##^6BXe=%cOkz!`Q43t7xD$j_i{hR{Tk#8_}$0- zUU{Fj*k1aGPU7pKuEx+;KI56*A%Hz}Y>FGy&`x(jg#NPzn~Y$$1zdX;k_Oo?lJ_Xr z&XJ^r`p|bCSK7bGE2IhAEs$*?zdQLI8^(Po{|eD2g6B=v$(+cOB5l?{@ly zLRq%_-NoNAuI*BWw8o(K6XHOoXEA-;<>+!S>7-BV<{3t}?AxI0a>D51+uRzrq8<97TbN0C)%$geblKAD5PD? zhk|+7nyepO`=I7%@(Yn;C=Vz&7TY|IyvhDXUirJ1Yvg!zM?L7CkIada<8BA%H(1V6$0)w!Ysro&nABzy{M*5r!e&<2a(QTQ7+t3@7; zgid%Beum8_aUZ6`DR2vX3>!_(6(e9KoCmkV>ma7&iXET=X2bb#7rY67Kz?1W*c}dq zc32D#ftX5|usa+Ao$xTc3%|hn({jb$Pz6W9x$p>l4r@)%6+_@4m;ooiHSidG4;$3y ziXxZ~VdT(K7%3Ugo)JOUrVKd|BKT(K*ZLmjlk1<(bL zLm%YM$rW2e1uTFi@C1AY*4$iC0J}p4#Nc#T3Xj4&@E2@758H>sp&dHmN%#!3qj85( zPzNW$66l7v;9JN$CRc0&MNkbV!(zA#UWV^r)nf?@c7{@z z49CFba1Xo=-@>ZLlPA~%%3&Iu0GGltcnscxpCP}U@L)7dgOlJgSO!l+FZ=|noscWG zgb38Y$*=^TfnQ+L6RFd1D%=Ghz*Z*_Kg@+I;5qma>gMN)v*BLoh3yvPiZW=0Zul7T zPRa^-j$d`@!LGB3uK{!uPPjX`~GrU?JQF|AXIP z*VA)(j!Aui8{v8Q67tRVicntoA?JmJ4U;*3?Z-IF!^#@{b z89WZTiwGBLVFBC%ufSih{$=C^s^AQ`6`qGLAZIacB^(4t!`<*Xta3T!1bagj%!Nhp z2z&y@6}e)27!GscI#>=`CuISb!xymom6R9U1Yg3wSD_Dh1Gc`Jx(l7~0DKOsT|-@g zbKn(NcL{od#n1~|T}wX-H$xu`xsLV_9t3S^t{4Vqz)R2vt6xu9!(4b9*192A6vGVY zfJfm|FmI&IheKgLTnq2R`Zo~=%z#VbY1s1ST(LjY!pU$AJPx0Nc?;pdp)em_g)MKT zO@t{hAC|(0uvr)VG+Y8tzzWcpArHkc8P0+`;7#}gcDRkO;XJq-K7y@pr(c0H;UV|| zwz&gcKs{UpPr`Sw@tw2*Fbgh$Zuk_|xGPr_LOq-d&%>{<_1)AHxCmZ^Rqi2ea3q`u z55UK;`n~80#zQNd2M@s8@E7cUALAT24_3ey_ft1u4lII?VBiC^T~G&C!h5jMgOook zhL>Qyhp-RmfaS2t!;}Tghqs}i8$H8KU_OH0;T(7yR)3U!78b$X@IP30d9D}=+9s}oC)19 z@M-!GxC8WOXiH!&Tn#V4fM;{X1h@=70`ocAM>rfVf>&U`^VByu2kwAg82AEhHcWuo za0h%3g)cG=gR9_W_!&0qq5Xyh@C>Z|5^WKTfjMwFbi)ex8#a2Gb_OOu6PykAzy~nk z6~-tq9_GLzcnYjn2@@v5$?zC_2OGUc9|lw5RJZ|Ng3m$wAN>{V31eXvEQF=-G<*wN zyiVD{R5%VUg8ShW_yY>xpbo$hFbfvJZSV|y4%(aa*RUVd!aTSZ-iO?`Xy2d;=D}s~ zAiNF#z!q;4HcW<-;d*!-w0EeZFcwk*AH!NJxCe*8v2ZEe4X?nrkoN&;fMGBR7Q<`s z7wqvN<1)Aao`;`cmygf`oCvqTQ}6+pA7hU&5~jg?xE(%#RX@oUJHlu<5pII#;VW3< zQ{snt@DgnG8R>+};WhXk27XSz2PeTIxEBV0fllFV81ND;phQP^i z9dyI{Fz{>g2ZzI4cn1c2gP!4Lcm@W1i(cRuSPldGXfL4=u7PJ^)$fpj6W~Gk6ZZL@ zaNth(9}N6~`V7azE${+-3j=;+E&wB;0d9o%p!6rkSMVWh_%n42-h<75p}l}-;cLkI z6`ey79164GDtH-wfsKBnje}Y^8WzJ-@D;50J8d`2fD7Or_z*VzgEkgUfGc4+d#8fyAu7wxj3ouv76T87oxE{WO zHCD|N7v}gV*4DSbNPpu?HLkEwB{cgFj&F{5&xN z>fj`}3Lb?Opbel5;Yhd;9)izcjkO354uLb_Vdw=hFpqt)lna~y@58{g$q(EJ%i$wf zW1T#)GeqG8xC7n=Yu!At6V$_na1ZpsX6xmN;V=*Ggg)4GebNoha1J~SAHeDx5H=hJ z?XVOcht)UC6P0i*EP~tMIrtoMH^L^M4BB83+zW5Rx*MZ+m<{K{L+~!Fx=Ehc0Vcp~ zI2*2oN1zw}gv~e26Gd=191S<((-9Jm#F z;0wsxB2Ns0D8!%xmcc9V3v9Y&o+yEta5=mV`CH|Qz2FEq8WzEF=!Jn>=ZSscFjxRj z!go-x4RsY}z(TkKUV)!r;I`Bmm;h~X1w03YZ$mMWeg1;4_M`{juWI1R3YCtwAL{jpOx z0BYeZco;qb`vB?;jDmXTfG6PxSnELIf;zYm?t@QZlL+$A2%YdSdic&99RrD!%OfhY%n5E><`s&3S0w^zzSHcjQqneXo5@OMObwtHUWpjx$qpUIST#4 zv2Z=S4;zlo6GNc^I^j*Q%BdeP1D3*Pu)`SaA8vv_VANRJTzC=Ih|)H}x$ruye^8z{ z6guHk*nS-KA1;FB@EZ)N$P<&`TzC$0D{0GNGF%G(gKr^se4f|^#=|La13V31Lf!;y z7be0iSO(w0c2#*|3M_`VVbzJG0S<*TpbI_(>tO0B90te0weUFn0y`Z-T3|7}2q40sHFgF#22M_39!LUA=|g`40b*sKOWun?YxoLb_6 zX1EbPh3zL{tFQO*7Ok|mFa_qrQg{K(HuMS?z%#J>EczNa8am+-_!L&1 zO<6%X#Nd3m173sQVaqwB0p`IHcpUm5e=hYKs-YcjfT!V4*mxf040Ui0+zlT<&e4Pi z2S63H!;SDJ=*Q4L!J%+6+zubY>c?UeFdfc;2jB}>`#9o&Cb%441mk$ZfP>*wSOUwT z7aH2Jakw3N;Coo_1kwVB!*Q?_UIFby>LZMU`EVn=1FM{bEx<4P#ba)tkf^AP@ z%mt^zP4EPK4g*fdMqnCT3D3hfu;m$y;a~~;345MNeSpVdud^6S!Y@#~5S_wh@HUj6 zjSazS9n=9h8E%6*gT-!Q2s6Sx_~PtdVsEjJ*q8G~_7?|;14Tp>iJ_ucl!#I>Obizz zIALR?7$ruFaxq4X6;W}J7$+)3r5G++(P;rcx>_hG-BoMWbjEF>w^%?`sjQqK#K%W{WwTbu*85t&b7MisQubqFtOIP827J z`C@@MS)3wH6{m^Q#TnvEX08jx*`h<7!}%}giSs!p=0b6ixL8~wE)|Q!Wn!_oTwEbK z#g*bJakaQcED_g=>%>xVJ+I{4C~guri(AC4qDw3jw~5=C1K%m`5_gMx#J%D^ald## zJSZL#4~uT`hi7mta@#S`L5@sxO4JR_bJ&xz;73*tr5BVH0Ovr+j~@fvRozb@Vo zZ;H2=O~1p7D({K+MXy*PJ`f*@kHp8~6Y;6|jI#>95MPR~_@dS~;#<)tz7yYzAHKShPI}buMN=F z(gtd4YwKw1YU^q1Ya3`AY8z=AYny1BYMW^V+UD98+Lqc@+Sb}O+P2zu+Vz z+RoZ8+OArmHb@(+?WPUUcGvdM_SE*$_SW{%_SN>&_SZNcQHy9r+EA@nE73}|VcKwQ zgjS}F)JAEewQ_BYHdc#j2WjK93awHbuT9XZw29im+9BGZ+F{z^+7Vi{R-@HwleEd& z6s=C1s!h|TYxUZZ+6=8ho2fNwO1 zwME)x+G6c;?Fy|^yHdMKyIQ+OTcTa7U8gP8uGenRZq#nlZq{zmZq>T9W!i1p?b;pM zo!VX6-P%3cz1n@+{n`WCgW5yd!&KA zwJ)?UwXd|VwQsaL^grEjfoqi?Hkr*E(Cpzo;fr0=ZnqVK8~>Vx#b`fmCVeRq8ieNTNaeQ$jq zeP4Y)eSiG`{XjjU7wJRwV!cE!)raZB^$~iRK2jg0kJiieG5T0Nsvo3}(<}5!eY`$F zuhJ*#2kVFEhw6vvhwDe^)q0Iyt54D=>r?bPeX2f9pRU*IN9r^527RX9s5j{`{V2Uz zZ_!)zHhq>pTc4xP)#vF)>&NKF>c{EF>+Sjp`ic5U`h0zXezJaweyV<&e!6~!ex`nw zzED3~@6gZD&(+V<&(|-|FVrv6FV-*7FVz?6m+6c3%k?YtPW?*#D*bBx8hwd=t$v-p zRKH%oLBCPINxxaYMZZ<=(wFJC>9^~5=y&RO>38e*==bXP>G$go=nv`-=@0AO`Xl{=VL;uh2ixKh!_cKh{6dKh;0eKi9v|ztq3dzt+Fezt#Kn@AU8WAM_vfpY)&g zU-Vz~-}K-0KlDHKzx2QLe`xwOLpKb=G%Uk5a*S1sRgKk*TqDm|-B`m|)5tdl7;6~= zjkS$+jCGCmjP;ETj17&AjE#*=j7^Qri~?hGV+&(TV=H58V;f^zV>@GeV+UhLV<%&0 zV;5srqtF;+3^sN%h8VjWdl-8fdl`Eh`xyHg`x*Ni2N(w$5u?Z$Y7`qKMyWB(7;cO( z%8Zf5C}XryZj3R;8d2jQW1LZ8R2t)r2}YGM(Ky&R#5mMA%sAXQ!l*WCj9O!oG1-`6 z)EQHaX~uM;-Z;{jVKf*sjYgx%h#5y2%|?sSYP1=%jM>H`e<}d&l%4fFBmTx zJ;qDM%f>6ltHx`_|BTm-H;gxpw~V)qcZ_$9_l)u4Ariu4k@qZeVU`Ze(t3Zeng~Ze|vk zo10shTbf&$TbtXM+nU>%+nYO>JDNM0JDaobX-Q2_6)7;D4+uX<8 z*WAzC-#oxP(2STx=1{ZPEHO*XVdijigjr^eG)I}E&2n>$Io6Dt2btr{3bWE2Z%#0) z%!%f~<{{>x=3(aH<`HJKS!33klg!EH6tm8pYECn!oAu_A<_xpJoM|?iO=iqI%4{}U z%vQ6_oMp~7=a_TNdFIjPG3K%6apv)6yLp0nqIr@z-&|mxY@TACYMy4EZk}PDX`W>+ zG|x6W%yZ0h&GXFj%?r#6&5O*7%}dNn%|+&A=3?`5^9r-mywbeNyxP3RTw-2pUS}>f zuQzWnZ!~W*Z#Hi+Z#BEjW#(<>?dBcko#tKU-R3>!z2<%9{pJJagXTl#!)CYni210w z+lv&Ckrw%`eO^&9BU_&2P+a%|7!x^Lz6L^GEY1^JnuH z^H=jX^LO(P^H1|H^KbJXQ&^g%TZUy?mStNx)+*Mj)@oL+m1nJPtzoTc4hSo;b#?~g*rq*Uwfwj4{g|(%%m9@3CjkT?{owdESgSDfzleM$8 zi?yp&XbrLkTf139tlh0WtUay0ti7#$tbMKhto^M6tOKoxRb&mdimei>)EZ_Dw?a9c&$99cmqB9c~?ARa-Sytu@J-Y)!H1 ztf|&CYr0i$9cj(58myUCqt#@^tfQ=EtHo-y+N@dDY-^4+*P3S?Z5?ABYaM4DZ?#(| zSSMO1S@W$0*2&f>)~VKM*6G$6)|u8>)m}=D>lN!&>ox0t*6Y?A)|=K_*4x%Q*1Ohw*85hkwZi(q`q28w`q=u!`qcW&`rP`$ z`qKK!`r7)&`qt{RzO%l!ez1PDezJbHezAVFezShJ{;>YE{<8kI{;`Cu*}84mrfu1_ zonxh>D;ns&ZDz+TH9Xs>OrW3OwkXRmK>U~gz|WN&P5VsC11W*69- z+gsRM+FRLM+uPXN+S}RN+dJ4h+B?}h+q>Ai+J*KYd$7HmJ;dJK-oxJ0-pk(G-pAh8 z-p}6OKEOWEj@U)^P`lVJu}ke?_HcWIU1pE8N7R+P=nKVqa@tXD_v{ zw{Ng-v~RL+wr{a-wY%(P_HFj<_8s<}_FeYf_C5B!_I>vK_5=2V_Cxl=cDMbA{iwa% ze$0N{e!_mze#(B@e#U;*e$Ia0e!+gx?y+C8U$$SdU$tMe|7X8$zhS>=zh%E|zhl2^ zzh}R1_u4D$59|-^kL-`_PwY?a&+O0bFYGVvuk5ewZ|raFKKncSd;16bNBbxHXZsiX zSNk{ncl!_fPx~+XZ~Grxi zIXmR+n6p#P&N;i}?3z=UGbm?p&TctFa(2(zBWKT?y>j->*(Yb;oc(h4&p9CHz??`< zQO?ku;+&G4(j2~I9_0+#+DY{d^{sQ&k&qk%dEizR>Rjca^25+25h>vurKJwHcMezV zkC;4{PWsFRDR^Ft+k00ew%Cg+L!P2 zxZqTlgg~vP+v*4WKfcMr}#;+?i7ji#vLje)MBVeQYod#1obSPgJmeyl2YH( zie*<~YUlwi;vA;Npsx%jotiQwoT{jh5JOZIl**>7gh>vqogz;Gji0)gw!&u;bc|ty z{GtI(NIxVU!Q$!l4O7OpwekV6w9%%KaWa@a)u9r>_L8Y!-Cjk>*@n-~rkEU9am>`!JeA!!5-+^U5SQPxeVjUn=ofGL) zn)P*e370XPvzamV$pTLCy468yCrluryJS}<<`H$ZvGOL0yQw)mX+D85O&kV3W4t_3 zKW##@i>Jif?*LN--JqoX_*5D&$q`A8QfZD(l3kp@${P6!DQBQp%5yoxs{u!xS2ov9 zo>7-ZV+r^EC@ibAldgnViWUO-%^>Fn)H1E4wzbx;Fl9)NQp$1V2;$n-$ zPw@*nA&lWv+Ng6FZuYh*n{cp=>Zi1m)+op9kLIHx{uBmu5hmbd!E*^W`M4{m=yK$8 zvl{^)1u2rB{u!U_u4=p2O(#2MOYzxfU(6(35x8Cx5}6`MNcrRNSKQPrQ?JWw8`Ira4T6(1 zWj)>)%gL7V>VDS54M9rzbM6;OBu`pVSP-GU!%e|Sx2ok#wVnFs4^z6R2vbty`0+m2 zfHh~52r3dysc^lDnLcJ$B7F+tmD|y7inS&3mn0cX5vvb;XF9F&9p`YxdtQCnmmls( z2&bee=o~LZ_j4bSh&$F%B^$q~6zz9$S2$FY;LS{xm!Lz4m*nO^d6+ob&3$TE6DNi` z)lI8o_LfHP926dO4a~Z{6&|0?0v?hK7&rOtDreN}MCcZu^I05!7vN5+JiSScxM_@g znI;?SVom{9UorPd1Ut9prnG`iP!)V`LVgs-AHD0sFs`oE{q~XHIS@ldI;lkV(~7w9 zIA)tJ3$AJUoJUj*DN^T=N0?)~rjaTo6u^@s(xe zUatM^w^T{Mv08o<*s-&cvT?4Sl6)i_WL^?Q%Kd}_QvD>{QoL1{RhEt`svMg>!eoiK zer0);j*%5AJh3V6AwCl+OGP>%q<1^PkgVvOFiG-7HLBXG#_$ooj&BQ7}vSZjP!62EU>d;k!B&VcR zf>c-EDnWA0DGLFP?~qx3z(Gx{lEVs=s@v%L6z0qVBaskq%td{(^AtbvX?Ys2&c{g8 zrHR0m4fy6PZeG-c-ZzC#i8wexR?U1+#vP7_<;ihUiAweupL-_f$D1&vgcq2Y`I3-s zQZ~p7$uVnxJlSScgVN5Z24$WI4GPRnd{M@S|H;F=L2(zRBR(ZLw-Y06C&SL&q_7&w`%Z5m?AgmA0h&fiYG*aCdwalua2#4GIo&d?t`)Jfp%N?CToccE2L= zG0y`J^t(G9SeVpqVWD^*Ddm%;KIf!B5<)}L4x$*Z$tUP)pz00-vkE&itgK@3acove zZ%&qG15406)>-Tf$A?c%Y7P{gBNyGO{X-Y4;^63f>%a^vKv1ht; z`DPMn%P959w;NibIY?=-l85DC@%udWOFTH|2n#XO1SCv8F^veHZDjV-pG13uecvP@ zEKpCZAEg!-_3CtD`Vbm&$Xr6nIUR&sW7U8^_#88hken|#BZv$Fi9v4~A>Y_PjYRSj zyL4h|Ae}Wnr$I}Mw=;&8I`mE>9v@7{t4^v?-KR0xB)rEjX<~97(YViH(#iN9xvZ2( z=t#n8$(3FBX8=yXiHeU3(mf?nqDkYBbi?>CSvlzT4~SFqghYI7oX#~qrcEc1G-3`F zOAL|InSNk|ojFe5Dr0D5!6n5|5#MMw)qNuEb>l4AOk`*2?N>5Z2PNjZLY0vZ3*rYPmF10B!hROozD`9_n0Mp zx=V+$TP0J0S7%XcwWHU)(sp4(i>k-?hq!yG_9xMJ`=8;*Wt}c;FQ+jG)aE3Sz55;S z-u;hv@9gmo4H-<1P}9k{OVV)8=bAJ?lP#~~)oGfY*Bp3|s7B|}*5=B(76t~9LTA6v z(C`LVN_*i19s>+5ITA=-k<>o8kw`CTqDbSRVQ52b z;|!mQO5>`F#p+0HlD|Ylq*OF$uo_zw^;1@ARaH@U&Zo4Q&2Nbssb-BrraP@y@Ff~WbDoRUUG(rs=i^mnyj)z*H9HW=Xm@~*!6qUKkmsS`e=u=+$(BeX2!6o{o zGHDV{zgJulV@q~j<22R`5(3quq=d5f;xyILnenB#%BrHC9i*>}%1>xjR323|EUsWhoN|yTc8sL#C^$ktDEt2}k)K!$$U2)l z)M&7{!7r5RD7B=DD`OCTspK#y)^Zfw;u%n~^#n>^Rb|gds;yLy36XASkPTa+Dyp%f zQxkdFh*v$+w=pB!7Nly3T+c^TZBciJGVZM`zWsw3H?+e4+u;6>LX+)N+5cf!|1zlm zk__p$|Ix;qcG;V(d`YKz|8GUzukij$?)=GICsnGsy(*9dgvPYe+;;Xj| zl}>`s>SRw{RI2*xQuR8aY?x~6X`q6gqniFWJwQ|AEQYw1O(jijyoj5=L2%Y_g5^-8 zR=9~HeGmcV_ah8v0-Sc>6dHmv;__1QuR>4{8R8iU2_kzwvZkURL8K&u3IEFJ!X1eD zl<_Zu$eN7oS;?M`{)7=s$oPe+?sD6`;rPjsVlwLpd9m?uFbq{8P3!TctB z2+6S*a)UE5Yw;ye#w-)5I+K#RhPqZJ7&9k@sU=NmI$4t*C)75yxi;@tXBvOX3QFpy zPEDTSk8#(7d0Rpr)iG4g3mHz-H?m?ftbR^h{XvsaYLo?)&6`g@u6Rryis3s)sM?z% zN6MYD;oUiOq@F>Sv@zrJ)iG(Q@|o3tct*b45VhD_(iZcTi!2jaSEP1JXU1CRrt6m7 z=O7_6zP2nNBe6jCtX$oXKYn?x?ofhRUW$$g2o)5LzTxMpd-U z%^Y0fZ(>*+Rt|IOTipYHB8j)jq=7n1A-pnE*W6g!AfM7o|IwmK-cs}yx}EhIWHrskO}rzRDi>_Zbz-Z3c|;2|_Jtd6FL z`>e=mZ5{dQs)Prg4@V&B)w|R^C5ecJmnU~Tx(SnQLMeg-?c3x;#> z!lX&fb+hUj`b|+SY)DfZmZTBRLkkr^OH)HzYn`g2{q&viJh_!;u72aJP|qQf7KCLq zJZe>|b4ia`;&};a zoRmd|x;v&O?NT;^QlxF_)VgN*yf%%^B{m_Ym-d;Q60fdu6;WIptDVdNvgrd?&sqpM zTrv=FT$I%kHU7>Js+T?4Muf-)!{skW8EU&nRKB$x*p?wz@kV($lE)~Ht7}zVw;J0@ zS+%mQ7W*=asjVOB#gZZ|DpDKFq;i;1FaQq@r2+*Ll1KmCTRpE*sdXQEm&6q$Co-87 znL#k9McHfkqo}S@gI1ONlsJN3If>RQ0j3&WOB3H%Qc)xy-Hn&`8A7-Zm}R)myrjH# zj`N7o*{)7`gl(RYYL8ox>#@FZB|Vm;*Hq}*ms)`%rAht*xzFs;dpp|qHZc#rMoo|n zh3|w&^rHrEUQZ+A#H&-glP6JX6jSP2YC^0c-dQKcn=&q+V4!)bE^VCUKH5^FZ#D6h z5jRcFDCe9c(GNi{KzGn8w<9EHMV6|U6B@Cy#wm5~oF~4cKT+&5y~;uZ36D30;m*#i zg4CAIsmq+Ncyc+DEkmO$U&anNr?km(?Aa)H1VsXRlp}zQ-9bRwE1lFXRH`7Nh7fM0 zlm6l-B>6X%NkehAWRm}3_027<yq1C;V2nPKXJ_I1sU8#X70|w%ITksO&&i|;HQ=67I#R@&@%t-Eb``jl z)N4_lfZ~I&fIcUdm6fuV!*MA^o>@8K=_)A&l@M%DC(FjCt)3~!!jyP||7O8fO`cFx zh~Mn3n$L6cTB5(V3T+YHF{X++*>m=o6TW2&F?OmlW;KCGJM2l{XR21Fe__P?+01?d z8AypZ(UY!BD2Yzh^);HKr~hM?`w>KPj>EmIWQO|_NJ@U)F?i+zW^xqzcu|_TV=Da$ z#y{|JpT+;zU}a4We~!8mX_JBci-e>W&%e`CYB>KliVB8HCeuA~&aA4CVA3ngIS@G# zzT`(co%$p|8iH>I==Qc=0Fji%j|vt?8AE&L`NpStejmZrkgPs}dx7K(mxZD1r;y8Z zB-NYvJasfLv!o28+%clNIn7_l{&BZ=o~?3^A6%n)K((~yP9Wkga;W4VsBrFfZG)VJ zmj!k(yV-Ios=M+SS6+GTD=_oOPUzRR;YIu)B))6foAgD!Fehp$JeeFqHJ{IxoO4~mhjcQjP@kG zRMfGHP90O8RIe(E)T?;(1kt)?&eLXo+L(4XvcI*8vb%383#=+Zs>$-r8{N`!7m7G# zbS7)6N+_@%MBd|zVQFOJi$WP>lU9aYW%#~5L{FA@mo%6*_Ygw$oG!jjG8>^1(ngYlj=A-F#Yn19BjC8tJ(M1spaxvVfr-_s=B|ckiCvP!o*uga^IA9ZRcMH z=|s`rzyf1}|DyzE3o~m90|IW7?AM&sywqE~lMX1WKfcul80v_(w;_F@c^zW7WFV^v zQMRD9)FrmBkDV$fA}wW&^4Uw$Ktrb1S?Y-I6j15vcM!oOsKKFULUrMRjA>%9|DTf* z9!iGP$c^HGwT9qYgxW|Ra8Fr_kgEYrY({auY(O`rR`bL9G4_R&dL5bLE71C<^(Xs3 zpU?OU2rFNL{VDzQ%1ZGau5JG^g5P}l={-aIt+mb0jL^|;$nv81%7EOY!vzfs+ zy~{FEPBX;_EU~7QVdK$IxvR!2r}To!!!@5L=OB@?Sict%-IGU}o2Il)Zk3~;_(0E_ zM9D%)8Lm4GxVPf^-vW`gsg{i8V_CKvsIJV}OHkn~{PWUmx+ka3J_H0ZE~q;z%hHCk zOUls=QswF9Z}Aw@4PqUEGTCCw*FzFjG4bk1Sy@Y|+}+}Hl#h0tCF(L~L>209OuA4V zGcT)bD)NOX_p&*AA>yZ5CC-v{rsVEk3+e85ETLUBCg5!ANGBMthyh79L00g2r73Of zYgfe@zJE!oTm~E_?%TcOi8=dH{C48Yh3lBI@DeH#Txp4m$X8C&4O!5G|II+wZTcsi z81g2*hnn59^P*^WIcYqql?@Y(myOy*nl1I=()~?-l5f)GzAM^~gvBL!8A5LQO5XV; zSHje;FZGN;?e)#Fd#kK*7WvP57O=18;@O`^LmWiwf^ z+{^0ucbY)?hN6?4mZAps)A?$j^B!s$_hZv*!|u0CuCEWdUmWsEpxj!o%)h0W;{^Qe zh8Ih5GbamVPh?5`G(HIBYg^pJmo%wOu-+Cp)f&n6l7>ocwoICE%Z|`Xk^AV@Yq`CV zs(fs$o>#lUIGY_qrII@|HHal<&r4a%DY|q)r*BGIhBw#7{BP2F>Ke`4rtVW@cgC+C zF_*QBZsO6O+X=-NDZS-OwV)_vX7h9~Rf%%_kVIo8ZUAW#oLMf7HpaLcrGv?h^4fG* zc}CkB*|nIO#0p-PuWevob3M}}FPUCv%g0VskykW1-w*JH0Iq5nV6(G6HB5QXQMsxb za#$Q+KylV&%38*A@Isij7+uu|)_DC!l=o9CZ}A(`(JN!XIaa{bhhpcSLBMO zv$amelBTG#%cd!=mbSXFsJOXazM$%SP))_-sz;9Y_?WfS_voUA(9wR>F_s89bXQNZz<(8qagr$N~QOXu5{GDYtO;TB@W1Dyc>0f!Pu8N0{{JKa= zDlk%Kxj$rkesz^+W1>nW(w8WWG=jm<MCZ}#?-o;PaqgUa&zqq zKDCV0WL0*VP6wURVdB|Yc*rCp2R723FMl@IHqZ6m?DDSOD;Lh{Kd%rMynyy|hT5*Q zJ4*;_794AA;poMt@=08LHZG%yH-zP!GB#}V*dlq-m+F+=Ly!lTGb4yQvI8mLIL38! z-jWPBRgZMt+{LwkW0}t_Im~J~2PrQblOZ{tW3pG3930aor*=kNacv_XyvCkV*CE3n z!a7^$%kk>yH_0SqzMPj7)Y(=lo9^&TT6=%|NfS>a7bxkKi6H3Fi(yP{D}&tr=G^n; zG=D3f?`-}ugda?P*i2h0EF^-UM=yq|=K5Coo?wO*jLf28!OCZRi7qLzl2tw_q~})k zuzb>Fp8r%frJnrb^$Dtua&AycO;zJBHxN~ut1^kyPiFP9uAx@Feq2&IT7J-u7xYw@ zT3+A4BqOckO!ikNcKIi!0J5o(-DcnIYwkN;mCao#SmH=$=r`&KZqLHI_*qSUc3g$>4t)jnq@9HbBt$@ zfrEEeCP1%yc9!h02cBL3i(q{fDosMGt5!0lDM6-5YIwj+j5K*4AC= znNqr(7^@fiLe_o4Ht#t7##l~rOc`Bx6LP;}U*B*Z`Z5~W%rWBU$o2|MkDdxE6WiCjOM>ML#4waM2gqirqI zGvp&av+?B8rramlDp!A$*4FG0^dibn^3Fu+zWnmh zL}$|D7fG(@Nn+^^HkCoJ_?uyL$t_AwJxdwiTHnASD#})QO33PRn*N=qZeio%aUq@pnMvbIe#E}6*;`=nuHHg} z^5lE!FZEI86N;zU35F+It+kD$-TRSrQiM!+a%gIeU~1x^8b<>&n`pLHRs&f)xz#aM zsy}+l=F1ISty--_!lgzS-l_4gyXLj>z8#gVY}B$Z>}RT_X!v1^p=r*n&#YPQm-n=J z&g`3~n3E9E(xa6lC)QMt>g=@T0C?k-QO z30g648Ps_Pxw~zkdk~CrkDvGy?DEt}F$lEHtjly5N%*?1tJT@8FNX}Q)q$|%>in)r zy`m%0=AMBdA6+Bhtl-EkYti5#x2nVTo^Qur%AoCby7IV-oF0dGSF4jwilvvpqx5uM z21iEl*pL5mB&;?&$K;7ysUKzVb!27LV+IdaRpDPmQ$0q_X;r5BnL^nR{69{ej3SXT z)jRN*HlcP^YK-;5)Sg^wMH4t8Sq*WVe%jU4n5HVMsA=~9PX+U@qf4k^rGxnQ1@*6@ za#LC{Molo1CK0?Rtq$#$Q;CXj0hwIoTrs(}G08h!k8-M=Dwn8y6Bmp?jm#@>DPw~~ zVlWnWshgZ`#Y^p~NgZO394V&}@pG1(0jXa$xm8IgFHdVoyK6@d7GsGRkR&DB88tzvsB4%iHzqiB$USl}+{HULO)h`95vs)E|oMdCe)@S>4|UQO^x z`U=M{k#5KpDw93JcyNfOiP3%gHSO4TV!Y0UNv5lHuB@s{tfow3@hZ?JBz9MYXQFC| zOmX>Gj(t#J@K@Ye0)BnD%Irs;<`c3C=PzotkY3v|gEwM1o<}`GWoaPYt7Ed(cm>OQ z9raV)lmFwU<~04Syn#tEIBN{@q*$+U_NBbcGo^Gp!2GFU`F@%^N6;>p+;XY*f0Y9iu|M5a{4g)$@~F5`>b_mgzU-C15jnnRX)-GPQ$ zlUIfjtgY&P>Uy85$^;DhMQ;!AO{dTJv{<2}rTSBQ+dn z;ajD}+3ArGkt^deM=C*yko+H_^D?Bi45>i;u4(+YB=4L_JdamFxSL!O2~lt1rKH1s zUn?Qz)V)+Wf0ERkDMcjH^Z$yr7Wo0WjJB3$)0?|$Raw{25Yo`7wG+QvQY+)SH_zk{ z)K)g_xnC6unO|3rah{K+7`A+7;S@02AVN2l^CpDzWvt-G{$yozUZ4$o8Y?ScWmED- zK4FFbAyUXYaMMXrvZr|C=J}FOxaPg$go3H8mlAm@ArQ?38ga*-9eZ-&6e?n%Z8NgcwX^J z|KQdgY%vb@hLD-Yz-rah9U{wTY0g*h9BW8=O(JfrjJc>~Lz|q}RT7W2CuClDQe&J6 z8J3=0f$+sAuclOGd^w?NrCv^`%KmafRmz5>ShWjtIYuwy(f(yx~jGD>^lDyvYEZpV{oKCy|E(&*32303`h zIiV`^%L!GPUrwkBeQDNxdQ(-FW`mj>{;F4=sxrQuP{qv6*A=Q^4DEz8gXB(IR$A97 zd2S!vAdqC@u8g#;76$HOa0HEgU3m+?%(mC62cLRI)fELR~}UrwmX z`f@^5@|eb_Z)dluv+LAXTCyQ?6TrS$_ci3?o;LX%E{ckiIaLWuPw^KK6Eoxq3^|*c zi=ylWP)8RJou~%E?v6>h1y`LU7WQdfM?&;d*W@m$NXjB-9dQ2N8TjEPnLatfv>~-Jw z4Gq%Wu~b`~BIyq>F4Z!yhIEus;De4Etel;VxdU6`(hhWRKa&Q z+;M!7Oc?&BOd;U%TKCa&N+e8d-A$IRnA$|-_^N1|q`uSYrq6x*(u;sr&5gh}R+l>n z-9IDh!#VPSn70R#rFS)`Uy03{BqUqtK6huWHl3fuLfrqOLSeKe*)jD5XPjZ!WjQGm3y9p>WYdtt(fcs!xy{~`Sd(HFN-C`;>`Zz!r9XjD$$Q*c>d&DZR4C8FZ0M}clnfjeZ4c` zcPg^{TZ(5%@T9tF^7~`najJo35$|ppTm94`t@A>G>xK49R(e{P(QF2E65|~Om$)DI ztKLQU|JXYpIJu_#@1Olcvys*yDs7_%sphA_-W5#$15N2 z&))gobI&>V+;h+U?>eh~sgpiIT6k90aQQf0ay^IjXZ5(sF_T7BPGq{&hO7r`LES}5 z+u+Ut`X=kLoNc>sXz(tPsYRNftLqwDv$qE8-h12TAQ>zWZA);D9&r`k{!MC*p}9%* zJaalZr|@)=4LvNVQ(PvIGiq9A>c`VZpIAPc*C*(m%oy3f$}LUOU&}^)V)?|tXfDoi zQI1Jdm^5nAapNY8o#^-LAxdq7V>+^3TeB0+yakdq$;@i$t|jQPd~Jh6pcKmbRi6H@ zW}hcrerAAOE0blA-DY+L>26H_GROXtZ7(4|n$NS0nOj>QN`H|jJyg&UyeWapC|ONK zj}vS^X6=~tXhClPlK!lEeXi1N?2@{DyjFi5J!>Jdx5~K_I}>I5_=YS`-y4$sYt|{@ zRD?OhV08s%5MqBoK}zmCeyL^F)|Mvo!U(wv$KZvlmoAB9cD1!~#v-R+IemcMO*+NN zickMo9qMT^`H?vZRr6`h?16z>j;cfXvAKzzxgCk+#o7|%!gjSZRcXX*P@}BdNo(b8 zU#T`P+gYkcWU_ABsrb}MB0UH9OPF)0`UP9o4dlLxQFM@!p!`e?=-;eeQ`T>(6RP@t zakm80dokXRWjgq*MD$)qE}`iJ{Q19G&CvcUJB;@FiPKN#>SnrOu^-e2w=_-ZwX9n? zeHFT0)X9A^liNF{J4IK?ZEAJ;Daz@*VNwP$W5n?TM@79>#ZJz8Q`PVoQ>DdCD@>M;9Yb5EzNb49a^=Vf9<b2NGq$KQPa`HfGhodQuiQT3z@hUr%&OfPVT3k8I^5UH%Z56j{Qc;dw_jsEiW_5%<8aJ=u5MDzpWF`ib!t}`9XRg zmp-1(T1pGoL1)n4v}Jdd>0GpgQbU#Wa3pJf9%JF*9x|erVLK|98mMp9&y=TX$YEvY zL^!T%*O=^8U9VrF)m?w$7L~5OD$}2Eu5SB|5%df@a)jVAWB11gG9xu#@aVu=4RuZa ztj#rj@2u{(hv>s6MpLtRS2+nz4vd;Q!RlRQ%g{+=|Cp|=^zU=!fT-}UTSo2mPH~b* z`p4?#mg-vlZHAdWO|QT#wxl!rt(pD$&* zx2MM2AZ2Jc&J4zEKiQsIBZZt1x8%~2K7Q9j45<)_tkw@r*6XLLQn|7=GuEA)U6CbL z={`Zi+vZ5#IeM^$|FW&>{y-9zv}MG;WwvtKeOh{`VKv2$g4Oh z=1ln@DVufX8y`6pGDWJm2a%>;Y{5-UR#N81#hkXJcTTCUGkwazEJ%MXg3GM>1wFhF zuf8Ufx|t=lud?oWBm3^LIU^poIUL4P-G{oRJ(_+dd&8DK$gDx@YzuiF%^8}k-o!CT zjxN*t-|T%yrkY2)MCGjyxq{o;IJ3d6qhLF;zS$u8({K9CKRDHILa=_%=?x+IFTEvvXkG%5B=5=>n`ao38ep8CaL8 z!jMb9J_RN@laq<|W@UKsa?AtD1=pq3UpWQ3tCbiSdT&c@^ z_?QMnl=VLQbYXHkD#|`}7-8uzoSIYn)ON`R$8I)h;u;UN$tfYwI9nQM{MP zAwBzgV2NXz^m&X_0kf_*WVXABO?5n}W<9B6zja=Yqn+;WwB^KM)@m69>a1Dgey0@V zOdK!2laVB~2AdeRNZ?QT8UzVhQT+f8o{ zmtePybQ_+Ro9$cI`YaslY5V3fD>rMV?Pwd03)N5Ibk{7bCmV9}+oM_SdDf>#xih<` zTSQidb7t<*!m|?4R|Opx{jEL~Vy^&u793aHx04g;8*-j_N%s`GF=gc|ub=pKG9_Ie z&#IW}nOf7@T(B=G5b3rN=ck#8%-epeYii}vO!k==8TZHyzN4()%nR(;4!GdJ!vWk0 z)UIDP$nG$GS|+m-FhOmN+2?I+0i4Tiuc@vLb;u4ieGUECQTodOvKT9X6Wsa(0ZtM1 zbL*>TFdpNsjhvev*h{1bbm>F4>X}U~(`%YYSe~e5so7^A&EwLt=!T9Na=t}>(LCo6 z{#ffp@DimLn_YZav1Vjd9jZB_2Z^+WYUVUobLiRJB3W{(%C`2_>gn^U+v<7Oh5fb_ z>((F1$=pzSNmA_WU7hvjClZmtOQE}d*iJIffR)ReoHB1-(x;;SJBzZ72kZsQTMv39 z@QoDCtTAp`(l6Q7%_4O(nw+qg^H|n37ixfO3Y|a8 zWN!AA>+0qhr2A!bGegc&^aT+LBA2+Vg`|cIY>Ph+etjdsSc4mpuRXOhVT*^|ksm@`c}nTnwa@XwZx zJ;Zj>IX8+EpY?N{a%C&onf4%iu2M0zv+DHiV(G$h`-Igb(mAT_XieRZl)fK|D}|if znNrD(N9^f%-F9Y|Q#&W;M6c7Z+EiLzten?PH&*AH&hl=vAtUK6$ZZx`30ZNe`@D4h z1*TZ950`VSWWZJ|`D@7F)bO9r3+C_0tWRyQ^~$eQWA;Ve%qcng;oKWHQVrSqDX$kw z{XBywklcT4nK6SqZ1V$BXO(7{0EeulLK-=vkZDM?fN@lWtY79y-+*IQnJw0KaZHCx zA7V|jwpBAnbNw87RS`dC?-WgK>(ka$ADbx~ed@wn=1hL7rDp%`)Ru{;PaQ_GG%gXD zttT@yAjIKfL23~#oC)p02GJ%@1!nCGt9j2$*3Fu)|pAj?wfDA!ZmitE1iOTC-cM&pOS^3jM*bAF=Rh4kPA zMD9YY6K9!o*6D$#87`%(DK{|Z8ccq;@bZB+7e^>lgUGQYdjX~_O4-I1PHeeC#&qfe-=klU{HK1$}dee^H;{5@Ha1xe?S z6DKDD?dNxTbVaw38@^_=*6X{mvp17ePW8<%gw?n5ineNfG$O^)$053BmOwcUl;*($ zo~hrsfs=lOf7}aIP_DsrDInV2QfI zW#7`1g>v0Nx43j8uom9aG_032n^P0{yI_3|p|NdpyF7MdTLtzKc9Tn&d3&0{)a+lg zoQ&HUSIVk$X=2s2=KW=CPp~6XT z`;WFUb9!r^A?HE*7ftfrowv{e4_c+&Ng3s*PUgJjFmF|p7qt>Mly=RcS z0UO&=fyd69kiHO<8(ondN^0qiExX6Hc5OVD$d1djkW~82l)VV&In(zA>6|ep;vmY_ z-?$Y)2WF?AUEoX+bm;aLAvII>B6?VaIh>VsDTGU>s&8jXS2_!qB(j&CT|Ad`GNsmI zMVCzI`?}Lh(~*UiRgsfw_jOs3-5&H3dfFbc8-xsBT}mNaM!FCZSFj9Ok=>Udy8x1U zPxGI(CFJ$t*=sSU2-beBr^T?Mx4$Uq`LY+(leW#mqyU-MMVblrekSK$nXDq(=FeVu zZnfkjl4*ce3VQ2wZ5BB*JLEvm|8;XvU@MnHep@Hz_h&ZOA^z-bJzb#gw)lc+=2lRl ztv@fJ?C!wIP`8~zek!?nD7fk7)Q`hD>TZk9&p>(;w~Em1w%3z9biYY*!{E*mf%2 zSA3>yRxB+qUBk{DYW9vLJy&*FoM+A6Gp5%@dV$#$nY{+n(b)^inI%)4bbR+E%Guf5 z=geN1OR2Jp)m`hbt)3nWDw(*jCec+qbmPd_pe9eB7 zd$dDpSt0K8zltO=XOr38Ra-SvDT}VVn zbK~6YP1R+dOtO5fRNrf&PrmA&NI!9Hn)0kO98P-VoSCq0Aaab9DltFPc=HYlvYv0$ z4cT^{V3zwF`aGF=z>zj+JITBQBYOt(&Zv%XacBFi62XrorwU^O60O^ohQ=yrod|PwTFSDth zNC`r_+&7cUzGAJb8<p1bh0J2ROGIvI;erz{Tg)1}<=u0phup5gdb^N3HK#uhEyqQv+syKA zp3|WnJcnJ=s_!MS-ne3oC-d*|VSkdjwcmcFN&J14E7S2y!ZRwcphiFa5?M|-Fv z^^}h}2Ry^}_6pZ(w~k`;zO=stKsK!Tbr$rCS9+2JFICoWqE7cm zxrb2t<$(I>_q>Bh>-0b>h3pN`AsN|gbdyHvc(A+NxRi`;p{GmeHn-B)TozNPAaazL za{-$763}YRt7k(Jzy_8%Enr>L)GvlN@8QpnNuN5%4LhTLp6lYI1hTbCa&6Xa?`}Cu zhjL>`_NI}!8sbtJ%xc|$AJX%>-!GK)d)A{n){swURno{j=ADRyuBC(f zfb(-9LFt-s3({B8WI;2y;FR}d4MR^7N!PYZ%!x8wk~A264L&qKBl?k(0>8`fHr*CU zgX6Qj3Xzy}i*t*y<%7?rIi`_W_ax#JWIcCcayueFk@T8jeutkWpXoJ2NPYrRqv?|L zWc)38k+=e*ei^W&8dP3r-r(OJtsjWXuPO5AJSdRN6rAT9d6G0$7MCSS9jB|%J!ZR0 zK)THH&6l9Sn#(F_R$Sg{ONX}b0J7sMOLwYGjv@LHI9Y*h4KlLJOCr6Uy8JFJH@%&< z6*wZyteiqo?yIMpxxUdA)ZTIi50>TCh2DnmsdOAV*z~?tQgHZP7L(q&N{qw0 zr5$I=h-PJx*oU4G3u%*;NO z#!VqP$E%rS^Iy1~bIP?)Xm%d>L_<#tlOLL!)b`}7H9y#vT;Xq%v^u;3!})Z$bEGUt zpDk~-K8qzMxwGFjp(|g$Yh=9_fy7Vbl>t_@hNM%@eIJ^l+zvNS&B?l=JCaD6U>AbNH4ET zw&jST+a5m2$}B&n-*IC9A@7;g?9C#RcwS)U?2)W~9{147U8;1KGbi-N%p`H(B?JzIJZ$2ign0D`Z{gTa^e#1o}F%IaLAKfS?yVN@*~}IQYh9T z6M64cviByuwkhX*O7>s#-Y<~;kwJ6)nf2+nHnOLtn@gWq&S`-TXJ)OQmr=t>Lx%dt z36B|I-mN7&AO6a`dPwi~GOr%8y@kly-^}4LW2b{kYlJnc``h7kGEQ-+9d27S?*dAn zLC$q{FO2I{^2YSM9fx%vu-sDFraw;a z8eqK$+HG}MZ-mtu>i(6{tZ_XGWnWw9?b4Zcmx^|Ko3Yu)tP)=sHHd>$9$sJwD zc|>VM);ACI6J%t#@VjQK^idIE=~kRKXvt32x&Xoqj&BvsY4fSX9b@yh?H)#FDkVLG z?Yf?64*K(wZkNp~QuS`X`ex0j6EAeV+&(Y+k^;|b(`Z~yPp8wc9*9mi(e28+Ci=ru0|tyb)cQhU>RuD8o8_w3^cKmX9-vFQ4sR!7ouwL8<|TT0 zJ&e9YZMu$b-=CLG(BWk(Y^~NO2+~7f>-|F}ZRW_lnJfJ|Bi%Y}^+{CL%d*meI(2=D zhtlRorQY|F6_k48QeKIxC+M?Lq>~CE$GLYcj7i1VUaym#8TJAC%qHpBVzRPg58=H^ z&78`vvAtW)svn){^j5^<<8F0pl|J?1)%>Fj{jF8E9lU<+QQk$?V@K(eBW-6ihVolt zh1GiaP2L((Tc3Trs8?&wZ`Pv_rdO5M9?Bjz{ldenw~P$Ve!EEaYe}plINLi(tnWKn zJzZA1=~I!m;LI|mVP$607pCXb@amULgtR}~teITt;^{Xx%11D|n=9Rd^$jk0El!{L zvCc5Hg_?L>x_R?tiq=Lg-y(GwSPhYn@X4KFgSnW^kV8)EIIJw%nz<;~#!xQA%PD#E zLBCDX`oM>t*ZOQ>UjC#HFf+IFX6LNeB-*d8kCQ`w>pF$g=?ZD?sV3jv!cyeO>$E9YBk(S;YfefjUML0Df6wRR7(H8pQXtH_Pr&PHJ$-KTqvoaSoX->utVbJZ;Q ztddJ)=If_D4z|+nXx2~MO0VVk*snBV6T`rUabfByTKjXqc>(E>Q|8Ivj%LQP`ka7E z5vnpL8q#O-SzgxLl%%tj)6gC5)irJMR>%TdkNiXBRz4X!jo~$qB-+;A+SV~$4t?~Svh~NytQ4)mja=I)aF1wxi^sRGBgwvI5GdNF$I9!Cb6~_7Yl=S%korsR1 zSIIp*?k+0byW|8q-u+RPX;&^+qiuD=_Ai5n{H-N5c-Q%Mxx*nlH*yW$aZO}49|>_> z6`AcsLY&t{W{Z#*r{HSg zXY3Qrb8Sqb6nv^vK0>Iiya834S(#~a9YlWr|Nnjc8t^{)op2G!^!rCI%~Xm9b4)(H zh7W~GO>-HCy}bU(DXxBQZM}7_zi?bzh*w_9*U0O5GN7&AU&r~r#-`NyPHV|d=~qn| zSFXn`=9oL@dKzawy*?^1 zPBOqZ#Fg4opkA{-w=QFl)LhQ#r@riNkL>EQ*4x~_TU=!5v5Kwwckdjg;(M9t z4bQr_2dgVFFD*5-yy#Uc-puZ}evTQS=j65C{No&#evpOHZI%E$0Nedl`9$@9BD*CdJZpRd?z=L7f%i55VuGB3+F2pXU|_~XDj_hhB3oK4z@Db=R4N#9>acy zUc-TgzSQpy+jZgfF{b&<$~HE4YXv)%Gc*5j9W||W*0xifd272%xu*`xz7!~PWTyC) zbVF)H!F)4^%Oa)jWx3&AR{ewwH=6W<=I?-^-*927zRcfNEi2X4$9+9#GM!qg^LjqE zrfzgi8<#dy-D4))aXXpN(bN=ZVL(xDtC!R~-*eB;SZ?UbU53+olcc25`6p+ZsV(Px zr{Xe+`W^Gja#P*1+wZm`EURV<<;%SEUkAqbw94y!y^r269bmYhp;J2YH3Yu4U9aC^ zYyFYlxj4nQvM=Jx2B{g^o46d3+R-`1S65Hq@%6gu`gCPy?sTw9=Ukt)+{#&R?efyI za=9>n=jXCMGTWQA=Cb=wIV`4{Wt%-_ly7d`ki!qJ89Ic{N3UR~a%9rW*_bBP^DUaT zMt!r$8CC*$^K;Oy&v)crwlo!x7q8Fl=kIT)%&KP3>rb7vOA z?C7Vkrbueloc3;PZ}VrCnQA3HoRqWbii+sDoXVYE*{Sqh*ROSBb|yonNv4bazpoMs zwD&2;4A7e(XMtw4@W0dRYukCLbh?%Dt>Myx-P0LMXCggydgnw6v0+wxWVpq+Vb(Zs zM0yyg!be+iNkesv^>=E#yrbjmC4MWN0^3h|Lus5rcUNCCtKgUaY`54+n$GRd>R%eS zKe@u`wY2p(YfkxUY-zLhiniUTQ=D!W<3i&bYwJ0WJ!WpZd{?+qzf{nls>c+a=09ct z9}#M(o>jw#gt?~6k%#s0ygf;-Ke2LJjII7+Mb8_ z(i?Q*H0$@I;TFTH8u#UlmXD=Q%)B;7D%f#7Sg%L0cQ>kPhI_iY{sPQoiZAPW>C7I) z%ZFY~g(nNex3-X>69aCeh94ZnQ0x%yx_(hx_wqPn{XcOINDSsXQh>`S~-iWXenETg;$y z{_@A?C)Ljiwa?RIbZM>}4CIV@O*`pndQ+@bq=!JM`p_o*H+Nu z{Bb6n>*U=g*z@!_=K3bn!8&nDk569JbhNk70dXX0>yI1Tno_$% z$9^~SY3-qhxyw=T?RfshM6kw1%C6(|jk{mN#@emS>-D#E#83 z+_!`C4c@W&4&A}!9lE2-8?uA>9JYh=4d1c(Mr>ETWX}4yEzNB_!KGl{f-ZN=aCkVK zizbCS^;BP#w=0vKmecl@Iw{w~->n?rYq+z8%j`yB>DBpUrgM-i{f-^QDcg3|4GC^ht8s zySlBVqm|nf>bUp}GCi^$%2dMQAFeqsH~%oqIWFfARTk@5j@s-)PxrX2Luei5n4a^YXW@9KGRYj? zPfPT9@aXdv{pRnO`8)M{Jm>c=^S9G_<2bLbKK1ctxn|4_8@BdoKP+`DAC0lPWkxkm zP|d8j_3^rZPRna*naP+(@3V5l9n&X&a)Sq%a?hL7a+puwV!H$Lbz2*$dQO;n?K0~j zY5q={zts!Ydh%>BG=KZd-(K@~!2Inqe}~N9e)D(4{2efV$IRbB^LLl|J7oS&n!m&5 zZ_huibugm=HGk+({-vRS?()=AVf2$YGa?Rf!^LNbr z?KOXQnZJGJ@1*(LZ~ji@KVbg0wjSs0w@25fw|{h0XxNm>j$`}f{!D#fTg{Q}3|cV* z0qaotzq>2V8<0q*owl1E=J(s*wK?RtNBRABho%m>O0WK&)u+y1p#jS$JmC3#6%b=0%`Si^9u78-dr|JymCl9k`?4mivz z?pgl*Q`|O=Z@NNzmY>}E{6=z@zlwLDLY?z(b&qBYRQP0I*4a9@{_MZn-n$(y@!FKs zqs8g%?;BYoS@oaU0PPtHrp6_cO7%E0^|#0T-Ef6<{oC_XrFPDAYby6|^&4}&+;FMk z*S(*pV0+ks`-hUttbD5Lov!N-=fZyK&H<~i{##7Et?b)l!#7*=JC#Gm{BdaFQsl4 z(KnAWPr}q=zgykwqp?|kySSRy$aKt-J00xXa;N)zj?cTC(ij@c87a5xnOpC)(#yK9 zWANbgjU7(q<7q|doXw`V^RV%$zZy0#pA>TtX&lRmTzpF&yd;~^K zogn!L^&n0^ifd)ZWgMOAL9^F?IScT;Y30LjxX3VS7)wom*NRh{-1F_aeyzpZo0;;y zXQdNaZ`}{J%Fq-;1Oj&lvQl(_ulmAkA`M;T71|X-PKxc0igmY2&u-+;L zUqJJZ>#Y)S@7=f;^=D@XRE78V#iJ&C6uyT# z@kx02p6ml91~wwkpfVMLOHn_36b{*o=lAe__-sF=mf#bxWpDC!P?-wDMf)hV>EJRI zfe)g{A;f!?`T#A(t9_N)X+Q1<$NS*1`}3?OJ^+uR6ZZR<7j8uJ@k#g##&#?5A?P`X zXTt_lE_kv}srI2|DhMA!!C_^p3+^(6W#L2cdgS>Z>JvVPq~4P7prJ~|?;y|cBeb6Q zBviwc8t>;RO*j$t9bTqci!a58;2y)38ie=4^U+*<1m24zeb1rPH`41AK8reuNx&h8 zv0S_#-h%vxmZ=zg3MKJE-w37F;f1H8)p%ix@xrsw3Z{qQEl8FdgO8(uhm~pm z;DtlcHsbwouOnDrO!vY=Py#Q!6s^SzBWM*~IQmHHVMLkc-|*X*F8uCDr8eU|a25&> zBfJ-lzzgGOAYM55DB2$051&RG@m+AAqpddYg&j!B5{3sH!}>j(dVuRt44;6v`^nF* zs5kh;v9vd)cfspMThpU(${5yVEo}q7ib{`QUU=wOrRwm)J5V`ZxDpM+$Ke^rDYXzE zf)^Z5`#+L;fV+%mz2b#OoXEU*;bb%nAB2}9y)NJz#wTIb1nTr*V&Fa#sR!b{@Jcl7 z0k$vL8Bi+9^ccM2=j3@L)8U(wh`}e}nkfk11;0Yl#sViP_2^XU^C+gn0dLp=OANM7;Y8tMV9#7E)tXbnCA=S^qZz=z?zwX_3# z9QLiF?cu#}X#;KS80LlNpP|$;yswG%f;|2*&GYg7@WKUX5IzDop^^9`+;0}!1zz}W zi&B+%VG_;7tB_LrqeXb(K(que44_WD@cP+ovv^?)t-}l7X=NSZg&&|Tc-5vV7l~ABUf#a=fs44$H<1=c78j@YK2F882)`5quaPJdZYp z7nYnwo#Tc5(OSF@Zatem0k7s0a}Iepmb}4d&sC}cpMW=o>3{Gsc;NZWgZIHo_pyj(u6M~ zA6_`(SFBUK@bN{|30~NR7UL7}#b49*#KYSb)0XftxYs4jKZbS%KR{u;x>TvZq6EGR zZb9>p>8-i%Wt4YpnG&9geE1;z1Cs4J4#!+Ud6^!7%g{Q!_e!Pix{7)~ju?2t)uf3R z-iQ|Bh0D=0yzuvEg~Y=fmQp|XDEvL@M}CCkenb5*T^K~0@WNK(g>%q)rpK;j{a(km zR6!enP0PqXUfBD3)*aph-@cjc9iN1eW>#*iiY81@Euf+Pr~^RvVX@%;1j3{pMXDpi2B0&;1tw=7cTxI+Yw&43@ygT;KTAa zURd@p>j^L19j(Iq;8L^}AB7crt;0$~3>g_s0vr_c;A6-V5u{NWAb0RDl;hj;7&- z@1YQ0_!SD{J%6E}K@q(0G_({iY(gvWVYnQv#tUCUYw*GiYpH*{@DrrQmudbB@4>4l zl=>2R@t!A@8jgH;;Y(-)UU>LZv=h8=Dr(0IPeTjw!t>A)d;~s;;`lE38Cr`M);>*t zgcr_2oA6ya4&|!W)qvAA`T{qD_d0e@9#K>hI(csR^VH zcY2oc;yv&wREdu~r_}6qv=i}g-t+7uBp!Z&R^x>mUtk^Mg@1pMJ`!3)oM ziF{5h(_HW}`NT)yW2ge(1-BsGf5LrVVYy5fjz@Fx0eBvgu}v8M0WD*?+CbYu%f-LS za?lFA7oLVz;v;bKYt$`12xp`I0m=feK?CqncrO}+kHht77(M|%K_l_%b<#)WcrP4+ z#^e343RU8RaMByJY4Pwb)QOM7v2RiT_yBBwhdTT@d4QeoQU8-!M{w9?(ySy+cvX_} zP9bkFzJ>O165A$xe=B*YB0v99>O|E4WR?XNAURHq!1s{sYm#vI7goLb;XD422wrWYeW9iJ0Bk`~d>1_MKa@wN!#hw+ro+R&qz}aV z;l(J9kHSyUTDs;e+rql$3ZL@E?k{;r;LhRC)^WaF605 z<-vPlIg)lIoQ(!DT^L3E@WM4nVuT-~@k|$bON!J;Ne7lAoerFhLXr-QqM)P$pGOPv z3AjgTky6HX6toNE_!#Wkjk4eq@Y+528y|&d_bpN@Poo~- z$$J*5_G;3E8&C|NghRhy#Bl)2h0(ppGd>32??*m^#K5zDSfn=N!*Guuu`X)J5A^ge zQtR+OcoLHFKoDMvMoee9@KqGVCt!4M))!v52I)2cKSpbrF6{r~BDD(dg@+(XQ+P7k z%yeNj+JFzjvyp6HVHh`FSh7!%?z>`e$-dNoEz5;nNcuFPcR$)B(}lOAN_-3+xIgP1 z?}JaEa2@RdHVq(e_z>*#6Sfh&2l|nuDLnkAtjBul6Sg3k9)i~(DN7VSXM6%4JkW~q z!7=|+q_#3|5MGERJ_7GEz6*YcA~R@92NtQ_(Ner{2#Vr`XQ7q&2>cYSk@%k#sRPhD zybrdb4R~P^ZNUq@gNjtInZ&^5s2@HCUqplO!YybRUihPfid2Qf!*fs-ULDMKfa>sG z_$$_+>Nkrz zf!{rr?G!H@jT-R66VQBo5T1h;6sez$r|seW@X!g=gLpVGKp)sjx!`lCp^at1$v-Fm_#nJ>a*^`3lRg|$N&0v{ zTrh=t>mbjtQg2q=OH@*=WFA_M7lJG!ieId>U;J zFPw`)c;Q+U#&^Nfs#!1i5d1?8`}%q06W&wHvc$vnXeD0wDq4*fzJt2(!u#u}bG&fp zdfEeC_*2yDtTN3*@%`|A`1={u6+RAkZe$sF58UH)+Su8Y5pF}J^O=4IZ2$%EF?irC zwsE`<-iKD<`dwl zuja7bp_TXq{P{fECA=TjqXb@fJKBub#H$O+)MofE)EBSLE>h>AVfYBV z56ONl4tJVw?IS#JpL4AJf*0N?p7=PdIoFB_!7I=j&YugPKyhM(&!QMU0gKMFVuT~m zMy3l#p>_Bm`~%YGPvF|~S$9nDg8N=zEz1WlM->a`C*dPV_E~Y*cLDo)rhDOVv;i;d zKx^^BIcOC=4BtST@JYDGLfX=!WtvCf2P`a8!UohAAA(>0lI7ynh3s?CW_%D{hGd@` zfo~a~guQ-ch5{^I$?}uR|`4|4p_%1kYkx3K2ga#0wfJr3vEc_BJWxDX- zUsHCxa13h43r|3G_yFvG5q%oo3(rB4=P2U)51SN@47t@x|+zTl?d=PEK$6?1} z>f~3{A$;!=%2G=m!WEa&wwa!QCtPNwAApx2J+6SeN2pU`ys#ZDlXT!sm(%|)FVno~ z3fei-g%6_T_&6MPCCl1_F%PUpl4cM_kgk7NdKKF*@g6wlYPP#yQ+60ZgD#?-!{e@D z+=UOo!AohYct89S`7UPO-xR6+(IUJLjz$rD7+!{!;)Q=eQM~XGv=XnbWnG{(ct31L zPvOJxX|zsat|Koffe*lQ(I$KZ-ing=7<>(F#V6s;%P9L|@&->uz3?IUBAxce;UfA@Oho^5Ok(f&7h+z#EVsFI<5t@WL0+G`#RF6v7MNLt%Up9&;mgf)Bt| zXc;~Z4~f!e;{EVcv<)xpK%4Nw8__zvuoJDp3-3oO@o`xETgrF|`Gz!dpT*s3(;bH1bS9bE}0Hz z-Nk%E_~u1>I>fm|9mg$EWyKL?_*i`1dOa?eO<+Rf%AS( z|A-I6`|jsAyn2A~=O5Td<2~?I)az=>4o`oOJmH1&kryxAffo)yRd^q~ z8@1!(uxT~*jE}(k&@i;5$hA z=OmoE-s+!&@cfrpkHiSieVMk07ha7z@lja$3fqFDvw`gZ4Z4N&U!_i7V=RYHzRvMi zg0kR!@CMY5kHMYaAP(<=b!ag@1Rq38@p1Uon=DIW-lC164H5&dMjP=_*o8LZ6L924 z#i5{c$aMG`s=`O!XFEngyzqV0fESkhgY|_M_CXQ67fwb|d=T!v znR>wc;HzjAJ_+yofcArr!&x8F58y*^X_9q%8}$Qs{+Qzwya(Ql7UE;@c@)PdVA&_6 zgZIIrPpMOhhfi-|AK6I^T=Y-U#Yf<4D2Y$PzMrv;-Oh61r>GpSKIb?Tt-yD|_t7T2 z@UX4Six(b+dfmbLf>$9gJ_w0dxF&58IXrVD?Cs_?>VQ3YOj4;q1w z!)gCvJH-d#KT*|PyBeWGCfnTCQcQgGf+87GrL-0qcSS`hS;iV{skH9fS#cBgS z0Oyqyt6smO9^eMliBG^4I~8+Mm30bRdljo?cvV)c=Af1L5D$0nU98sNy>KO3kB`Hm zU5eEvyl`-zVzmt~JOTB)m$Ja!cP&-}@m~1s?!~H7{2s+Bf|lY{-(qz;isEB%BkIHp ztM)8btMS77P!~Q9zq^02O5nY4?*YZC&wbQCoF>AD;7U}7kHfc76FvzS{G?bd#7AJC z1B%sCcrP4=*5Uo|E3^Uc`Dw8V52W5!5)YRgjQ>4t3{F0TnENRs{1cMnh%WenOkYr@ zIn-CIyi6C4LLPho9yQpC_rp7o91FzYSE!O0HH11uGR_RZm1s57Oj4 z^~HORELJ|$A1^!|4ZsT*pb>cCVl*Bfh0mdB_yjz3B@nFJ@8Jn4j+fR`e_e%FIJc+2a&Wn*dE z_%2v-9A(6N;8Ca(AAk!{3?G3HqE+}f`~b!As-jr^6s^Vk;3=pJAA}d7_4o*U5GC*l z*lQfyBi;iKLrHuTu0&h$!k-*Z`+11_wcvQv7cZQO2I7M-f=1wdC$L=Pm+9~}RDqAd zFHiul#cV%yA5Ee@@jiGq zO5h{#6|@PTghx)MKJfu~9omYI!JR9q&()+4XP{pA5PS%E@Le)(3frl~z$1_s?}xL{ zKztb9g?#up`~Z!>tCNb=fyj^d!BbELJ_s*D0el2Lh^p}ZDz;4&#E0PZr~w~?Z=n!A z3HLvl`p5fVEecCKycsQ$c=!&ANIX2?6zX5%;S3a&cz7%7lz8|Vis98Siq%-O3Lk)% zqP6%a{2SVePrw1wsJB0oCcFss!bjjIs6SqvTC6Tc)9_LF+G+Fy_yjzs+Uh^Ua4FK~ z6X2wvH9ZJtBRRh$Tt1z)&VFBbI~s|P!C|%ZOL#wg5y{vf0cX~c|8lNtz`Ky#6B~#B zK}(78)EBFLXApz;!8K^mBdlw`&WsF4QR8( z!%nnC;^8}Jo5aHdT8dRE`z9Zpf%@P>@K)4U_F3>9)E}RO2ZUI!5)WsfK@tydMZ@r_ zjdG!p_#pfWEtKi)tUDz8!63ZX_&D6H!Ga`BKTGd=-_&NJ!5tBsGs&x}`RQ7)v@hxZ#Fhu=Tjqz_w+55Y%_ zkHcN(TQMFu-S`lE)c7vA-#J!{4_;t=1ioo}67F#>+ZuHkfES`Jd<0&L*5ie1Py#P3 zI*;uUFDyZQ9%J3X@u)vO0_(%9Q+xdNCF+K*{7twF5A$?f>YqlkP z0B%J-yt=4Z-GVCcF<5mm`N0Qauf_Cxcn@5KBKSCLxP)y0AA_neNq8{*GxcF+eUwjn4i3U7grg__q)FWQF3RU8T8&DlS87)@LH!(jx3_EY7Z1^~Q z9?5uBxaVzb4}U4s+zVfd_d*|%^T5KR(KMzDn^6T`_)9bbFT5BH#7E#8D2!K~w58jr zw_55ME4aF=_i2fPOBUS&GG25pe(aIgDVUostDgEq@_c)&{fCcF>ckJJ;a3wYS?DKFj+N8iu7zz5*H zsK3O(K@YIrB?hiQgJimJ6>UJK!xd^bI@>F_?(>uILLq3f6z?}x8H&vt=N!rNb@T=*EA_!9jLJ_vvC3d{W)d4tDqU|fd} zz}cu1FWih);e|WD$~waf%TYq&;cS$Yc(@sIC-rguLOsa5z%y%9I~YLA~%n_#o2PJ>h|$vJRN;gD0REUU<IW~p3Wf1;xDn}X z6Ylm;+9A`0L(yuyAI?Szd>H;2ZN_^)c+*$(S1+)>;5HP-dsK;)6Yl<9;_+Vi0P?-eyl~R@N>md*2tP-ic(rSZItp#X2jJDH|0}d5c>Hc9 zY8pNOcio+3;l1!wl*EVN{b=9@(ue!*S)v;7KKLnGi&x(-QP-kv_$W*uITs}SpS?+@WPV4OOzik^r1?LfdSNj55jZNT)Z%W!g%3-&=S1o$0h0*v>YFRulK z14!$2>L2d;(-Pe#z3^W2C{r4WfY!|5=<99in=8Je@fK*XbWB)SfVaQ zr3u;zOrQ~X&(BKK0_4X>;081vpM+lxV%_1@L9AaS$9(~K30lJRDEt5|lbC}`R0~>( z55rPli7J1C^x@#a_%~^9ux1E#jt{|Ghf>D3SnqHnT8a-0W8UEmJ=fXySQ3w#(ZN89i*xCxbRB>(VVs1IIv#Nj2XFJ4%F1nUhd=mA=cfr%k$pbzFpFoRcIy_(u>rUd~6==Ckhm*&asP*_Tyb*20 z$KYSkW_%J}avb%5kHV+XheaPg?08@IF|Jp2A1qyJ(%n$n-YKix0sM(H6XFXPZN%pVB|T4^dyd z@Dnr$FWjkvIJ^hWMV0t4{2L156YvYvgjZ*lsG+DGAA~od`S=+81TB=9Ic!fNd=w6v zOa0*ea5h?j55s%VDtsLNY#wEl7FotHsh0U;@NEP_#k`) zm2P3Z!$aoNmhgUfBkGTj!ClXx&%}G-d1x3u0zX22ygIi;Rip9v5PSwz;S=zX^GZ}5 z-VZNBA$$~mh34ZuVe*L<;luECv;?1o6VIm~!w2E-&DOMeuTR4 zY61B{8}K3c4BCWGz+)D&uJHl*JEZhbz!xd>oein)QqKz{#i+AB4A{mG~I^CyL|MMf4l! zDSQB4iPqzz@NKjapM=9NW=w_m!wbMGNt9_;`f!;=5q;CeRvu5)QwLZ2>Rb{c7q8 zFZ?muh8G@!`fg=ea5fr%55s$q4aF1JAk9aTKil*V! zZR74zIbLZ5bbhGw+~n<3sSUI~o7r{jh8WV*tDdzJy}<1ibAo_PO{N zoO3s05_}kr`yK5QAAmoI(RT4(_yyXGSNE_#MO*Pnc-g)5QQOD^JmEgpBR&YPL~~_2 zT!+H=1nl>F`cJ$Up7a>&>r3L{^UqTMr5r=S-#*8@WxUG*K96L~kbpa{W4q=)PY*oQ z_y9cNdDbcM0eBsfF+k`=_LWF{5;m_l)8U84Ct>qTW;*=P_#|w8*-VEY8lQyCubAoZ zL*tXMd4rh_KQulGn_o54;fKb1Ut=GIq%6Xq@xr^2ls5(wNb;{R$9 z_-KN4h$KD^fAR+Ha2L)4!>iCjd=&2VCjDC<>J4_F`S>t=8*RlW;gq*%SKsZeg7D8M ziSL4+A<3uONP850j~MtW>cl5t<=dpsy|_Vm5sF27Yu=0BN{q1oJ8VaI;UQ=p-UpMY z&#t8ZZizY;$+7}4YP|4=o2+{9!r@4+;|R}{>C7u!jr{m7_z{wHl5p4eEboD>sE(L0 zd=o7b|9*-34cds0!bko=J$T5&<`OjvsoiKx@PZF0FFpcK`;dLa?vxi^jbvF-xc5iw z>zVF_OOVt_6!uM8-V2u)ABBBCHq+q}SiFAATl(7Ml#e1Cf zbl*SOZcrbV8-{P9Y52fr9CLk6T6iz~Inv{BSiY5QM`GZv|0+?zzO)nAinie+@C`J6 zPwEri{{`zCABWGPx%dRU|KGH|?=u}_>v{j~mS)#5- zfqulp1nR^K@A=Bga~y6#l4q|fRh3A55SA5{D$ftdGi*YG@Dca~T7yr*A;qP-uKe(H z<0G)Nq*T|N2i}0>oLdxrgmn9X-!HYi57r`CZU`<$5)*^F?Nq9^uq+?EANBts^#DIY zy8nSwb}m)rOb^16@06-`yayhGB*qU{p=B~JJiJ$_+KBhV%aFuGU{hJC^8JWB!129F z2Oofgb}3bzcpvQ3r&Mjgd*D;Z)1P{P2YuI+1ujF9W)$xCJv=c!xDd_9N8!%9mZ~Lq z51fhA-YgeBf~3yl@L9BiYflMyxu;ZB5fg=dcPmw0crW}bYWgwTCM@5ba^VB;eiYe< zbl_$r^(j1a57PJc*8B~A8!^H=(Pn%Mey4A#8bOQ)jzh9u0&u>FbcAZpQniv8;r=L! z7Y;`eydTa(GH)2JM;n-)fFr(7UF=H?j3cQlVZXho>-{JfJQvCIFx<5t?TzUk*nzg< zV{p$Ol&XRIv)=f>Nhb(b8Xt#!z1DOuY&Sj( z*BPIH!}c}l!zIQ?;TGf7ex#3d`f#Q3aoBf%lRj)WJ`C3xpMb*#nDpTiu)A0`BUwyayhF6dX9pQs;HIjLSyC2HDc;Nu#!~5Vps16^8_a8>R|BCS{Jo|9AU#5rQe~w`K zAlmJb)B}<{d*S6s?>FIYBk4;IVmiDWb>gFN4btb*;m2s$!M!ziKZ<-RsydN$=vM!?VE#s4L z^(f{g%{YAUSlTGQ3+^%6iuc0rm(zdx$TQrGD!D&72}d7KUhx6AWg_ioD0LMmRbL?Q zq4=Mds?X4RymwNmIt@u4f^ZI6Bz+pZ21W2u_yAgp4^1vrS5(p;%5?a^6!ty%I4nDf zejD$BC!hpA2=73f@iF)j+J;wCOV#nnGlG1=HdKxe!<*4~d<YSz)=c=%S3x)TrIsbT#dfrpPyr!4p`_*gA%T0GoPN8Tg`URlq6{Yc6M ze>a0RkB`F}W|pdv_!zvtf%PIDUeU<1BpzOHI&E(x`GoCfP!@a`)-_R|_z=7pt-(iO z=PZ_ukHNp9VMozs;HGBEj!(i}T1wS&ycZ4(u`Ij~o`CuuP2IwV*|aBo7~X}X?Zx1$ z#wXzHR`OO`ro!-QB=33>zJxlNSC~Xg@WQQVAzro7-jKu#Ytd6o7oLt*<3q5x-AdmB z4?q$xJOh>Dg$q!Uc;Uq;fseqOk;DuCiF|nBHsr;t4(bL;x%}|&Ncw~XtUA;3L3jz0 z7&WI<4Mwt!3$H{Cc;W4+5-)rhmE+^^L$m~+gfr)|zVIQKK-=)b z9(R_R4qrktU7gK11c?vB_l!@%gXddg9v_@$d=UQH_z3Jmk{{vbbLn$gZW7*fp0%AO z;H0oAJ6we1os+^x&F~tFAg^wpM*bH zWKH+N8YJ})f{QP*>LCJ;z1XUUF#H5bSr}CFoT60^!g@4}^o6Zx06qfmMN-B%eA9T( zCG?r7l9<i6kZjuP{CeA2mJ+_rH{Fgm@o34oQ3f-h*U+6o)S(J-&srF0=al5WEh_ zJy%iqIg%J&_@aJ@#Czf0Nbi5)1|;zb_!*M%p1QnL9dQNgnCX7_9NH)`u;ogtPC{@c z(&dFCm(W+26AwF(Ob^2cjgP~v#(S)OM#S55jAa)Kv_|jTde}4a_V22dcs+VZZyWcrQHCct1QJ%_k-T zqv9!x@L{xy>B5&#Cq4nkJz$k90K1UH3nPD^&yjTCzmaaY50dwlz7&HsqwpD|>j8djyyr2-{AeNRB;Z4T zp&!A=;YUc~ld%6<%X{H?;{&iBNq;5$y-cs*J)AI(n()GB&}zK!;3sISc%ct1!3%Fg z>+vyo$di)_lj!PZG#?*>&!Plg*!DDS9xpr> zt-^=l3n+J1-&m!eL56uyRH_#~Y43H6B&!r@zJ zGXdHsya@IGIok_-{WIDJJ_!%lN<7{NTfSf$pG2DQZ^%2D<-$Y1WIEmtpZbdJzmn;2 z*OHx79PfoE?7Wj2c@oQo{d?`Cf>opmuP)n3Z8@1V;YGc7;(c-C0Uoi-PHOxwNE240 zMffm$3`Ou=@RR@3-u;Kkc@+fTztLp7ZG(&$aFL*6iLl7h4iI5#7CJzHtxaWspbH5& zZIdz~X*;xOnUEAm2{5$*28ggV5hlqdnIxNJlnPrKWYmg_4KixP!K9BP23%->sYV<~ z`tW|v<`nP|-|PKxJ?|gy6|U>c_q%uRIdkURKW4JsY%!se1@n`iLtSV1^=Rk<|0bHc z#`j@bxA<#l=^j7f@$-`zo#GtApF>OhA%x>t=dZ-=$xqBro`mo-?ZIzC*JFbVXzSoF zVBRY8a_}xD_KRK>zydU8`DEKT^zwweeuY02T=-`dW=?bTRYJRd+ z2frHO8ZYpZ7tWvixw|yqfyHmKKW}}KadeHJ@Z|Z)q)zj~A?y4bn!k(iIXroaxnZmA zHGbmH%uf~+e4m8hioWf^RUFsBzl&2kcsg!x@yniS4j!B5_aUrpjSoF-ep0r*$@l&2 z{JFm$+T!0&oBwszoY()nW2$TX4K#If+5BV|TDr-vdAj-N5Zufr-`sSz1EuWO<1pkpZa2R(!o!|4xQm&!;}slxXL&>cp;AKG>_)YRhM`llDAwk=WpqS zI{2oS%uj}Og+Gm~ZgUUIbnp{iYR)=%1&TU&EjH`mw_&TU@MPG2)g^QOYdvLq@V{Y) zPG08RUS^GSp5KSAZt+uI?%G`Eyy9;ntt+o^og%M;zl(7l{Nt~*R=UOi6I*m@%=6=@ zuJIGE_S)B56Mg{8benJe73Y=i@g>*zXS&NTUTzI_p5KB6H(5j8hK0J$U&A8Z<14SV zhC0W0VTrEsmypw4e%7zLUUY`vi=wXcQ(onHb(&8heX}t+pZ8jHf&Um?-R9-5c3f{U zH(rl$-j?{wsM_A+YhU9!(gmJGT{rn&G<5KNXzJjFuQdl9{2S=%;CG|1gKHQp8J}OU z!nL4tycTI4y!dslHy!*eEZ2E{JJ#z8e+V0N@E1_g!6&gz_xPQ^Zr-}Zzc}tZ(HY*3 znXsLI@AcmMbnxPp)=menT4m0<#ML*N^IIJY{xlZr;Lp6tb*h6OKwh``Ex+L&rBj9Z z$IxsjHeD*Y zM`1_UpFjLo=c=yrH}QZ@Zk?aJ0&Sh=-$q9VfAcoyfDS%~WWyzM&TjDBI>!%SM7R07 z7}e?9treE(JkP(wd8<>rkj86@5ZPOz85QWi+`!=y3jd(++EgDr}!=`ew)|(JM)tlVucR=brf{)JMT6(9sKv$ zrh_-W*Eyhr--#Jr-}8hnR+c>kxZ z;cq$zfIVr?ol(2>2JA5@%m%F*1^Ap5nbbNVwp}pKRpZo{wpj-T1Y}LsL#|ztZ@P5>Fa2NOL;BVlV z4!-E5b5iH{X%Ef!yB5ujulyg@@Ljg^Ymm~xAI6Xl{wOlK&JQ5Gj|V@3yzRkP{-bL~ z=Xo5fb?_ZnuY)(CqU-!esOjJ*cHK*L@K2+uGhD+=*q^_N!FPM@|789c)fv7P%XEqV z3M+Jv*L>OI!*)K0cIbch9*4pASWo^67U~|~cq&|<_Tm4)YMp##e)2Qeq0?N#l&bbsV4ru5S??O{I z`O7$}d;BM-y%yc(H-F>&m?i!Q!uL;tuluIY9(P?b=UeoUF7bb0?)y~V@|he3+k@}K zavl6(jOseSycgETocVgxZ4dreY|+7Iut6t}IM* zzgffZSpG50=oII$-2TCzLRJU=C5CmI{~Z+_e8U;%m=3-ht8|S&jeB+Q+JAQ(b?|0v z)fMhxRtMkwZP%d=Zr}mk;&szw5DQoe#Qx z&iXxSZo0{zMNtPo?|aTI9lR7uTqp5@cB^gMjb#M=Dojh*9uNNNh^Wf&g z&;PN3WZqWe@by@(OZ-8s&~<+1yaB(L(wzB?^9TH1O7~&D58?YrZT^XYfuw4Cnr}u+ zSNQjFM%OPINWO-1y2o!C9PoQ4jl+kL)h+I0nND3ikX(tp4!#$wbnyMypxb-~TXgVi zFBwR->fqO*t_yq)O&$F91p~=39XyGyZt@o%Z;f=9AH}@)`5q}>^AiKfLLIyk!@9&v zpJ2|q&aX@jBstyUJAZN@De4;MpXhmYf&UII-Q_EP>ioJD_z|46Jy|&5`ym6#(EBf$ z^Goz%9lR1*UE+Vkm`)=PQIqdV~f#eB4ZB2BJKZUvu{tTvc@WLT; z)4^}YaUJ}-IHl|SM>wN{{|UbFHRscMp-!GMkURy8b?{4((ZSbZna=YLgnM0`{|zg` zV}E8KxdP#SnB&z5?;XJ(K;1sUe~6lH@rRx|kQ~)b&Mz`IUEsr5@PSL_{Pd>{BxxP| zLM+iaej}FY;QO&c2Y(Lhb(eeCtdpM|NPZSubnqI~bZ`X?-Q@hwS%+{Bv0 z4ql3?4!#yUbb&vDDINSEj_Tlt(AGWv@e%XX!5_!04*oMF+dLP~e~xQG2fz7>fn-Dn zSFl{ycqdlr;771p2Y(Nnb?{)u@zOcI3k_Z4ucNDby!g3}-S0XU{3VB0UFXl>s1BaR13LH{=;$Qt zd`4fl_=+n%*N6Q#GI$aN9sJL~Y+ZElk1riaw&~!X!=%pe*Kn`y@xsybuPgW^XxkoK zLQ4mK4&mNjda-jKr)&@I; zZgUq!9ei2NoOJLru|;P%hiy9ex3NP9zXwfS;~BJca65dhgJ1O$_gfu&1A036tw<(( zub4Mtp>Fc0uviE8F`|RNi)A`_scQ%;bnwv2oQFF2nW%*ATtH0+|Hd-sfDXPHM|JAu z1Ih2;n6B~n&29n!f>v-wf3eS%f zI`|c@bAQvpuOD}g>EKP+p@SQk(y2ELB+tW9o#PwufG+Wea7-s}GzO0A8ZUg4W2f7N zf#hk|xhL!3B9iTw%z3k3sB66WdY>^u^FtWb!I$6Q*y-SxVwEoNz-rfsPVoy-*1=yv zRR^C&T?c;;(_#NL9($wX5}IF)jt;&ZvpV=?Z}#~~H;X=Bt@WOr*E<@I`}Ho!an@Cn>}8q z_$6rQ3jYC`y2X!RI_!VTK=OvtK++2P@E_rr4nB#F4*oh$>mHB1)oa%|UXQ`w_gFrP zln(wD7U|$ekEO3xOb7oK3cALhLrDk!+S|NF9eh3Ny2J<3)GfZW?6v6N zHfD72z(&`O4t^TW=sdp-gC8|#{s2-s_>*sUz3Jflutc}`Z?Q~w`6o7cpVes|!wQ|} zcVd;U@h7obxA?1AuM2m&r@h0m3;S^9HytmX<2T@#4&ILAI=F#Z-R1=qYp#P|u*KIO z^E-rj8Af!8pZPB5lFo1uD|B!j1s!|>WgYw#)O3&k<=vhyG(Yn_o>vDie6Q!#!Oz7= z-JE$NMs@Hnyw7v#;Fn>&&htC4S=abJY|$-#1mW*z1;6(F?k76R1b=YCYtg~`uwFO$>Gzy}uHgN@=Nhm*_|urut*~vo>qxix%{$z;b?|$B-+8#} zk~x1)FVbxu{-`l^hO5Zx8n67Acb@0cqRX6z|?9jm<+v}Xv!S|u1gFlPoy3HH+nX|6&yY^dKog5fQehLdF{cIaw zjfFb+^%&N{Z^Ec<@w$WI^;l=#g-PAyKgE=8^Ote2?(vB!YZ#jEJY;=zjmHjq-aXch zFF)cq>KuO_t96(Esp+-r9&i5xW9laV!5=yny2a~0?$6qLy)Mq)YyLXNzxYS40iEHy zLi;S*~Vh1pE}mIXL!w@S#y6zF7h6P`Ly_wKli-0FX9yl ze~u2`8awq_@9$V;`+9yq=Dx4UFZ&B?@e*sre}$&!YV#~Ae&5Cr^mLmaMqek#jEytTb?$K%=X8$8G4FYP z7M;sjpewu`3w52Ru}HW01QzQqe-9%%`MmM5M5j56QJv#)EYk%pW4W&I1Xk!ePowsH z<8ucSy32jkb@ErnM?A);IHq-h%V_Be@4$?12BWmZn(!>9b&m(X;Qi*g?%{kD zn!jXB-iVPG7>EBHOJ3-){9|p$CF>aR2*P7Cd_9iaUgB!pUgJjWCO;Uv&8K7c_!s}$ z=bT@5ta%J!%sdxkm$(|c#*Nrb{(S5@{+=UZZz`0m&>ZpIF7 z#}58h>>fYiZ{zs(H?dDC#t4G5#v+z&9eSe~GI& zWqXYqIIWvJgG$~y@GPcvk1zd_d&R5GnXkeko#T~QtP8vqBf8Gh=;#)oKv#FUk6E4k z!$9&>^mLk+qOWtj9?5I0Cs#43YkUwX-Qo^LUTaJ~izPZa;k9E_r+F!s=^U@ba$Vr9 zSfOj&z$)G32eDdr`8z1CFy={PqNLNDMOo)~92H#%+fdaNon``P;Ma}$Kg<~mb(*tSq;tFyi*yIk7Khga2Z>4g(t98*ZDKprd#}F?9e^F zwCl5_PVorl?zcRK<8N?%@j48zbUttu8C~NB%DTxjxL3FNTga?3K0o1~%vGm&7&)Ec zYcQtsTtr@%xQcOI;|2=4$ulVGHqT<=8;#F{U-sH{iidGVXLt^#IjqphDaRJ8bec!8TIcwUSg#9Q#s*#C z9Z0ToT=*ykb&F3TrMr9%Lpu42?-d}eGrSyIbe@aYs!Lo&PuKVl(bp|LiR5}?@;QX# zn|#&hUM#!8n7kZed!9GpwCxq%5x3WQI(Cas#_sZu{mc2srug;9uJL+#W87ZhgP66w z$)Ahc+hH5}Z+0wraMnDFjs*{6wa)Mu*6TbMaZ;DKic`AA2XR_A`9Yk~UGAf_)*61z zTB5Aeyc89k=OSixiL2=88aI%Ci!pfyLrDI`b?>bdLwW>73Uo9>!Lk;W6}eo{LCsH9l7{sB7FnN;i21 zL%Pkg;cMOF!EaeZo#J71bcV;!)p;&rR+qSn@@>ZF1}eJAGid8J&!VGyJlM0=8;sAx z$mtA^1$3T^=;#vPjjpcqG%~kabMBy|yWB@vCy#hdIHuE_Md}X6l*a?Qz-1J4g(q;Y zuJbfb>lSyge&Z#^fRjy2N*(@(yG26so$( zpF>Tz`7|bUk1zhVYhR~$1Pz_xYtYnrUW;j6;;m@u8Xv@rZt~~Q)@}Y8I=aUf|A+HR zr}$Zz)fv79J)P&9(AO27K&s+A;b{!%7N0;`clj)ab*j&JKxcRi$8?_8;kYjG-8iZ1 zJdN^i8IwDx=q{f{RVUxE&Zy}Ok73zw8zxi0Zt2=8At{$%VHe<^mCzY{z8uJ0#f z?sE=b9Xro!W0&~7$ZoN=+=$zod@OdGXJhyHva{CqUFONZj^evr7hFbot_puFcAf8w z-Qtt6yWEeRob&I65gwc7Z0sDbie2Dx>ORG z=eZcW#P5$?<3{Wze=c^LXJhyH;{SPm%oLBr&hXW-^ZX8+t2)Pcd)!{<`(n5FWb7_~ zCwB5Z=OE_Rgs+U9<5jT>yfJo#Ct}xwW4HKAvAf)log^0}i;#P-dmWErOy_tN^18sA zF|KR;2`qe{bC^3Q>Mox{NhgmpCdxX^qp0W{uR>Lqf{}W^F?k9@y2;0o)@?qGVcp{e zKXy@)(P@4KPU}1uaYmQ;!&p{xt?@LL>lSwcy2}GUev!X_?|k54%<2q}p{MhF2UdK* z@!}l;UFV~i)Ga=VDc$9BxL2p<855}w8k5H`r1M{h z&-uo{piXlZDV^hSY}N%XPve|!aR>9Z8K3)DppyaPW1&uS7CUr~$I;OR zE@S9-jn5NE>pD-Ps9W4YLwETsW_9YKi;@>$>xYfWH{zr&aTTX@jX#0hM~uTAjOi}- zk=Mzf=f}8C^HR*4FlN|>1-ihSuuxZc0*iE=r?FVKxPuYh> zSgs5Fer&zRoVkH*y2&%xq1!x*N!{bYOPnV<#lyH)XLt-pb)JiOK$p0RW4gu-9M?^r z!AafbS)9^69=z0X*C`&x8J*!VjBGbP7qLW_xQbC-` zM=@`QHQ`lQpbNYi3w4EeV3BU}3>v!4r_t0sUhsIwL#KEIEuG=zn9&8^jMDELlXsx3 z>wFXy-Qts|>Mr+D)5%YGeoW{zkD{(~yb29n;LT|2TG)o{N6ncZL|3wFX?-Qtrd>n`_E(aBF)8&q|gv#9ADk7Gg?xQx24gl%Z( zI!~jiTigj>>n``v(#b+=iy58fQM7fASD~W|ycu0x;T;I?xpn>0Ove^9U~V1wK^(Ka&8Oq` z9zS)+``STc@+iXoIUbK);JdM9$~<{0Zg28T>^7gp*dfR3Db^NqYs=Z#Ilex2iSNUi z!;U+jjN7|>E_U)W7bTY=jFaJwIDN$H;*Z7cb^c837XM@HE}xB^JoTdF=P)-PUK%^c zD`OXUQ|tki z{#dWmoW%y6<8f@(1>S@$y29JBRo8hM+jNUhV2AGU;Lo|=e!{iDFT%1<8k1Kc9E$?q z8N0$eV%Pb;*e!l2c9+k_PSVy1bH|jgjGg0gEclc;a~YkZ=FAgV@@aGCX>8Cf?%=rY zavvvk^7GaNr*xWMfzvwAMTF-qaW!_08?l@GkFmRaHg@N3XCr>v%j{UJY^HLnwIUdJJUEoa^{fsr?2`tlfz8_(3E$+nbavvjq zVoerX6D-kb&SJC9@i@X`3tWy};fdIFp2m_tx8~fzyw6&5{vPT&^$QmzSKyS+@bxJD zh5IB|v3$n;hd+VEe`y@=@}34I{!zna=Y%EY~HjVuh~p z5v%fzCKmp^F?lIY=^T&av@Y-_oY57& z=sCvMDSkFm9qY#L$B?e`{Wzyv+`+srd0sw?1vj>n3tYyOuJ8l~ z|H1ftKbGk>&!VDxeCZX|PNzAGGdjoP7&&1~E@OkP@OEt0O`bt=(wIDptnTq(#<9>T zz7jQ^<8hqS1^ysX51AW3h$-FX*}(rW4i7%pJzuAI7=4}LF|7Yb=NlK%&?T;7sOva} zZP=ijJc9>xo4<`?I(eS^8&>_3*UqoPYMtkGSg%W5#RgsDgV?N_JcBK|%@1R%?(yLB zont!1!`PuSd<|B7+4#H;t8|I0SgmV(5XW?rAH;Fp=2@K7J-&2_*Z#^(k$=qAqubem_<);%74 zp)nseJ`W?SGkguU={y&)LzlRUp005N;r`s@nb>WfMfSAuc`)lW>J*P)i_Y=N@bx#G z+q?-&bcMHLRM&YL%XEu7SgyO=#|oWX>6l=ZPV-e*t#dq%^}4{Du|e0kffe7hCj1~) z={C<|weInyzwEI(#Ulu>F~eiA^SnNGiMM0xx6C*PfjCC|l%Oa1wR z--@2D@E>6P0{inLnAORP?T^)uw?DrXU0vaO5T3Wr_r-4UmoW2f$B4g!w)V^U{a#!5 z;s0=q_)0YTjuF2eOK1px*SgE+kUr-a6_z`eT5XK_>~FEM94pwqk*$8?TY;@5hL4@d+%^UG8I4CoeNT zmgzJv#d4kFajeh<-h@@U!rQT0*LfQ2b&F46gYNQKY}Uy#>w_&i%}cRW=XfQy=>nIr zLsxh^CUu?f$CPey2lwhOpT$v~yxjcpfKGE3$8?TY;(x=lgM5xA+9k z=q~qhPA9K0KKxc*zkAXAu|Vf|91C@UH(`;k@OCWLb)LqEZt)4s&buV(@>%qB@=D{Q zuhYB~$$aDUN(|}(Z$e5}csqu4o$p6lxA+8xb(hZ~qmwb?BdgQA6gi#al^D|n-h{la z@OF&rI^U0iZt)2eb(hbgq?4YUFZAJ)h#}OS>5Hc=;`Ddiq?XOYp#a^oYb)4UWpo#T}l(*@pyysq$ejO#kzkAiOV zX>7aLpK*Bb+Vl6-6c5MF@GEir3GVZJQ|uCNMYs>wcq(?2XJWVcbnG5K@mH-+%AEN{ zv2*--%)JNk#@H2}h+Pkk-Qs`3;wPFjKmJwcJH^8Y<79Yw>^!fHUE)t+@~5mVKNP#m z&&pe?g&xb-#?JFvgfUBeSL_;pGIopq9>pg)M*L{(8md{^umH)1#W3$fcg8@tDkf1UgM zB0rDK&p}UTcno2ld0rd4#2*X&H0L>g8dJK(ov_{hUH(q&{+va4t{lG+6Sfz)9Jg0^N9;P^ z7rVus*j;`UD~64~()=+ue;$pUM8NM0wMx1Z_-qliZmmiIt{Dw8e+#2#VvGZJvUE=rR)D_0$ zM%>=y6S2G8kDU}8ckIYG?tBfx_<1hIF7bO~*Z7ZPxA;WtE+^NWe{AqmW2bpEc8*uZ zE^s+^g}2A9^L?>fd@^>I&&5uzH$LXpftSY4@p$Y4Z;D;vdt%pvW4HKZ>@J^;o!nr2 z%#F`WW9PUKJNSLEYkV+vliRU_ACBGQ1*^}Gnd0Ht86JzB=XJ45d{^umPsMKXvDj^X zIChUOT@%OWXUER)HL>%&Hg<`(#;);H>?S`LyUh>B?(wBJ#_>55J9sR1o;Ss=@I>r7 ze;TP5IJdbIw|Du{H^(t~ICh4w#f<&)T*Tt6>yGb6UDx;^8oI?DBv-m8^W%%|^E%C0 zoYXnK3He|4S&JudOxJlDE0!9EJJ_bX+z(&tWUcECi$;yfSrl}R$1$l3T*f(F;R(!p zk@0yN>vfAe*r2=I$4Q;M#rQa-)11Zf7aO0)u|gNPjBrj?czf(RPseU?Cw7^e`!Zt+)R_xQ={r7e>QfTza2Zd z$vR+eo}7)H1u0xvoSF@CTC;k`1;r-z7Ip!I7a-n zxV^`Nx14`$iicxo_*Jpo~%>kN;fqVrrtUzfOw@@w2H_#i5}$zMWMce#(6PHs16Oz1Sf6m^~Fb!g}kSJBk9 zunm>h8k1*G)oq?dP4{@g9mdotz5;cf;W0FHo{Pw?Fg{n2(=~2jOgH&Kbak5_#;oq~ zrEl|jTc>y!eVyUu@QcurJQp#jOI$@t*Z4jZe$6^_2SwfGK1w<%TN9LZnwO%Yb3Bf! zE^rw&UEzsR>SUwwF|E^_MN8-S2xfGXFMhjoPp9}QY#z4{k7J82 za2Z>5g(t90*LfN{bc;Kf)LrglN++A#n{lr$@#iq3+x$HYzQI15%@Zk|=B43lo#Sz& zb%Dzm))k&WM%Q`4onD(x@meg`CH^oLuCxzNW07ug2SwfGa|ri`%p;G{7~#JpNpMTE=rz) zxiR@gv2(mKc7Zp?uJApv>wJIg7N3sYDAx;6QWvAf)loow;%#}W3)@HMgXT#Q}fyJOe*lSseWJo#bF z=pH}yUG67EbK@J)&?UYbVf&=bFP|$fU zVp5m5iqpEr4Q#&2_&kFxy3Mob=pGNg$Nf#G_}Lh}+4ww$WjfDAOz09em}uf)8~=FFS0Kv#G>7V0L?psU+F8_+!-e6RCUr+65Bo#8Pg zcbYR7F{n#iMM~HB6ByDh?jWtZJn%ka=@dU38{T0|9>Zpx=XKbkOI*cPUE>C}=_b!$ zhi>yMCUuVo-|ziJr}zc9SLb*fM|FYUjR$m%8#tz${6!qsUGC$g_5<9>GjK|0cnm`o z^W-AZy2Mos>lz=#gl_T->blLdXy_gfe!!nEe#<=h*{JCpk7Gs`xQyk$?RfD7!hNI8 z)1hr|aR+_f<+G^1)0{u({)3uMa~2ai$K$B$0+%to#rQmd^}5c}=)TMN+`*!E8=w0q z>f}S#01chyEM|3%$1(aI<8v9Cb%pn$rJFp1!KyXkZzH9XZN>@c9FL=~3tYy+t;XRA zEYfwJ4qxjQcW_*HxsR|`$?uvc%I`Bz&Z44oJdVCDa2dyI#^(tnA2dEsV@$WWgPQJg z9}_zHu<_r?x>5L@rNc+MyEX5HmS5%y0$>ideAI~JUa9bAY# z=hzjl#}01A4(`SdPCgdL=XC7gT^aA-a6NW#D|T=`Pjjw*uk~f z!OhrRPIkw+aXNM(ICgM7c5o|pa5r|3QzqGyQSy9*?HB%cVFfO%z=ajKumTrW;KB-ASb+;G zaA5^5tiXj8xUd2jR^Y-4Tv&k%D{x^2F08*4%Xc`i<%GP3v#I?M0W}e(TM@eA^AhH8)*% z+jDMOd&8}_+;+>28=iB+EjRu0b+_Gg`5n)_EPc~;H?O^M&21ZgZOyH>t-a;u7hU$; z%QKg~`0)v) zW_AA7wRfytzvj(rZu|Z}{NaE6^0J3+Fp=eJ?pU)vy*~W^i!QtFwySTxaKoD0ytW&!TYuY{%U(Qs#rMbl&kz3o_&>DAe|h%NEB@15N3Zz)i$1>wtJjp) z+`M|t%{M&uT1Fq+vf(9ht$*-5kFEaI9*TJxgI-nRDU=RfbV^v&1Zi4xthg+F$75@M<>i^npPzZ*^RB$yktyAJOL(ET-1?GRZz!(au;zvh zx8G`j>uy^8f+hax?bokgd&4zrHm`@y89tIZ zl0A|;GIk_?Wc*0sNbyMNNcl+RNcBkV$i$KQk;akck?A9?BQrH2UzQ_t3O^|5-sK3*@>i}g~yT(8ut^;&(RUavRm&H8k`RiCN1 z>z#VHK3nhA`}Jh!;Lg;}p`GcS!#guOvpaJ;$9Cp-7Iv0)mUmWmR(IBRPVB7jZ0v0A zoZi{mIkU69v$M0ib9QHM=isi^)XY?Ssx#G{nw{!R^{0|UgNIUwh7P3`GU$b{ntP`AwD!#GY47Rm>F$}`)7#VElk6Sbo7y|H zH@$awZ)R_HZ*K3{-u&M2y@kESy`8?QRX@}?)I2nOsC8)OQ2S8l zQ1{U6q28hXA^gA_^WM+4JcV~BZcVV(PS(+?QmiCnQRQ6Q&_$7wP3je&@m~HeL znf=-Qx&34N^ZUp57xowTPwcPnZ|raGpWZ*azqh}7pmt#5K<7aB!0dtEf&PJ^sr1zF zRAwqWm75xy%1@1(O)VVs%%HyqoFri_{9?qpH;>u9y}jf63j4yy=a0egq0FJ|q1>Ud zL-|AFhYE*^KXgU=BGZ^Gl#Q>bBD(c=MRq`E*vf%E*&l(t{ko& zt{t8@TtD16+&t_&%nv4;A7?Vwytk{rE7?7`JGFagcY62m?#%A&?%eLN-TB?)y9>LE zyGy&vyDPh^yKB2AcGq_|b~krV?{4jWY~^Nm_jdPp|M$oI{JK`0AGOJe$@*ktvN<_D z*_xc0Y)_{54DZS8$?nPR8QYWJGrp&=r?}^_Bk=$994PHA@2%{u?yc>e*jwM**xTGY zy|=ZuyKi=1Z(o03(im){8bghAW4MuNWE;80SR>yUZxkBEMyXM5R2tPrtufK4HyVv* zW4h65%rx4KPUHM}&~GIB2luD;5A9FyAKw4Jo)xA2<^7fY)%~>}IzL+bXZE-EclLMZ z&XoTC>AoNyzBgU z=f_DM7&?$XkUubfpm3mgpmd;opmLx(cP`csG!8TmOdn_+m^sk?p))f%ICwC1aOhzA z;PAoB!R*1@!Lft+gX0Ga2a5+w2g?U52df8b2PY2J4>k@q4^AI!9h^DXKG-=}a$jit z(3O;Y|7`P%Jd=CFd9-VASIP|2=9t-)-Id!lwkyA@wrgTneOF^wbJz5))~=ad?OmN+ z-CaNWeEZR7+mAliQa|$U60YC>dY<(r`;*C@!9A%xLwkO3zSV!^-6g*-T#LnhrG4dn zm3`ruwD!&HYsVw=zvKM*QRm0`<2rmGb0B*lcVO&49pV4GN3;F?`+WM)V|SLMHkd4N z$jU$BT_*kg_mdwS%dYo{q(12P4=2eF-vz>T`-AxxrixRgc<-%EO-$9N8dJ@=yRcvC zI(NQT{@eFP1Gfj0ZB+Yj-}Cfi4)q1eELkJ(<>TJBo8G50JIB2r*W&wcczn(kasGOkaSfz? z=x$qx_tyV>wx_*MgnMRtvNPG8oSl5^Ob+MqnD?oM_s5R+!(s1$r60Q6&F&rAmv`=V z_odz2#`c%?=MJ2|(!=q2?5NDnz4s4!*B|x{Q}Oalmh?%Zb~?|~QocVPuCtiXj8xUd2jR^Y-4Tv&k%D{x^2{(rs#{|DYu BpO63m literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/netId.dat b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/netId.dat new file mode 100644 index 0000000000000000000000000000000000000000..dca9f8ee7f8a0477592f2e451585afd0a47cf77d GIT binary patch literal 60 pcmWl|K@z|a5CE{n!3V0BqWu4pA~UHG@p*NV!|b&9`?zdwUk@Ja0UrPW literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/t_cnt_isim_beh.exe b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/t_cnt_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..60bbf8e636692b19ad21f5419024c8c23ba5561b GIT binary patch literal 257839 zcmeF)dz_8c`#=5}j2s){jzY*dl$>);3`2tCpsCY&Q7KK`+BYGs@ZFOKJWLve!qXeUyny-X7BY{&vjk<-uLWT+jMv8voIll zLPA0T{1=7^3G48Ye_ENp|NCDvaQFEYH=Liaw(!o%>k>0|R_;E0#P~{M#*Myd+@M=3 z4IXsst)nMYx^ZZwaT9N?G~(7uY1dv?>6Xz$hSn}#yhw^p-KA|p!jL&75~`jZKPch$ z{0U=|5)yt+sGd+XU%t`_9r7h4l*Pbe2?=!rd@R9GEB+;)<8H2-f07dL1^?8=Ed>)2 zrd(FQ)RmAhu&}w^WcitX^6%IG-eQI&EXQdS=EAbH@$bROd<#NJ!YtyEfr3KH$Ucap*?tI`x`zSi;it@D~Rc!pBkn z%eV#&IV|DSTy#$8pU6`}2Qa2huZ-6-v+CT4+mh#Tl#~UpI**T|XvY zLRzf&0DQ>ENjg0`UqX6LkZV2^{|XA+!p6mI9 zINSnC`nJs>{v2N3FkeC}_|vQ)sq|znBq`vBSkk^(h?Y1!pfN{W#2NNXC=yHhnxAc& zFs0rmtg!~x*eYQ?S9AD>cKH&HKFzPw2M1NL*7TgDLnrv5K)#tx_&q8)YXr>X*SeHV z&q*&kZ06abi4(5lhkVId*W#Ycb*%UN>ks2J5$;dV{@H}y zme9nnV@VtFX}trPrxTNB*TukC(hIl&#m>eWP}DQGNKvt*CAi%TtBO0a4oob<13tAy(nf z>FDBKRFORUVhqLc*_(G{Zw`aQxQpc##x&*6q4m2D|Iva6bcMGtPur{m6NW%vimB`E zNRK5Xzgl9(*-FU^>fwQyy(f9`+p~8}D1=Y4YML7+6id(ClqiFy{d_VlrC%bjt;cY5 zWGCHnTfT&K{MMPC9gM~2$ys;}g`>7VK9ALU8Q*~F9jJFQr&D73;2r6k59U97cUr!L z_SswOZOS^1o-e_GX{qV4VihyrO*}jt_od|wPwX`Kct&>a;X!6-f%56G(iJ;pA4X~E znOh1S?uMJ2{xSW%^ufn*cpe9bb_CrqQ~PZNaDwNTNsG~fjO?n7aBHmi75FKF>}{!ryJP_9V|vM$LHU=Z@spJ0=!Ae6$UwNctXMr_bEV zW3BHE1Nx`-OYM)R502;T_cMP>oN@NjK5*_)ZMkmedi0czoop$Ds7= zkHVn%w0sGD&0T@NvNs?8yLG+JgNG=D;Bh4@x=aSqZe{uP(c>cuw z-EhBI#hw4$pQiWYDVvQ>9jlQZON=G7xCbw4CN*!7gAd~-yv0bpJ?r_>;P4`l>E^j} z8E)p`+}PX^?p}u@IwacnhAj(Q+=poL>~|4Ko;w47x475b@Et#0gij}|!~=fG%{UM@ zrQL^*N&SeZo-O+;;X}n|E}l_e90B9 zFn5HzIj30_R@7oK(cvwYFgqJr9+HRON&Tmqha{Ukdnl?fciQ=SCv(r3lih3##2PAa zx^*q?#s{u9Ija_O!)pKY{l&26^eB(d2KG=o*cE2h$q8Q`KHU^gQZ^08SMC-}E`v-_ zS74CL@vJ#sDl`ZKfy;j``7F< zVes=*e40~y>^L4z`Efk0|DAe}fKLy1#QF~Z0Q>OF%gnI%@G2VTv!e*%e3n!E5WdDI zGS!!yDn>3wE(Q+GZn8yJvv8p~lyZVkI7v?NOK>g^gBjviPUja>_yr!HE%;XPDeUy@ zhg0}8PS0MOvdnyZBjp+MaaGD{e9XvxGG(3lxGH6n`M5S^yZQJ=3cnqtXYb0$Sw62s zLPGn@t5OmsbWV@e+RyLG*+PjiN;bPo?T4MLFLr2rM%}$wt8~7(Zr*(l? zp;-OQ9}=_QKeadOtK=*$>gZ$~tl5~&Oce${Okr7bPvgb)HuHo^&z}1XXncFlLPy(Y z7f4BuX+1HmC#Lnpw4RvOgReJ%$iO&L55}2#W^QF$wq)%{p8XD=X*qYN%)kxX5_u$T zOD(_;={XOl%)rOk1*i68mrBnWUtpX0*(_E9=gh1}F(k7}Vys~1H;LH=PwmdipPaRr zQ=tPmd_mT#fI?EkPk75ExCNhPrMd70k$KaUXs@N{yAt{;2Tg)a>o4r*_xdjnm&9xHTtwh5d(&<8&TgEb^IlWPLf@z$ahBTBsmBXF&~|71{e5xSegR#FB{=?X_gqd+ z&=)TY!eA!?xwSEeJian_>bAD#$&)(`cklr)eR-G?du%Tti#Nv>4Ae5Zu-aJf0Gs?^P{myy%4Kx(XB(fS!VsRdHA>t>ytSS7c= zfL#b4-O15itmoCy^@Z!ju-B9Qb}WBt(ZtHTQ!6J_PRy;wHQ-^9L-HVBE&4PzC%7Kp z^uu5~0=X~$i8*E--VF0`ZOT0J@r{&)=Hn`JD|8NSZQUS&>&88|hrHury+ zp0jdcGG@rU6T1YX@QUpizX@iaU4K6Bk5y<3iignI^%#ZEGIE|8j%Rgx&ZB&Cr^kx2 z!(-C7;ZC%%$@^1Sn_<2V5 z!7%uo1A%j+hH7li12{MTv$ol5aV+Kb!UF$2@XN7b|MS2vfSWV;fZ-rb--ZBwfQu!j zZa$opit~C}c4AvRYN?xZ@#R5$+1@;GtvD<#d&jAdQnR*CY`~YyO)@ZdD^63lZLCmQ zl|pIRg*)Z+D$q7oG_7cnjGV3o+GZEwz$&?~%G0A2v7_6~lgWO1tW7IiSe_rLv4qs3 z`S}FNhbPFL<_RJP9gf0{*uavbumon}QCMrpz=-x`WFJBMGGbMiBAgn2%ocJFizl)9 zh43Sd)qJinzWGQaliQOgG3|XAG{H=M;a|g`3I^wvLH;_tgc-vZ#j%+W;914jmHEPN zaQM$`iNl{i>W0Br<1uqAW}Z`gKdxTe7WBP8vp(dxiY#wJ_>=W!b9)7V{D{XNrPLq*?Lo1e;7P}}l^V`JK?6*@- z?P;5}cjCqTL1Y%^L+A1j;| zE5yT=r+;WW+9dbO_~Ud=THz9H z5hz^v=mP}I!TU1jscS9p=woSx3&k!-Em|Tqdv~m4YSB_@mETUSd_mPcAHw#@B$k9uA6s8=%0xXaXCxWv&%{(XJ^eJ4IOir2=DM;LsAjV*k!`6_;KfM1x` z=b6Wb^Ct^5WkL#m#+ZteNcO0d^o;BwICAk>FPOdo1uLD^WTSZgFD>+AI4w}I-?8&z6?JsZK%{4q2N(&_T?mj zK(PgL%aq5Lv05{lOYPhdN9}7c$SmbQm5LY5JrD_l`#BwY#GpyUQ4MTrRXKDoRy9Bl zoiYhf?f#+uQ-eSq;SYwfS~WTJ6K45FMb!FU>GMBE$F1#tv)A`;8%khp5GI?SK##0% zCT(~YgQA$uh=jpHPKP!y=(gbsn_6+Pq0U$8Dxq3>P~Vy#O{*%!pi6DW=3Lx^+f1k@ zn#$r@2=y@rMOAe>B4MzB)1fK`UFv$9+I5kss)zTBR6tsiWL-%R6L; z2(`0`EUuMMe`8QoRgWVQ2H$ZyRK=i6&9JF6WldE#D%D!3MIKb+UZf@~#h^YIkLIBtF6u`207z0!l!DNcu~7<8#c zHdRchiArS%^%Mq0HLwFBVQ{xn47yYen_3>21{P5Y-QiMO`n z4vR)YJ$9w^;aZ_~V^CC86A%f5S2-OT$e>Ggv#Iw>o2srQO}S`iaw_Dh6F@s!jb-%2aiOQr(4G;6XLGj?_e@7<8$U zHg%U!ztxw;^$;q>gW8Tr7!*;8L6>?8dwg++MMa_JDb-V`^%xY@!2aDxJ;v$KKn7i^ zxlOITz%;OeQoV%g>_PonkJOb)G3Zje&1T!bFJsyZ^%8PiTpyvn#h|FF=5{5ugVSly zrH0znS0znV*C^FjsM|fL@`!}N4N5WSQfG%??!0gK={VUSQCU{F+5V-N|0mpC07$e>GgwyAB&rmDJ14HjyU2bFX!scV#C(51e; z(WFgHJgfs$$TkCfL;R!ltV0mAYN1xgJ!__M~o6ib0nuY*Vv@ zI$A>(H(99i9@Hj8!XTeg47${#*u#rETa*!MhEh|6T7yAR4eXIl>V8g#1~TYU4Qy&v zA=AJ>si{J>^PrAYCv}BV47$|zzE)MKLOqKd7dK6)0~i!l)%0sfZRT_ubgBL}weLJr zRZFF&3pK`rDvd}OT(1;^F7<04tE!PgeOyfzH&du24{BvQQb#!*s$$Tk=Gs((P$QHw z?;pfkEXAOx240N_{{4tj47yZ#n_67ZH1O}Lvbfn&RUHp%Z(CAr$Yl7bg6bWwK2(5RYR${LiP5b&ZUuRsT6}QbpU&7 zaaDB}>dh2c+&rO9a04!)s(TR$gO52Ks$$Tk#@JMD0aH~srS1|c(}Sv>Mrwpo47ya3 zO-&Q($11Y8m{4Uss5cM^gTJv=$Ep}~sinLx?-f%ip{6R8Bh>R46xG14ZAdLrib0pE zV^h!MHw`SQ)O?{@dr&`IN~(rZ47$|T>#eF<2=x?l?88E#_G3^~Ra07%dXv*>(4~6Y z)Si5%s^&`FBh)Alsstio&`l`@UFt*+tE!uX+Fe-|w@9c&4{Aj!sUJBVs$$TkGHvRw zL{rsJrS21I2?j+quo)s@FjXlAU8<~2-6Pc5O0u{mLe=!3cC{i^QYi*q>Ur#~#U1R` zgj%4~{X%Wx23*A1VhAE(@D!&*0~vIw);9Hef@xriQV$B%!-G20l2mi07<8%q*jtOM zs*6zTE6U=Q3U!1Va1m9_Mdod`gs!1(Kt><(abg3RT z_2D^FRb!=|5NfywRm@b?St$lx>PQ!>s=-2iP+k_dLa1{X6jjyot60^yoDNkn=u*>d z>hxcxszFLUCDgqhR3k*f;C7`Lbg9xdl_S*2aAUi#yma z6)L9GN}=B123*A1Vjv=6@HnSK0~vIwt8MDFKTQKGDfOICT|KBjnvrU(6oW3c7kg`Q zRb>eE%Ehv{RYLv14Y-J^?m{FCKHzkyib0neZc_)(nyNY|^@31SJgADMszFLI=u+pf zw-#5`Z9;v0ku2^-p-OmAYY_>9lbjA!G3ZkF+Eh`YCMdN=s1+C#)xh>mNyU_6(50%| z)Uq?CfrXWNNvLKX)K_ImRZ@yUmwF?^YG6a59z~9QSS!>n42r61d=pZya5@dTR9BmN z@3g6^fl{vsHN=A|WUA_*6oW4HLr1Hs0YYsrBa3@Ys52N8Rn;SnS=HB^4plMeQd4Z| z_fw{-{z|PAYQ6_m9})a}c%>M0sS-AoE!3|U%HlQ%RmFqa)`(PLr5JRn71&#gdtO~4 z)Lf-r7it|h;3Ccz{Sd*wqvCXEAcHQ|%%)yGX&P8wsW*k{Su7<8#!*jtOM zDqW~Gfh_JVp$>5aE~2VAh~VFSb2?PTpi2$0sW1O9Rkc%UlTed9sB)&N{z@_EQfIKY z7FX3cp$?Rm#cdXFj`N^KKrpa)gJRMk!?23_hS`r7GIg13#Gt zCMva0sQMn%zVk_yRf<8ETHD%cU@f7RAjdv@D%3U%imGa4ZBoy3It{v1dz*UugsG~g zQlAOc&x1-ZRkc=%L6`a})vBteP@9U&;tmM)3kF41wYV0m+Ry1w6@xA{-lmQnH&yje z>I)Gydui>vBpq4pM$ z#eFYS0T1dKM8e<*r$bc?y3`z-3XhnohAZ`>P!D2IR0CUFPHMVR47yY~o4QY^bA@Gb zhlQ%`LG3{#3`#4-pi8aBHeOr=&_D)Vs;y1Em1`PU zU8$o&_41(pDn#mPr5JRn&#{dcSJibwy@4DTcU-9B-0O>|>Yf^;_HsH6y3}Z!I(*nv z)m5nzLe27^s+p>WE5)Eo6|kv0h5F$qpw zP`i?3ai@ez@SvVV1iuf@=};AeE;Y-h{`}rlHAJa1LM_Iis0KEzN@|Ky47yYqn_4K; znF6x7vqD|&L4Alw7?e=!!}-ARo4o&4mmC^6e^c{eGygVR3WvC(`nG9M%vVO z-D6^Pny@RSi*!L6=IfsmVee$|sAa|N*)fwyxvML5$YBBH2 zI|rUG)Fh?y3-t^JMKv%3kuaFA6oW2xxlKKB$TYB+Qb|I!@SqM>CRIf#23=|+_SWL6 zY9iF~MCn68q4sbCF5*f%2d@}sSAXNW25MKrMQ#jNTPPKPxz=u%B>>ZQ+31IsB@S*Q#T>Sw%p9R~H4V$h{N#5P`BRo4i$ zns0hzaaDvm$i2RZsZcTgE_E8)cyU#Y z73y=o>5auz6RM~O^nWf&CIz%)d{V2)A@y40mM_0XrL zfd!PhOsIw))MsT_RXL>?bg9>{w-(pHx#YL6^#~ zsdx69s%k5Bg-`=LsQjj?wn{PRQU|fO7FSgtp|b-0O>IV9$V6J;>>>Mh0D~p-sK;iD_UNr5Xs8?m->Ho7Z7b zTPX%z>OE}Z#Z}cts8xK^8;ffw)R)}ri>PX5X;!s`(`nG92H4c6ADgODm1-o^I1lPV zQ&lge7<8%Mv5gm3)h$Bp+K_p51L4SW2n3 zLZx|7hks&Kmn+4fOTCM2ytt}b3iS+fT--H6ea5}Mh^p>PW>p(God#X1uT6ct%T(1u zsdS-k^`I^=RdrX2L6`a&+jwzRjSy-N-}J`fItZ2DgL?XWR+Y=?H0V-UHud+1rmCBi z>L}C$7!=jOs}Ko;X-YBZQWx9QBBB1`JJDENC!uP2P#+a%Ri%_-(4}6)-dbD(YY26Z zQk{i*n;UQuhs7{N!r&QBhczND)E#Z}c! zsMn841G@@!j2mzfRV_p$4EAt3RK=i6-D*=meqgHVqSSRl&GewEnyPM6ib0pkZ&Oo+ z`u?a?)m^9yJ*W+cgu!3fs$*3Qy3_-_FK_d^q)>M#b-hr}aj!3;f!7x0V|9;G47yY; zn|kVf)4*h_MGxs_LQ?gD!Op+jwzR4HfFcT&b#`P$BpFBC2|#Fsu5W z)1fK`U23LHo!w!o8mv@*q3-jbnjjJecPPc6OI>JF3xqm-SgINz)MXyj&O)pzSt$lx z>N)JK#WgTRs2rsR3iTE@;367$BO+n&B&S0I8FZ;uHno1cY2c+w-6+&`9@MGxSXEP{ z7<8#mu(uXhRcE1IJ ze5`VmV$h{7v#H15H4QAP)Ci%j@}R!?o>g6{6oW4H7Pj%?8rWEpJy3}b>uzs#}HnlY4y; zRXtXKRUPDXsER?Cy3?jkZZ%a6P-?VL3q7bS5eb9alw#1OF0iSXP``gGRgD#@st2_r zKdUOL6oW4HH1^iw8dyoFY^BBtwSgOO5e>WnkuX@s>Ciw1UFs^EdS#1g;3Y~;5b9bF z>bHEXs-aR0y3|M5TZ^lzgHSIYk_Ju`>N{@0MN~BpkuZ3V)1fK`U22$3ef_qnDqX2b zLQVFdDwwJUD8-;l{fWJ`xT+=y_2ogS>UN>d_n=-vBn*D%bf}6!ms)63g@qcY)Ez=S z!M(nS240iM$0}PX23@MEO+C8VH1Is7rU=!uz^qyBga16 zDb!Bx^+i-QHi1>W%;_}fQrFtl_D!a$dP+?b>P8Q$ps6ZdDF$8YJ8a{{Rn=dpclo9_ z7B@qvQ{3x|sOq7R4g8YRY0#x6+tjZcO;vrBnkm%X9#maK!eE?I47$|$HZ@nMpTCl- zGKH$_LA~=gsq>U#(50Thj$vE_%L|pI)NG+%<+fr(1N$Hn1`l&OG>}1;YGPAs-ZBlm zSgAQeb@ZTqI!CIWQVhD(PVBA4Rn<eD zrl~4TscfMpdQcagBh^Q<%h7V0tX^+hzW&0nOllw#1OD%;f3H%tTbE0rVEl^)cm2S{D46oW4HDz@?B8dyiD z2asbQ76`S2dwmgA-SQ`?7df2jHl&GVqHKqL%qRf<8EDq>Ta zLLK8f(OBGKp(=P#o6nHSuM~qW^%!;x;~H32sF_MF5$YvwD@HW%dPKtD0ZxZCGU!rQ z+SK#wO#?4f>H(py@t}^LCRIx*23=|g_SWL6YAw`rpGpHC6zU6Zz(rIw1CcOzo715x z23_g~o7%t5RMkqUhlCpIK?SEt^;C*Mm--ERYjIVL66%xvQq{vk74)Es^6>hDiTwNi>f zm-+(RcyU$r5b7=DxVR^U`iXme5mhbvgVZOSPJ=Er)~1fUVye1MsTD%a_MmDY5(YOb z#h^kX140QV;RIyv^^@LfxsOZBm-y)T=pu2SlGp>FY@O8!RbI;9wNsh_a77FX49p+4FpRlOioJ`d_C zL~vbePKT-(bg9`kb?zlo)i9-23w1yD`XUxvuJE9C zBN7G|D8-;ly?|}JxCT}iYN1kSC;xHLX72SxR5kP$QcrU_G>}1;YGYGxU^)07#Z^@b z$0MohJ*cz0NnNEBgD&+cw(;Vs>MGO*e_suY7RwHbSB zaaA=F>WL4ff%w6W)W_U_i>T^$L~#8pPKVAj=u+3))GnM+a9A`^3R?@LMtD%gPmsD+ zDF$8YDE8Lksv08H&Ye;fwt-0f%?-GSsvbuK*T3R)sER?CnqgCCa7sp1H!6kQK~jr6 zsK&=hO;(CQmkMlZzEGz=kgBkgOR9zk^#LNd{*_V;y417S#*1rU6`}4{3hyP5dXsy7 z5e*!4jMNjH4h>|`rCQq5x>crum6gJqF{HYAP$%)`HLic96oW4HafrF|p2D4kdKEb? zZo5!FVo+37v7@ARaykvV)Cik8gck)kEIKN+L#U}9R3$`k{VSyybg94pwyK&W)Hmg>y-DREj~D`tdKTs)0i7z){Y{;WZkmvltXr)uV{u`d6GzgDy4IrvAX| zdK~OGD212qq!xHk4GxoX&w9^-UqAcHQ|+@{t(Z5miXDeRQ6s?HwNuQ=`D`d3OZ=u*4S zT2-|d>Luj3xX*?97K5Uyn)?H(9h^>sE;ZDqzIw`3b&XO7gu2~>Dvt=Rf29d zRn>T*zQFezF78XAihEFNz9;n?r_-QIEwHIVLXB1GE1@38pr{76Lj>2qQi?&BO0lU& zR+t7BRO%a{8hcO&z9UsZDF$6?{b{R#^@Vz9tMuWZP#<7WR8?aT!S$~=9U91>OLexX zZPu&PQP)K)}r{VSyybg9Qr zV$!^GU^$^?D~0btq+Y?Gs0Q{vMCu_~iOrRa>E6K#q$$Ce+s$6jc?j1`g^SPNzYa8e~(SFE>@SQ3@~l*}w@NR9QrD{VSyy zbg7fSTUCt~>QnsC!o~e0RACS5d7L0{{VPtVL6?fzQ~{xGQR-)*9>t)j2DU~7*S}JV zL6@pzQx7gP4a}z$_Kn!U1|HP@uSs2`6oW4H3ij6G4))qY-M>-#fICTT$H%CuMj?Xh zUvWA#kU^K~!29x^S6d##m$6z`D22y}RDTaD@oQ3Tlw#1OzDB8WR4<`6za@*qvxL;I z7!+025=3zQD^7>17<8!#Hg)__Q`PlK;XF-ht_M}~D^j;8#h^$rgqoogUTTqAgF#UZ?19%Ixc(KVLjxIfsRlN+>Jihx zKqSFg+V`-j zs-;q)P-8r((um;tS4uJHQorIf7gyCtp+0_H7KaTFHZaM9T8USgxc(KVLsbmA)LffN z5Nd={*qkD@6oaA~cr_xp{*_V;x>R|aTKte{;NKf$aoF7?RmX$c`#GsVDF$6?4ffXJ z8hE)-iyh%wa(}Sx18L1IU zG3ZiBHZ@JCAJ@s^@GdW@vL4hMh~WBHc;UmU7<8$nyf5z@SW2j=O5xubka`}2q8ixs zQ&NkRV$h}P*wix*mMm9+IrNgs)bNbA;&)8p9+!Mk3msY zP1#TCO-`plm+Ea(d+s+?HCGD%DvQ)852^$rxc-$=47$__yyT60tZou&_p7ov{A)i_ zi5}F7eWZTmbf}6!m&&xMzm}M)hAM@BnMrC121PZn86vp;l~N44R9Tz4N2s%}$l~x% zdr8&wpmu#is-#j3y43Ud@g%N+)r4B06#j8FsZAIZRn-tgaQ!PzhXyj}Qmt+3^~H$^ z8L?U^$Yp2(R1c+UmHvbai`6KUkgzQ+r4qjXC8Vy$UkRzaQUsBVSk=ZDof>}3JbpbO zVvX5H+@Z^gCM0aA)EU8G1$N`&`fv#rhZm`H*Gf6~jSBW*9k=Wv-UR4}2(JIY>98sW z-99w4sh96FRC%TFJ3XX2c~HOXCB=P2QVhD(F8rJuS5>-DYhIQFekqF7Aq7 zEy19u1~&VE)KsMybg8m7b&pVIpOeKk5vryKwF?ov{!@xUmwFz1YHPeIm)i7&RaH}=9)CvqkS5e#42r615+b<%DW^jN8FZ;0Hud2=Q&nT7+6gt> zgDSS2RA;3abg3f;tf~eJ^}*A!xNC$uhe1(QEk^{`Kjn0&ib0o}Zd0e{nyLmV)n2H3 zJ*Y<8NZqa!gDzFtrgDTj`IIcKgHY8ysP_@U^-q;z(4|&>j!E+l_Dh9|DU~7A8yFPT zz=7|QdYsdtfegCT)i(9o9Mix`N_7&ds|WSR3Q~=gV$h}bVoxoustloCL5_>NR;VAi z@fPtq@UC}AeZc87=u*RN>R^_ss)JHpgqq?(RYW8V1}Vj$OP#}>T3l7P3H9}pvbb(S zmGGd}ZY6b+)1fK`UFu$&Dk{_jrLGfd1qMYmustHU{;5(7x>R+WS~lA>u&`1+glgtN zeYJ&DC8Zd2sWMPWI z532s#q$ViEpi7moscfNsT`r63CsY*=Y8xWB{;5(7y3`7@-Ij#iHWXek_Y$GzDs_WU z>o6#)f&DgXAoMEbJr__x?P4b}1A%gucr5JRnGuR=Et7@E32Og8f z4H2rC2eo=5sb4uAs$$Tk=G)YHLXA;ss8Gu>D5`;N5yACOm15APs@T-S(@g`Dl)6c% zMjq7XZ;>jm6oW3c4*P0x4Xh{B(nqBa!-aaEn{N@{8b>37>z{HuG>}1;>SR;zPBT^2 zQR-%)26|8h-XhgbDF$8Y5cbyMs_HA$)<G3ZjoY${8r6A#PcZWXGc2ekzeT>n%l23=}7w(;T`c(G8K zN{ta}Ee1t3u-6-;mU22YkU^JfWK%CrH4QAQ)L5a~dr-$8B2`Bz23_j?T~<|TLOqWh z7dKw0uP`X8s#&j-+REuP=u!i1>a!`Ps@6(P5Nf;!RR)nT=&clkF7?NUR#mqOwSTEB z?lz$cc~Gl1kUGKXP!)qNb(c-$7iyGJlZ1K%gQ6OkiU_WMsuY7RRnev%xWhCsQK`v7 z)%T$GttVAhDF$6?E%w&p4)$6?EqPG-aEDOaxB(Y&wit;Bu7Aqu&_D)Vs=ZCUJ=s)M zQ>m#!_4A+-){|z^vcpi4c1ZM?V!UMSRb zrDh4W8iS%5*!?w9OE?`G$e>Hrx2fkQnFf|tDpRPo9#rlUQZ~6@LXGyIN+A*kJ(Ob5rGD9ARdusadl$>% z<_T56gL>u_Qb#x)s$$Tk=Gas?(Nr~DscfMh#Gt4Kwm<~eKUIoBmnvsd_X&0GK3QB$ zsM;RXp0%V(E5)Eot;XJ3+`)dCQ1>czw@_QS0T*$$xCs$l|CH0AfegA-Tbp`of@xrN zrREFO%Y*vsWl~ow#h^=lj=i}>#h^=#wyDG8 zO;uf$x<{y49#plLNex$uL6<6EQ+EpW!@aV&dxa|FLA{O$u78THI#$J?OFhW@@_uT( zK&UB7-6zy442o)CmzPN0s}zGSRokYX9%mX@LaD_H(ofdQi!Tgh5xO7<8%QTdk^w3AJmX zEN-b#2_Dpwt4aO9=};AeE;Y-h{v2bf8lu!gLM_Iis0KDg1lK=Rib0nuV^a%-I{W%DuhgSLZR7@A#MxpnBKUVyoDL0S(4|ssYQt#L zz$!{D6RNuhb@~NT&6Hx$rS@TOEv~9-g<3aX7PnleTyDTcRF#7Wu7AquP!)qNHPWWO zyVX?HNvS7}w zBga0h6lxC!MOAg%DpKn>od#X1yG`vJWvXhV)U!g}&ZnUbpQK2fC#RC%IVNR23@L!O}%=v zX<$XAUJ|N{2le|(QjL^i(53cZZ!NB>jzX=?mc_j+)c4$gi>N9a5nTV2)1fK`UFs&A z`euZws=ZRL2z7@Cb;(Ln1C?UXrT)U+T3l5Vh5BlqEbdjIl0B%G5yACOIUTBE(53FN zsUkv+S8AP5PhwD11Jj=&b(c~Mx>PlrdTh99U?HW}3)R$v`f@I*ib^r)Qm?;mHSkKI z9zl+McwMLuF(|64aZi(4%jq=eQeA9n$4#cH`bxba)L;+lJVe5vy;2Oi)b|^#s%{W! z+ZPhUa#XYYo2sKBkw}pC*8*mY4i@u29`lp-@4P?-zn%dM$ zLrnwADYaFo3=it(CrQ;;ib0q95PNHJRb3<0>e;fmcZ52~4Y-J^vJk=bPdOc`V$h`q z+te3BOjT`_+9uR(9@NE8lIo`vgD!O%duwr3jTP$iOj+D^p^AD?FCv2LpK>}>#h^>& z*i=EGMl1E6P|GkVs)1=wkeZ_ugD!QcO+7T&G_ZhD?+ew?gZgY1sd7p&=u)q}YBjK~ zP!A%ek0et>$zZbg2xRdgn${Rc)m{6l#D6l^>BXXsZ;1E_Lt~tExUi zZJ8;H+bz`Z7!+021ItN$&goDUgD!QOP5m^;RMktVkA%whplTt4>z^vcpi33Cso6pu zpCOCeE7T<()Z5EQ6;O&nms++Klji-z{HuRK=i6 zW!qFfQ1~UFk;w5Ed6aq>gG_MyfBKEJoS+p~7ppasL(MM%eesX>Uw&n#=%fE*blfii z9baR$Vb~2u*mb8=^QE*Q;h(kr7v@Psu>ZyB&;|zGHq5fAKl>YMh*Dn*wHSj;@V`(^ zA0ahGDF$7tj7=>R>daJG+&4m9?m>Ns2=>2}V$h{lt;VEz&-tpL@LP%Vk>hU_Dzy=V z7_2qzVUCXd$H&9! z#r~s_6TFKEUjK1ARK=j%hbLcTW&i#IunI!WQR;i4Uc(?0{4Z4Bhe$ob=|C~)QcZ2@ zrM?&%t5r^^AB4*ApnkrCRDGowbg2)qrxsV$HA1aMj*B}i)In~%MV$MxmXg}W=``q4 zgKg@IKBlU+O63Z5n+J6LsL@It6KWX-MKv%D5xo9Wib0pU)TSQlZ5miWsS`pq^q@X_fK)l97<8%ER#^?K zE7XIxOCNp`>OBmKs_Irm@cNI_p@9s#REABx)5}yNuxERSddR zwoT;|YNS$s3-vGtMK!P$B4IE~DF$8Y5}UfeyJ=v8QVIFZZ_(HDpgvhds*F+$y41^0 zTMfKIsKw)@4~as(i$PIU-Hb>Wtm1TNAcHQIZd04DGgV!#RDPlQdQjoLq*9e)(51e7 z%Bt#mp*D__#T5|hXAFv}>OMrmU>~PLRSde+IGZ}!%~aK0se(dfc~F<#OKPN247${L zHZ?=2+_AE_^MtzCgL(^*Fi22}L6>@X1t!fq2L?h-Q>w5~FJe$s1FyS>)M8GD1~TYU z^=#_duBL&dlqw=rng?}w45`bNV$h}D#hzMRRV{^j201RSm{6Z_<1OMGc;`Y=8#$c@ zU8=85ecZ)V)k3M_Lfz^?U4Td!bXST&m--odYH?MK5Ngk8SzNME`8}wo7m&*3bf}6! zm&&rKzpph_-K10rp&r1Xs0LnzNEl30ib0pU*rpZ<_1CSkxC?};=i9U91>OQqS=o1IMqt0@%-)zgFeGlx_Qr5JRn z&z4(Nbrb6KTV!z;3Uv&FqN-YmNEqzlbf}6!m%7!ae(Yqb>Y`Lxp=NqeRdYz)q!fcL zmEWeO3ibUcS=>cJUFbn=KqL(QT9y}dsRwvp-eFNvs5_J@C)9Ho6xG0M?zq!5 zF4fbfc6T&YHC3vjP&a!}=OYpZU6f+brH)~HEUv1dLVb9%EUvOpA@|TCs(RusQr~kr zRK=i6&9ter9ZXe&mAX`@`#h*7h=jo%N-^kC7uwVUp-zvG#ia;!nFqBqn^dw=47${F z*o}*8V2V&VN>vr=EpFLGH1I}5!r)0xhXyj}Qmt%ieS6cuOO>iF)O8-zsd=Qhk4TC^ zm-@tP)cw1i)mf<5hD)M`P=_%ns;avY34;$g9ViA}>SmkzHr-T}q15F<-RVJ9o=0l1 zQVhCOh`qJA$Le;W4&Ee-t0~k49@MLdgu!V}hpHHKsrziIxKOt#RZFO+F(|5m9p{qD zQHnvAy3D2?zs5AMs8Y3sy2^w4W*DhUm15AP-dbuku(43fkYgX}3iS~NMO8I%4yo5T zod#X%I-B~SovEs!QuTxy=0O!fBn&c?V$h`yKWJ4oNT~OQ%HkRb^(O{JRrOdFse_yj zRWayNciPm+wx+59N?j?`LJ#UnM8e=Ur5JRn3v4PT)bB%NagBtk>Ot+8O{%C;47$|Q z*jtNxUR4q*TdBrEZQur6#5wQ=M8aShr$Yl7bg8Rs>XkIpz)O^BD%7I#k7=OD(ji!a|Kxs-;j*U{F*8ubD+E zTPX%zs;W&r+S)YmJf&I*)x?APVi2iIlw#1OHY~Op*g&XC0D z)K2WJ#Z}c#s26XL#bpTf4L9H-&VjQL34?bz9jao`rEavT16P}>(v<2f)I<;JqG_c1 zD#f5nox&O9m15APUR`K4u#QjNgCEs_OnJq(0+x zsER?CnrKrenwzS6D%DGs=&P|x+2#oZv(7ubf}6!m%72G_BS?dhDq}d_L@T7r_?Z^-oc=#szyvA z^&F=|0~vIwYiw#$V^h^-O5G$>9}nv9>q)gzib0q9;x4PI9zwl^92Yl2sGl$>s;Wh| zk@|$wY0#y{+SHLormE|dx>=~%9#joP!r*457<8$EHZ@(S!#!kiqlCK1gL-o!sW3Y) z=u!{yzP!Vtv`}{{b&F6hU{F*8yCD(=_bJ7oOVzchl?_b;FHmZK*K@#Z`5+P)~Q4K8z9SQ*OXToCBvK5(aN^Ivf@Zx>O&V+Iyv`>MEti33ZDHRdNEU z>y%>9rGCQRT3l7bh5G0^S=@M`@_A5CArc0MIUTBE(4}VE)VT(xs$oh^6zYBqifUl< z@ucolib0pU$foWU>d$VnxZ8xf!h_n4NElq86oW4H!W>MRcd%C%YN1lM3$+=8qN*A? zj?~kf4h>|`rP|ok8}&^Ct12~FsOvqbvt3DDr4)lM^=Xz>Rac=lAjic`5$Y%gMOC$6 zEUAw;od#X%7MuE^o~i0urKSos!-GmeBn*Zr#h^>&v#BXUeb+@6H%+L(gIYg^)St8S zf-ZGG@5?(ZN(ePssp&#Ji$PHh?2JelEL4g?m%75HR@5~OJYT7qLbdduz8y`fs!|NP z)Mo6h#Z}czs3)$KKFkv8V{X7joC9x1Bn&oiIvf@Zy43YHwX2S)s)qpgP&+%z;%dK{53_>R+|Dh6F@hE1KRZK}FaskuTe@}L^u zN@}uF47yZcQ}cy7)kzjNPpBFm)CY)!!TCxt=u*$l#H4u#dljMXR_ZRH-o&7&1`fJ~ z)DxTz4P?-zTH4gQTBd=Om5K?~&4W6bL8^&T47$|EGpwpQ3H2&+TwIP&KVnc+Rk2Z| zc5*rmy3`1pI&_7ps-sf#g_`O?RYD{TZd8gvm->6URn;V+zUe57TPRdX59*bXq)u@< zRK=i6EwZU%LQPca9-*GXpr{6RKqL(AR*FHFs$o;hYnlcYQEHJ;%{{2EZzfe)DF$8Y zP3*139qf&SdaQ%=;Xa{ua|15o95?}yFnE>Ip@9s#R5zP?|8i5+l}arUYN!WQ_-0Za zm15APe#G8dTvY>w+ROr9vcu);S zkea9zgDzFlrtT8zw{%(DQlU~jsO^Y^K@p`Gbg8GNV$!^GU`3(kDfO^W>oF**f&GV* zdW_SdfegA-bDLUQ!!)phQjZAL*@OD^8d6s(#h^>=o?=ziUZ|IlRSwos%q{{ zq;_yR4Z74&oBFD{sp=Y~mI-yc2UQ-CFt|Y}23_jx9adH2h5DkMEbeikihEFNhLQS> z)1fK`U21_%6%uN!Qcno=I0i*EupJ^{Fi$B4T`I+<9;s#;SWu}ILN)fF4h$t#K`91Z zYCZPW;tuxuLOs-0`tX!cA8-RM;v6^zkuZ3P)1iS3x>RSI+E&$6RadEJgc{^QB@HEY zjZzG{)VJ7Mi>s=iQ17J4;#LZEk{fUlRV_s%48GuWsER?Cy4|LJNikLRQR+FNVjfhT zA*9AC#h^T3*&sw(qF zQtxm&4Z74IoBI4xQ&k(KUJ`182UQl4FzBNcgD!P)qE*#sp*~HO#jO>pum|=0AW}bZ zI#k7=OT}!efKaz6^@>oBVo+2ATO$$%vz21dr7GFfgOyDK^C|V3Pz^k&{R2r|q!fcL z^$PaZ;tuxOLfzj=`mj!@?c9KiI9rTDBn)2QbZ8)hF4e)Nwp21zU7^$lq56AJi33Ts zQHnvA`Wky{aaHvaYI93j-0MR9$_==Ps+J%U2A^^|RK=i6O|Yrs6-`ywEA^&Ob3Le< z14!MX6oW2R*rsL)b@Xak+*?AG_njhJgAlZNFC*L zsER?Cnrl-DLXA*rn@~$JD5`;1BZBLnD#f5nmA9$I^* z)Eexq#U1RI3$;k8_k`NY4Y-K2#c)J${Zmed1~TYU?QCjeIa5^)r9Kd#pO;u`-P|ssfR0F&A zCbdW@23@L-O+9mwX<$jE_6pV7gZiNfsTxW#=u%rpSyiM7*dhfjpsk3msYP3cAI zO-`plm+Ea(d&-)snk%(Ws8JqN2}E%HQ>7SmsS_ius%{c$cVk)Hr$QxqP%C403!ye~11{ohF$57@|CH0AfegA-YnyugLesz$rM?oXhX-}02dU;t zG3ZkJv9}giRTrVwHPeIm)dlbRaH}=9!HLS_))067!+02r0Ynn z=X4r$sU9}H3DyGy4q2AyIT*TR8AR@T_DW^jN8FZrh%1|`dO&19@HOQNHtc9 zL6_Q#y|uWiGK6}it}N~sp?=^7TtroOA%g3laynGSpi2$6se>gZEpwvmBR$x$61KVFq zDy9^JE>+#8mL;187FOz%P|ZB3uWFO3q!fcL^~Q}>0~-qUD01w>8KHJzP*hdpJCk~a z(`nG9y4uux=bNe;D0Nn-As$pAL~#96r5JRn9|l=f4G?O3Em_=OLY={&sHz_6MCxl! zhpHHKsVO$~dvQ}$f2Ga|HQ$4(j|i@RsuY7RRl=sSh5GdhSzIVo6%T4#2C2eIG3Zh& zu(uZXyt+iFxk@DzF#qG7b=-i9I9v2X1lK?1bZ8)hF4fGYUM^-DSYD}oLUr<>e(6Z6 zfl>^*)Gq9;#Z{Fq)S8;IxcovL;s#tqRdW!*^-nn+s$$TkhS=1XMNL)hlu8n6k_T0; zBdPvMG3Zifu(uXh)i|LJTrP_%C{!^IYBeIb{wb$JRSde+e49E?s4+?v5^6aHMK!Q( z2U2sDV$h|k*wn*COaqgYDlAkZ59;&FNR?NLL6=(B-)dkzp_U@YJ`@$|eGH1KYIJ*2 zYdD<-U8<8!y<6B+RY$2}LJjnw3Lt{(pDM+mOC9QGRn=FhtugPhHs@_T^3w4(VRT~jp|5PakU8E!U7rQi?&B zTHY6v=Kaufu~3;xT_Dt242o)CFGO(tQ%;8lGU!r`Z0g1HOasd*Ra&U_9@O!6r0OWe zpi8}vy|uWi(u8`xnk+65>ML%*MVtd?A%g3laynGSpi2$3sm}_Us#+^mMyT-~RGD_9 zdMm}COZ|bpwYaKo6>5J~SzKA63VBeg5W)3NIUTBE(53FOsr*8XQtD!%9>Jif2Bx+p zm8leiE>+Q{9!N3`OjN3zQ1v~ieJP~MD#f5nt?gwsu$E9ukYgVz2(=A^qN*C1M(TM^ zr$Lu$Z&PmJp*)c~A+6;QFUZG3ZiX^|Y$$Db%Javbah@{enSJRV{8qYCoq# zRSde+c$+$w-&EB@smeml@t`h81lK=Rib0nuWK%PRI&!Hju8L6QJgAMWNhK=9pi4b+ zJtoaN2VN-Dbfr>+T8%+b4eX8xu7Aqu&_D)Vs=iGGRkadoWo21hb)i1z23*8Ba2g`G{wb$JRSddRKb!g_(NuM{QkMxe+Jh>UN~(ua z47$`W*jtOM>Sm$#R+7bCE>r;z>KR0E{Zmedsu*;sIW`q0n5u>=b%jt5Vo+2ATeKoI zT`2}#s+>*TC)Bx$vbb78)%KwFAcE_kD#f5nt-cPE<{j*p33abhb%ff2K~YuR)RNRn zPKO3E=u&NM>aCDJP}QogR9&HZc~E~{Lh5Rz7<8%6yIECTC)69radGv9I*vh6Ro!zn zslA*|gDy4NrVjsYs_Lp#1EFSlP}NLT!8okIOkK^E6gs4^bZ>n&K-xvqIZ zmwJ%*PbX!{Rd8msu*;sSvK|OpQfrIN?k3~VhoCEVAJNL zrYOarOO>&yg+iUVSQgh(sLMU54-vujACzLyrB-#e8dz1R`AVe!JtcZx2c_{OjV7P>Lk?vVed|$qbk<+(RTuY zAYed6aX=!1f*K&qs3?I1Iv5~87!2!BJ^{cmfXLomP4mH6C)zDNmP$>po zstRjs5mk*7>eXXqaD#;U1C6|@T8aqvKV^5Qib0pU!lr&bV5%CcRJu?LeNbJyk(#Cy zgD!QnOUK1EZ1pA*V#h^=_W>a_nWF|0HsWC$J^g-?IM5?7y47$|wSX+xY zTXYfX&ZA@=E*9zyF2H%51B(#B{-^8?6Ud-TrQ6iYKbopKDHReb%Li58RF$d}gD&+s z*484bx~p?+v0gUb@Cl@DqQBG~^*DF$8Y{$y(cTL^WPQaM7cL?f>W9Nm%Bo$L-1$e>I0 zw5g}QHxqcGQsae6^Fe(dN2-%j47$`CeXXkc3-ttY9Na{qK1L(2s^)hfwUymz(513$ zYR`A3suZPig_`PvI>A&mMkxke>R=zMs!N61b)*b#vQQ0uP%GNAsvp=Ls$$TkDr~Br zPz6fm3AGfBye2Ri5$u1Z6oW3+%BF7r)=XesrSgU9>Vx_qfz*jgG3Zh&v9=a*w&*C- zt&L?K3WR!v3veFizRrgN?j^c$Ol!&RF$F>gD&+k*484b zx=5%^jbv~|LjBAIIFG89AcFm`*d3~3(50r@)OTN-szxal7OKn#m2eiR0;L#qsfIQ+ zPpEGi%HT?bI@t%c5fSWvr4)lMwG_*E5fga4P;-@+_hvk!O;+mEB?3%Xkr0^%d$-RpGQ@5&LFjk-D%LJ zLN@jOSEi~Yr7jby$Om<-scMu`47$|MSjLN}YKlwLV)be($>Kk^4su*;s zGMkDPYO+#S2z3VS)(?f=qLm7&yCLe2LI$XGgj&J1K930;b{eV0><$yi zpi3p%)Uq$k1Rkx_wL&HPpekcXouL$iF0}>Aco9{dFVw@xad6iO^#RxVJgU094XGE| zod#WMv`xM9xv8p`QWZkw`=E|8Rb?o}pi6y^WxR;0a)sJaR|a>3P<4Dz54C1hRqPH` zG3ZkBZR)SjOjQ$Tc?sbS}6uy zY6aHTA||k{Pz#k>B-BPOzFl+tj*G%>}mrRvz!ETKM+lEK|7)UiIOHHcvUD=gKqDh6F@37@N7{BA1LOr>rYYB|^XJSK4P zDWn!E#h^JFiL`=CAxkUC8%23=|+mhmF0I!CDck>fnvCDcx?^?6h^ zBc9ar>`sF&HPWWG?=w~PRO)V_Ci$R_G*zW5#h^=ljb*%us&a&SgBQIqxO;@EMkBAP z?rX`aK4*6tbg4Nub?75gRhCls3U!kYss|$2|4JzaUFukyx2XcNimsF4fznR)1(Fu$58|2{p_I z^=k`O)l(@3U1}%R)*`AJB-Bb?^v2*G7OIj9a2{1%hY0q+Vs{#JsYy2V>0VP+no`Sz zy4(kKim56~DF$7t8f$A2RZSP_V_x*e;Fb$@ln?4TM6mxAyVIac-DFdZg_^3=V?sTI zMqU$m!AX3rDwJZ-rP|rly?e|AHdN|yq0aR|?Zd@u?0=;cgD&*~mhmDcu)9!8dC?p5 z@PtrrajnneuqZi^Rjp)q8g!{)Huc(WQ&m@`o)l`l59$b0Rhm)^x>O~W@gl0q6zUaT z^v2+x7V392@~Z0Y6Ij*9>`sF&b-7I)*k!5;DfNs{3w%&r5W)UeN-^kCN7+=lP(SlZ zGzRyaP;GorFCWjU8Y;!0OFe|OwTKCf7phFDl|rrI0-VQTaS0;W|BBsVj10QexicB&r}suib0q9 z49j>CRb4F97GCtm;9e5yS2Xgf>h@-=YA?Ifpi9lLsULTmszxidMX2k1P#qD${#Qyd z=u$`8)YU?L&nwXw+%}<3@j<2X zcNimsF4e=Po_xnl;0a2-D%1r&sPB$uRf$S5=u)p^Z7rgzenPF_MQ;r5HKF!#0nX!K zpN9zczhZY9bg6MRwfk*TRkBiV2vy>PI^I+@S}6uy>Q}6-MO0NN)CauijlsPs)Db?Y z#}UE)SL{xME_Izv#R!$J)LTN`jYeJ**!L*DR`Zo&(4|hXsoQp#39O^k4xzgEpx(#D zYwUle6oW4H9G3ASCa{B0OL);6^YD&PFLSNWUi8M`-V^F4uJw6TbxRXg^#Qxnpi7n5)VJGBRU?&p zU#M$*P-h{6{jZc_(4~&BsZyc7=9Op+ZkJFkd{7(WSXCXR7<8$-v0@l8fz5@QqttGp zoSe60MO4*CsO7xq zjlq2=)E+Ltc^nqA5yAdf>`sF&b%{;A_lBvew^I9ry3_~N%v3c}DF$8YC#L#VW5b7na^?4i?xs6!Wa(0I?GU!tM zZEF2%rmA*IeJRvMKB&KN@f!PIDaD{m?ZGl$L{-CudVv?cF}NzBzT;Y-M^%d&vZ|fz zPJ=FWsZD+Ls;O$2QeO!*&j;1kR5eK{23@M2OP?Bh=+eeIwN4Tvqg$z@dm>|0|^!bg7Ou^~ft`0*_MaJE8jepuTLts@f^V zpi8}kwY7+<&J*e(Ui8KUelOJfT!8baY8E2c|BBsd(4{W2skdJ?Rh_HUk3voHK{Yj1 z4O5Cim--HCYY|mV6zVNr^v2+R5-QpU^&leH|BBsd(52?t)Suf-RpXWVMW|c2*5@&S z=fv{0x?Cv+UFvw7x>2a#c_kWyJ0R3qKB(=8VE-$n7<8$}v5Xfnfu{?#K&gX5ZQxp; zM^!oXS=B@A4r64{rTW^`+O1{++bH#$P$PU$hj8&4`(G)=pi8}vWxR;0h6uHW7rimK z--X)GwLXukZm7qq-ePwebg3ye^~Dxb)nKLm5Nfs$sk^*dGtYl1Fy3!ke!2R0FEhEml+E#tDH#{>>S1p8kp#h^=_Wm6BlWG3)P zrJ`bZx7YbTs88#%sy0e7=u#W7wiZ#<*+Sj-nM`1`Q15U7&ZDa7h+zLKc88f~(4|J$ z)SH`4RXvodD^#uzs!g~k-4ybic$=^)H)oJUn* zM6mxAyVIac4YjFPUo=&9QR-Nsa(qw?s!3g-6oW4HCDzs=sv0ZQ%e?4~!5t^mAuhmq zRCO03*#C;%Y0#x++0-vDn5r&O>Ug1U@IiI{i&TkH47yZPo4Qu0pLiu2gF8W});_3h zh+zLKr5JRn2eFJ7F@Y_Gx<;v!gj$V8UK4onpQP?)cNimsE_IGgJ+sbCU<;*M2sOwD z^&>7`WB)6q7<8%aSjLN}Dpjbbc+ne!Ybn&HT?8;R5eDZ zmw3?|gKH<$0WQFKRCOC7*#C;%Y0#yn+td%MO;r~ub*50|KBx}Ak-AhV23@MLOkybo$KBG~^*DF$8YUM%B9OyG$^%~PtqP|tF$&tn2FJV@$xc84)C=u+Kn z>WNim0*_a!gHQu}P~YO>HTJ(!ib0op4a;~DRiy~^I4^o*aEU^F#I-(;s!DM!9{XRh zI}N&2rcLcyX{ze0R41XrKB(r1VE-$n7<8!vSjLN}sz9jsdC?n#>mpPGAJk*!!uogY zPJ=F0Zc}xInxa%!q3%K>uL2Hib0o(x2ap7GZPrCRCl2|`=H)47uJtgib0op z7Hew}6WCs;TX@kM^Uy=6ZCrr!I4trI!Twk5PJ=Erz@|1mYpOa+sk4Q;*asE$3#q91;6-l??i`_h9uqkH zCsMbtJB*P*m+EX&k3MZCu$fXxLiO`OeT9qH*#Al?23=|!mhmF0N)l=rFM4BeeTCZ1 zwLXukuKbbI26m@Gm%7-d-hIkcb-q%`LKXU;jzI+bUn#|)OZ|vtyojnM3-t~!dSh_? zgo^P&Jz_4b?`L-!bg8RtDj-y@QvHRxjmwH26L=mX*#Al?23_hTn_B#&nZUnzB^rZE z6{>>|>h14IHB*W~mwFOwYY`K8hER)?8X(kWF2H$IH4zc)f5q-FMh0D~pH02^gsG~n zQfWe6=!5#xTv$I}DF$6?H`dl7s>%>*9WQ!ga07+>E<+*qsJl>Oz~^@tCRV9HmAGmFI(Mf(Z7%Qi?&B`WDN0 z5mikPYCA7_V{oH{it<4{fNSyC|BBsd(4|Uk>W@cFRXIvsDAdhdR`i&_vk}4mS4uJH zQq65@p-_i-B^rYpE!3Gls5i}p^`=TO=u(eiZ7pH~PZR0}rN#)go(pguRb?ZB{jb;^ z#>k*c^|7hvmzxP}t<)t#4fjF)W-hFsqZETK^&ZyNBC1LkYBeuzTAsVY;c$wJ-egX)F| z_P<(jO(523^sg)0!35-{&P^ck3s9!!O)m1&(>I*Kw zc~o^RBG~_m-JvQ5U239Def)r_Y5;Of6eEFa_?P*hT7FI{Qz-^r>QAh#MN~EQ0YiPn zi{7BZLN)P0J%b4LzhZY9bg3I{s-aL}rKSq?02+BsVCrY2%9Uc!rB1i0rT3ev8YneQ zsIz@gAL8OQ_PH(~+MI06< z3w5d&~r$q|{uY2Ku0WFc;Q4E5)Eoy@|E8 zh^m4@J;{sS7+k4PpKt-rqpGVA!Twk5PJ=F$ZBu)fnyUIC$HaW0rum>w+(+tSr5JRn z->|k8QB{#pyI&OQDxn(rpq@Yk`(Lp;jFCZ?y56Sh3stDpHA3BkMqU$`@)4=4m15AP zPPM5!?#8If6JnGq6RMjJYS#;-PEv|Nms<6sHGzpj-G&_JpT|}_|l~N44)F(e!RgD&E^Ew&a^+NrEMqX9jx|h^$c897M zbg5}J_5Gcustc96L8xneQ0)=H{#Qyd=u(YrYQ9k4u9d+p6sn~UYSSK4F-kG$Quln1 zPHW#9PY|k9sT+lQ293NXa1Dn|RV54c*z+>D#X^0^1vrm$;9Nwo{}sDKRSde+SeyFbc2iX!rEU?b*avmoZc-O2 z#h^?5g0;1Xs`7<;Z;cFYiBPdVs7DdO{#Wb{RWayN*V9c)K)a|s%r8Fq#k2; z8g!{to7#A*sp?Fn?hK>u4@2Hib0o(wW&EmeYH{scb`xv`k-EXk5rUW47${v z`_XCbIq+Dau2kxNp`Jh^uL;aR1p8mHJ4_&hF4f7VmfvC~@ED~Y6e`6BRrM~ZGnHb{ zrM6;iEuyO4LOt@F4DKPJc5wmD;~aPeBG~_m-JvQ5U22R??Y!Akb)Hg>2vy*NI{IBw z!iVD_Mg;p`u{%`7pi5n4Q`L)2RTGtZRH$3g$ZG<7 z?Id-jQVhD(i8ghUP=7ungL_P<_CBZ`h+zLKr5JRnCo0it?ZMtos2i19A=D-`@~UdW zJER_AcbGs1T`I+n@>n$txy3U)cuHH|0{L}ib0o}Yg4~3K-2Ps zY^7chYB3slRn>DlsmqjN(4~&EsRcs)wn7H?qEKh}px!_P`(G)=pi4daDLSovt=b56 zy;2*5dJ&Dhs>*tk)C24e6Ud-TCE3)P8_Wcrs?2gZlV2Ql~1#pi8}owY7+(!=_%p z&Q#S+sn>;?;Dc)T8mWOwG3ZiNA6Zq66YACFGPpN{`U8!;s#=N&_P=6xsER?Cy27S@ zEjLw-RcgCX3w=;sUnMn7DF$8YXq&oDs9%=J;NBAIG#}I}h+zLKr5JRnhd)H8wFmnt zLS3uW+d@5$MqU#bdWF<$yipiA|#spqaW6WCIzcZ5p!LH+y)scuR!=u$iOT2);j z)HBF&aPJEBIU0FYRrWHeSJ|BgU21|&?JF}?r7HEFP&0i{CnHkbY^+iYy3`+gtg1?c z`tV^H+y_F%`JkTOM(P)KhpHHKsf9Lmgiysw?GoyKH1e9jAR^fRN+||i>NK0W`x-NW zu}bX`s;3WX?^aSRm15APp2yl+#KGQ0s5>8$dDtt|8(e_%I0qIXg8i@99VU=LmrA#( zm#;QebyDghp|X5X^|z8rRf<8E`W$O(5mj9x)Yb=OaQlQhh(=yj-GK=9zhZZ&ib0o} zX;VL4WvUvZ)F(n!_@ENEkSbP+L6?fNscVG#;Q<-kr$V*zL2W?<`(G)=piABV0XnTc z2euIEDy2RbY9$(ZP2lL4NZrZqFo6uZR8O0FYQCAk6CaSo7f9giL7ETh`};|CQi?&B zdgFa`R$Ennp`JjFgR2zkV>I%rYW`+YTiKlkT`J3__RM1$2`Nfd2{qLRbpj&Q&BiFj zpi3Qm&#LNDp?2LTgWE4uLm$+NO{9Kccc_X%m#VO-dO{T_^|eq-(a388lM%uGS4uJH zQmt(2_EIx}b(Q*7sIES!4>poIQ7HypY9-dzA`Xj=Lfv|=%)@s=y}|`Jk8@x?BG~_m z-C+V5bg49(dTFkys=ZP_2o>@{)!9fYMJWbd>SL^}MO1Z>P@C?N!Tl)I&uHXT)e=Oo z{}sDKRSde+RGa#4j;U&tQa=k-=7UPuK&n6~23@M5P0bVPo24?iUxYf@2elCq?0=;c zgD$lc%XkqJc)U<^mHJhvr_so30!OYVbt}8W1TyGSU2W>|*=7QdQ|h2lK_ArDcav(b z6oW4H%G*{|eT8}yIS%fSPSm*q zV$h|2-eFZWMW}c0lEM8cRDB=R@)t;b!|qTOgDzEOQ_(_AR_ZUI?m#223G9ss_P;OYwXJs03S zs=5gg?0?1XP!)qNRb*59XPK%pl&UAxd>>T1wWKC1#h^>ox2f4eRoyOwt1r|EKByNE z!TwiDG3ZivU>PrB0`dP-;A?e-QVoP!fks{vIP7^+i`g9}kU^J9w5es68R}@Iju0x@ z2UU3+sWX&f(51G#VO4d$P!A)=!8H=<12pog>hd+DUSxL~bg9uc_0CLFRWGF)3zhGK zItr2MW*JH`=u+RmZdH{l)Q($aaB)J_@j*Sbnp73LLsbmA)O?%zYlf+6f>KR{T7pJi z6L>Bn*#Al?23_g|n_48)A4_C#M+ueSgL-QfsiT!*(4|&jZ7t$pZ!6S7rH&SABNyO2 z&KBbl!Twk54im_rOC{UXy6I*DPgAOyP$PX%zpo_KODP6j>I1B;MN~CZsON8y!5u5q z*Ia<}sA>Ts*#C;%p(+MlD&MBQoMx&@SE{*Cb9_*3R+5^a6oW2R$EIcp_4&;*xZ{O7 z)(5o)5$u2UN^Q`kmhidSZ;efbnyJ)@LM=xluL&Id9I1s$G3ZhWHud0CGl6kRog`Fm zAJk`yNu8z?gD$o4Wvi-lgt{L&zPcw1wG)lJs+#dEspr|923=~TO>HkRRrOS=rBIW6 zP)8zC-7H-x23_jwZB|t|LcMX54DJ-6s?o@+s{5WH^*OskRSde+9Gf~6HdSRQ)k>(F zd{8|Q!TwiDG3Zjq+SCm~9b6=XYb{heAJpqllZsP{L6=&-6`j@|?5%~WP^yhkFQAdv z1dc-l`(Lp;Odx|U)!U|47n=!erPS#{4f8?$`V^_2N-^kCJGWR>4H9bQjWW2lLRF%X zS5?;`g8i@99jao`r6%#Y+6nx$2zSd9(v&&_34CV19F4rFQ=TG~#qK~c=u*`$S=4l) zK3*t^Gle?J2lX5x*#C;%fnw05ZnCMyLQPdFL8yn&$g8Rgo+MSF6oW3+&Zh3Y6r(Cn zXsA?sq0aR|?OQ;ql~N44)C-%f3G6P^Qsg)f9ff)ejl8NVd4kkRcBes?8fH_k6`HEL zDwQbIcpuaeh*URAQ;I>Cs@!B%l_}IKH^|^R3-vo1c~y1y3Q`}lJ5OI>bL2MSD8 zA*H$qwZI3}1rh9jr4)lMb(Bq&3-$B$GPrI+wedl{{5YwGN-^kC4`FRB;#W9cs4}Ix z3$=y|a2}tSEQg|)Sass;%4 zbcGD=Y@t5m0-Q%x*C2xZuh<=`V$h|=+tf!>OjSXp&J}8g530puq(VwD=u*F9Z7rgz zuuyxilfm^8>PR2dQ;1;yD|Uyf7<8!xHq}6=BBjn3>OM5`n!x^#k}6Y*L6>S{Q+MT= z39PSFZ=rhlp!SrLI$0?OU24sX)&zDI>JH>M4}FAs9gV!Ix^y|Ir`eqbU23pRZJTVW zN>r+^P~&`1^$@9U7F3Esm-_4ltE!8I+H$Q7E=8zc(a5W++n15r%kEGWgDy40rhc4c zsv50SKcTMkL3Km~`(G)=pi3QTQ&$W1eVGg{DAXxFsFxlgRbMFvUFyDd=(P4@%t=Dc zS1MJg=g`P&0xv=Y`(Lp;Odx|U)x)Nq%rz5uf>IXDn| zRTT>L!PPRj!9pG3gL)hh?0?1XP!)qNb)8Mc2$iqY5TWiyBd-bU`w*%5N-^kCr`XhO z6U+qGQEI4AU3^gQUq$K!r5JRn=hj#g*g>cz$Z;MrgnAi`ysDb=AgLAXPJ=FWflX~5 zZ>maAYPe9B_@JT@scx366oW3cZ?#p`h2ssialQ<0q)JV5FLc897Mbg2@X z`ZmW%_GKB)cokxEdCL6>?NYikiz z^$}`$sSNHCq4sbA&f^?78xib(#qLlQgD!Q6O}&?8s_L!OSfMWUK{dON)JUZmbg7@7 zv#QDyYUf-TT&7U}LvflTzb_dI^oZs>;2G)N*!*31rZv z`rFj{OjA`mr6ve=dB&=0xKJ-3$HCB(@`O6x2es~QQq@n_23_iQK398K z93#}_N=*^!aWwLpz@dm>|0|^!bg7Ou^+?D};898y2-VjI_2pfp+9}1LOTC1(wTPC`VMPr z5mikT>aELVaK%DJ`=A~~1p8mHJ5OU<*XKQA^_jaRBfs9Vs;YXZ-?lhox(G3Zjq z+tiIh{XRnb5BAfATA2Hib0n;%cdT<$V}joO3e}Kd>_=Ow~}h36oW3c0c&d! zRh=!=eKTYp<_h%=7vMZT2Tn%>`(Lp;92N|^)Cik;^FmWq52fY_mFt6Qd@HHJN-^kC z`yaKc$`2Xcc_X%mzr%;zl}0gjZ^Asp%(d|x-TI$Ln#Jb zs+mn)FVwHoWN_CA)z$~~8Y0;LN+||iYT0shT6?gcD%5pKT`SZ&H1e9j%v(s^$L=tJ z47$|$HnnP`nZQ$&Di>;~59+{FQazMn(52p4W>qy%sOOO5;3|ar5{+DX0 zE|qIjpNue7U7*zULe26)#UoPPY@AXIy3}8fSXE6EYF~*AZh=rueNfLXCiN@3LsbmA z)FPW|Bvgq~3x#?Rjl3ps03z7`N+||is;y1kGu%wz5lSr*>Kq@`M>ml=MJWbdY8}?r zA`bR$LfsvfdALca?OcHKI0uFi!Twk54im_rOAWQDS2IjiU6i_6s2m?ugPTZQpcI2H z^(EHUBB~lI)XT*(xLbreghpOf-GvDDzhZZ&ib0o}WmCTlGgV!p)U86@;DhSCh*XJE z47yZPo4Qu0pNeE~w+YqS2el0m?0=;cgD&;pgXpyO9N1E*Ym~Y}sMToXHGvo3Na}8O zhY4iRrOx4VwbV01ako67g;IASfxj*=2#vg`A1@`C78)!hfR z`vy`ilw#1OR%2}~;t1>{)a?Z_4-X3U8W-R^&Vhx9VE-$2hY4iRr3Ts5*1@K#j!Hc& zRHhFq<_1#zm15APKE>KvL{(#idMRH9_lQsjxB%x-)oqAi|0{Nfsu*;s={EJlAXC*v zN-YGqs z^(-2BP2hzUq;6+-m_PE_ z3iS~hc~w<<9jTYtod#Vh)24Q%nX39K^^{OyA5?Qhu>X}(47${TyRE7UgnECn4DK1B z8u*|dD<}0GyF*nBx>UJM)fH-rQqKx?7aDm@U>`)V|CLeUSP-FktUz-Xmb3f0*M z_1?9lj#r97mwFa!YY_)~d!cTbB=fLJsBK(;^Eg}NA%gv{*c~R2L6;g}Q=0~us?Jhs zjZhc+prWoN)mJG7UFsvOtwmHdN~jIFGPvi3`jHE89#!3p2=>2Xcc_X%mkQg|H>swo z5lXES>S`a-RJ*8~nJCACN?23@LyO+DP#Okh)`-WIBl59*6?q}nRQpi6DO*{Z6SP!A%< zdDtn`duZfU)n#)@tz&l@bg2t%YDXVa)j3MND^#8jstF?4|4JzaUFzG#R#g*(+MX$c zdtaz1AJhYLNPWrfP!)qNRccdzB$=vml=?uZo6*Q?0?$SS`(G)=pi4Eksf9uv8Y_d_ zE!3Gls5fVmYN`~2F7+7J)*=q}(}cP~sXaoi=K`F^*&-Ve?0?1XFo6uZR3DpqzPFjc z)=GUS)NmivZ!M=1tf>OHKjMO2k8)asB7?jxbTLL;xLu15s>U$Hw>#h^>&+0^If z2Lfs3Z3ZEiR`!WWU^(l`8fsf?Ah0#Lb$nDH5J*~${|O|m;{OIKnw`qg;onna)1AzI zT%%o6|6fDjUh%iqOB)6PYvS?c73024HEH4RrI zg7q(Ur$Kiba%}3u^9F8c~c#yqr6s(y$0FKfhq zeSyoqa+^lHx<4+k=3#O#+$~RdXN;6nDf1AcgDd}6qoUa(h~V=dyThm$bm!q}n+gb( ztJGIQ-G)Xc_#deAW|5k$6oW2xl1(i>*Hrb_Xc^pop*r}W-bMtU|CD0TrJlr^TEu~L zhER)?`bMbDTzK<1_f5Qv)G~I531rZv`q|Wr=a{P6D)p^U7y6+7yol8KN-^kCyRoJg zQB{Ue>yYE%z8C6SH1ewI#+jtvVRssIsY08oI@?q=RH+|?D)m8~jtD;gDaD{m#n{vp zLVbCm4DKhPn){&E&LH&{mgra&gD!O&pQ}B;94*u=rG6IbF*Nd;z#)j>^Pf@-x>N_7 zdbp>Vz@|za5UP(4>Wk^5+A77MOKrZ+s;ZYz4~~*~_*JO)(8#N*%MiimKX!)+WYDE9 zw5c6EOjYM7^_x(6KBy+sNexwsL6`cr+^TAVP}@h!;0_5D<%4n z_S1yAL8)q?)^p*_;~bbhmDGdm4im_rOZBm-=ewB+Y^_uPA9CiQB=+u5B4T`JF}KJUsh5(X(%N2n`(P^Th-{ZEx* z(50emYNk-1X2{@TggV9twJJ>N&^5I|m%5qH)t&?6gqp5YJ)s^!Bd-Y@hzRySRf<8E zI@6}^?_wsfu~M-@o#%u4q?lA|r5JRn^;cU}^%UyfVKNU5gnAo|ysDap2=+f^cQ`B< zbgAKdu66?7=#0DN3Eh=yhy)JyiD=|SH7X`Gh~0r=(51e*%A&G_dTppA8VU6$8hKIo zAcFl**&QebUFu4kI@k$K%M&t{I#Q?`eNf$sNKIFYL6$! zl1{3-QVhD(+gMYJs47jUXOZLJjuGk$F1&f11FtP4^%}d=pi51(sgFCFss9Qy42da)&zDH>aIaD4<`!sCK`EF zRg4JsKV^5AKn7iEh)uoH-c;3DsTM+I`=Da;NexhnL6`bsj#X7isBHsfa3>4(8yb04 zbtfX&|CHUKDh6HZGMoB2!BlmzQt?7v?}O?zg;ZE623@L&O_d4tW10-^6roP_L2X5( zx>*CI7<8!zW~0;EbKuEBU9HrqLajn0uL&HJN9rzihY4iRrOvjgr_VAIc#=}Bg&OFC z`r!gnot0wHrQW>KswybdlgM#!rwR258hKT9)nroJ*qsJlD%+;^o@uJ;r_|{}P4hvW zhzRySRf<8E`VC8D5wBH|P`d}n;Mxh*$OrYrBvL=JJ5OI>eM^@S=_>I|XoK_jmT zOhE+upDM+mOPy*{cbs7+Fh;4fgzDyl+LcR+>xit1L6=%(7U~YKizW(nTdE`ygnAW? zys9cd1pA+|J5UU|)Igis(#}-XL8%TxjrBp*%_Y@ODF$8Y6RfR892TR6+8mU@brk9s zH1ewIRz$G>DZ4{e47$`boBF=3sp>+dItg{H532n{QiVz}=u(YrYQ9k4_LsqR7OJHW zY7-*Z|5PakUFsez<3&v12||@B)m5lx(8y~7M@=Ag8@s~Y-+{nW&)e{mqa%t z@bw_o2lY)qQXQ0H(4}6TiOy=z?8!nsh8zdiL#PkY$g8Tk<4J91cN%o5u{QO=X)Gh5 zk5WB_D)vDghY0pRRf<8E`elYyRlZQ~rO4pU5h~UP^=J;MZ`mEHV$h|owW&HnI!~xhKB#xINi|oBL6>?4YikjQMS@T_C(AsX zFVt2pzYx247$`0VXLZ1LcQHv1~*Wsx<06f$CCPr-JvQ5UFs^Es*X2RO;l=- zP`9Fy*97)L1pA*V#h^=_Xj3-{_2>CAxOAb~`=EA&NFAdTgD&+1*482p_I5(usMHXl zHgN&Y;~Y2v5$u1;?l6H2x>Slyz0lH3;OR;Y6Ka$X>W@oEou?FoF0~75YY|lq6Kd^w zGPn$(zTpC#M^y_E!TzW04plMeQUx|ud9tZ$h*Bejn(Ko)?GjQGm15AP>e|%hLVeLo z1~*cu<9txhBZB=;FRcx_)UAB3_7l@lLS3fRg+e`wMqU$`ele*Vm15AP+S}AaEzATq zQR*V0l6+8~pG)d=r5JRnO@&rf=L+=za(s2i2=y)+c~v!Y45_v3PJ=Er%BJ2r$y9Z= zQWpy~*#{Me2=+f!ib0q9rogIdyijkRBZCVG74SjbKbq7R><(2i=u&fS>h}{(RoP07 z6>2dWc}-wXM6myOHH<^&yF`$4OD8PP*?b%T3tvgTPX%zDqvGHg!-h1 z3@%rwqkT{-5yAeaSgK=H47$`}K397VJW{A>N=+8(VKnlZz_d}Mu2+gdmpa3y?rUx) zu#r-ELiO@NecYYYsY)^EQZG)ns_G%sJ;-q$@`c)gMqX7-9Z6~xyVIacW!Ti~$C;|S zDODiU1RqpGM6myI$3s^;lEY zSfz@DTIhr7iU{^URf<8EI@+eL6Y7_)GPtl%r}>~>8BVH^QVhD(!&qC3IM`1S>RP2r zgnFI}a2{ui5F*(Bl-*$h8FZ;$HuYRHGl4CYnkH1b59;R(Qr(nd(4}@@Z7rgz3xs;6 ziwthMP@kibS5;+*VE<$!zF7^C)i|QiOoyajUTc|hC$cri(O6nPQr$LuW zx2czpLeuhuPD;%YD$55|9}(<-suY7R^?8m})g?l0O_afv3Uv^TysElm2&oU*9jao` zrDodHPfbl#W0aaFRD};J5fSWvsuY7R6=ze|2=zlp8QfJuwemr2NhcMn6oW2xKi1YF zj=&Z|U8U63LapQioX0tEG$Ppll-*$h8FZWBG~_w-JvQ5U8=&S>Iqe#)D1!{MI)~XOddq)Dy0~7 zsa7_1`;le>>ngQCsIES!4-!b7s1$=PwKCJ1z>Y%QiX7+RMxkCoBd@CR2a)Hi3!;Fbt=vJYzG1*GaK#h^Q%2dc2{Tz~hvgxfd+AGDNOTB`% zwTPU%f-ao=rm88^NLKXR-jvYX1lu``3 z)Xx`NRZS7<-F7m#yM?OngIbOV_CIBJsER?CDzm9*p(ZPJk5G4@k=F$FP9-&0DF$8Y zWShFBftkR7Quhj#=!4qXmeg@dG3ZiHkFh53ETI-7$9cG4s4ZyZRn?>*sYltJ23;y> zQyXGURc9#mfKa1-P}QfCN>YkJm)bkps%nH#FCxdmJtWlkXyjGZP5nu|%kDJjQbjhk z-}If3q13}d&G$jILj?PuD#f5n)we16ke*O=nhb84P$&4HUg$?EpcI2Hb;m{41U55$ zCtRV_a-mkBk=FzcLj?PuvO7#5gD#b5Q_Je1X?eoYNJsDnls-UFv(R ztwmIoE7XqGGPoy&s^f!t2odam%I;7VgDy4Srv9pHs+yqG(?TslBd-ZOH<{EGN-^kC zC)m^?q5e2k2KS6m2|lQ|5W)VZN-^kCD@LNz+Bf{RLM>G4IiWV9kylmY`;vN?-C+V5 zbg5*UT35$R;Au*&6l$ao>i1TpdMU-AOMNiHs%ofE&m+gdtrqHQH1euyK_5~(*qsJl zD&MBQj5bxJE446u6F3+V?0>2hgD#a|Qx8U&35-+fMWK58pg!wO>NKSobg7M4TZ^db z9HH)ymw8w()J`tId3+9>fe7|LWp_9%7<8$THnlxqs_LoKMxiG8ppNWKDqSfCUFvJB zt)VKM`Y@V$`zPWZ$99d-Zhs+W=l7GP$a6Vk<-I-|YHCH*z1SaL`v1_^7KHKlUy$KEp<_t| zF`hNJgXhNZxr&&P)z#H_Jvd94Al8Ff3UZdxQS2xdJMo{2O)JaB?4j(jQg##cY|1Xd z|98GObpFc-Js<_kySC%d-wjsuI*6fT+&%tn4$2Op?uKk_{$Yil94K<-)3KWIkCRq* zsCsABy+%oRwFNpyv0cpFUe63c_&q+*mEF9TR?+53w)l4*BgQ`(a2;|<)jJvNW`h60 zM?#x+uW6c&($_4#Dhe}vD4kr)*MI%%4D&d|A;?MOAvg?^9na}3pVJ)O1m~|0M_2E| zVf6h;IE*@xXttM~mM?mO>pKD;fj>$>n|OCYA1sDnF|9lc)ww zk{k4{EB|zbzU~?5U~B1goVaja;Q<{#IuefO936(I5yr0q;B0}L2ps(H;oXA?Py9Ey zEvQ=fuM+`xH1K}pP;nvGek#gi|9g0xfk*ut+!0hQJfCnA0~ZNwz98UVi$MOroa(AU ztT<@F3u^x0wT?|(kNJq>|XjPQFHf=YJk83#(KL-M(hw6ks zJ^c9i1)Ij3KkaLtAHk!iZE3GlQ9yL~h^;Zl@dp!(4j)x|C@OqDu(qa$(U)vm5UgmC z%MaoeUB~gJ=TG5%!SXsX5!-{Mn_}@$$v2Ua%61sp{xw5<1YBww5hy}4^(8dZktwtf2k!{_5mM`uPim0wAO8dWt%FmGNCj+9TUx7O7MW` z8xK_V!2@I1yzG69v*YMZ=mh%(r124)`8IdN6QlVO=<8G2pWT%nj5?k#T-!~NC8HK| zqU_Cgg&^M*@P@#57@R1_miDYSH6JtH>#RT^uH|f$hO#b=O)Jlg50>XP50=kpQn?#P z9o~S>LX%)caBOPX52H}Z=S7jqNXG7WN zg8Q5YzP@@qn`y!EXkOqRLA*M6zs%rNB)1-$R+iQp6NMMKvMP?HHs-or8Y)g&g(Ehw zhKGLThkObJwyoT38U@D&%XX!feP8)H?pAK+W2IkDA2@$K-<$AUxb?&|ykwZ8!eH4O z!Ls*Cj^QMYWgqyc!G}vXPv6ADB|d4DoLDXzfL?H_%PvoDom_gbZaBX5VDIpWX%%U$ z$0V)lZC;}czANJJ!TUE_;{^%gJ*w=7%6D-#s)^mq*g_La#$#iG<+Z7AQ!zzFm?G4< z2GffY)=;n9i+2V70w4bUMLnaE%VUP)orE>vMdwEr6x5q9e=ueKdL9eu;nV;10}U!1 zReQd`tKSY2iV<0l-BKk$JA!MNmIUP24nJbre!`*}+HDW=6966xRNnI^4ml7wcl84nS6aol*ouUn zMw-?7EFRA=l2+k+B4+bYFsiCNs!koA)o|dQRkL`o z8k!>tjSfG(f58Iw9JS5Z``?Od8Hl{U1k)I9if#9eha{Ygs<*E~tLg<+1Mt7SZbUAe+-s4Ecf%u9 zjqyM95_ebC$B&2KZ+o>cKF|2Qt~1Te(}#awO|STsGsDIB%zP;FK*Q4qc zJZ4UAGP7%sz%SPB@T$tJI(T#6R$aC1@bhMt?!fbWc+ug9O_}rc;aPb2Z|4u5GjQS< zBkvYCd*rq5&9g?3AJo$;+hIzBWn0Q)nsnw29SFCczrG|M$Hsar5!e`gDbv8)qF{i_m5^Dpab)v4Zn*6@i9EPqD?<@lW+qL z+Qi*BE&mi;9xVGVsol>>?S6SO-rRd{e+TBbB<~G0NG{9UTNl4(QRV54%8sj(9-CY? zAvOsgFUm&Z;jN8=rN76AkHx!m(Rc{H5%BOY#C|hq7A$Yk9Vf?N#qi1$9N6eQ9+QmDQ_D8Q&AXpR zQ$@+c^}aCQwR1b=-ySk_`cK63o$4;<1z-ZndOZus_wfzHA}d6;y>bpZTW_LAOi zE&B%RM|dQNKz!MjvTFSM5^UQ!8+Q)`&F@upHQp){zfMj3BQ^2o)KfO6mF-LIxhMGR z_HgsGvNl6FqOzEwxTzXf za^dL`^Ybo_FVyfH-tNOE z;eED{&+#Sgf@kqW1)iwNM{&sY!gDo&`FOnKO+45o;=w{bxCRflS* zv2^^n|2F&k0_USVrahj)Z+eWGm#r};)?_eERl9hKIb1*5<17&KEWd`8o6|#!>g{;o zem+o<9*eJ_IOv)!=3V?=ep=q_6Z}%m-@y+ojo;+&*Zh~mCvJ_|h9CI{`yThkgIi-( z=mVVAh)>k;(EEc7$_dS!+IRxuSq;L;JSg206FLd%_o<4;We8)x&-2kmd5inm6JFVK zu$B^}T;7!{Gl$RjumtM{4nA-|2`TUY<0WAW9kB&PCAyqGobac%Mat?~psP#&`xzomGCE%^)`zHd$w zkK=#&2kTr|xY_@zd_F0UxebrwwK{fd%ysyQFYV#(HsSZbqVcVG80+X5;r`tVhM7;_ z@#QgDCRP$x^PXMv{R79NXG=na>=(K zx|zr-L~6U6XGV+f#!Z4{eVSCBQy2a_ayO{J0K=TDDc*f#+9;w_sp*qT{me!Lm>+ z{=J`GtSIIwS{02;4cW{5dqrjan_yiAPbaR&M<(kJe;>xr={fMCaD7u+?51E=(z(H? z4Rd;?r{LG?tHkx==ger{Fgot0^%z>L`~91FO(e&y98xcCWzsPzIQ$dhR<6faps41F zFYm{D*!s4~<-HrFlx;}u`PQ@s$#r_iruE!dbVTWfsN|k+6@8>MJ}=04dSxy9vl?S+ zs!!C;M=-0|d_0G@oj&HKZuFcUW}rLA&zX*4mb{K(^u{pKF^u)$7vom$z%ZILPu#IT zR$h8Hnr!=MGZ|2JBmJDu>U5UhQc?L_ioKs>(}iYDqHd;i#(qN zBR?+adWn*`2@sY^i8O5)5DyflK1d(9Kes5sHe@% z;g@5bz8ufSt(<6H4V+pY!HbcIwqu$!-`}w2_px+S+nVnjKk`FJ9USZUyglzSoF+=Q zM)mxzXiwD?{H#ZzjnW?uo z>TRoYwdRuem&Ei;HO3Wxu!^NYrOR-a^F0O|EaF| zL2uppTUsjZd(V^GB^TQHXeVFu$@q2s6zM$u|M|O9il=53_2}3>J1;L_e(oOebJ#p= z<{(s@6AtC(PtDBB%?=f27G+M!3Fj1rCT8Ym=j9aDPSdo4qRF8tp^k_DBqnz1*{NHP z9v!=dI`!<{wQJYJ?p^Rz?QiY6BzEr7rAzlN-MWW5b?n|bu~Wyc{0rE*#ko^DghN^R z(D7qvT+YPyIn#66^I`VUA=2v9vqz^+-Me+}*gcfkGqGpq#2(#xc4?oTo1Gc18R6gD zf6N2|eb4SNyf~+*xWmlM{G7=hk_)m*rsU*@i#zns4F^lcbr_bC(6MvJ4q1hH*&X^0 z%4k1Tf&Xf}|2Q`udK6PH|Y~^zg82rsuz#?|*#FJjVZz88iM-xp}$y(>o+~ zY2Up=>adhh@-W_Xn3_|Rn^!QQLvc}7hY5M(^6W7u6-_WNOnh-}{)Cde%%a@zjQF<0 zQu`0W`XT-tem=WkN@i|;d`?kOK~a3$91`eh8%y4{Wb~eUc9N(tUgiNkx%!S1{CD{ciEw3OeGn`wHA75Bh z5H83n$cx9^7xRHO+3iK6_wTuJxna!z%$&&f?F;eComry>&&u4iB`4c8hIXu$Z z?EfEsN?%a>j=28T=)mk7qXQim);@ObZP9_Ti=qQf@OaIiS$M4XO||!H9_Q^7`uH}z z-KV$T>TUF5$u-y8_Ii7s-VW8Fol&y-RP`=KpD!tvPx3B2!J9_(}-d5`Ek9zxu-qu@UzmCV~{f>H@thb}| zwoq@c(c3%pcCFrS*V{_H4d9sKpXPeoMQ>~7^M8*QnVZ&*$i-%|p222${@S>!$(_%qP2C?_W^cU)0s(TqU7@s6c3RCmP7bFGVFptbA4(CkCzBLLb6j3dUCnAYjxzz2LRt)O6XNnuT6OgN@Au#eB^ zhlJtz({l4MPebbr%Lxz9mv0BzDburZ3i&FbO1wsaOX>{E%gHGWjIWcCQ#2(vKQo+D z^I`<1)XB&z#uSXm%qz(WOs(^;odmA0qbRar90m9`U-O75HxL*U2!-&yrXW9OdTux{ z1otN7g!w5jvuHwbU?}eAVs3Ntrv`@c=Ynye@tB7|;3C}1%PPp9YMu`S#^OG|{$v&w zhQc!na{_^J@<<83A?W9@0R9n^P-fPY?7aNIH2gHBctXgqfw_TDmi)9O5E?(FARGB# z0;X$B#*{#-s1QCGO%4=9g>; zet3Lfbo6)};ekL_^!RD`rNB=W(fmls){CO2ur$YEhje|1K%zBRXIt}=l>VN3J3sQy-N=fV7spgyd zF>wE<{xjBjKbisjO;PjLg#!Wn z9R~i<-}iR-|5*IfA89`ke{)FM7wYf*>VImC$E5wv_BHKm&Lj8>DF66J+85z(DoOw8 zdfvfb6aU9Q(*8yKWey#Ww%`1Zt!u_}G_GVz``-QKIRE26wx61IxP4IC^KWJS(;pd6 zok2D2)3yDrb^fV!&3IO3)U^Np0_p#o=>ODy1wMty`1cKx_6KS%m^d*RPZ^e{wSBsu z@h48JY5%>pzxhA*Klk#Q_6vqe|KtAS_`A%nX&)Fa?H~D%?UyaBY5&AXX`kTK`M-Dn z@6EtY#ANE5wFv~awZsZ4z997-nxWrU13%-Tk-7N;?MFAZ3Isv}QwQ}Q8A=^AEF&o` zEtH(nXL$e6z@&^|%Fv+!{+~NTA$(+?FfD}dgzPjlF*Uz9%&(t;KmXy`yu!Xy@TCgBOWL$G!JnqPBewFsE6?rj<-N4KWCbJ{lcA- z&C~1f^zxdgvkRu>=4EGP7G>j+K%g#;_~-!7bW`xFvm}ON3g_V)O?DVx{S)yQ5%KME zMm`=ZmhXw&09o&4=S&Z9??Q7IHRCH>6P!@Z-5h*DK9hGs)AR5Ti|`+mHb2e`TwK!x z-z&2N{5M!^A;d3%<1+DG@r9aDR^YvwAF~7B<42hq&O%E~^>_@|@kk8c?tqx~h! z)O_h*XFABv8J~#@IyDb6bD7EfjC0kWXksc0VVwits6*y(C@JJEzO08$56)BOyZ?QW z&tMtD{0dP=i+GVS@Yw}z)<-y z3y)5&`Ee%0`m4kDybAmdjK_cc;*3QTxyV`j7WWJe@ex%KqUKq%$dD6xg&FTk=!tKByU_0K zBiR<0%&g4H*%*gn*IRta^nVHY*g3yG#f^nI7p)5>AaiEhqw)ipzhMFI1 z8}O7;95@}(@mb-4yd)n^!&RyQdX;Lj>78$~E79bD>0N#+GSY?}J}FEzorZ8NPk#Br z6N@lAQwng*P0pDSGN-LQ|Ih}@9kmTu>j=a3oEHfEj-Rf_f1%LO;e#?#2d0G5houZp z9vm8)k~X++C@pnZMkr-aawrsd#iLaS&*Kb&|DpqY_dgS_(t3P|3Sps-*XMImr({mZ z>63|nZtV~s?uT{6^pu*_-K0kDLn&A|3RLl7EEcAx)TYPa|Bw+%Egn>m&($!lXQt-s zimz!D7{J5E>b}p6LHO$oW`Lc{07Ewg0vDj~SoR<4n=yE(lo|@OGmj)kJi^!zlj4Vz zfp{;ylD{yuFTvrt|H6LUeQ7!&8p0cIh@MTuQIcgMbrQSIAzFb5#794Fvt?V$hhuV!QgI*i0I zC`}W1*?wFJ-dNeJ_UncI^&-O2AuPR_>)SYvn#9y8!P`M$xF{43)H(S+JYP4qJ}=qg z5>|2;@kE>^oOs(IPjEWZxaty|yH>c`f{L;p02*u(A2aO%ZggqJ!tif7IS=8HwW*gX7vL?~rc-<0%> z)WL)B3)FY;(B#09*fUPS^fx@bMBbO{!_jSH=inDL`qYRg{xA041ir4S+8^KNoZRFj z_cl}0Hl1iXP)ea~IzgcYk~T?`k~FtTTGEQ#rb*gFI>e^w;7@HuR7BL4K~SJAs0=dI z84<852q-E}=zC8TpA+KLhwuFy-}CqV?!ERo=MD+2@PF^`@8|urIcu%G_S*B>`>egs z+6`N~V6=A6l#sl{VMugm`%J{0?uQcWwu5_?Ukq*GPA`qG9@@64cPEZAm{U6tbGC@7 z4C8TUg4S&Arnqzb4`WB(onECz`T72t$YOD7MeFL0`pypZOrC!hvlma!Z`^@#Ghz))@#iXTrdG?c?aDUBx=8Ojl%RK}Bw4dtj%s^ZCW4COYV%!~5W>B_z~=@L?gGPXgTiyLSj-83_#_+$n?$NmvQO zT|%fKp&f*~g|IwcFB$oWq+1uS+gYvLdnCV&K<6v>UO|&U7by2WK|6siRPOzPZUlOc zavu=159qndJtpWtybTL1_oIUDjkk3J{g_6*=?9#6TMzJ$3w{;wO~5}P_(9-<1IqoR z;5RWG_@@Lv%J6>Wep>LmfcF4@Q1D~Gmnio$f<6fJJmo$l=y9O)QS8qO{v>gf`(eSK zA&!DSBKY@+qvVeY{ygzT%Ke<+FA!g>+~b0u0N#(>eqL~Vkk*E7iDJ9)b=dORv9nD! z7?k_Zu`5kbz67zy?A)@rTO8ee9XoWA&q>&^ zXjsF}9ouy14+OiTpzeKAsUA#D88Go-9;e-6d26u}yO^kiAQqRxfXDZC0^v z>J4xC`i^=P+p1-kLt}^ z+uXW(Wh&Xxrt;@dx0=+?S)}q0YBag7wW&_I*H9^WD;jItlzRg;qQ`j3y^*Jr^Zkj? zD@e2*V%$3x5^1bmUDsT%+@~2aMrISCz($w@f{|V@4pGrN*%3d{k)zXL)>`G zE6vmDRnUC@b}*GW9u{%fddYe4u;86v0#CmGH2(U|ukg1pi2G@Oi?2~wr(uxY*?vfpNjdb533PZ))vDzORG}Nnv>c%^|wiwbo zV{AxwdS1K@Ys#IwdwVd9t`=e}uD6?82l#5F+;>Uzd?9b%%vGp+ji@3_1>Br&$DGX( zqTF{2e%3304d!q-adN<&DsbPZmv z-0OroDc-<7Yey{9>GitCFv-L_w{45iZ-_mPn)IeqIZ16mNxU!i9Ygih7&aMs#-4@7 zEigDkHD02|urhyY@sx^XHkW&2Y%xTPJL7uM-Dibzz++~pp}#AXgVGpO%ewL%Rf)0i1+9$7H*DS2jsptH{bG!*0URB` zP#)8i3I7SQX};^Ngz+ktv`Y>5N3rQpC}Vzu_WYz}C|0qob(A|-udrgt?2u~z1;otv z89%xLOSU#)J=4~%O8v5hc;zq)ss{gS;A8vCilYri3J&F!K68kbRy6fMhTG`>MyJBR z6MeTmegGoJH}qrO#Q~<=jyP9it`TZ!ynSd>yPPiBq}(>4SC(`jQyg!# zJ8_nhCt`TUIVtuR_Y&coh4s$X&C2bJx9Fs+)ugnQ>q@-#wbe$Ku};3vyyyEY_2^Vn zOYKUW-)(JAHP+YS5UZ+j&o2HNUd-aXFr94a@5TbN*sRY;>fW>!ll)wvxTFA|CwLz5 zom&y7S}6INqibhh4+iXfA^ITVmBbu;a2E)*h}5pVLR~1-1gJRq<1P}sm^hMLEO;sK z?!lpL$~{LY<)CmSa<1SNnnSru1Ro20>*g+<&GUp-3EGa`+jl7Ue4$JL1vUfaF4eW+ zPM<`U-fjKpU(1ANhLCsw!o5ISN&jJMr!lbF(i;8JEaHlU*V_2zV z&|?u}llX5eUZ`SOE#uY{x1;4^$y^~*@v5BfGu*amLsN6TZPW_)=3*DG@$r_f?mpUW z-CHEPO5QkHH_Hn=ihQetVba5vKgL8ax-RS+?%`symd}ezN9@?qzHi&69b3&|B=?Af z`=L-B44FqIC=qYR@u=3#t@@Nv*H){{Z<91j<16qw*wqayBgRyJH=^DyLFKkiq9t=b zSbPSGZVO#k+fkdUYr-oV-uu@p-~CSUW|mvd9|bR5&q{VMRFNGhNn0|TMus5wd&QF= z$0pON-wbP;ZT&v)e!uw5*e65%>LK$3Tf2$3Lvgr2EH+C9H}O-V&kO1$wC(XnHtobc z4E+TF|+PWki1?Xmw&K6Z);7 z3le{3=m|+^QDQVh|4q;(i8hA5B@dve3Rqye|5;40NcsL-hwm|1#-DIYN^U_-*gjKQB5Kz3nX;0!K2t8J^qJ8m zG7?RnsSs59%ostX&x{pR`ph^%D@^aGEHNwTO4B>WOQ`gY34%)Rm?)W)-Z4o~=^c{= zmELihpwc_02r9j!N>J$?Qzaqk9n%Dr-Z5QJ=^Zl!mELi>h?3qhQ&8z0XGj61cgzwr zX?n+*f_9qTFnFZ(=Ql z7go!qVo&&Em`RH88e3ZH*5XAr_9WxQk{zwdRCE2h`sT<22r~uNjjHe$dCXO;c|@ic z^Cm<3=oI!y+d9@Jn^rfp)~de3oAQ4*7IojfNfo|7-;6pH-#TDlcU0jA^3T(Ax({ky z@8IP)+^7m~7Gf!g9oXUPRfV?*sWRTPr&AT)D#EIOu2+SJ1)afAJt-9)5z;Jj7}8N8 zolP!%G?>%npenpg0;u zi;LZ4Y_+Pw59b@*RD)`;1z(^F?-26xNPU2OXZ~Yod3Snaysv#%Pt*2+p1!p>vWI&6BhBaBNy_sXj)xgrzVqNOhu;|@Di4J3SqH<&mfF< zF)jOaB5N%+lNeWK+94v>>+~W~9jIc? zO`RN&e6Me-Z%_vg=&ph)t#4b0`Z;jGbeZPn`ju$Gzy4MCoF;>|)V5y?9wWJ9eX^be zpvp@n*EOtDMPqJ3_XpBMWb7UwQ}c@6_Dgiq)9hh~qPGV+&u%^I!_`Iacm{0K{s4uT zS9I{A3Fyq+hvBBH`P!gIQvw_(Inc|^k$KDDs_BehbnRauDwaa`g~2fXF^?9*84mYm zyLz?^z8>G);ss|_%;7eHcP)}Sy;TfOtF&=wCO%hG?!_@057imneZAfIhPZ1xHUx1P zdtdJCG}+R2&WaE%qxF#kEH zI}dZkI4RYE6Ku{bXSMw<%TA}HURa$`JBBh7n{B(X z6QP4KC*2NFXOcBN2U$tqBT1iN(jC~TXMNsdQZ-*v0HYi=yJu)%D?Xgrg>x4JIR1}j z9aPRkj^nJEgt%SZMA&0a@7hg})R|qow<_mhXVN&p{mOYnGtAtsoJTe7teFV@q9$@8 zCEMBICcd`Z276D9^1-6ed#Fmyg=Cl)2F#Hi=iQDy_}nR( zz_AfEPcsS2R$Z`-5`0ftjn3%n@psQ;91dZ3?uit@**>2f3qA(=!pHIFZeR<)3*aS^ ze+dA;*|vU=5<3&V72!8BJa&_kPx4c!sZ<~A&@f9n|BMFuGLoCQxhdJGobNmTOr#I2 z%6ZNy#sr3i(1%b_q+`Mbp6@flJRDl$k%P#IF6U#qM8~8gv&YncTdAd}wJ+fPZ_(C) z!8f31VgQ=sSk5O%{uP238}Qc*;Y%FleCj|pgaFaviYJs zok#u+XSdE>k9y1u!l+%c8}#!s0~Wpw)G7~RaWsZk=>A589S6br+;kLg$s0jlaykE8 zfSjSbApOhtSD|zlIGL?{w{GQXY29Xdc0kGnPWFL5=N8SL6t=DMyawzSrnYP!k`9U? z8JyK|8XSlFK?A~j=C~T2p~n#C!78OLGJTp+dT0U#Kgp+~bJXnEioR`CL8H?FF~1`n zHX1$Zo3!Ms(2r}N3Gmg<=7AyQtTIJ{Zj@trOLN$McY|_ zBSIt{iu+6aGfS9eefO|jyE`w z>#X7nELb13tR`!6KG*4Ey&|~ksHAFx<+QHT&q9L17lwMnkbQ{NnV=Pb<799IM_DoT zn_5U`2oJP6ld>7GjMIl$oyiiWK7>Kys{I}<53lr4lpSd!9*eSHQP}54Tx7t;R8GNB zj@m+RK>Dy}4lb!Xbv_?>Rq|=iKA%!PU)1@GDwy&Qnyenk$Z9_}`dszHS0$@BaXmOR za8emPQ$7cojf6ALnGJia%#&kfUgohfFXu5bX$?H|;RSupBID>7j<9*Tj*fXw#?i5u zpRdnKD0dvz$12|(4skbW#2yZDyZ;51>;;EFn&s5S?lTYtnU5a-@S?{*@(rVR4H@(0 z%!cf+IXY^F&+`lVLT$4EohBLhK)On zFdh*Jj7OT&8jpxXjYq`AT14Yf1PzQwq(zNK`NnvZH|8to-K9?Ss}`r%p=zjC0f#5m?5DsVmDrCVHb0jcJp}{8IdCml{sPkI z#Tw-hTY$-7^lXj32527-g)n2$g_Cj`wRHQzvDNH{!F)83-bm?xr8q6UkML}K^oD@jjT#jynqhzk8U&>9mljxmhh&vQBt;_ z2b%z_N^h5dMw_K!*Ki-!IVkk5KOi-c&Ka)d?hwYCaxzBNbax8lEyAeYk2g?PorWfS z1Uj3|NrA^BUnO=v}lO=?dSpd&iB!AhFudB1oNCb;O3+F zK9r8ka|F#iM~L&Beqx^Elk=Q@VxHrZ^BkXMo+D)DIZX@ZIbt%;X%;=t5enuxBEdXI zB$(%jaGui~oaYRHdCmZs=QIoEIYYoarwN?r42AO?Av4br3g$T?dY&T?ndgYwd5&<} zJV%1ea|Sf?9AP`p5f0`#BH=toEHcj#m3fX}FwYsp&U0ZoU$ zrvaJg2a3$C zT=Ba;SKa@rC?}KEzTU0aMi?AXMcMD@w~C75my3T7Dk|oml#&`&G37@U6TdnwKra!+ zM9?TELR3up3B|-G6_b8KG4V;o#HUeAgp6X+v_LTt6UC%iv|=I@C?+C-Vj>bKCL&Z! znuChT08mT@fMU`tP)vpZ#iR*TOol?mM93&6LV;o;q7@T?h+-mY6%*k!#YBQACIcG9 zMA#}O!hvEU5-KKQ5yeDQ6cfQfF&V@vrZ6a~m@H37dt5hV`U0F>S}|!r6cgbbiizat z0^G2PVj>bKCe3LT6OpK5A|6pp5j0Rtq(v2z?__@Z?2LB!`G*~(m&zb5!Kt+d^w~ll z{O`dxampD@KCHbVBjZzPXS|lNQR3Qxz7jI{5pbc7K3<|18O;%VRP9XpSEJ#M*)`Pd zz+J^dD6f1CwRhLvU9{njsG$ZVtfvP1Es#;t!gzOs+MpL zRjXr1)_{ghR4tJ})oM|3)s&{5)kTwR}lEudTHny@D`8*y= z%=bAW;tmd8(DQ_|M31Q|Nz-bR9blzX3Q2njN$vZRR_!fuUzwQgL{V&^{Ms0v`qXY zrJ&0H8`q_I{zp(^*ye*TxM{wRovgY3)moc+1MYufhk^@*f2>U-3X>IL6(->{g-OCc z)~2Y0yyv)w9m>p90bho~yBF!k2h0Hy zXT>S~81VCe!*s-Pljrlw*HIkG#V~B9yW?@I59%k@gM4y5$fsEk5;E&SO$*k8#AH3FS@e34P_P~(608S_1nWT}Tn}mvt_KZ( z^`HT;9@H#Y4;lj2gPOqgprLR*NXV=Q2?gsxB6>YYAfn!gTJ=UaO}&vI>dk;=JxJKD z2MGu3K_cOLkXU3rNL1E?1cUXULF{@k42rG?El)^$TsLLIY9bup zxEyK?FIgw>CuGlX2IeG${V`!zZ$Wfd-Tta5Kkb0;R?+BU*j737+<;7o>!$Y z%w=D5v;)WyTg3WB{)PBEzWP*JBoF7Hw-0sWJ_g+4KqnbzJc)9|{8Wmb=5We72zefK zdz>#XU_bj~AmffQ^2QPU zy_0rPl7F*=_>mBMQ%n9W33?XA>tcd#e|Buw-=XH;n)t4!Rwi%)5Fe7{9~QQ%L=f|c zkY*%0coR$hQNd@a{9&`6e_JAsoR9LI^G9RhR@~Loqs?SXO(s+^eVg(dfMte|r}C^P zQ`4XEAsY&p!sRO4QoHeK$OSJ@T*9f}L2-low&B}`Oj#>MR`F*25vSmt90UVva&Y$1>yR4bnc3aR?eoD{Cf*@4T9oO>NBZLrBq zd$&RaecX;=$-%%{cowuVaBKJN0l2voz{LRmOdti|`}jEWv~2*Elq-Ch3t-9^ z{_*jr>ewnHU5TPTZ4an#0psN36wTL(PXp7xsU!J`#g`rPo(G%bjL2r($vV}RHT~O= z`G&0i9eHX^bejUF1%LhDA`jS`;0dq7h>HU;Fx-?s+eaf8)!PfaR)}{}4hSgyFhJa4p6Q#A zy!blAgLhIU0ykA5qn$b6o%AIwq_ilPcTyv!GK`nuopcTBetMNkz&mLvk{T89PMUuf zJs}r`-bsHfxedLO8j4>OdM7oM(xT8isi9OBh2BXGrK%|OPHHH#iZZ>En(S8>1>Q*w zVNnqri5l;u{6x~7zJvthozxJ{C&73pHH2j(81JNpa3KlCJEyVR=#L zoz$dTS0vs^O@14J!eo1I%%Dl2Fu>g>XeZEx3f@Ug=tiL0JE=kYfNJlg1|298@1zFZ zTO{5|iPAf1k$5LH_*KA-cT$5N1a7>O8vG`P!zI+GblP;Whj8tk)P&y!+;}H7_%Yzx zJE=h*1ggE08uU0&wKeHA>z%etTD9*QOfLE=TjW%w~XgVVc)R zLwm4hEiq0>^R^vBU%;n+>=7e;n_^Ou^($4QNe$nH#13jyK61H+8YwU8VU%lsv--6j zMvZ2*UPUuLUnz~a{=6JBYLU49EahtubeeVjX?z-Ey%xCsTndh|+^#?W2&0zP0uk4r zW;CiIE@ng5pN8rdMO=TfYT#a{$T~qmAH6zeh_NE=z9e-0X`<%~IdJ`H#yRwCrt43` zp9o~5zrRQHL~#+ntFfLK-WxMAN;Ng=`qPN02t;6r91;;@t%kc+VpkeM;QG_ZoK%$U z`ja&V4PFF?l4-6#3w@3gj{KN^>`$10FMDTz^V#q%o-Tbmcp0jCTD=O*b~; z`jf2zJmUJ3lySBAW18=KR>F8y8eBBcuy*wd{oAq+=Z|Dft4?mM0heb&6 zH5k0o2{o_CTt%wC9CKd~Dh9K?#~={z{vyWo%fE?!(}iSoQHo7JdcDO9RArufUCEum z$0qys4f5vMASc(Cu$PY&Z-Dw$*1bW~P#p7hSUbGJ(CfRn!<^+FD)~Cru0{IZ0r%Px zCd`i4(mx14m+vcK!dy0GdKkP>i*=_bikM9JZJc|P1YiMUym>;`%Xf3``z5R*62|vg z{jxr&+z&`NmO3^rui@FQoyH^lW(l7pD>Wn=jebjsQ4m!@a9ZqJC3srVij0rw+`}Sx z2CNe~>&ZP*@@rI6zR!vuA8aQU47l&WJJbZ2HN}!n&{)z5aXV2zv7N{#w-faf+lhQ~ zJCRSbok+-RCu&--ok&c!6E%z8P9zj;ClU#^6Nv=diA1=as5!WuXaH;{8UWjgng!d5 zhJfuvP2hH-p>R8qkl9Wo6l^CF(c6gxBHM{XttFjsnkAhCv7{T&SkejG?L@-Cb|R5* zJCRsqJCUetClU;{6Afaw6T_fz>+mt%Y?;T)ti14e87X=@Q3JA_NI1uKqK+LoUS`;2 zJCR7Rov1nOb|R6eC7pQ0k{&?=OFC)kmh`o&@l|e}wv^#jbo^YrWlt0zzqQ+Y%xjS| z!A$0ABFtF0QC6!6XQClG6SZTt*3Cv?@eKl$N%I%dMOQU++BPNP8)OrCi{Vr+QZ(90Qo#*EPPH!9aVd^{ zjeis3+=gOR(XxX4z|^&XvhWGrTbARAWI$T@833OG>y!cbv{lX`>hE6>Plb2SIEz1s z8ecpPtmT}tJ_@mVKAEDbdbbUw28^lfY$gYP2qM0mo#y))ta}aXoToMG(JM?&)U!Zc zbr;O`uiWmjWiW-$=y`k6h-MFa!{Dyn1$ylRmr>^XH?Rt zYNuCWeH-(CgEWdFR*l$Mj9m~~HI7SeL#u|N_ywU=!%#{KLaTL6laF0QVI;5RW`TQv-Rl;PT{Veq?v8>@!Fj{(+Y8d=U;wbmSx@6w;XNaTVj|l!f;wbr}f=ChQUt& zH&zXU|G|mRges#|qafR=(P@J4VN|A7!^A#XSdeMeFadWJ7UZyMn6P8fu)r$u11Z3R zCNvnmfmOpqKAsUA#D8Amn_07zSBF8#25t69{WVhS&ELG z7w@A5FkryH-Y(^icFf37{%$I&3WwLdaRC6{u}*gy@3?f{%7C{d)m+;K_x)H2)~|%0 zTi-2n=w`Asjz48X${nv$En73x)nk&K;Jkozkms~`?nIs9uzQW=5j)JEC2PEb<&Qeg zs=(S|C>zRFjSB2AUjg^%+;*6E;-ymSt%x1Q=nqwpZig{cw;*DNX*ac1U@Z?ARaeIh zF;f~!Y%=nU zanW@P49-xEm#7NX+h;7EQloO%VR#I7thU39g&HXc?Jz{~I$RLiVIsNIb{M9vb4Q~D z?J$M_)d>RX_RB(mwgdq+^%WsNJ%WI;JS_z1LlCqb#>jvI1VP(j3;~J{1Z{^ggoB!( z?J$OLR1>rv#t@Eag0aIG%5g(MUcY5?VkpS$w{2Do1-bo>P)-;Mviq!14tUIrvBOAi zq%o+ab>%y1oVLSIHLvb+F7d2U0^ zFCd~I(@c4^$Vq7(RhAuhzqr zFkAqR%6TPBvlhho(FI~~ol9w~>_&u{^{PT{Wioa z58s=8FXsFaq=KG3P}vyty}SwoN1m-JMgX;57*Zf1d1_Sf_6_$;AA^v}z#Ni4fIBp3 z9|_DM1dTa_5X~X_33CXaG>7OX%prWz9KxqDhY&L65KRlrA;iQSqFJ;#giv4(ArhEF zhy>;kA~c6+4w^#@0CR`|U=GnNFozfd%psaUbBLkP974#LLkI=t5F*+fLLg!eA!^Mb zgwxC+B#1f0fW{m`*qTEK2j&nW!CXI+SkNHHb=8YGgdu@B#30rj5(Y)hA(khkJs!vl z%^~E{<`4~tIfQTya|p@N)76Ge%ppVqbBN}&<`5!La|rQ>IV6Gx<`B}N=8y@#Gvz6C z#fh7Dr3PUl#nqfn71t`7uxsZ~H;ye#cm509ee-uY zUaPOioAPAL0Cy#aE^;KhE5Wm!mx*Di2qjrjw;NI=We1s zvM{x3ITey}d&xZPyGN1#6$HnfSa1ypFAMRW_#LSEa#@J?1U*g zWn%WS5bsIGO9T@#K7YRt$D&3-JIek9K{Fu};)JZ9n2`D8gsh*Kkon|<%%_=<37H95 z(}D?^m`uo;MNi0tf(e;OFd-8OCS)RB_0Al} zs-k~gV^ond9%mn+^~<&+1xyw5_$s&w#4=nGP)%eqoItB`ZZ$y7;ryv{LZXfpZMF{# z>8a6^AY@H+s)WTVzK??S2BbC`nV(DmCm&@}Lbf^JM)$Q0?(T*m#3|uE13YZM(`0n? z=AFI0DH~YIlESN=4Gcc{!WUjnvk9Cffd!PyB}N5(1G35NP4G_QHy}F>$kFTIol%B7 z7L&9|uo*SJiZx!r^P89+d7)z)Um0f30G!e z7B%M!>gt!TU8$<`j#k8Q7TDKEG@g5gESw;k|j+iU#e=G`s~E*Nn8 z3l{EjZKKNjUSd@Id z+}uuo{t|SD1kLcxZ zr|SDy{|@cs)gcmcOhOWV>mJ;0v!$btx6-J*k5=3#xu=-+-fdk2eLI+`k4Zo!a*2C0 zb_~HGMBc|M4w_PNZwAR1B}b_Lyt^v?2|R^98>}c7qsse)ZlV0l7F4kZ=_4E8o!Swc zy1aKEK0$FN@DTT?bh@f^CJcaF3?8@`H5IRljWgCMZ$t=Mt{6^@5WngQjh3nshXJMR zzsMq^7y4J>Z&?NYz@=-DFusA%iHkN-%fXVAcwd)iAEIzCdu(6b)~#lH z8?0=@&Fu?~w8f)713^rc{?m!ub&n8TQXObJu$QG=Ov|u+T(s6%bSM)Z@ zq>~;jUlLSai}-jG6rM8HLM2L;Vv6PyD`y4^Rp?zMJnHx0an;xGa`?)oEzH;RqF;^S z7N5b9H>A~C)BWu8CcYVu^yPtkQc&;(WEu1CQ7Hl@fo>d08aXb$TJR;_ZMNuVV6Jx6 zf4w?I$0^6|lPmAtmzZ+@$dq?z`{mnr?AeYNm(rN`vM$H$cL&(Lxfa#%>g~s${C5X- z)2$T!IheA&?iZ1reA$CLT?e(c)hVy<+stO*)25V8s@I+*lm(#Y2sFtA$Gf<<+dK8rHOs8n5zt1P}mvL?zfJ8 zr?>#~UE)3pdREevORZzywMDRkGh)ZSSNtR@%BO@y_^(^1BuFd!GTRfek`g*`mn(~7 zr6qQ>v~<00$4(q3y}Wm)9H~>WvJ#F!ce)#I>EE6eS}viUTnMgD_QXb)*jhKIW}Cu0 z4z(#aRv`?&L|YrZ2GOvL5tc+eJa9+Tux>{c8!N%3a%Hwjc$`ox;^7&wSY^pGx&)PR zS!LI5#SOgMdg*s;yhNzd7w|>`CP=^x)DkMCmDdL1JLfI!un+fc3u@3NBUvS%f+wLx$`()2WfzOuUt#NkCy8fN|*v&r7F(orF*m!HS=)LTL7Mokb z0cq7=PKF<1AQt!|u&LP6l1ITbD)*(*vHFreoTf*4?WNMO2FY^TrP8sLB~+)>gc+Ag z#~QUZ;HAKqj3#jY#)XRwrHp4X3EuQOB)W#6amdHvX-l3!x8B(Bfv$F42;vmU`vSv;>Fdtb?ikPu$AjK;iC3T!pCJ%}H> zNdihk?H`1_U&1OPVUbhzu@6XiWf&ekW*@s*!Y76OCK~+~>ETsDa9ZqJC3u>dJPwPn zVGriVj+F4MVu8;ZhW!r1x4#Gb*`bl>*D~8z&R8+ z`eDkR%Lt>dCphmvArrUOAhLaXm@jV=19+qQ6X;{B@#ikSUM&Ss*@8b`A=U{%jbU+W zB*wet>ENQYTI|Y63a_rb#c2{++QQsWL@MQ7I}IV z_7Yj@>qYtr*2b18&w*4`g;od)yB@5ju-LYBQY(9RCF>*2`X+~omP+QD<@sJjx)jF4 z$vR*A$?}9vvO;*0NXB`KN%BBik}sww*)+I%$98^}k8N3)s8$T^+=n-LAly{iyIEJYKV znB{}z5Nxvjd|I~u1a_&Z)7USrmRO}wY|eF4s?cat#^Fy@4G81d8OE_ARI(FPPgDG5 zc7)~ow1#nNbyqkc0_}_6W<>Jw?m2ZpxQHA9(Ufro%V@eoUDzG!S+W`A*t(Zw39Vf?Y$~dtvA4>4*7N z*}M#=g=WUAr7FWG3OdI(!ut1hEk9wT%s!kOEpu>$*J;F~+P01+n9AGgS0abC&CRfv zWd$}g*RG6k6onj2qOqx^wXPofjIBu(PY-I31SQggkdbQmo(a;Tq!rB_bvTrpM(bSB z2yf6OA+bH#+=M;R*3~OhkWt@`8*73Hxc9ye)VijI^{Gp+3s8?+Y;pzSPMd(7Y-??4 z?Wj+!(RsxsH$g~4EjA+1Fbz$exbr3;w>Pa`i5f?H0zjF^gh56|3ci6FaVJg?LuyEq z$A#oDWmayxs>}{*Y%)RP!=T!_x>S98GPOue$R;jP6GLMA+U3GIDVw-NO%922s5w>F zpqtXv>uF(lw3pP?C(+aES7SSVO32ZU<{O5qDxGWX>e}X}m8-G0%w$qrZ@1JotEuT+ zrj>QIOiK^pMs9O`dpjBc7tgh;>FL2ZF;Q0y-@mD3C+>`!5eAAMq?V?3v;#(*>FuY7 z3}}w#)|G2H_|dRBcWP!BT%T;p3O*wYZf|UA=$Nl&g%tMahK4o_a(sCm^aWOR8_pk~ z51VS9oihvvO=ZixU7ZzjG_rElnNj>@cW(MPq&4+U9ySC!{e8%RAKE zbV}3e6*%&s=7rQGhD}?2$J(~l?W#H?L#d^fR4-g|E;d|A0+@y)}%)pdi%3Fxd8d85m71Yn#=gFu1+B^%A^Dt!>7imW2zbbJgNu zf|sas!Vuk~TIQ$LHPxoj`saort6O>KBU#(jRtt5hmZXQ6jI!^m^TH6;o)n=W$tpmL zpa#y*$q@uE4TBrBQbS{5Mi51>mW9FS`l;HLYt;oIsTnFqU8oBC_hKgM+S%O~nWC<7 z?yz%IZ5ul93YJ#pCm|%MEoE+sl9nu&2}u(>p+aOH()jZBOKOww7R}bv1f7eRtb&f zqc$(oP#s>A0k_C>?oaoO|L_PJIVZ#lvFC&cqjR;@VRC{hg)Rr-A09zb34zyl)=2c6 z5b?-4p$HnB6Cy2oPRJ~N3q0S$ZR-PDd-qo1pimbaAC?A$o*hGY9aCOaK+(4y3%Mvb zXp}dr4=d653+&if{3j*@bGUIGJv`&x>;C}^*o-@{emP%+$Phh7ixA(Ps^W826ZaP~FfIeBgPIbRq44vt_(j>#m@3c+UD zNIPedSB>;rp9}1UJ?bp7gI($T46SEt=qah8Tk)3oZ6xXI?eWS*0KWs0Z+5UNp9dbF zfp|D$3$SPb{$NGT7-`Au%-o^cd;+ zC7=^1FbrsjG0qtY2b98?VnESohY~4>)c7bP6|wo1d;(}O!UP>faX8bWvFDMw32vD!AuC{J7ChoWgZ*<+unkKFl2~ zc1#v_8Za>XntRe{WPcfwp3Gtzxu;1a)B`g)CC?UE$Eccx7?WThn~GF}YM2J5;t-vV zI%PHJs+=*`xhSElKE@=fbiJJ(3+fGK7aSgD)95BRV=mGo5;D)4tI{PXb>?DSnAst- zk$YAgBj)Uo%8pQz&+3{JrbusxbLW~g<7Xrck5cm_8c0o}!-&I#iNL|Q>3w)830YxUdID#Uzb0~u%DTBE=H$uQh#*&zpc3vEPxEv)oKbj`_ zmy&^kmqkJl@q(agBDq%T!mtLSMY$+a2ar`0);mSlhIzgy!A`M!F-FyjD3vu=Hx<S$uhVxw9W9#kB$QT=<7bPfk zoY5hbQ99c)XLX-M^-*QyTRl~fUSi*txG-A7Md8S@eGhsvnjhx#Z_N*Klb(qJn+boo zYKP{wnx82bom5sbnO?5vzD!j{D=3^~Y`yxX`xT~_tjLxuK3vHXk&>Zrj0!W&f)+@u2VM#R!*M zvT&e69u-}Z6sPyAl2F;2l|pIQpP-q;_QNRP8e+6ibfzl8$l^ViNc@_^!P1GZv!rfBP-y9X+^XQR?Wc*7;R$~mnG3IQ5p!=jawGP z(P+8t)T3>u#=3J+(Xgj5V5}{2oxU}_usj1DfFl7vwAXmDj%==65Mp9uLZj5cXvC)Pb7j3x8^mZ9<+hu|@ zo-TEykuXf6O%x6$HjupSu?aA20u&XM*+52FPc@@;TF5(su8b-}lkDHtvO(49qQURK z%_keQz*e1at1f+|Wrt|otRE8TwKvMvUa=j)C1wPtEl|Tcizq?XS$VD^TD2%k*b2T2 zM3$sR^<*td|JE*##a^_N76g^0`x(1?MEi#`MYJ!+Z51YL6&hU>yt-!26Sl4}KXAmA znZ6NOtA`a9tqfFHh1JDlqJ7e?f%yV3HoaFyW(&*}VYj3D&sk+5i|Ltv+oCjDJ)yc! zt7zM?d|P{kw)R5%hi-$Hv47|W3p7D-R0YEdVp|WEkn|ceGc;e9f)!R|X=*0tAfzI! zRP@EU@}g~C`cdKLwHZ~-*L75~!N=*Dy`AY?0v&?TD?!d>7k1+ z_wT&i@AEEs()+x#%6r4XBS((h=9SO&9(eQI-Ypm3_HfVl-nZeX7eDOv-RphMdC8yp zXw$|1tS@_?f3tu1sXM#}oP$TbKfKxRTrlvpog2>Y%X7W!3O7Sf{M+t>fPY!A3h<44 z?|luBpB20W}L-4!q?cVGa&AtBnM_)w1H*}Pb?LGY2hu8ZTdVh2} ze|OWrulN4?rh^+k_pmq0f9T?-cdQ#4TDSWV@3YS4mHzamq3Xs9*ZcE6u)4$h>YIP! zea6}4J@Mu%yodC2SMLRW_0GQQKKp=oslVzIH+l^Z>Et@E^@aHh0|)ivPUqka-ZX!%SK9g655LA6^N@G{{wut% z<4m;kPmLFz?H${{*_}R?L0?q6yhrx0!m|@R%e-;Ve)hxHdDXkT8BTaZGM$E+CS`$N55Q#mT}cN?3^dnQ&kGzM{a{VWgHS@mpX##{*uwd#a=dY?9!=uU25$@6Xnd!4 z_kREI>kb{=;Ke(=H$3TAzxAy5dAlES3sALpAr7`#@%QAa`IQxuqjFob&FO#tqd+K0CO6 z!#JHQwXJvOPLuC#UAy{nYjS(3yjSe_ zk9d`x&$;K1@h)>`I$pw`yVo1o>?PbYoSXJ~dk^l_IlWHj^xdy&PKU4l$hGmD3Wx9b z|Gn9YTe zyw-?lTs`*Xwn6Wk(5n|6^lCf3i+-}ff5E@f8{N5jiC^_PE)QPsFY{C1^>6#$cfDQy zv))G?|E1=g{)OjnSmZtTW^_F7i1V!Xqcbx76S4?dkN^ z9Io{W=X!gtIM*v)=Ivcp=S}d-?>Xc>xPS8ngZ^tTe9r|9t1tHUb$WAO@}?g4N;hLJ z#`Nnwy1&yK?^j)bKJOJ``t0`0tG#lF{)xBlr3<{ttNfYX8!!tjch7d*1e$M&uJ;eU zy7i8QUgSE-Kr8~#@ z?1#N6x;8$#|FAntFFan4y12-_*kK(F-0SuD=`ba}T+bPn_bN ze|ptLR|07Z;t{IL`cY(cd4*SadvoYDd<;-(M9WYb!YdtRZlN-Kr$Xqj)q-;>ibC#4j&bX&K3NEUAu@P{Rn zNaTGZs*`oE6F+?39OrfW`@Ki~y_naF}s$k~C+DW~7sciR8rU&JI zkBW>+fZ@9n3v9i;c+cau*>3+LuXL5S(|_{I?(~YGzV+97dskugICRO7KWE#;Uim|p zdOIIl{{RNho@bAGWrw}(4|z2&?Zr^?`hW73-5Y*zkvE8e)A?m@=%p*ypXqh_qCUSN`LyTt~zN!C)I=S(rCPP zAcd1sXOW6#-P(^2y|GSm&mw5?A%Bs-ymikdesfFHf1ST!u{Yxi{hIW3b+4D0>)pBk zC;rs8d0$pnT;gBZ+_%Ad!>aSW^26Sd{Z!{aQggkV_g``3ZQjq-v)*-hyZWQ@tLAxE zX$Bs-5<^wT)5{B3PEt|H^>82++@BvkabXj%`Q{<+@KOnH)KnaFDz4Fx4c{o?7aOq%uh!vwTjK9nS&e?afvtq!^5W8_ z;>z0Ms@mdNwZ+x7#YO^_;CsE zmvEClYSCn2#Pp9MzI`h$3*?*j87bf2Lp=Kmo5+aAdSm!TdC$uXA5JdIQ|+QYB;H3v zF3MBp>6$bI$|qkHzIEe|^7ymuHk|yk!E+Gt?0el`bS%ajSYMWK%ksUlSYBCPtjvRH z8^7V?=<-pHEaNlVZMb+WUn%;iy>xsd6TvUNza*9Av)71!(Xb((2b`9&Jg>~lYb=Yo zishj^d(U@2^2A?V@y6~`DG$@T3v%qD_={*e{*W(JDXQL}Iz95)+pl;mpFgB`8HN)F z6^0fDm>y}v*B|p;nWvOpRsLB^(dm`tyDLWJ*P@WED5cN?e(`zzzlDx=16TfQqGe^! zaP`l2JIHip8Fnh|Mt?Nccj-%#e&#r%e9b2gN@ogRbUZWMdL`W)gq!g^T)t<3uMuZX z?P}`bAYO6mx@d&~)aNtiG#JBOzy6AEu2 zfjb?^SBCSZND9_tPZ(94?dY7QJCaJJdSQd>hxyyrP zRs{s9!>P}p8p&N#L;u#^(8rUxFb}fMDH;1dm%zS6!yyZlDcpnJ6!@%ke@%_?ooxQBc zxG^^4I$YkwNcXZT`YqPq{fPXZDFCK6{d3hG-@$rgb8j?a0 z26|DFx8=!EL9drI4g_gs72*pL^u3VfX9E5*B-w2IGRRKyHv;}OB*Ao`e>h65f5=g# zfYU>g2@C&TA+5JK5VY2E+dku{wIRQOdL`DPAXZ0qtd7iB)shXmk>ig8!@|uWEo+D; zC9EUl@h!YHq-Dh$kg(T>Jidi@hO|-(?+a;_7CsYq(eam4XW0a9l88_S7JMWiwOjDV z0jbS`yg`6JN45V&z}Z+_8d94DTLV)28UZ&4q&5q_Hz2hi67W+2sm+46JV?=`6aKwT za`5pWQ%Rf8UxlQFBC|e_o!lYd+d|Tp1^i)1`VRr~q(;#{iv^q#lEUm5=s`*O;V=g_ zGq%PcXtl_;PmtatB>M#EaUt2vf%I!3+022&+XwlxnFDEtkiyE#8p($we~$+F?68&h zr;v1=BzKcM9o1nQCd@(BxH0*`hqeN6+*sJY?{Y%1eD-MxcK&5N3)hCSvc`>#H(7Yl ztdcO17Y;U3Fd12KESZJvl*1aa@H&YJTBU{U8)D9p zosdcOnRRx0*|4s75fPRO7$3l`oSr6NNSaB9E z6E0R7<5}366>~PG@GCf_(?2w( z1$zThJNMl9yUjePlLZPMwh=5Va$Xe#3ScE(FF3?liFb#j%viR`J|O|DCksCo(yE7x zXFGF_acQIEYP!EjAgb+z<;!~33k9;T7SP7ak=&WW^7UT!Byl zHpn(UmKw8r!U{63=Z^0b_ zX^jO31JZg6z9}HBvEW++(s~QNJ0NAvm+Pg%_ka=}(mYALC1{K`oAxyUY0Y~DJQ|SNY_7f)l5E3{3hJTF zw&KE&WSi{LkYwA(mN18kwgsd=ef}_XtXboKha{Wz8-q->TbZ_d=TJ>KWLue5AY$19 zTY)Dhs9SPkV~-Fk(l^e&IU^d{{A^S3?7vd{wDpE8-}QRK!e10d$g0kkVkoCe09xPz z0r!QZTLk=iNcs-}?Vfm!rV|cT6~tO&W$y|}R`!=eQnu`@aV5-Zb`Y!6%6@Z5va-J& zlCovng_0E+JVV**vt_T(mc2e(_WD4W06YxD-wN|UcTT=fK%N@q52W83NLhg()k`Bb z$rGeY1?&n*+XNg6Ne2bIAtc=?;JqQq+URUwfq2P7@R%!*Edp4s5RxqdNZ%9Ei}D1? zc9BcMstWXDytp1c{ucdm@W=x)0YsyS47B-K92e=F`64 zK!sS)M$55uv(5Sg5d+D6nGzQY;T(CQm)Ir#g8^y1l@KPDHC)j#^)D~dxE8ik1seB+ z2n`<>NQ8CrbkwE$1hnx$3i;C^TYKzF79PoXVq;4RX{{n{s%HcXi$#aDN(;XvLQtIJ zR$lO|5NL@!(J{{#upuN_usI;DvEXY0Qo98=1*A0=>>6XR;#LaeP!la@3Qp-A@H9>oUb07s6xmWbziiXoPIrc;AtD80Z%KSx71p3Fpc) z$BH6b(3)&PYpftU2C)E^RDwsgpw4VTo!Npq0|^4uNM>up;`)s_1Y2d0JjH5h-nGE}2Ol)6}+ zIYir_?vUl}0$RyAVs#12RY9zzK*8gnK-bHYdA2a`CFCzxp6!94XHd>BF zp^bG%5NnNHp@y-t)P#){N$U$Dz^0iaty0N-MOX^o!p$MAR3rtDSrTTSIYiq@Wsb0- zzn(9km7GJ{C55F!o~&vM|0JYkWyr?+i147HKOx|!LsF1`0X9p}wt!{*wF2729F?`w zva$MtSjjsC{O6GL@@cJkgK%42mZLswT44^?Sne=~S)Jj82>y3@vKB3Tcc|u`$;_j& z5v}DF)o8)0K&N#q63~juq0`0+i#>z@rM@RCR+hH1v1SKpt=*XwYi(w(ZLEnwtmGO2 z_l2Y@1-v07WeT!*E@f#|Tdr|#<3%)~1#Pq(iYGhPn(SCvO1>NV{0W^G$+V)AB3kmwLZWp4N(eRPaps zc9~?CC&z^0o0*J)pDKTal+P|iss*=8jLc+CmA^ye*?HKG%d3T+DgRXYt(^CYJZruC z<}mV4l|M6o|G@ueYhdnX970DH_=faff5nHBPT->{En{yG%u!Xi&?YS?KyC)ad0z7< z9I)R#0IUlCsl+{ndw#a;22`0w=>}F2Pyi^NpQsKfjJ30Omzot&0H{yk|3>^bX#r5j z@t^xWCM^K!Y5YHiyoNkKl<<&;Z!*46k;83W{o9ckOA+$WYFQ0^PB})caMUK#C})7e zV}d5Fxo~r}3o`ga0BVd!%B-14lhs^YnA&APEj3rI&fPRPC@dyF&LWGZJ*@?aufU%3 z=A62?g&D!G2>(52%;`!y`O&1{kF|y*G!OURB$9B<-5F+@iFnS+AZ`@sMYJjq^6}qu zSik9VKu|kCV#r85`y|>Z@Oe%ZFxiz)#RBYCA$>wKsftW z_&b$RP=jd0mBRMSBzR2EYz-3;$d+OQ@URp$SuONqlPjiw>^}ctD|&RW(chyhSMd*%}Md(z-4TCuD0ZiJoL??4#)nyC6M&?PO*%B+Pv4a7R?S~sd32IFD)tdqy0P4RW zXWRmly2)AskGEnMH0+t14=Wf~|$u+W4&b3pk`K(TG1bPm}TTabzAOfdM_w%7=Y zE|*gS2!k#1vu&{vw3q9>9IMC#()w^jf&pz?90F?EBH~C;xNV*XFc7IAM$*qm5pMD< zks}YA=K;`6p7}TXNBz7X88-U4I-3C$&hMPn&HR2BDCwh{kvP9|RyXr|4M|x)&Xt@Y z&0IMJ5D1ZP54^*Tx@ zZ%`WpN_Ja(M#AurpB-OEft$Wc&7V;&S&LF{n6@Ydl>K90{ULH``l?--rt`6{_RX|? zbsG|!mCgW+eRVeEn7;aRP%`p^YMoJATU&z=Y68zm4+jY=5b$i+u%T`w9=M^J(b-(P z1U%tdl(EC#T*I>=3DU4UNXy1B`C*yT$Fs;;QIjSoJ>SAp*Z8tLb+tje=bCqPp6fPj zOp^m78x!!~j0+zS{$>1b1Bu!T4UYCA zlr4(uXRexM{hT2ooGX8c5Hr3)C!$g2YtVP9&yjg2J1gPpRP!)DWr&{6Xvf7dgqU%W z-kwa)>hPn0&A7Q2LMKq6{HsT-=Mmj6Cah zZ(70L7xvY39!g}pAH{#u?(F^9ZNYZ`E*jLdJ9)ByY#c}Yz zTw9!nAz)^r>g>coVOxwx$2KGG4p4Hh3RZ#qa;v6K1$8(Xa?y)ooh5(_?B&Av4=kf&U8D;snqH-h;3>$lC20xJ=P!8Dz$L- zkG1-_pjP<}Z)p}2d-&Bst?~#_c5&Imt5K__hi?Q=?jF8B=;8JtYI<_);ok~cCz&%X z_VD8fdAT0W<4B^Tj346kq|{f?f;F~vz?=EAqrmX6z5W80bPe#gwO4lCFm1M12j*@w z3O=8i9gVZSMqg-0!H*y)_q>xryPHw)5yVR`oPE#zKfPTIY-HC}e%nAWRYMKhf+-al z+6K8T*^Is8pOyM!@2+?4;N7@uCv`*A>+#I9J>B_ZX8g0;pjx3Jo3==nSimi5YAUE! z5QK}0YC=?5K&7QBsVfDvv;n!O0yhNJZ6j)+KYZW)d2jBVvDZ6_a+Qteeec}!-Fwct z=id9~y*Hb%GfaSf4M2b^A-~Y4E0Ir!aS{g&g<0#1Xt2pzoE2oDPu~P0Gw<-as1&15 zcX8%sK4mN#pVqyY*-}RS>c9D3ZxeOc8*|OmDzJat46=>mn~ks6p~1#q%>1&@UoV|> z{`$eb{^B#v#$UfLl>ng)`OJ^8;Rk^LXeeBdy%U`>>#>f8v+;WD*CA(YSoaobD}5_A zWd1QW6jae#Ya6F-X2VZKHvEWG1cWyHHkKvF4hjPp;@bs4ALqX8qNJ~ z)?*JQZz^+Ykn9KRIrU)s0Wz#lpZ-(iQw-_`pSqdoamX2e#qZ{3eOLYwItFyiCz@Vs zl0Id``o-n3m&>PjBT&t_xYsALNA&5!sPpM><4EQo`m_(OHsfL(H32jf<|w|a!^}IF z$o9!m&qB$~*2YH>S!R66Ybm5bi$K=ULL=j{gyCYF z`GkzSuS~1BrTB^1kB~za#?Nb!B21R}Sks;{em*zh;%5)0^y8UY89)5(E0ZPOjw4@{ zi=Rz&)5MR=G}OcRxfaDw83^s-7sk)GU=ou_9+m5Y^pWhy8Wc)1S-$C)i z+57=m&dlbFBEPu!c>!`Je!SPwN5YSwE!$WY927sl2blriTd!DHg^GdPlkaroz0qbayos8C*37CSm+;2Xmm*0zS z8ZW=aC$dNM^5eMe#jG>00hO78Uj9|M*mxPKsfp$3WxfITW^0na$71wmYm!b_)_8gU zHOV&k_Vcpy;F}^3#=oghZ-jfmvwM&S=gw6ZaKlm3*;!XUiCX;;g6}wZS5B}y=*BXI z?t@HcBtzsOAc>PsMwO5CcJ=i4Y~zgfauge;J@hFb7vq(hxX9R`1t3;eTn30^Ltas& zIAi0HC^mlHS7P-sHo6vFZ1AODN9&l(N5;k{quBUofW9g>6Kx?j%uMt)p@RV9=Xw-B z%Rrj1WR76Kn)uoAiKqmHS>h~gZQ|#BK%^M61ixdwS^U7IHUr4ttsqwkJS*0x`;EcJ zAv54b!Y6=CdB{^hu6W2_0~tHzqJ>;rK(;*O+thQ~$+#KBw1@FCjn*evH7YKCc7f=q zlctGsOVnol!zq!9=mX>D58w!sTaGu;WcIL+{DzC4&%vSoj7DXQpCu#>lUouvlByUR ze7m&CE$gU7&-sNj$Twf_V&gG}nkbBoKZYI?8zr2VT#Su@L&V0rqu3zLYrSA~z6gfs zo#y%ZR(!GMV&e$CCJTM>Eaqq9i=-3)!m)hfL(Uif2gGZ#u0vm}A}1JMj5O6l8y-bf zV?*An1fZ_C+{*`1?0(Sw8cCfLu8omi+b7X<|4!jP$P73cUHdGM6%Y9}Anj+|D4AdBc+UiXtL*Zd!}9=Pqu8CLU(izq&kWFjj5Efpu|e7b)2+6MaK*>z2b< z{-e0R&RG6q4O+}0E&nHL&hqE1U3^hm{y!qi|1M5St8z4Lqqk<>nS_jNH%HSa-sDEp zk9-n)L=O%{9^?agl8d8h4K3YoG`R@hb`c(3m!LA*`?hHAj%*kl%kehBH}~x!csS4@ zW00P8M1qH;mZ8T(dh=+rMeH5pt+DS2_7%ZCCD?lf`%X)Je^Icn3idg{e(%e~enYUA z1^a+t|6fF%Z~4~x{+wXvd$K(*r}_o^3xa*OCCh(9u=6=BpM6rW^EJ1A-}hQ#Phv~! zarGoHd_5$!E7-py*n?p^r`*zrd$VBY`(AwZ8-o2g!QR#qd#7OMdwYELZNdJpg1x;Z z_Lqd;d5zI$=QVE+>75qr9WAl%3idU@UKZ^94%)WI=DI{n?B5sc8-o3cU|$gIoh`8+ z6@K3l>>Gl8U9cxxV*eGv&g*`jms8xedr0q&VDE2`B4?yqIMsTVih$ecux7Yl8i% z==)Sl?9Yq7FA4Tx!G617pKFP|L-c)Dux|?X4Z&V+i9I3Mxj^>fFtsJvzbM$3T4L`P z>`B4Sg|XM3-rKRjwusI1%Pq0LMzG%ymcJ(Y{-o&pm6q7wAlUZ=`*p$oH-deoCH8i~ zzAf0-1^cH3`)W(W-|84g=p8-Ovdcmx_SLwNAD4SLI>)K-Pxt$HoLuE zU7Ky+`Cb2heRn&}SFm5HHaAY2Jt<&!to_c*`^)sb-Ni<;Z`K<9bxZ5{Ya-8gikRPn zH*9V(ZSJj!IqsT^mRn-~Bf*{!?7M>f0l~iB5_?&&Z#aLs>j|kVg8iK^g|&vY{6|4^jHNaO`*VVQt0nel1pAnX zx&*S7*Ph-liKx5L68nZ=9~SH#g8ga1zTFc0Rl&}5>-99XB`p6#Vfmew*jEL6n_#~o z*!jJTZJ`};yDhPQRlT%Q@{4$0Xyip z&29%>*Jj)I`wr;4+d;pA{YrJZak~HRxM$Lh?)_!@HeGBS;kVv?ad#1{MSbVn*os+q z_W-3|$-a}1ch}s7iy`KI02x4{J#NR^H|(wzIHYGF9$$8E)!sNRdXKg>W`M}cPEX&c z&^#eNLueion#Y9ZgwTBY5Sk~1=1HNsQ)r$%gyu=1c}i$b3eDw1Xnsg&o)en; zh2|@V&^#qHmxbm5q4|kJXwC}FOG5Lo(EQ9HG|vgm%R=**(7bgB&3U2uiqJeMH18Zj zb6IF!5t^rj=D_7BnQfvmSQDC8h2}Y-x#JL;mxN~KL%S-ld7~^er((@Urn?i_%d2&m z{F7R0)ZBBek-hKXsD;dsU%`6KmLG(+rt=Kk9w-qd@*0j zT%7dc`8mko*2_Bf{{pfR)gt?kW0Wj=GCu>P(~c(7i(dl568GXBBHl>3K*aOK`+;nO zFRrx+#C>;TG_L?jpkmywCxJ|Q$T|>LUUj7TouAJ_X4z}=4iJ|C3>Uu)@iqT6kdCO9 zQh3H%DNPke!kt`Fp%_HXELL*0FerO=PC>?f4`R3mfo$Pp9EVdt)^#lxy%iuUp3I{_ zf?%L7!mk2x*8z;?r-1Bu(Xzo>p5>nfawF2r_{kvuuoye5LRiR@F9mI0Fa8a+mOah? z4a8i{NZ1kA#+zzAzyGqm%{KP(gFtp8cCr?M4B&5^TP_2+=E+jACSvy&ug$1 znDS)a2xQDd?g3)%5;QI3Gjr!AcA+os#k(N0?ja*U+z#5bXNoc&`v-vVPJ`Iaj{#YQ zcpPJEKzOAh=K4I4INR`9yj-z1Gw1pJS;(xQJ+bDm0$KJne-Fr}humQw6^N~RCy-$; zetLj(LUY{0^FZ1>i_HS@eX#(9_KDl`TR^URW9KT6MAQqFN#~LMf|UqC_283`aqB2X z%S@q^4TDWj^D~f{^4k1mAXmJe{wI+3D59wOe}MFRwO)hA2(~QLr@(5kWJ7lF`PG1o_dY~9l^9J=qzEFzu2Rsno^r8eC>9E4)BF%beNn5S$9W2AQ(guNQ%gc?fHaMO>_P2aseWqpG>t zVmcorJ(;^8vl+`2jNb!KrW-Qx>Wi8Ofb2%Kh}=g+*D|98Z%YC)5LtsV{6WVJuhwHg z*wxt1Ye4)tm0A!idp*4!FT?vg z=wV!#*tiqOKvWBG@Gcf>G1Tt7f9gs{UVS#PtP)t4KKn!4rJ16^JjrlhH0*r#U%@*W`9yjmfU>*!{j*&YVMe#d%Nf$Vub{Zk+; zvCQ8BY4bEc49J6;Qq;(3uP9@kre za2*-ja0tj!#Ll+956GH_{3ehA5BU_3Rmd7WO7E4ViY2{RR*YgV=`OCF0Fr zw_~}O@MPWsq{EBVcK})QdO8V&YEe=9BnU23#vaj2LGU{kF>NODX&@^e*XM!kd7A$P z2uoe80`W7z9*`xk@2`4Y5V(7C4Eq~^>}VO+!h3+wfW{i{z|pa6I6Xg$UsgyLXTz#H zEYDB#uB_-dSDY!ibLr{nO1R*T^0{K@KF?HmCzsWTm~tOEYg*LOV6>UnI_8QC>3l9b z2L3`=3oFCvVm2RE0)^-KRi<24xguV1hX74iYPu2TgoO*~VtO{rrpsln(`tM;9BZL* z3eN+YOIKAUQ!7E8<16K};Zmkt!#PXTSm`~v;<*MrYPL9!c*}(4TCP-#syfqo_Ncd% zv5k4@)8JrWUJ-SQpN!Exd(|1K(fSR}@m)nb*HorhQ@Lucpr*sQW8qS$AC$+nVzpSR zTvP>>j7s;3{_gI+-s8zW)perl#PP2F-V-Tn&%yj+`chSO_o(B6vIku$)g7o)B@lES zS6%L7w@L;#Rok=yU0vNLx_kTklfA0@L|;!&Pgh^6+csbX-p-}-Ds`ekebY)!)u+0S zr&6iDRBxZ^PWBz|>Q44_bv3B(O{$(iIkKK^m9pLHNwVjTPISQ%1H8A(^j)vsoK~*-J$LRn>TGLcDNEt93)AD8!958|ldFs2B^?X?nT#=fWO6(=sxlC9(kh>;q7Tj?6QkqYmrSRz ztOlqSdsD_$tXF}f7s9Bj6i8>Y@!9&9iQP>W9m5YWKjT%SBL$?|lj$lPq|W4u*|DMV zFvEP9PJ z|8j*g7IM{`_65ce*Y~V);r{azBj<+H*!a->r|(l2hDPr@rA9}_C$OzxQ*CR;Dy2+V zt&Y%tc=8#x#Q!gbm13Ab-mTKmYTCshGm{eQD|LF}z6+5$r5mBrnL;)X@(Z>6U^aVZ zzNoD=!QQh9M(KPttVF|hh{OC8%#(vZW78U4Zj_Ih{D=q>lAsyI5STAJCMeW{T<07; zftt4By_Puyg+}JfW<#bhRMXjJUDnnaO;>9}HitwOMrK2dgcXJxXXOm84sxzG-8Wka zdq9UQ558M=@W%%e;#(2$<)l~87&oO?=5g1=k+nZ$2*;YaxmmDB533)daX(` zYfvjHDli8X71Hl7RaA9;x_Sv6E2xFAQssoNU5u|^ zd6g$J3^{El2%jru=kuXiX|ZY9lKa^P zCuDauIK=((bqzXT7!932?Rg3DRIYcD-ISr>(CP_!a12%v1R+1^q0?w=UHc4XjnUk6C9MZ& zj+s?WBellijM4l+4y)L7{{G@3vaRc#-u392N-sr`n`euh)Z&_O@I)?i@l*+ux~qj` zR=2chqWhrqcx*9DUp%JN0k%J;mz(uVZxd{zrF7QzlYz#?j~+j+U1+OKyc2U3Bp{u4 zRYQV84O|OHm@?-&KdISJFZZ#~;q<&C$DvEw5X8HM&mtb|Lbsa5XREXT*`4s#Q7pk9OM1eB>8Zd%U9A!KWq@S)m` zUVljGXm36YlhGCQ`wnNSaQy-8pQm>jFCqlsX|&o8rKG& zNRG9TjWKayH0cYsO;ek49n(pRj+Gx&-d?@pH@{mo~ZY=Vng1t zapCeqaeS_{h!o+x<@GbmWjH%&TMO6eq~coJ=vo^JoEglBE8}{K4M41nXVUX|q)@wQ z^i~bk`I5hA(03BJur>)QOg@b_ghs};H$PZoBfJ|{M4;SnwFP033XQlV z*FM6Ob}C)2Vf)CvJAZN0(k?{737}!LHqZDbiHKC+;HkNhBIYFwJxAoZs#Yp{vyxl; za?RJU0FI}wYz52midvY-RjM^r!&M&W@chCH2;O3D-!;E8O7o3}H>J1^+-yB-9a1+@ zYZBoqJ%{hVo^b0#<*tS>BK22mW(ym4IGSARJmT$`41RDfLX zPKfBFgsR%8qHT&Mv);6b&WI@3W`#Ks&5G!Jgwmv+fzp^0a~GuCj3R&zTclwMT8dC) zAYF5oMRY|(S4DJ9MAt=hLqzdse36-&Wppd5qIN-keDlihD?|xwqh9Rm69v7V(xN_w ze;^ml<89K$yb0!~iTUq>9++*6cO1FKyN)7}^`15y9`=iTEuaMq7YGcO1Pm38OGT~d zAG`KUP}xz?V@iu!mW?=mol%ZQU&Z(#5c@Oh*F}_!S4tbRH0Gy?S?I9GY0%?@7Cr}Y zT}hw&|5PuTpNvP%XI<#~K?nJh4>QGC`p)HO*lO@8w~23KWcTE^E&2G!4KiCD$Fzr| z*gkg1-=<;9KTPniDfWlpzfkTg4YoJ(--qDel!E$2r5Z55SS;6TCGW&mf78D@56&*D l%-{D83gwD(YRm5gtyVV(3(T+Qt2NgvJGOl0CFlRn{|379DdGSC literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.c b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.c new file mode 100644 index 0000000..295c61f --- /dev/null +++ b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.c @@ -0,0 +1,12995 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/SE-030/cpld/test/t_cnt.v"; +static int ng1[] = {0, 0}; +static int ng2[] = {1, 0}; + + + +static void Initial_49_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + +LAB0: t1 = (t0 + 3168U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(49, ng0); + +LAB4: xsi_set_current_line(50, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(51, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(52, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(54, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(54, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(55, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(55, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB6; + goto LAB1; + +LAB6: xsi_set_current_line(55, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(57, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(57, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB7; + goto LAB1; + +LAB7: xsi_set_current_line(58, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(58, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB8; + goto LAB1; + +LAB8: xsi_set_current_line(60, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(60, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB9; + goto LAB1; + +LAB9: xsi_set_current_line(61, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(61, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB10; + goto LAB1; + +LAB10: xsi_set_current_line(63, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(63, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB11; + goto LAB1; + +LAB11: xsi_set_current_line(64, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(64, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB12; + goto LAB1; + +LAB12: xsi_set_current_line(66, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(66, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB13; + goto LAB1; + +LAB13: xsi_set_current_line(67, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(67, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB14; + goto LAB1; + +LAB14: xsi_set_current_line(69, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(69, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB15; + goto LAB1; + +LAB15: xsi_set_current_line(70, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(70, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB16; + goto LAB1; + +LAB16: xsi_set_current_line(72, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(72, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB17; + goto LAB1; + +LAB17: xsi_set_current_line(73, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(73, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB18; + goto LAB1; + +LAB18: xsi_set_current_line(75, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(75, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB19; + goto LAB1; + +LAB19: xsi_set_current_line(76, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(76, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB20; + goto LAB1; + +LAB20: xsi_set_current_line(78, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(78, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB21; + goto LAB1; + +LAB21: xsi_set_current_line(79, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(79, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB22; + goto LAB1; + +LAB22: xsi_set_current_line(81, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(81, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB23; + goto LAB1; + +LAB23: xsi_set_current_line(82, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(82, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB24; + goto LAB1; + +LAB24: xsi_set_current_line(84, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(84, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB25; + goto LAB1; + +LAB25: xsi_set_current_line(85, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(85, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB26; + goto LAB1; + +LAB26: xsi_set_current_line(87, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(87, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB27; + goto LAB1; + +LAB27: xsi_set_current_line(88, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(88, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB28; + goto LAB1; + +LAB28: xsi_set_current_line(90, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(90, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB29; + goto LAB1; + +LAB29: xsi_set_current_line(91, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(91, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB30; + goto LAB1; + +LAB30: xsi_set_current_line(93, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(93, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB31; + goto LAB1; + +LAB31: xsi_set_current_line(94, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(94, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB32; + goto LAB1; + +LAB32: xsi_set_current_line(96, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(96, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB33; + goto LAB1; + +LAB33: xsi_set_current_line(97, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(97, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB34; + goto LAB1; + +LAB34: xsi_set_current_line(99, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(99, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB35; + goto LAB1; + +LAB35: xsi_set_current_line(100, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(100, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB36; + goto LAB1; + +LAB36: xsi_set_current_line(102, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(102, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB37; + goto LAB1; + +LAB37: xsi_set_current_line(103, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(103, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB38; + goto LAB1; + +LAB38: xsi_set_current_line(105, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(105, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB39; + goto LAB1; + +LAB39: xsi_set_current_line(106, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(106, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB40; + goto LAB1; + +LAB40: xsi_set_current_line(108, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(108, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB41; + goto LAB1; + +LAB41: xsi_set_current_line(109, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(109, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB42; + goto LAB1; + +LAB42: xsi_set_current_line(111, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(111, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB43; + goto LAB1; + +LAB43: xsi_set_current_line(112, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(112, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB44; + goto LAB1; + +LAB44: xsi_set_current_line(114, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(114, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB45; + goto LAB1; + +LAB45: xsi_set_current_line(115, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(115, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB46; + goto LAB1; + +LAB46: xsi_set_current_line(117, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(117, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB47; + goto LAB1; + +LAB47: xsi_set_current_line(118, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(118, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB48; + goto LAB1; + +LAB48: xsi_set_current_line(120, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(120, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB49; + goto LAB1; + +LAB49: xsi_set_current_line(121, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(121, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB50; + goto LAB1; + +LAB50: xsi_set_current_line(123, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(123, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB51; + goto LAB1; + +LAB51: xsi_set_current_line(124, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(124, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB52; + goto LAB1; + +LAB52: xsi_set_current_line(126, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(126, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB53; + goto LAB1; + +LAB53: xsi_set_current_line(127, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(127, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB54; + goto LAB1; + +LAB54: xsi_set_current_line(129, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(129, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB55; + goto LAB1; + +LAB55: xsi_set_current_line(130, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(130, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB56; + goto LAB1; + +LAB56: xsi_set_current_line(132, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(132, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB57; + goto LAB1; + +LAB57: xsi_set_current_line(133, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(133, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB58; + goto LAB1; + +LAB58: xsi_set_current_line(135, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(135, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB59; + goto LAB1; + +LAB59: xsi_set_current_line(136, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(136, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB60; + goto LAB1; + +LAB60: xsi_set_current_line(138, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(138, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB61; + goto LAB1; + +LAB61: xsi_set_current_line(139, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(139, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB62; + goto LAB1; + +LAB62: xsi_set_current_line(141, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(141, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB63; + goto LAB1; + +LAB63: xsi_set_current_line(142, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(142, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB64; + goto LAB1; + +LAB64: xsi_set_current_line(144, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(144, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB65; + goto LAB1; + +LAB65: xsi_set_current_line(145, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(145, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB66; + goto LAB1; + +LAB66: xsi_set_current_line(147, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(147, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB67; + goto LAB1; + +LAB67: xsi_set_current_line(148, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(148, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB68; + goto LAB1; + +LAB68: xsi_set_current_line(150, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(150, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB69; + goto LAB1; + +LAB69: xsi_set_current_line(151, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(151, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB70; + goto LAB1; + +LAB70: xsi_set_current_line(153, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(153, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB71; + goto LAB1; + +LAB71: xsi_set_current_line(154, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(154, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB72; + goto LAB1; + +LAB72: xsi_set_current_line(156, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(156, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB73; + goto LAB1; + +LAB73: xsi_set_current_line(157, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(157, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB74; + goto LAB1; + +LAB74: xsi_set_current_line(159, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(159, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB75; + goto LAB1; + +LAB75: xsi_set_current_line(160, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(160, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB76; + goto LAB1; + +LAB76: xsi_set_current_line(162, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(162, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB77; + goto LAB1; + +LAB77: xsi_set_current_line(163, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(163, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB78; + goto LAB1; + +LAB78: xsi_set_current_line(165, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(165, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB79; + goto LAB1; + +LAB79: xsi_set_current_line(166, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(166, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB80; + goto LAB1; + +LAB80: xsi_set_current_line(168, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(168, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB81; + goto LAB1; + +LAB81: xsi_set_current_line(169, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(169, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB82; + goto LAB1; + +LAB82: xsi_set_current_line(171, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(171, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB83; + goto LAB1; + +LAB83: xsi_set_current_line(172, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(172, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB84; + goto LAB1; + +LAB84: xsi_set_current_line(174, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(174, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB85; + goto LAB1; + +LAB85: xsi_set_current_line(175, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(175, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB86; + goto LAB1; + +LAB86: xsi_set_current_line(177, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(177, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB87; + goto LAB1; + +LAB87: xsi_set_current_line(178, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(178, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB88; + goto LAB1; + +LAB88: xsi_set_current_line(180, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(180, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB89; + goto LAB1; + +LAB89: xsi_set_current_line(181, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(181, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB90; + goto LAB1; + +LAB90: xsi_set_current_line(183, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(183, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB91; + goto LAB1; + +LAB91: xsi_set_current_line(184, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(184, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB92; + goto LAB1; + +LAB92: xsi_set_current_line(186, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(186, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB93; + goto LAB1; + +LAB93: xsi_set_current_line(187, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(187, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB94; + goto LAB1; + +LAB94: xsi_set_current_line(189, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(189, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB95; + goto LAB1; + +LAB95: xsi_set_current_line(190, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(190, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB96; + goto LAB1; + +LAB96: xsi_set_current_line(192, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(192, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB97; + goto LAB1; + +LAB97: xsi_set_current_line(193, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(193, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB98; + goto LAB1; + +LAB98: xsi_set_current_line(195, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(195, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB99; + goto LAB1; + +LAB99: xsi_set_current_line(196, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(196, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB100; + goto LAB1; + +LAB100: xsi_set_current_line(198, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(198, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB101; + goto LAB1; + +LAB101: xsi_set_current_line(199, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(199, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB102; + goto LAB1; + +LAB102: xsi_set_current_line(201, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(201, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB103; + goto LAB1; + +LAB103: xsi_set_current_line(202, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(202, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB104; + goto LAB1; + +LAB104: xsi_set_current_line(204, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(204, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB105; + goto LAB1; + +LAB105: xsi_set_current_line(205, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(205, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB106; + goto LAB1; + +LAB106: xsi_set_current_line(207, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(207, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB107; + goto LAB1; + +LAB107: xsi_set_current_line(208, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(208, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB108; + goto LAB1; + +LAB108: xsi_set_current_line(210, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(210, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB109; + goto LAB1; + +LAB109: xsi_set_current_line(211, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(211, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB110; + goto LAB1; + +LAB110: xsi_set_current_line(213, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(213, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB111; + goto LAB1; + +LAB111: xsi_set_current_line(214, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(214, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB112; + goto LAB1; + +LAB112: xsi_set_current_line(216, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(216, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB113; + goto LAB1; + +LAB113: xsi_set_current_line(217, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(217, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB114; + goto LAB1; + +LAB114: xsi_set_current_line(219, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(219, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB115; + goto LAB1; + +LAB115: xsi_set_current_line(220, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(220, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB116; + goto LAB1; + +LAB116: xsi_set_current_line(222, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(222, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB117; + goto LAB1; + +LAB117: xsi_set_current_line(223, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(223, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB118; + goto LAB1; + +LAB118: xsi_set_current_line(225, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(225, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB119; + goto LAB1; + +LAB119: xsi_set_current_line(226, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(226, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB120; + goto LAB1; + +LAB120: xsi_set_current_line(228, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(228, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB121; + goto LAB1; + +LAB121: xsi_set_current_line(229, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(229, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB122; + goto LAB1; + +LAB122: xsi_set_current_line(231, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(231, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB123; + goto LAB1; + +LAB123: xsi_set_current_line(232, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(232, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB124; + goto LAB1; + +LAB124: xsi_set_current_line(234, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(234, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB125; + goto LAB1; + +LAB125: xsi_set_current_line(235, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(235, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB126; + goto LAB1; + +LAB126: xsi_set_current_line(237, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(237, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB127; + goto LAB1; + +LAB127: xsi_set_current_line(238, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(238, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB128; + goto LAB1; + +LAB128: xsi_set_current_line(240, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(240, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB129; + goto LAB1; + +LAB129: xsi_set_current_line(241, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(241, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB130; + goto LAB1; + +LAB130: xsi_set_current_line(243, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(243, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB131; + goto LAB1; + +LAB131: xsi_set_current_line(244, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(244, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB132; + goto LAB1; + +LAB132: xsi_set_current_line(246, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(246, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB133; + goto LAB1; + +LAB133: xsi_set_current_line(247, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(247, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB134; + goto LAB1; + +LAB134: xsi_set_current_line(249, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(249, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB135; + goto LAB1; + +LAB135: xsi_set_current_line(250, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(250, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB136; + goto LAB1; + +LAB136: xsi_set_current_line(252, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(252, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB137; + goto LAB1; + +LAB137: xsi_set_current_line(253, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(253, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB138; + goto LAB1; + +LAB138: xsi_set_current_line(255, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(255, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB139; + goto LAB1; + +LAB139: xsi_set_current_line(256, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(256, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB140; + goto LAB1; + +LAB140: xsi_set_current_line(258, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(258, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB141; + goto LAB1; + +LAB141: xsi_set_current_line(259, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(259, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB142; + goto LAB1; + +LAB142: xsi_set_current_line(261, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(261, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB143; + goto LAB1; + +LAB143: xsi_set_current_line(262, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(262, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB144; + goto LAB1; + +LAB144: xsi_set_current_line(264, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(264, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB145; + goto LAB1; + +LAB145: xsi_set_current_line(265, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(265, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB146; + goto LAB1; + +LAB146: xsi_set_current_line(267, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(267, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB147; + goto LAB1; + +LAB147: xsi_set_current_line(268, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(268, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB148; + goto LAB1; + +LAB148: xsi_set_current_line(270, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(270, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB149; + goto LAB1; + +LAB149: xsi_set_current_line(271, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(271, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB150; + goto LAB1; + +LAB150: xsi_set_current_line(273, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(273, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB151; + goto LAB1; + +LAB151: xsi_set_current_line(274, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(274, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB152; + goto LAB1; + +LAB152: xsi_set_current_line(276, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(276, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB153; + goto LAB1; + +LAB153: xsi_set_current_line(277, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(277, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB154; + goto LAB1; + +LAB154: xsi_set_current_line(279, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(279, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB155; + goto LAB1; + +LAB155: xsi_set_current_line(280, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(280, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB156; + goto LAB1; + +LAB156: xsi_set_current_line(282, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(282, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB157; + goto LAB1; + +LAB157: xsi_set_current_line(283, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(283, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB158; + goto LAB1; + +LAB158: xsi_set_current_line(285, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(285, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB159; + goto LAB1; + +LAB159: xsi_set_current_line(286, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(286, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB160; + goto LAB1; + +LAB160: xsi_set_current_line(288, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(288, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB161; + goto LAB1; + +LAB161: xsi_set_current_line(289, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(289, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB162; + goto LAB1; + +LAB162: xsi_set_current_line(291, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(291, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB163; + goto LAB1; + +LAB163: xsi_set_current_line(292, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(292, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB164; + goto LAB1; + +LAB164: xsi_set_current_line(294, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(294, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB165; + goto LAB1; + +LAB165: xsi_set_current_line(295, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(295, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB166; + goto LAB1; + +LAB166: xsi_set_current_line(297, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(297, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB167; + goto LAB1; + +LAB167: xsi_set_current_line(298, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(298, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB168; + goto LAB1; + +LAB168: xsi_set_current_line(300, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(300, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB169; + goto LAB1; + +LAB169: xsi_set_current_line(301, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(301, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB170; + goto LAB1; + +LAB170: xsi_set_current_line(303, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(303, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB171; + goto LAB1; + +LAB171: xsi_set_current_line(304, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(304, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB172; + goto LAB1; + +LAB172: xsi_set_current_line(306, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(306, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB173; + goto LAB1; + +LAB173: xsi_set_current_line(307, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(307, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB174; + goto LAB1; + +LAB174: xsi_set_current_line(309, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(309, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB175; + goto LAB1; + +LAB175: xsi_set_current_line(310, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(310, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB176; + goto LAB1; + +LAB176: xsi_set_current_line(312, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(312, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB177; + goto LAB1; + +LAB177: xsi_set_current_line(313, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(313, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB178; + goto LAB1; + +LAB178: xsi_set_current_line(315, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(315, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB179; + goto LAB1; + +LAB179: xsi_set_current_line(316, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(316, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB180; + goto LAB1; + +LAB180: xsi_set_current_line(318, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(318, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB181; + goto LAB1; + +LAB181: xsi_set_current_line(319, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(319, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB182; + goto LAB1; + +LAB182: xsi_set_current_line(321, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(321, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB183; + goto LAB1; + +LAB183: xsi_set_current_line(322, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(322, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB184; + goto LAB1; + +LAB184: xsi_set_current_line(324, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(324, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB185; + goto LAB1; + +LAB185: xsi_set_current_line(325, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(325, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB186; + goto LAB1; + +LAB186: xsi_set_current_line(327, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(327, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB187; + goto LAB1; + +LAB187: xsi_set_current_line(328, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(328, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB188; + goto LAB1; + +LAB188: xsi_set_current_line(330, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(330, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB189; + goto LAB1; + +LAB189: xsi_set_current_line(331, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(331, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB190; + goto LAB1; + +LAB190: xsi_set_current_line(333, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(333, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB191; + goto LAB1; + +LAB191: xsi_set_current_line(334, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(334, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB192; + goto LAB1; + +LAB192: xsi_set_current_line(336, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(336, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB193; + goto LAB1; + +LAB193: xsi_set_current_line(337, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(337, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB194; + goto LAB1; + +LAB194: xsi_set_current_line(339, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(339, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB195; + goto LAB1; + +LAB195: xsi_set_current_line(340, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(340, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB196; + goto LAB1; + +LAB196: xsi_set_current_line(342, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(342, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB197; + goto LAB1; + +LAB197: xsi_set_current_line(343, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(343, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB198; + goto LAB1; + +LAB198: xsi_set_current_line(345, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(345, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB199; + goto LAB1; + +LAB199: xsi_set_current_line(346, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(346, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB200; + goto LAB1; + +LAB200: xsi_set_current_line(348, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(348, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB201; + goto LAB1; + +LAB201: xsi_set_current_line(349, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(349, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB202; + goto LAB1; + +LAB202: xsi_set_current_line(351, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(351, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB203; + goto LAB1; + +LAB203: xsi_set_current_line(352, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(352, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB204; + goto LAB1; + +LAB204: xsi_set_current_line(354, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(354, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB205; + goto LAB1; + +LAB205: xsi_set_current_line(355, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(355, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB206; + goto LAB1; + +LAB206: xsi_set_current_line(357, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(357, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB207; + goto LAB1; + +LAB207: xsi_set_current_line(358, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(358, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB208; + goto LAB1; + +LAB208: xsi_set_current_line(360, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(360, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB209; + goto LAB1; + +LAB209: xsi_set_current_line(361, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(361, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB210; + goto LAB1; + +LAB210: xsi_set_current_line(363, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(363, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB211; + goto LAB1; + +LAB211: xsi_set_current_line(364, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(364, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB212; + goto LAB1; + +LAB212: xsi_set_current_line(366, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(366, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB213; + goto LAB1; + +LAB213: xsi_set_current_line(367, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(367, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB214; + goto LAB1; + +LAB214: xsi_set_current_line(369, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(369, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB215; + goto LAB1; + +LAB215: xsi_set_current_line(370, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(370, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB216; + goto LAB1; + +LAB216: xsi_set_current_line(372, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(372, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB217; + goto LAB1; + +LAB217: xsi_set_current_line(373, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(373, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB218; + goto LAB1; + +LAB218: xsi_set_current_line(375, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(375, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB219; + goto LAB1; + +LAB219: xsi_set_current_line(376, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(376, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB220; + goto LAB1; + +LAB220: xsi_set_current_line(378, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(378, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB221; + goto LAB1; + +LAB221: xsi_set_current_line(379, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(379, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB222; + goto LAB1; + +LAB222: xsi_set_current_line(381, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(381, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB223; + goto LAB1; + +LAB223: xsi_set_current_line(382, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(382, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB224; + goto LAB1; + +LAB224: xsi_set_current_line(384, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(384, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB225; + goto LAB1; + +LAB225: xsi_set_current_line(385, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(385, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB226; + goto LAB1; + +LAB226: xsi_set_current_line(387, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(387, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB227; + goto LAB1; + +LAB227: xsi_set_current_line(388, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(388, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB228; + goto LAB1; + +LAB228: xsi_set_current_line(390, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(390, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB229; + goto LAB1; + +LAB229: xsi_set_current_line(391, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(391, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB230; + goto LAB1; + +LAB230: xsi_set_current_line(393, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(393, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB231; + goto LAB1; + +LAB231: xsi_set_current_line(394, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(394, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB232; + goto LAB1; + +LAB232: xsi_set_current_line(396, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(396, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB233; + goto LAB1; + +LAB233: xsi_set_current_line(397, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(397, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB234; + goto LAB1; + +LAB234: xsi_set_current_line(399, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(399, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB235; + goto LAB1; + +LAB235: xsi_set_current_line(400, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(400, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB236; + goto LAB1; + +LAB236: xsi_set_current_line(402, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(402, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB237; + goto LAB1; + +LAB237: xsi_set_current_line(403, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(403, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB238; + goto LAB1; + +LAB238: xsi_set_current_line(405, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(405, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB239; + goto LAB1; + +LAB239: xsi_set_current_line(406, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(406, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB240; + goto LAB1; + +LAB240: xsi_set_current_line(408, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(408, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB241; + goto LAB1; + +LAB241: xsi_set_current_line(409, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(409, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB242; + goto LAB1; + +LAB242: xsi_set_current_line(411, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(411, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB243; + goto LAB1; + +LAB243: xsi_set_current_line(412, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(412, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB244; + goto LAB1; + +LAB244: xsi_set_current_line(414, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(414, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB245; + goto LAB1; + +LAB245: xsi_set_current_line(415, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(415, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB246; + goto LAB1; + +LAB246: xsi_set_current_line(417, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(417, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB247; + goto LAB1; + +LAB247: xsi_set_current_line(418, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(418, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB248; + goto LAB1; + +LAB248: xsi_set_current_line(420, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(420, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB249; + goto LAB1; + +LAB249: xsi_set_current_line(421, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(421, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB250; + goto LAB1; + +LAB250: xsi_set_current_line(423, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(423, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB251; + goto LAB1; + +LAB251: xsi_set_current_line(424, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(424, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB252; + goto LAB1; + +LAB252: xsi_set_current_line(426, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(426, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB253; + goto LAB1; + +LAB253: xsi_set_current_line(427, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(427, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB254; + goto LAB1; + +LAB254: xsi_set_current_line(429, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(429, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB255; + goto LAB1; + +LAB255: xsi_set_current_line(430, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(430, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB256; + goto LAB1; + +LAB256: xsi_set_current_line(432, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(432, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB257; + goto LAB1; + +LAB257: xsi_set_current_line(433, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(433, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB258; + goto LAB1; + +LAB258: xsi_set_current_line(435, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(435, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB259; + goto LAB1; + +LAB259: xsi_set_current_line(436, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(436, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB260; + goto LAB1; + +LAB260: xsi_set_current_line(438, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(438, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB261; + goto LAB1; + +LAB261: xsi_set_current_line(439, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(439, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB262; + goto LAB1; + +LAB262: xsi_set_current_line(441, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(441, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB263; + goto LAB1; + +LAB263: xsi_set_current_line(442, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(442, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB264; + goto LAB1; + +LAB264: xsi_set_current_line(444, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(444, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB265; + goto LAB1; + +LAB265: xsi_set_current_line(445, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(445, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB266; + goto LAB1; + +LAB266: xsi_set_current_line(447, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(447, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB267; + goto LAB1; + +LAB267: xsi_set_current_line(448, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(448, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB268; + goto LAB1; + +LAB268: xsi_set_current_line(450, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(450, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB269; + goto LAB1; + +LAB269: xsi_set_current_line(451, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(451, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB270; + goto LAB1; + +LAB270: xsi_set_current_line(453, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(453, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB271; + goto LAB1; + +LAB271: xsi_set_current_line(454, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(454, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB272; + goto LAB1; + +LAB272: xsi_set_current_line(456, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(456, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB273; + goto LAB1; + +LAB273: xsi_set_current_line(457, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(457, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB274; + goto LAB1; + +LAB274: xsi_set_current_line(459, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(459, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB275; + goto LAB1; + +LAB275: xsi_set_current_line(460, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(460, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB276; + goto LAB1; + +LAB276: xsi_set_current_line(462, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(462, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB277; + goto LAB1; + +LAB277: xsi_set_current_line(463, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(463, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB278; + goto LAB1; + +LAB278: xsi_set_current_line(465, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(465, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB279; + goto LAB1; + +LAB279: xsi_set_current_line(466, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(466, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB280; + goto LAB1; + +LAB280: xsi_set_current_line(468, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(468, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB281; + goto LAB1; + +LAB281: xsi_set_current_line(469, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(469, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB282; + goto LAB1; + +LAB282: xsi_set_current_line(471, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(471, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB283; + goto LAB1; + +LAB283: xsi_set_current_line(472, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(472, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB284; + goto LAB1; + +LAB284: xsi_set_current_line(474, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(474, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB285; + goto LAB1; + +LAB285: xsi_set_current_line(475, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(475, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB286; + goto LAB1; + +LAB286: xsi_set_current_line(477, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(477, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB287; + goto LAB1; + +LAB287: xsi_set_current_line(478, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(478, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB288; + goto LAB1; + +LAB288: xsi_set_current_line(480, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(480, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB289; + goto LAB1; + +LAB289: xsi_set_current_line(481, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(481, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB290; + goto LAB1; + +LAB290: xsi_set_current_line(483, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(483, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB291; + goto LAB1; + +LAB291: xsi_set_current_line(484, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(484, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB292; + goto LAB1; + +LAB292: xsi_set_current_line(486, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(486, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB293; + goto LAB1; + +LAB293: xsi_set_current_line(487, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(487, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB294; + goto LAB1; + +LAB294: xsi_set_current_line(489, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(489, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB295; + goto LAB1; + +LAB295: xsi_set_current_line(490, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(490, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB296; + goto LAB1; + +LAB296: xsi_set_current_line(492, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(492, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB297; + goto LAB1; + +LAB297: xsi_set_current_line(493, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(493, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB298; + goto LAB1; + +LAB298: xsi_set_current_line(495, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(495, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB299; + goto LAB1; + +LAB299: xsi_set_current_line(496, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(496, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB300; + goto LAB1; + +LAB300: xsi_set_current_line(498, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(498, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB301; + goto LAB1; + +LAB301: xsi_set_current_line(499, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(499, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB302; + goto LAB1; + +LAB302: xsi_set_current_line(501, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(501, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB303; + goto LAB1; + +LAB303: xsi_set_current_line(502, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(502, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB304; + goto LAB1; + +LAB304: xsi_set_current_line(504, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(504, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB305; + goto LAB1; + +LAB305: xsi_set_current_line(505, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(505, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB306; + goto LAB1; + +LAB306: xsi_set_current_line(507, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(507, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB307; + goto LAB1; + +LAB307: xsi_set_current_line(508, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(508, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB308; + goto LAB1; + +LAB308: xsi_set_current_line(510, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(510, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB309; + goto LAB1; + +LAB309: xsi_set_current_line(511, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(511, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB310; + goto LAB1; + +LAB310: xsi_set_current_line(513, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(513, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB311; + goto LAB1; + +LAB311: xsi_set_current_line(514, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(514, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB312; + goto LAB1; + +LAB312: xsi_set_current_line(516, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(516, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB313; + goto LAB1; + +LAB313: xsi_set_current_line(517, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(517, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB314; + goto LAB1; + +LAB314: xsi_set_current_line(519, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(519, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB315; + goto LAB1; + +LAB315: xsi_set_current_line(520, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(520, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB316; + goto LAB1; + +LAB316: xsi_set_current_line(522, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(522, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB317; + goto LAB1; + +LAB317: xsi_set_current_line(523, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(523, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB318; + goto LAB1; + +LAB318: xsi_set_current_line(525, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(525, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB319; + goto LAB1; + +LAB319: xsi_set_current_line(526, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(526, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB320; + goto LAB1; + +LAB320: xsi_set_current_line(528, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(528, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB321; + goto LAB1; + +LAB321: xsi_set_current_line(529, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(529, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB322; + goto LAB1; + +LAB322: xsi_set_current_line(531, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(531, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB323; + goto LAB1; + +LAB323: xsi_set_current_line(532, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(532, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB324; + goto LAB1; + +LAB324: xsi_set_current_line(534, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(534, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB325; + goto LAB1; + +LAB325: xsi_set_current_line(535, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(535, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB326; + goto LAB1; + +LAB326: xsi_set_current_line(535, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(537, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(537, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB327; + goto LAB1; + +LAB327: xsi_set_current_line(538, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(538, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB328; + goto LAB1; + +LAB328: xsi_set_current_line(540, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(540, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB329; + goto LAB1; + +LAB329: xsi_set_current_line(541, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(541, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB330; + goto LAB1; + +LAB330: xsi_set_current_line(543, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(543, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB331; + goto LAB1; + +LAB331: xsi_set_current_line(544, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(544, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB332; + goto LAB1; + +LAB332: xsi_set_current_line(544, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2088); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(546, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(546, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB333; + goto LAB1; + +LAB333: xsi_set_current_line(547, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(547, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB334; + goto LAB1; + +LAB334: xsi_set_current_line(549, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(549, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB335; + goto LAB1; + +LAB335: xsi_set_current_line(550, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(550, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB336; + goto LAB1; + +LAB336: xsi_set_current_line(552, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(552, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB337; + goto LAB1; + +LAB337: xsi_set_current_line(553, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(553, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB338; + goto LAB1; + +LAB338: xsi_set_current_line(555, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(555, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB339; + goto LAB1; + +LAB339: xsi_set_current_line(556, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(556, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB340; + goto LAB1; + +LAB340: xsi_set_current_line(558, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(558, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB341; + goto LAB1; + +LAB341: xsi_set_current_line(559, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(559, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB342; + goto LAB1; + +LAB342: xsi_set_current_line(561, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(561, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB343; + goto LAB1; + +LAB343: xsi_set_current_line(562, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(562, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB344; + goto LAB1; + +LAB344: xsi_set_current_line(564, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(564, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB345; + goto LAB1; + +LAB345: xsi_set_current_line(565, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(565, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB346; + goto LAB1; + +LAB346: xsi_set_current_line(567, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(567, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB347; + goto LAB1; + +LAB347: xsi_set_current_line(568, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(568, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB348; + goto LAB1; + +LAB348: xsi_set_current_line(570, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(570, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB349; + goto LAB1; + +LAB349: xsi_set_current_line(571, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(571, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB350; + goto LAB1; + +LAB350: xsi_set_current_line(573, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(573, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB351; + goto LAB1; + +LAB351: xsi_set_current_line(574, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(574, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB352; + goto LAB1; + +LAB352: xsi_set_current_line(576, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(576, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB353; + goto LAB1; + +LAB353: xsi_set_current_line(577, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(577, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB354; + goto LAB1; + +LAB354: xsi_set_current_line(579, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(579, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB355; + goto LAB1; + +LAB355: xsi_set_current_line(580, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(580, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB356; + goto LAB1; + +LAB356: xsi_set_current_line(582, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(582, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB357; + goto LAB1; + +LAB357: xsi_set_current_line(583, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(583, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB358; + goto LAB1; + +LAB358: xsi_set_current_line(585, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(585, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB359; + goto LAB1; + +LAB359: xsi_set_current_line(586, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(586, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB360; + goto LAB1; + +LAB360: xsi_set_current_line(588, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(588, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB361; + goto LAB1; + +LAB361: xsi_set_current_line(589, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(589, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB362; + goto LAB1; + +LAB362: xsi_set_current_line(591, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(591, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB363; + goto LAB1; + +LAB363: xsi_set_current_line(592, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(592, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB364; + goto LAB1; + +LAB364: xsi_set_current_line(594, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(594, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB365; + goto LAB1; + +LAB365: xsi_set_current_line(595, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(595, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB366; + goto LAB1; + +LAB366: xsi_set_current_line(597, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(597, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB367; + goto LAB1; + +LAB367: xsi_set_current_line(598, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(598, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB368; + goto LAB1; + +LAB368: xsi_set_current_line(600, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(600, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB369; + goto LAB1; + +LAB369: xsi_set_current_line(601, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(601, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB370; + goto LAB1; + +LAB370: xsi_set_current_line(603, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(603, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB371; + goto LAB1; + +LAB371: xsi_set_current_line(604, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(604, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB372; + goto LAB1; + +LAB372: xsi_set_current_line(606, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(606, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB373; + goto LAB1; + +LAB373: xsi_set_current_line(607, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(607, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB374; + goto LAB1; + +LAB374: xsi_set_current_line(609, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(609, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB375; + goto LAB1; + +LAB375: xsi_set_current_line(610, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(610, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB376; + goto LAB1; + +LAB376: xsi_set_current_line(612, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(612, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB377; + goto LAB1; + +LAB377: xsi_set_current_line(613, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(613, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB378; + goto LAB1; + +LAB378: xsi_set_current_line(615, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(615, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB379; + goto LAB1; + +LAB379: xsi_set_current_line(616, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(616, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB380; + goto LAB1; + +LAB380: xsi_set_current_line(618, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(618, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB381; + goto LAB1; + +LAB381: xsi_set_current_line(619, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(619, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB382; + goto LAB1; + +LAB382: xsi_set_current_line(621, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(621, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB383; + goto LAB1; + +LAB383: xsi_set_current_line(622, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(622, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB384; + goto LAB1; + +LAB384: xsi_set_current_line(624, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(624, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB385; + goto LAB1; + +LAB385: xsi_set_current_line(625, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(625, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB386; + goto LAB1; + +LAB386: xsi_set_current_line(627, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(627, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB387; + goto LAB1; + +LAB387: xsi_set_current_line(628, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(628, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB388; + goto LAB1; + +LAB388: xsi_set_current_line(630, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(630, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB389; + goto LAB1; + +LAB389: xsi_set_current_line(631, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(631, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB390; + goto LAB1; + +LAB390: xsi_set_current_line(633, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(633, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB391; + goto LAB1; + +LAB391: xsi_set_current_line(634, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(634, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB392; + goto LAB1; + +LAB392: xsi_set_current_line(636, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(636, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB393; + goto LAB1; + +LAB393: xsi_set_current_line(637, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(637, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB394; + goto LAB1; + +LAB394: xsi_set_current_line(639, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(639, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB395; + goto LAB1; + +LAB395: xsi_set_current_line(640, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(640, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB396; + goto LAB1; + +LAB396: xsi_set_current_line(642, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(642, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB397; + goto LAB1; + +LAB397: xsi_set_current_line(643, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(643, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB398; + goto LAB1; + +LAB398: xsi_set_current_line(645, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(645, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB399; + goto LAB1; + +LAB399: xsi_set_current_line(646, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(646, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB400; + goto LAB1; + +LAB400: xsi_set_current_line(648, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(648, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB401; + goto LAB1; + +LAB401: xsi_set_current_line(649, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(649, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB402; + goto LAB1; + +LAB402: xsi_set_current_line(651, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(651, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB403; + goto LAB1; + +LAB403: xsi_set_current_line(652, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(652, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB404; + goto LAB1; + +LAB404: xsi_set_current_line(654, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(654, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB405; + goto LAB1; + +LAB405: xsi_set_current_line(655, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(655, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB406; + goto LAB1; + +LAB406: xsi_set_current_line(657, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(657, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB407; + goto LAB1; + +LAB407: xsi_set_current_line(658, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(658, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB408; + goto LAB1; + +LAB408: xsi_set_current_line(660, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(660, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB409; + goto LAB1; + +LAB409: xsi_set_current_line(661, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(661, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB410; + goto LAB1; + +LAB410: xsi_set_current_line(663, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(663, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB411; + goto LAB1; + +LAB411: xsi_set_current_line(664, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(664, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB412; + goto LAB1; + +LAB412: xsi_set_current_line(666, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(666, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB413; + goto LAB1; + +LAB413: xsi_set_current_line(667, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(667, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB414; + goto LAB1; + +LAB414: xsi_set_current_line(669, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(669, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB415; + goto LAB1; + +LAB415: xsi_set_current_line(670, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(670, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB416; + goto LAB1; + +LAB416: xsi_set_current_line(672, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(672, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB417; + goto LAB1; + +LAB417: xsi_set_current_line(673, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(673, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB418; + goto LAB1; + +LAB418: xsi_set_current_line(675, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(675, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB419; + goto LAB1; + +LAB419: xsi_set_current_line(676, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(676, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB420; + goto LAB1; + +LAB420: xsi_set_current_line(678, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(678, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB421; + goto LAB1; + +LAB421: xsi_set_current_line(679, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(679, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB422; + goto LAB1; + +LAB422: xsi_set_current_line(681, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(681, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB423; + goto LAB1; + +LAB423: xsi_set_current_line(682, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(682, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB424; + goto LAB1; + +LAB424: xsi_set_current_line(684, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(684, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB425; + goto LAB1; + +LAB425: xsi_set_current_line(685, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(685, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB426; + goto LAB1; + +LAB426: xsi_set_current_line(687, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(687, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB427; + goto LAB1; + +LAB427: xsi_set_current_line(688, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(688, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB428; + goto LAB1; + +LAB428: xsi_set_current_line(690, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(690, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB429; + goto LAB1; + +LAB429: xsi_set_current_line(691, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(691, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB430; + goto LAB1; + +LAB430: xsi_set_current_line(693, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(693, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB431; + goto LAB1; + +LAB431: xsi_set_current_line(694, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(694, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB432; + goto LAB1; + +LAB432: xsi_set_current_line(696, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(696, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB433; + goto LAB1; + +LAB433: xsi_set_current_line(697, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(697, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB434; + goto LAB1; + +LAB434: xsi_set_current_line(699, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(699, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB435; + goto LAB1; + +LAB435: xsi_set_current_line(700, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(700, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB436; + goto LAB1; + +LAB436: xsi_set_current_line(702, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(702, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB437; + goto LAB1; + +LAB437: xsi_set_current_line(703, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(703, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB438; + goto LAB1; + +LAB438: xsi_set_current_line(705, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(705, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB439; + goto LAB1; + +LAB439: xsi_set_current_line(706, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(706, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB440; + goto LAB1; + +LAB440: xsi_set_current_line(708, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(708, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB441; + goto LAB1; + +LAB441: xsi_set_current_line(709, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(709, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB442; + goto LAB1; + +LAB442: xsi_set_current_line(711, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(711, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB443; + goto LAB1; + +LAB443: xsi_set_current_line(712, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(712, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB444; + goto LAB1; + +LAB444: xsi_set_current_line(714, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(714, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB445; + goto LAB1; + +LAB445: xsi_set_current_line(715, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(715, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB446; + goto LAB1; + +LAB446: xsi_set_current_line(717, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(717, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB447; + goto LAB1; + +LAB447: xsi_set_current_line(718, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(718, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB448; + goto LAB1; + +LAB448: xsi_set_current_line(720, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(720, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB449; + goto LAB1; + +LAB449: xsi_set_current_line(721, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(721, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB450; + goto LAB1; + +LAB450: xsi_set_current_line(723, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(723, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB451; + goto LAB1; + +LAB451: xsi_set_current_line(724, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(724, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB452; + goto LAB1; + +LAB452: xsi_set_current_line(726, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(726, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB453; + goto LAB1; + +LAB453: xsi_set_current_line(727, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(727, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB454; + goto LAB1; + +LAB454: xsi_set_current_line(729, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(729, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB455; + goto LAB1; + +LAB455: xsi_set_current_line(730, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(730, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB456; + goto LAB1; + +LAB456: xsi_set_current_line(730, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(732, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(732, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB457; + goto LAB1; + +LAB457: xsi_set_current_line(733, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(733, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB458; + goto LAB1; + +LAB458: xsi_set_current_line(735, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(735, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB459; + goto LAB1; + +LAB459: xsi_set_current_line(736, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(736, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB460; + goto LAB1; + +LAB460: xsi_set_current_line(736, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(738, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(738, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB461; + goto LAB1; + +LAB461: xsi_set_current_line(739, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(739, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB462; + goto LAB1; + +LAB462: xsi_set_current_line(741, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(741, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB463; + goto LAB1; + +LAB463: xsi_set_current_line(742, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(742, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB464; + goto LAB1; + +LAB464: xsi_set_current_line(744, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(744, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB465; + goto LAB1; + +LAB465: xsi_set_current_line(745, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(745, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB466; + goto LAB1; + +LAB466: xsi_set_current_line(747, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(747, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB467; + goto LAB1; + +LAB467: xsi_set_current_line(748, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(748, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB468; + goto LAB1; + +LAB468: xsi_set_current_line(750, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(750, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB469; + goto LAB1; + +LAB469: xsi_set_current_line(751, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(751, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB470; + goto LAB1; + +LAB470: xsi_set_current_line(753, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(753, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB471; + goto LAB1; + +LAB471: xsi_set_current_line(754, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(754, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB472; + goto LAB1; + +LAB472: xsi_set_current_line(756, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(756, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB473; + goto LAB1; + +LAB473: xsi_set_current_line(757, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(757, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB474; + goto LAB1; + +LAB474: xsi_set_current_line(759, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(759, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB475; + goto LAB1; + +LAB475: xsi_set_current_line(760, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(760, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB476; + goto LAB1; + +LAB476: xsi_set_current_line(762, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(762, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB477; + goto LAB1; + +LAB477: xsi_set_current_line(763, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(763, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB478; + goto LAB1; + +LAB478: xsi_set_current_line(765, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(765, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB479; + goto LAB1; + +LAB479: xsi_set_current_line(766, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(766, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB480; + goto LAB1; + +LAB480: xsi_set_current_line(768, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(768, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB481; + goto LAB1; + +LAB481: xsi_set_current_line(769, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(769, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB482; + goto LAB1; + +LAB482: xsi_set_current_line(771, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(771, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB483; + goto LAB1; + +LAB483: xsi_set_current_line(772, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(772, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB484; + goto LAB1; + +LAB484: xsi_set_current_line(774, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(774, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB485; + goto LAB1; + +LAB485: xsi_set_current_line(775, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(775, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB486; + goto LAB1; + +LAB486: xsi_set_current_line(777, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(777, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB487; + goto LAB1; + +LAB487: xsi_set_current_line(778, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(778, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB488; + goto LAB1; + +LAB488: xsi_set_current_line(780, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(780, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB489; + goto LAB1; + +LAB489: xsi_set_current_line(781, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(781, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB490; + goto LAB1; + +LAB490: xsi_set_current_line(783, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(783, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB491; + goto LAB1; + +LAB491: xsi_set_current_line(784, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(784, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB492; + goto LAB1; + +LAB492: xsi_set_current_line(786, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(786, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB493; + goto LAB1; + +LAB493: xsi_set_current_line(787, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(787, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB494; + goto LAB1; + +LAB494: xsi_set_current_line(789, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(789, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB495; + goto LAB1; + +LAB495: xsi_set_current_line(790, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(790, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB496; + goto LAB1; + +LAB496: xsi_set_current_line(792, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(792, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB497; + goto LAB1; + +LAB497: xsi_set_current_line(793, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(793, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB498; + goto LAB1; + +LAB498: xsi_set_current_line(795, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(795, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB499; + goto LAB1; + +LAB499: xsi_set_current_line(796, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(796, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB500; + goto LAB1; + +LAB500: xsi_set_current_line(798, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(798, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB501; + goto LAB1; + +LAB501: xsi_set_current_line(799, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(799, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB502; + goto LAB1; + +LAB502: xsi_set_current_line(801, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(801, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB503; + goto LAB1; + +LAB503: xsi_set_current_line(802, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(802, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB504; + goto LAB1; + +LAB504: xsi_set_current_line(804, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(804, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB505; + goto LAB1; + +LAB505: xsi_set_current_line(805, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(805, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB506; + goto LAB1; + +LAB506: xsi_set_current_line(807, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(807, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB507; + goto LAB1; + +LAB507: xsi_set_current_line(808, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(808, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB508; + goto LAB1; + +LAB508: xsi_set_current_line(810, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(810, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB509; + goto LAB1; + +LAB509: xsi_set_current_line(811, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(811, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB510; + goto LAB1; + +LAB510: xsi_set_current_line(813, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(813, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB511; + goto LAB1; + +LAB511: xsi_set_current_line(814, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(814, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB512; + goto LAB1; + +LAB512: xsi_set_current_line(816, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(816, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB513; + goto LAB1; + +LAB513: xsi_set_current_line(817, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(817, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB514; + goto LAB1; + +LAB514: xsi_set_current_line(819, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(819, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB515; + goto LAB1; + +LAB515: xsi_set_current_line(820, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(820, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB516; + goto LAB1; + +LAB516: xsi_set_current_line(822, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(822, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB517; + goto LAB1; + +LAB517: xsi_set_current_line(823, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(823, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB518; + goto LAB1; + +LAB518: xsi_set_current_line(825, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(825, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB519; + goto LAB1; + +LAB519: xsi_set_current_line(826, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(826, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB520; + goto LAB1; + +LAB520: xsi_set_current_line(828, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(828, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB521; + goto LAB1; + +LAB521: xsi_set_current_line(829, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(829, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB522; + goto LAB1; + +LAB522: xsi_set_current_line(831, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(831, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB523; + goto LAB1; + +LAB523: xsi_set_current_line(832, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(832, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB524; + goto LAB1; + +LAB524: xsi_set_current_line(834, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(834, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB525; + goto LAB1; + +LAB525: xsi_set_current_line(835, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(835, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB526; + goto LAB1; + +LAB526: xsi_set_current_line(837, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(837, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB527; + goto LAB1; + +LAB527: xsi_set_current_line(838, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(838, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB528; + goto LAB1; + +LAB528: xsi_set_current_line(840, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(840, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB529; + goto LAB1; + +LAB529: xsi_set_current_line(841, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(841, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB530; + goto LAB1; + +LAB530: xsi_set_current_line(843, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(843, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB531; + goto LAB1; + +LAB531: xsi_set_current_line(844, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(844, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB532; + goto LAB1; + +LAB532: xsi_set_current_line(846, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(846, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB533; + goto LAB1; + +LAB533: xsi_set_current_line(847, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(847, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB534; + goto LAB1; + +LAB534: xsi_set_current_line(849, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(849, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB535; + goto LAB1; + +LAB535: xsi_set_current_line(850, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(850, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB536; + goto LAB1; + +LAB536: xsi_set_current_line(852, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(852, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB537; + goto LAB1; + +LAB537: xsi_set_current_line(853, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(853, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB538; + goto LAB1; + +LAB538: xsi_set_current_line(855, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(855, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB539; + goto LAB1; + +LAB539: xsi_set_current_line(856, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(856, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB540; + goto LAB1; + +LAB540: xsi_set_current_line(858, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(858, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB541; + goto LAB1; + +LAB541: xsi_set_current_line(859, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(859, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB542; + goto LAB1; + +LAB542: xsi_set_current_line(861, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(861, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB543; + goto LAB1; + +LAB543: xsi_set_current_line(862, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(862, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB544; + goto LAB1; + +LAB544: xsi_set_current_line(864, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(864, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB545; + goto LAB1; + +LAB545: xsi_set_current_line(865, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(865, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB546; + goto LAB1; + +LAB546: xsi_set_current_line(867, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(867, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB547; + goto LAB1; + +LAB547: xsi_set_current_line(868, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(868, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB548; + goto LAB1; + +LAB548: xsi_set_current_line(870, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(870, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB549; + goto LAB1; + +LAB549: xsi_set_current_line(871, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(871, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB550; + goto LAB1; + +LAB550: xsi_set_current_line(873, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(873, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB551; + goto LAB1; + +LAB551: xsi_set_current_line(874, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(874, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB552; + goto LAB1; + +LAB552: xsi_set_current_line(876, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(876, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB553; + goto LAB1; + +LAB553: xsi_set_current_line(877, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(877, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB554; + goto LAB1; + +LAB554: xsi_set_current_line(879, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(879, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB555; + goto LAB1; + +LAB555: xsi_set_current_line(880, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(880, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB556; + goto LAB1; + +LAB556: xsi_set_current_line(882, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(882, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB557; + goto LAB1; + +LAB557: xsi_set_current_line(883, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(883, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB558; + goto LAB1; + +LAB558: xsi_set_current_line(885, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(885, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB559; + goto LAB1; + +LAB559: xsi_set_current_line(886, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(886, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB560; + goto LAB1; + +LAB560: xsi_set_current_line(888, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(888, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB561; + goto LAB1; + +LAB561: xsi_set_current_line(889, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(889, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB562; + goto LAB1; + +LAB562: xsi_set_current_line(891, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(891, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB563; + goto LAB1; + +LAB563: xsi_set_current_line(892, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(892, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB564; + goto LAB1; + +LAB564: xsi_set_current_line(894, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(894, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB565; + goto LAB1; + +LAB565: xsi_set_current_line(895, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(895, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB566; + goto LAB1; + +LAB566: xsi_set_current_line(897, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(897, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB567; + goto LAB1; + +LAB567: xsi_set_current_line(898, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(898, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB568; + goto LAB1; + +LAB568: xsi_set_current_line(900, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(900, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB569; + goto LAB1; + +LAB569: xsi_set_current_line(901, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(901, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB570; + goto LAB1; + +LAB570: xsi_set_current_line(903, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(903, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB571; + goto LAB1; + +LAB571: xsi_set_current_line(904, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(904, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB572; + goto LAB1; + +LAB572: xsi_set_current_line(906, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(906, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB573; + goto LAB1; + +LAB573: xsi_set_current_line(907, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(907, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB574; + goto LAB1; + +LAB574: xsi_set_current_line(909, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(909, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB575; + goto LAB1; + +LAB575: xsi_set_current_line(910, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(910, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB576; + goto LAB1; + +LAB576: xsi_set_current_line(912, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(912, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB577; + goto LAB1; + +LAB577: xsi_set_current_line(913, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(913, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB578; + goto LAB1; + +LAB578: xsi_set_current_line(915, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(915, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB579; + goto LAB1; + +LAB579: xsi_set_current_line(916, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(916, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB580; + goto LAB1; + +LAB580: xsi_set_current_line(918, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(918, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB581; + goto LAB1; + +LAB581: xsi_set_current_line(919, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(919, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB582; + goto LAB1; + +LAB582: xsi_set_current_line(921, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(921, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB583; + goto LAB1; + +LAB583: xsi_set_current_line(922, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(922, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB584; + goto LAB1; + +LAB584: xsi_set_current_line(924, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(924, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB585; + goto LAB1; + +LAB585: xsi_set_current_line(925, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(925, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB586; + goto LAB1; + +LAB586: xsi_set_current_line(927, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(927, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB587; + goto LAB1; + +LAB587: xsi_set_current_line(928, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(928, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB588; + goto LAB1; + +LAB588: xsi_set_current_line(930, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(930, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB589; + goto LAB1; + +LAB589: xsi_set_current_line(931, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(931, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB590; + goto LAB1; + +LAB590: xsi_set_current_line(933, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(933, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB591; + goto LAB1; + +LAB591: xsi_set_current_line(934, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(934, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB592; + goto LAB1; + +LAB592: xsi_set_current_line(936, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(936, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB593; + goto LAB1; + +LAB593: xsi_set_current_line(937, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(937, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB594; + goto LAB1; + +LAB594: xsi_set_current_line(939, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(939, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB595; + goto LAB1; + +LAB595: xsi_set_current_line(940, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(940, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB596; + goto LAB1; + +LAB596: xsi_set_current_line(942, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(942, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB597; + goto LAB1; + +LAB597: xsi_set_current_line(943, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(943, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB598; + goto LAB1; + +LAB598: xsi_set_current_line(945, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(945, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB599; + goto LAB1; + +LAB599: xsi_set_current_line(946, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(946, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB600; + goto LAB1; + +LAB600: xsi_set_current_line(948, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(948, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB601; + goto LAB1; + +LAB601: xsi_set_current_line(949, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(949, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB602; + goto LAB1; + +LAB602: xsi_set_current_line(951, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(951, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB603; + goto LAB1; + +LAB603: xsi_set_current_line(952, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(952, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB604; + goto LAB1; + +LAB604: xsi_set_current_line(954, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(954, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB605; + goto LAB1; + +LAB605: xsi_set_current_line(955, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(955, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB606; + goto LAB1; + +LAB606: xsi_set_current_line(957, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(957, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB607; + goto LAB1; + +LAB607: xsi_set_current_line(958, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(958, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB608; + goto LAB1; + +LAB608: xsi_set_current_line(960, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(960, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB609; + goto LAB1; + +LAB609: xsi_set_current_line(961, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(961, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB610; + goto LAB1; + +LAB610: xsi_set_current_line(963, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(963, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB611; + goto LAB1; + +LAB611: xsi_set_current_line(964, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(964, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB612; + goto LAB1; + +LAB612: xsi_set_current_line(966, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(966, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB613; + goto LAB1; + +LAB613: xsi_set_current_line(967, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(967, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB614; + goto LAB1; + +LAB614: xsi_set_current_line(969, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(969, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB615; + goto LAB1; + +LAB615: xsi_set_current_line(970, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(970, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB616; + goto LAB1; + +LAB616: xsi_set_current_line(972, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(972, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB617; + goto LAB1; + +LAB617: xsi_set_current_line(973, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(973, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB618; + goto LAB1; + +LAB618: xsi_set_current_line(975, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(975, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB619; + goto LAB1; + +LAB619: xsi_set_current_line(976, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(976, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB620; + goto LAB1; + +LAB620: xsi_set_current_line(978, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(978, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB621; + goto LAB1; + +LAB621: xsi_set_current_line(979, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(979, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB622; + goto LAB1; + +LAB622: xsi_set_current_line(981, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(981, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB623; + goto LAB1; + +LAB623: xsi_set_current_line(982, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(982, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB624; + goto LAB1; + +LAB624: xsi_set_current_line(984, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(984, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB625; + goto LAB1; + +LAB625: xsi_set_current_line(985, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(985, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB626; + goto LAB1; + +LAB626: xsi_set_current_line(987, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(987, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB627; + goto LAB1; + +LAB627: xsi_set_current_line(988, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(988, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB628; + goto LAB1; + +LAB628: xsi_set_current_line(990, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(990, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB629; + goto LAB1; + +LAB629: xsi_set_current_line(991, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(991, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB630; + goto LAB1; + +LAB630: xsi_set_current_line(993, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(993, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB631; + goto LAB1; + +LAB631: xsi_set_current_line(994, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(994, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB632; + goto LAB1; + +LAB632: xsi_set_current_line(996, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(996, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB633; + goto LAB1; + +LAB633: xsi_set_current_line(997, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(997, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB634; + goto LAB1; + +LAB634: xsi_set_current_line(999, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(999, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB635; + goto LAB1; + +LAB635: xsi_set_current_line(1000, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1000, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB636; + goto LAB1; + +LAB636: xsi_set_current_line(1002, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1002, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB637; + goto LAB1; + +LAB637: xsi_set_current_line(1003, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1003, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB638; + goto LAB1; + +LAB638: xsi_set_current_line(1005, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1005, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB639; + goto LAB1; + +LAB639: xsi_set_current_line(1006, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1006, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB640; + goto LAB1; + +LAB640: xsi_set_current_line(1008, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1008, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB641; + goto LAB1; + +LAB641: xsi_set_current_line(1009, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1009, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB642; + goto LAB1; + +LAB642: xsi_set_current_line(1011, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1011, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB643; + goto LAB1; + +LAB643: xsi_set_current_line(1012, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1012, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB644; + goto LAB1; + +LAB644: xsi_set_current_line(1014, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1014, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB645; + goto LAB1; + +LAB645: xsi_set_current_line(1015, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1015, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB646; + goto LAB1; + +LAB646: xsi_set_current_line(1017, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1017, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB647; + goto LAB1; + +LAB647: xsi_set_current_line(1018, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1018, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB648; + goto LAB1; + +LAB648: xsi_set_current_line(1020, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1020, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB649; + goto LAB1; + +LAB649: xsi_set_current_line(1021, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1021, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB650; + goto LAB1; + +LAB650: xsi_set_current_line(1023, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1023, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB651; + goto LAB1; + +LAB651: xsi_set_current_line(1024, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1024, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB652; + goto LAB1; + +LAB652: xsi_set_current_line(1026, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1026, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB653; + goto LAB1; + +LAB653: xsi_set_current_line(1027, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1027, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB654; + goto LAB1; + +LAB654: xsi_set_current_line(1029, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1029, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB655; + goto LAB1; + +LAB655: xsi_set_current_line(1030, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1030, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB656; + goto LAB1; + +LAB656: xsi_set_current_line(1032, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1032, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB657; + goto LAB1; + +LAB657: xsi_set_current_line(1033, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1033, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB658; + goto LAB1; + +LAB658: xsi_set_current_line(1035, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1035, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB659; + goto LAB1; + +LAB659: xsi_set_current_line(1036, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1036, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB660; + goto LAB1; + +LAB660: xsi_set_current_line(1038, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1038, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB661; + goto LAB1; + +LAB661: xsi_set_current_line(1039, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1039, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB662; + goto LAB1; + +LAB662: xsi_set_current_line(1041, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1041, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB663; + goto LAB1; + +LAB663: xsi_set_current_line(1042, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1042, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB664; + goto LAB1; + +LAB664: xsi_set_current_line(1044, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1044, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB665; + goto LAB1; + +LAB665: xsi_set_current_line(1045, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1045, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB666; + goto LAB1; + +LAB666: xsi_set_current_line(1047, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1047, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB667; + goto LAB1; + +LAB667: xsi_set_current_line(1048, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1048, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB668; + goto LAB1; + +LAB668: xsi_set_current_line(1050, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1050, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB669; + goto LAB1; + +LAB669: xsi_set_current_line(1051, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1051, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB670; + goto LAB1; + +LAB670: xsi_set_current_line(1053, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1053, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB671; + goto LAB1; + +LAB671: xsi_set_current_line(1054, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1054, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB672; + goto LAB1; + +LAB672: xsi_set_current_line(1056, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1056, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB673; + goto LAB1; + +LAB673: xsi_set_current_line(1057, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1057, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB674; + goto LAB1; + +LAB674: xsi_set_current_line(1059, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1059, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB675; + goto LAB1; + +LAB675: xsi_set_current_line(1060, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1060, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB676; + goto LAB1; + +LAB676: xsi_set_current_line(1062, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1062, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB677; + goto LAB1; + +LAB677: xsi_set_current_line(1063, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1063, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB678; + goto LAB1; + +LAB678: xsi_set_current_line(1065, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1065, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB679; + goto LAB1; + +LAB679: xsi_set_current_line(1066, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1066, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB680; + goto LAB1; + +LAB680: xsi_set_current_line(1068, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1068, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB681; + goto LAB1; + +LAB681: xsi_set_current_line(1069, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1069, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB682; + goto LAB1; + +LAB682: xsi_set_current_line(1071, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1071, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB683; + goto LAB1; + +LAB683: xsi_set_current_line(1072, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1072, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB684; + goto LAB1; + +LAB684: xsi_set_current_line(1074, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1074, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB685; + goto LAB1; + +LAB685: xsi_set_current_line(1075, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1075, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB686; + goto LAB1; + +LAB686: xsi_set_current_line(1077, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1077, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB687; + goto LAB1; + +LAB687: xsi_set_current_line(1078, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1078, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB688; + goto LAB1; + +LAB688: xsi_set_current_line(1080, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1080, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB689; + goto LAB1; + +LAB689: xsi_set_current_line(1081, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1081, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB690; + goto LAB1; + +LAB690: xsi_set_current_line(1083, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1083, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB691; + goto LAB1; + +LAB691: xsi_set_current_line(1084, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1084, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB692; + goto LAB1; + +LAB692: xsi_set_current_line(1086, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1086, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB693; + goto LAB1; + +LAB693: xsi_set_current_line(1087, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1087, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB694; + goto LAB1; + +LAB694: xsi_set_current_line(1089, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1089, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB695; + goto LAB1; + +LAB695: xsi_set_current_line(1090, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1090, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB696; + goto LAB1; + +LAB696: xsi_set_current_line(1092, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1092, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB697; + goto LAB1; + +LAB697: xsi_set_current_line(1093, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1093, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB698; + goto LAB1; + +LAB698: xsi_set_current_line(1095, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1095, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB699; + goto LAB1; + +LAB699: xsi_set_current_line(1096, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1096, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB700; + goto LAB1; + +LAB700: xsi_set_current_line(1098, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1098, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB701; + goto LAB1; + +LAB701: xsi_set_current_line(1099, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1099, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB702; + goto LAB1; + +LAB702: xsi_set_current_line(1101, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1101, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB703; + goto LAB1; + +LAB703: xsi_set_current_line(1102, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1102, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB704; + goto LAB1; + +LAB704: xsi_set_current_line(1104, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1104, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB705; + goto LAB1; + +LAB705: xsi_set_current_line(1105, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1105, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB706; + goto LAB1; + +LAB706: xsi_set_current_line(1107, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1107, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB707; + goto LAB1; + +LAB707: xsi_set_current_line(1108, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1108, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB708; + goto LAB1; + +LAB708: xsi_set_current_line(1110, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1110, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB709; + goto LAB1; + +LAB709: xsi_set_current_line(1111, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1111, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB710; + goto LAB1; + +LAB710: xsi_set_current_line(1113, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1113, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB711; + goto LAB1; + +LAB711: xsi_set_current_line(1114, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1114, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB712; + goto LAB1; + +LAB712: xsi_set_current_line(1116, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1116, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB713; + goto LAB1; + +LAB713: xsi_set_current_line(1117, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1117, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB714; + goto LAB1; + +LAB714: xsi_set_current_line(1119, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1119, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB715; + goto LAB1; + +LAB715: xsi_set_current_line(1120, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1120, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB716; + goto LAB1; + +LAB716: xsi_set_current_line(1122, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1122, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB717; + goto LAB1; + +LAB717: xsi_set_current_line(1123, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1123, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB718; + goto LAB1; + +LAB718: xsi_set_current_line(1125, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1125, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB719; + goto LAB1; + +LAB719: xsi_set_current_line(1126, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1126, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB720; + goto LAB1; + +LAB720: xsi_set_current_line(1128, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1128, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB721; + goto LAB1; + +LAB721: xsi_set_current_line(1129, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1129, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB722; + goto LAB1; + +LAB722: xsi_set_current_line(1131, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1131, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB723; + goto LAB1; + +LAB723: xsi_set_current_line(1132, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1132, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB724; + goto LAB1; + +LAB724: xsi_set_current_line(1134, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1134, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB725; + goto LAB1; + +LAB725: xsi_set_current_line(1135, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1135, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB726; + goto LAB1; + +LAB726: xsi_set_current_line(1137, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1137, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB727; + goto LAB1; + +LAB727: xsi_set_current_line(1138, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1138, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB728; + goto LAB1; + +LAB728: xsi_set_current_line(1140, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1140, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB729; + goto LAB1; + +LAB729: xsi_set_current_line(1141, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1141, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB730; + goto LAB1; + +LAB730: xsi_set_current_line(1143, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1143, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB731; + goto LAB1; + +LAB731: xsi_set_current_line(1144, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1144, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB732; + goto LAB1; + +LAB732: xsi_set_current_line(1146, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1146, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB733; + goto LAB1; + +LAB733: xsi_set_current_line(1147, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1147, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB734; + goto LAB1; + +LAB734: xsi_set_current_line(1149, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1149, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB735; + goto LAB1; + +LAB735: xsi_set_current_line(1150, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1150, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB736; + goto LAB1; + +LAB736: xsi_set_current_line(1152, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1152, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB737; + goto LAB1; + +LAB737: xsi_set_current_line(1153, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1153, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB738; + goto LAB1; + +LAB738: xsi_set_current_line(1155, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1155, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB739; + goto LAB1; + +LAB739: xsi_set_current_line(1156, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1156, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB740; + goto LAB1; + +LAB740: xsi_set_current_line(1158, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1158, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB741; + goto LAB1; + +LAB741: xsi_set_current_line(1159, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1159, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB742; + goto LAB1; + +LAB742: xsi_set_current_line(1161, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1161, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB743; + goto LAB1; + +LAB743: xsi_set_current_line(1162, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1162, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB744; + goto LAB1; + +LAB744: xsi_set_current_line(1164, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1164, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB745; + goto LAB1; + +LAB745: xsi_set_current_line(1165, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1165, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB746; + goto LAB1; + +LAB746: xsi_set_current_line(1167, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1167, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB747; + goto LAB1; + +LAB747: xsi_set_current_line(1168, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1168, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB748; + goto LAB1; + +LAB748: xsi_set_current_line(1170, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1170, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB749; + goto LAB1; + +LAB749: xsi_set_current_line(1171, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1171, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB750; + goto LAB1; + +LAB750: xsi_set_current_line(1173, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1173, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB751; + goto LAB1; + +LAB751: xsi_set_current_line(1174, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1174, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB752; + goto LAB1; + +LAB752: xsi_set_current_line(1176, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1176, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB753; + goto LAB1; + +LAB753: xsi_set_current_line(1177, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1177, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB754; + goto LAB1; + +LAB754: xsi_set_current_line(1179, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1179, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB755; + goto LAB1; + +LAB755: xsi_set_current_line(1180, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1180, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB756; + goto LAB1; + +LAB756: xsi_set_current_line(1182, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1182, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB757; + goto LAB1; + +LAB757: xsi_set_current_line(1183, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1183, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB758; + goto LAB1; + +LAB758: xsi_set_current_line(1185, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1185, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB759; + goto LAB1; + +LAB759: xsi_set_current_line(1186, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1186, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB760; + goto LAB1; + +LAB760: xsi_set_current_line(1188, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1188, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB761; + goto LAB1; + +LAB761: xsi_set_current_line(1189, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1189, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB762; + goto LAB1; + +LAB762: xsi_set_current_line(1191, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1191, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB763; + goto LAB1; + +LAB763: xsi_set_current_line(1192, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1192, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB764; + goto LAB1; + +LAB764: xsi_set_current_line(1194, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1194, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB765; + goto LAB1; + +LAB765: xsi_set_current_line(1195, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1195, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB766; + goto LAB1; + +LAB766: xsi_set_current_line(1197, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1197, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB767; + goto LAB1; + +LAB767: xsi_set_current_line(1198, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1198, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB768; + goto LAB1; + +LAB768: xsi_set_current_line(1200, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1200, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB769; + goto LAB1; + +LAB769: xsi_set_current_line(1201, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1201, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB770; + goto LAB1; + +LAB770: xsi_set_current_line(1203, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1203, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB771; + goto LAB1; + +LAB771: xsi_set_current_line(1204, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1204, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB772; + goto LAB1; + +LAB772: xsi_set_current_line(1206, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1206, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB773; + goto LAB1; + +LAB773: xsi_set_current_line(1207, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1207, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB774; + goto LAB1; + +LAB774: xsi_set_current_line(1209, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1209, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB775; + goto LAB1; + +LAB775: xsi_set_current_line(1210, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1210, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB776; + goto LAB1; + +LAB776: xsi_set_current_line(1212, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1212, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB777; + goto LAB1; + +LAB777: xsi_set_current_line(1213, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1213, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB778; + goto LAB1; + +LAB778: xsi_set_current_line(1215, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1215, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB779; + goto LAB1; + +LAB779: xsi_set_current_line(1216, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1216, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB780; + goto LAB1; + +LAB780: xsi_set_current_line(1218, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1218, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB781; + goto LAB1; + +LAB781: xsi_set_current_line(1219, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1219, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB782; + goto LAB1; + +LAB782: xsi_set_current_line(1221, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1221, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB783; + goto LAB1; + +LAB783: xsi_set_current_line(1222, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1222, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB784; + goto LAB1; + +LAB784: xsi_set_current_line(1224, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1224, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB785; + goto LAB1; + +LAB785: xsi_set_current_line(1225, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1225, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB786; + goto LAB1; + +LAB786: xsi_set_current_line(1227, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1227, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB787; + goto LAB1; + +LAB787: xsi_set_current_line(1228, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1228, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB788; + goto LAB1; + +LAB788: xsi_set_current_line(1230, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1230, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB789; + goto LAB1; + +LAB789: xsi_set_current_line(1231, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1231, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB790; + goto LAB1; + +LAB790: xsi_set_current_line(1233, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1233, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB791; + goto LAB1; + +LAB791: xsi_set_current_line(1234, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1234, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB792; + goto LAB1; + +LAB792: xsi_set_current_line(1236, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1236, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB793; + goto LAB1; + +LAB793: xsi_set_current_line(1237, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1237, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB794; + goto LAB1; + +LAB794: xsi_set_current_line(1239, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1239, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB795; + goto LAB1; + +LAB795: xsi_set_current_line(1240, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1240, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB796; + goto LAB1; + +LAB796: xsi_set_current_line(1242, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1242, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB797; + goto LAB1; + +LAB797: xsi_set_current_line(1243, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1243, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB798; + goto LAB1; + +LAB798: xsi_set_current_line(1245, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1245, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB799; + goto LAB1; + +LAB799: xsi_set_current_line(1246, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1246, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB800; + goto LAB1; + +LAB800: xsi_set_current_line(1248, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1248, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB801; + goto LAB1; + +LAB801: xsi_set_current_line(1249, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1249, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB802; + goto LAB1; + +LAB802: xsi_set_current_line(1251, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1251, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB803; + goto LAB1; + +LAB803: xsi_set_current_line(1252, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1252, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB804; + goto LAB1; + +LAB804: xsi_set_current_line(1254, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1254, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB805; + goto LAB1; + +LAB805: xsi_set_current_line(1255, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1255, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB806; + goto LAB1; + +LAB806: xsi_set_current_line(1257, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1257, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB807; + goto LAB1; + +LAB807: xsi_set_current_line(1258, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1258, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB808; + goto LAB1; + +LAB808: xsi_set_current_line(1260, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1260, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB809; + goto LAB1; + +LAB809: xsi_set_current_line(1261, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1261, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB810; + goto LAB1; + +LAB810: xsi_set_current_line(1263, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1263, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB811; + goto LAB1; + +LAB811: xsi_set_current_line(1264, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1264, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB812; + goto LAB1; + +LAB812: xsi_set_current_line(1266, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1266, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB813; + goto LAB1; + +LAB813: xsi_set_current_line(1267, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1267, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB814; + goto LAB1; + +LAB814: xsi_set_current_line(1269, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1269, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB815; + goto LAB1; + +LAB815: xsi_set_current_line(1270, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1270, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB816; + goto LAB1; + +LAB816: xsi_set_current_line(1272, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1272, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB817; + goto LAB1; + +LAB817: xsi_set_current_line(1273, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1273, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB818; + goto LAB1; + +LAB818: xsi_set_current_line(1275, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1275, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB819; + goto LAB1; + +LAB819: xsi_set_current_line(1276, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1276, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB820; + goto LAB1; + +LAB820: xsi_set_current_line(1278, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1278, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB821; + goto LAB1; + +LAB821: xsi_set_current_line(1279, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1279, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB822; + goto LAB1; + +LAB822: xsi_set_current_line(1281, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1281, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB823; + goto LAB1; + +LAB823: xsi_set_current_line(1282, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1282, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB824; + goto LAB1; + +LAB824: xsi_set_current_line(1284, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1284, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB825; + goto LAB1; + +LAB825: xsi_set_current_line(1285, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1285, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB826; + goto LAB1; + +LAB826: xsi_set_current_line(1287, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1287, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB827; + goto LAB1; + +LAB827: xsi_set_current_line(1288, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1288, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB828; + goto LAB1; + +LAB828: xsi_set_current_line(1290, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1290, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB829; + goto LAB1; + +LAB829: xsi_set_current_line(1291, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1291, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB830; + goto LAB1; + +LAB830: xsi_set_current_line(1293, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1293, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB831; + goto LAB1; + +LAB831: xsi_set_current_line(1294, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1294, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB832; + goto LAB1; + +LAB832: xsi_set_current_line(1296, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1296, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB833; + goto LAB1; + +LAB833: xsi_set_current_line(1297, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1297, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB834; + goto LAB1; + +LAB834: xsi_set_current_line(1299, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1299, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB835; + goto LAB1; + +LAB835: xsi_set_current_line(1300, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1300, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB836; + goto LAB1; + +LAB836: xsi_set_current_line(1302, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1302, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB837; + goto LAB1; + +LAB837: xsi_set_current_line(1303, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1303, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB838; + goto LAB1; + +LAB838: xsi_set_current_line(1305, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1305, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB839; + goto LAB1; + +LAB839: xsi_set_current_line(1306, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1306, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB840; + goto LAB1; + +LAB840: xsi_set_current_line(1308, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1308, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB841; + goto LAB1; + +LAB841: xsi_set_current_line(1309, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1309, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB842; + goto LAB1; + +LAB842: xsi_set_current_line(1311, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1311, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB843; + goto LAB1; + +LAB843: xsi_set_current_line(1312, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1312, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB844; + goto LAB1; + +LAB844: xsi_set_current_line(1314, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1314, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB845; + goto LAB1; + +LAB845: xsi_set_current_line(1315, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1315, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB846; + goto LAB1; + +LAB846: xsi_set_current_line(1317, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1317, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB847; + goto LAB1; + +LAB847: xsi_set_current_line(1318, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1318, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB848; + goto LAB1; + +LAB848: xsi_set_current_line(1320, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1320, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB849; + goto LAB1; + +LAB849: xsi_set_current_line(1321, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1321, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB850; + goto LAB1; + +LAB850: xsi_set_current_line(1323, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1323, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB851; + goto LAB1; + +LAB851: xsi_set_current_line(1324, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1324, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB852; + goto LAB1; + +LAB852: xsi_set_current_line(1326, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1326, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB853; + goto LAB1; + +LAB853: xsi_set_current_line(1327, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1327, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB854; + goto LAB1; + +LAB854: xsi_set_current_line(1329, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1329, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB855; + goto LAB1; + +LAB855: xsi_set_current_line(1330, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1330, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB856; + goto LAB1; + +LAB856: xsi_set_current_line(1332, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1332, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB857; + goto LAB1; + +LAB857: xsi_set_current_line(1333, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1333, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB858; + goto LAB1; + +LAB858: xsi_set_current_line(1335, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1335, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB859; + goto LAB1; + +LAB859: xsi_set_current_line(1336, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1336, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB860; + goto LAB1; + +LAB860: xsi_set_current_line(1338, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1338, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB861; + goto LAB1; + +LAB861: xsi_set_current_line(1339, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1339, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB862; + goto LAB1; + +LAB862: xsi_set_current_line(1341, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1341, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB863; + goto LAB1; + +LAB863: xsi_set_current_line(1342, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1342, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB864; + goto LAB1; + +LAB864: xsi_set_current_line(1344, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1344, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB865; + goto LAB1; + +LAB865: xsi_set_current_line(1345, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1345, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB866; + goto LAB1; + +LAB866: xsi_set_current_line(1347, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1347, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB867; + goto LAB1; + +LAB867: xsi_set_current_line(1348, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1348, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB868; + goto LAB1; + +LAB868: xsi_set_current_line(1350, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1350, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB869; + goto LAB1; + +LAB869: xsi_set_current_line(1351, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1351, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB870; + goto LAB1; + +LAB870: xsi_set_current_line(1353, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1353, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB871; + goto LAB1; + +LAB871: xsi_set_current_line(1354, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1354, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB872; + goto LAB1; + +LAB872: xsi_set_current_line(1356, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1356, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB873; + goto LAB1; + +LAB873: xsi_set_current_line(1357, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1357, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB874; + goto LAB1; + +LAB874: xsi_set_current_line(1359, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1359, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB875; + goto LAB1; + +LAB875: xsi_set_current_line(1360, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1360, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB876; + goto LAB1; + +LAB876: xsi_set_current_line(1362, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1362, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB877; + goto LAB1; + +LAB877: xsi_set_current_line(1363, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1363, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB878; + goto LAB1; + +LAB878: xsi_set_current_line(1365, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1365, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB879; + goto LAB1; + +LAB879: xsi_set_current_line(1366, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1366, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB880; + goto LAB1; + +LAB880: xsi_set_current_line(1368, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1368, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB881; + goto LAB1; + +LAB881: xsi_set_current_line(1369, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1369, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB882; + goto LAB1; + +LAB882: xsi_set_current_line(1371, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1371, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB883; + goto LAB1; + +LAB883: xsi_set_current_line(1372, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1372, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB884; + goto LAB1; + +LAB884: xsi_set_current_line(1374, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1374, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB885; + goto LAB1; + +LAB885: xsi_set_current_line(1375, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1375, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB886; + goto LAB1; + +LAB886: xsi_set_current_line(1377, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1377, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB887; + goto LAB1; + +LAB887: xsi_set_current_line(1378, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1378, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB888; + goto LAB1; + +LAB888: xsi_set_current_line(1380, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1380, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB889; + goto LAB1; + +LAB889: xsi_set_current_line(1381, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1381, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB890; + goto LAB1; + +LAB890: xsi_set_current_line(1383, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1383, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB891; + goto LAB1; + +LAB891: xsi_set_current_line(1384, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1384, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB892; + goto LAB1; + +LAB892: xsi_set_current_line(1386, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1386, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB893; + goto LAB1; + +LAB893: xsi_set_current_line(1387, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1387, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB894; + goto LAB1; + +LAB894: xsi_set_current_line(1389, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1389, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB895; + goto LAB1; + +LAB895: xsi_set_current_line(1390, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1390, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB896; + goto LAB1; + +LAB896: xsi_set_current_line(1392, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1392, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB897; + goto LAB1; + +LAB897: xsi_set_current_line(1393, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1393, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB898; + goto LAB1; + +LAB898: xsi_set_current_line(1395, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1395, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB899; + goto LAB1; + +LAB899: xsi_set_current_line(1396, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1396, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB900; + goto LAB1; + +LAB900: xsi_set_current_line(1398, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1398, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB901; + goto LAB1; + +LAB901: xsi_set_current_line(1399, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1399, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB902; + goto LAB1; + +LAB902: xsi_set_current_line(1401, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1401, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB903; + goto LAB1; + +LAB903: xsi_set_current_line(1402, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1402, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB904; + goto LAB1; + +LAB904: xsi_set_current_line(1404, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1404, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB905; + goto LAB1; + +LAB905: xsi_set_current_line(1405, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1405, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB906; + goto LAB1; + +LAB906: xsi_set_current_line(1407, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1407, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB907; + goto LAB1; + +LAB907: xsi_set_current_line(1408, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1408, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB908; + goto LAB1; + +LAB908: xsi_set_current_line(1410, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1410, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB909; + goto LAB1; + +LAB909: xsi_set_current_line(1411, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1411, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB910; + goto LAB1; + +LAB910: xsi_set_current_line(1413, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1413, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB911; + goto LAB1; + +LAB911: xsi_set_current_line(1414, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1414, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB912; + goto LAB1; + +LAB912: xsi_set_current_line(1416, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1416, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB913; + goto LAB1; + +LAB913: xsi_set_current_line(1417, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1417, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB914; + goto LAB1; + +LAB914: xsi_set_current_line(1419, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1419, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB915; + goto LAB1; + +LAB915: xsi_set_current_line(1420, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1420, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB916; + goto LAB1; + +LAB916: xsi_set_current_line(1422, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1422, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB917; + goto LAB1; + +LAB917: xsi_set_current_line(1423, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1423, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB918; + goto LAB1; + +LAB918: xsi_set_current_line(1425, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1425, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB919; + goto LAB1; + +LAB919: xsi_set_current_line(1426, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1426, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB920; + goto LAB1; + +LAB920: xsi_set_current_line(1428, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1428, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB921; + goto LAB1; + +LAB921: xsi_set_current_line(1429, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1429, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB922; + goto LAB1; + +LAB922: xsi_set_current_line(1431, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1431, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB923; + goto LAB1; + +LAB923: xsi_set_current_line(1432, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1432, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB924; + goto LAB1; + +LAB924: xsi_set_current_line(1434, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1434, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB925; + goto LAB1; + +LAB925: xsi_set_current_line(1435, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1435, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB926; + goto LAB1; + +LAB926: xsi_set_current_line(1437, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1437, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB927; + goto LAB1; + +LAB927: xsi_set_current_line(1438, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1438, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB928; + goto LAB1; + +LAB928: xsi_set_current_line(1440, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1440, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB929; + goto LAB1; + +LAB929: xsi_set_current_line(1441, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1441, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB930; + goto LAB1; + +LAB930: xsi_set_current_line(1443, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1443, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB931; + goto LAB1; + +LAB931: xsi_set_current_line(1444, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1444, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB932; + goto LAB1; + +LAB932: xsi_set_current_line(1446, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1446, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB933; + goto LAB1; + +LAB933: xsi_set_current_line(1447, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1447, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB934; + goto LAB1; + +LAB934: xsi_set_current_line(1449, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1449, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB935; + goto LAB1; + +LAB935: xsi_set_current_line(1450, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1450, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB936; + goto LAB1; + +LAB936: xsi_set_current_line(1452, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1452, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB937; + goto LAB1; + +LAB937: xsi_set_current_line(1453, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1453, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB938; + goto LAB1; + +LAB938: xsi_set_current_line(1455, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1455, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB939; + goto LAB1; + +LAB939: xsi_set_current_line(1456, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1456, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB940; + goto LAB1; + +LAB940: xsi_set_current_line(1458, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1458, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB941; + goto LAB1; + +LAB941: xsi_set_current_line(1459, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1459, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB942; + goto LAB1; + +LAB942: xsi_set_current_line(1461, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1461, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB943; + goto LAB1; + +LAB943: xsi_set_current_line(1462, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1462, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB944; + goto LAB1; + +LAB944: xsi_set_current_line(1464, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1464, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB945; + goto LAB1; + +LAB945: xsi_set_current_line(1465, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1465, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB946; + goto LAB1; + +LAB946: xsi_set_current_line(1467, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1467, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB947; + goto LAB1; + +LAB947: xsi_set_current_line(1468, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1468, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB948; + goto LAB1; + +LAB948: xsi_set_current_line(1470, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1470, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB949; + goto LAB1; + +LAB949: xsi_set_current_line(1471, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1471, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB950; + goto LAB1; + +LAB950: xsi_set_current_line(1473, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1473, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB951; + goto LAB1; + +LAB951: xsi_set_current_line(1474, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1474, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB952; + goto LAB1; + +LAB952: xsi_set_current_line(1476, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1476, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB953; + goto LAB1; + +LAB953: xsi_set_current_line(1477, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1477, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB954; + goto LAB1; + +LAB954: xsi_set_current_line(1479, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1479, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB955; + goto LAB1; + +LAB955: xsi_set_current_line(1480, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1480, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB956; + goto LAB1; + +LAB956: xsi_set_current_line(1482, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1482, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB957; + goto LAB1; + +LAB957: xsi_set_current_line(1483, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1483, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB958; + goto LAB1; + +LAB958: xsi_set_current_line(1485, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1485, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB959; + goto LAB1; + +LAB959: xsi_set_current_line(1486, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1486, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB960; + goto LAB1; + +LAB960: xsi_set_current_line(1488, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1488, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB961; + goto LAB1; + +LAB961: xsi_set_current_line(1489, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1489, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB962; + goto LAB1; + +LAB962: xsi_set_current_line(1491, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1491, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB963; + goto LAB1; + +LAB963: xsi_set_current_line(1492, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1492, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB964; + goto LAB1; + +LAB964: xsi_set_current_line(1494, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1494, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB965; + goto LAB1; + +LAB965: xsi_set_current_line(1495, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1495, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB966; + goto LAB1; + +LAB966: xsi_set_current_line(1497, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1497, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB967; + goto LAB1; + +LAB967: xsi_set_current_line(1498, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1498, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB968; + goto LAB1; + +LAB968: xsi_set_current_line(1500, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1500, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB969; + goto LAB1; + +LAB969: xsi_set_current_line(1501, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1501, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB970; + goto LAB1; + +LAB970: xsi_set_current_line(1503, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1503, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB971; + goto LAB1; + +LAB971: xsi_set_current_line(1504, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1504, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB972; + goto LAB1; + +LAB972: xsi_set_current_line(1506, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1506, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB973; + goto LAB1; + +LAB973: xsi_set_current_line(1507, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1507, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB974; + goto LAB1; + +LAB974: xsi_set_current_line(1509, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1509, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB975; + goto LAB1; + +LAB975: xsi_set_current_line(1510, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1510, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB976; + goto LAB1; + +LAB976: xsi_set_current_line(1512, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1512, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB977; + goto LAB1; + +LAB977: xsi_set_current_line(1513, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1513, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB978; + goto LAB1; + +LAB978: xsi_set_current_line(1515, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1515, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB979; + goto LAB1; + +LAB979: xsi_set_current_line(1516, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1516, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB980; + goto LAB1; + +LAB980: xsi_set_current_line(1518, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1518, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB981; + goto LAB1; + +LAB981: xsi_set_current_line(1519, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1519, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB982; + goto LAB1; + +LAB982: xsi_set_current_line(1521, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1521, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB983; + goto LAB1; + +LAB983: xsi_set_current_line(1522, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1522, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB984; + goto LAB1; + +LAB984: xsi_set_current_line(1524, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1524, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB985; + goto LAB1; + +LAB985: xsi_set_current_line(1525, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1525, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB986; + goto LAB1; + +LAB986: xsi_set_current_line(1527, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1527, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB987; + goto LAB1; + +LAB987: xsi_set_current_line(1528, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1528, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB988; + goto LAB1; + +LAB988: xsi_set_current_line(1530, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1530, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB989; + goto LAB1; + +LAB989: xsi_set_current_line(1531, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1531, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB990; + goto LAB1; + +LAB990: xsi_set_current_line(1533, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1533, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB991; + goto LAB1; + +LAB991: xsi_set_current_line(1534, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1534, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB992; + goto LAB1; + +LAB992: xsi_set_current_line(1536, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1536, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB993; + goto LAB1; + +LAB993: xsi_set_current_line(1537, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1537, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB994; + goto LAB1; + +LAB994: xsi_set_current_line(1539, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1539, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB995; + goto LAB1; + +LAB995: xsi_set_current_line(1540, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1540, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB996; + goto LAB1; + +LAB996: xsi_set_current_line(1542, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1542, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB997; + goto LAB1; + +LAB997: xsi_set_current_line(1543, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1543, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB998; + goto LAB1; + +LAB998: xsi_set_current_line(1545, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1545, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB999; + goto LAB1; + +LAB999: xsi_set_current_line(1546, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1546, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1000; + goto LAB1; + +LAB1000: xsi_set_current_line(1548, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1548, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1001; + goto LAB1; + +LAB1001: xsi_set_current_line(1549, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1549, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1002; + goto LAB1; + +LAB1002: xsi_set_current_line(1551, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1551, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1003; + goto LAB1; + +LAB1003: xsi_set_current_line(1552, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1552, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1004; + goto LAB1; + +LAB1004: xsi_set_current_line(1554, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1554, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1005; + goto LAB1; + +LAB1005: xsi_set_current_line(1555, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1555, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1006; + goto LAB1; + +LAB1006: xsi_set_current_line(1557, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1557, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1007; + goto LAB1; + +LAB1007: xsi_set_current_line(1558, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1558, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1008; + goto LAB1; + +LAB1008: xsi_set_current_line(1560, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1560, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1009; + goto LAB1; + +LAB1009: xsi_set_current_line(1561, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1561, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1010; + goto LAB1; + +LAB1010: xsi_set_current_line(1563, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1563, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1011; + goto LAB1; + +LAB1011: xsi_set_current_line(1564, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1564, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1012; + goto LAB1; + +LAB1012: xsi_set_current_line(1566, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1566, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1013; + goto LAB1; + +LAB1013: xsi_set_current_line(1567, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1567, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1014; + goto LAB1; + +LAB1014: xsi_set_current_line(1569, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1569, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1015; + goto LAB1; + +LAB1015: xsi_set_current_line(1570, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1570, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1016; + goto LAB1; + +LAB1016: xsi_set_current_line(1572, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1572, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1017; + goto LAB1; + +LAB1017: xsi_set_current_line(1573, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1573, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1018; + goto LAB1; + +LAB1018: xsi_set_current_line(1575, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1575, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1019; + goto LAB1; + +LAB1019: xsi_set_current_line(1576, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1576, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1020; + goto LAB1; + +LAB1020: xsi_set_current_line(1578, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1578, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1021; + goto LAB1; + +LAB1021: xsi_set_current_line(1579, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1579, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1022; + goto LAB1; + +LAB1022: xsi_set_current_line(1581, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1581, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1023; + goto LAB1; + +LAB1023: xsi_set_current_line(1582, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1582, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1024; + goto LAB1; + +LAB1024: xsi_set_current_line(1584, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1584, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1025; + goto LAB1; + +LAB1025: xsi_set_current_line(1585, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1585, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1026; + goto LAB1; + +LAB1026: xsi_set_current_line(1587, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1587, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1027; + goto LAB1; + +LAB1027: xsi_set_current_line(1588, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1588, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1028; + goto LAB1; + +LAB1028: xsi_set_current_line(1590, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1590, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1029; + goto LAB1; + +LAB1029: xsi_set_current_line(1591, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1591, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1030; + goto LAB1; + +LAB1030: xsi_set_current_line(1593, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1593, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1031; + goto LAB1; + +LAB1031: xsi_set_current_line(1594, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1594, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1032; + goto LAB1; + +LAB1032: xsi_set_current_line(1596, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1596, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1033; + goto LAB1; + +LAB1033: xsi_set_current_line(1597, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1597, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1034; + goto LAB1; + +LAB1034: xsi_set_current_line(1599, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1599, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1035; + goto LAB1; + +LAB1035: xsi_set_current_line(1600, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1600, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1036; + goto LAB1; + +LAB1036: xsi_set_current_line(1602, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1602, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1037; + goto LAB1; + +LAB1037: xsi_set_current_line(1603, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1603, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1038; + goto LAB1; + +LAB1038: xsi_set_current_line(1605, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1605, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1039; + goto LAB1; + +LAB1039: xsi_set_current_line(1606, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1606, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1040; + goto LAB1; + +LAB1040: xsi_set_current_line(1608, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1608, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1041; + goto LAB1; + +LAB1041: xsi_set_current_line(1609, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1609, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1042; + goto LAB1; + +LAB1042: xsi_set_current_line(1611, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1611, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1043; + goto LAB1; + +LAB1043: xsi_set_current_line(1612, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1612, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1044; + goto LAB1; + +LAB1044: xsi_set_current_line(1614, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1614, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1045; + goto LAB1; + +LAB1045: xsi_set_current_line(1615, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1615, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1046; + goto LAB1; + +LAB1046: xsi_set_current_line(1617, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1617, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1047; + goto LAB1; + +LAB1047: xsi_set_current_line(1618, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1618, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1048; + goto LAB1; + +LAB1048: xsi_set_current_line(1620, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1620, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1049; + goto LAB1; + +LAB1049: xsi_set_current_line(1621, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1621, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1050; + goto LAB1; + +LAB1050: xsi_set_current_line(1623, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1623, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1051; + goto LAB1; + +LAB1051: xsi_set_current_line(1624, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1624, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1052; + goto LAB1; + +LAB1052: xsi_set_current_line(1626, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1626, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1053; + goto LAB1; + +LAB1053: xsi_set_current_line(1627, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1627, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1054; + goto LAB1; + +LAB1054: xsi_set_current_line(1629, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1629, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1055; + goto LAB1; + +LAB1055: xsi_set_current_line(1630, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1630, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1056; + goto LAB1; + +LAB1056: xsi_set_current_line(1632, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1632, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1057; + goto LAB1; + +LAB1057: xsi_set_current_line(1633, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1633, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1058; + goto LAB1; + +LAB1058: xsi_set_current_line(1635, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1635, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1059; + goto LAB1; + +LAB1059: xsi_set_current_line(1636, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1636, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1060; + goto LAB1; + +LAB1060: xsi_set_current_line(1638, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1638, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1061; + goto LAB1; + +LAB1061: xsi_set_current_line(1639, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1639, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1062; + goto LAB1; + +LAB1062: xsi_set_current_line(1641, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1641, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1063; + goto LAB1; + +LAB1063: xsi_set_current_line(1642, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1642, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1064; + goto LAB1; + +LAB1064: xsi_set_current_line(1644, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1644, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1065; + goto LAB1; + +LAB1065: xsi_set_current_line(1645, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1645, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1066; + goto LAB1; + +LAB1066: xsi_set_current_line(1647, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1647, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1067; + goto LAB1; + +LAB1067: xsi_set_current_line(1648, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1648, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1068; + goto LAB1; + +LAB1068: xsi_set_current_line(1650, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1650, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1069; + goto LAB1; + +LAB1069: xsi_set_current_line(1651, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1651, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1070; + goto LAB1; + +LAB1070: xsi_set_current_line(1653, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1653, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1071; + goto LAB1; + +LAB1071: xsi_set_current_line(1654, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1654, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1072; + goto LAB1; + +LAB1072: xsi_set_current_line(1656, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1656, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1073; + goto LAB1; + +LAB1073: xsi_set_current_line(1657, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1657, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1074; + goto LAB1; + +LAB1074: xsi_set_current_line(1659, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1659, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1075; + goto LAB1; + +LAB1075: xsi_set_current_line(1660, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1660, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1076; + goto LAB1; + +LAB1076: xsi_set_current_line(1662, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1662, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1077; + goto LAB1; + +LAB1077: xsi_set_current_line(1663, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1663, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1078; + goto LAB1; + +LAB1078: xsi_set_current_line(1665, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1665, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1079; + goto LAB1; + +LAB1079: xsi_set_current_line(1666, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1666, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1080; + goto LAB1; + +LAB1080: xsi_set_current_line(1668, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1668, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1081; + goto LAB1; + +LAB1081: xsi_set_current_line(1669, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1669, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1082; + goto LAB1; + +LAB1082: xsi_set_current_line(1671, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1671, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1083; + goto LAB1; + +LAB1083: xsi_set_current_line(1672, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1672, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1084; + goto LAB1; + +LAB1084: xsi_set_current_line(1674, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1674, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1085; + goto LAB1; + +LAB1085: xsi_set_current_line(1675, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1675, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1086; + goto LAB1; + +LAB1086: xsi_set_current_line(1677, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1677, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1087; + goto LAB1; + +LAB1087: xsi_set_current_line(1678, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1678, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1088; + goto LAB1; + +LAB1088: xsi_set_current_line(1680, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1680, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1089; + goto LAB1; + +LAB1089: xsi_set_current_line(1681, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1681, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1090; + goto LAB1; + +LAB1090: xsi_set_current_line(1683, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1683, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1091; + goto LAB1; + +LAB1091: xsi_set_current_line(1684, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1684, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1092; + goto LAB1; + +LAB1092: xsi_set_current_line(1686, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1686, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1093; + goto LAB1; + +LAB1093: xsi_set_current_line(1687, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1687, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1094; + goto LAB1; + +LAB1094: xsi_set_current_line(1689, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1689, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1095; + goto LAB1; + +LAB1095: xsi_set_current_line(1690, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1690, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1096; + goto LAB1; + +LAB1096: xsi_set_current_line(1692, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1692, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1097; + goto LAB1; + +LAB1097: xsi_set_current_line(1693, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1693, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1098; + goto LAB1; + +LAB1098: xsi_set_current_line(1695, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1695, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1099; + goto LAB1; + +LAB1099: xsi_set_current_line(1696, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1696, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1100; + goto LAB1; + +LAB1100: xsi_set_current_line(1698, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1698, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1101; + goto LAB1; + +LAB1101: xsi_set_current_line(1699, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1699, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1102; + goto LAB1; + +LAB1102: xsi_set_current_line(1701, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1701, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1103; + goto LAB1; + +LAB1103: xsi_set_current_line(1702, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1702, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1104; + goto LAB1; + +LAB1104: xsi_set_current_line(1704, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1704, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1105; + goto LAB1; + +LAB1105: xsi_set_current_line(1705, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1705, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1106; + goto LAB1; + +LAB1106: xsi_set_current_line(1707, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1707, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1107; + goto LAB1; + +LAB1107: xsi_set_current_line(1708, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1708, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1108; + goto LAB1; + +LAB1108: xsi_set_current_line(1710, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1710, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1109; + goto LAB1; + +LAB1109: xsi_set_current_line(1711, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1711, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1110; + goto LAB1; + +LAB1110: xsi_set_current_line(1713, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1713, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1111; + goto LAB1; + +LAB1111: xsi_set_current_line(1714, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1714, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1112; + goto LAB1; + +LAB1112: xsi_set_current_line(1716, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1716, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1113; + goto LAB1; + +LAB1113: xsi_set_current_line(1717, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1717, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1114; + goto LAB1; + +LAB1114: xsi_set_current_line(1719, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1719, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1115; + goto LAB1; + +LAB1115: xsi_set_current_line(1720, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1720, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1116; + goto LAB1; + +LAB1116: xsi_set_current_line(1722, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1722, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1117; + goto LAB1; + +LAB1117: xsi_set_current_line(1723, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1723, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1118; + goto LAB1; + +LAB1118: xsi_set_current_line(1725, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1725, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1119; + goto LAB1; + +LAB1119: xsi_set_current_line(1726, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1726, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1120; + goto LAB1; + +LAB1120: xsi_set_current_line(1728, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1728, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1121; + goto LAB1; + +LAB1121: xsi_set_current_line(1729, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1729, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1122; + goto LAB1; + +LAB1122: xsi_set_current_line(1731, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1731, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1123; + goto LAB1; + +LAB1123: xsi_set_current_line(1732, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1732, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1124; + goto LAB1; + +LAB1124: xsi_set_current_line(1734, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1734, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1125; + goto LAB1; + +LAB1125: xsi_set_current_line(1735, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1735, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1126; + goto LAB1; + +LAB1126: xsi_set_current_line(1737, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1737, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1127; + goto LAB1; + +LAB1127: xsi_set_current_line(1738, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1738, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1128; + goto LAB1; + +LAB1128: xsi_set_current_line(1740, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1740, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1129; + goto LAB1; + +LAB1129: xsi_set_current_line(1741, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1741, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1130; + goto LAB1; + +LAB1130: xsi_set_current_line(1743, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1743, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1131; + goto LAB1; + +LAB1131: xsi_set_current_line(1744, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1744, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1132; + goto LAB1; + +LAB1132: xsi_set_current_line(1746, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1746, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1133; + goto LAB1; + +LAB1133: xsi_set_current_line(1747, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1747, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1134; + goto LAB1; + +LAB1134: xsi_set_current_line(1749, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1749, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1135; + goto LAB1; + +LAB1135: xsi_set_current_line(1750, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1750, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1136; + goto LAB1; + +LAB1136: xsi_set_current_line(1752, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1752, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1137; + goto LAB1; + +LAB1137: xsi_set_current_line(1753, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1753, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1138; + goto LAB1; + +LAB1138: xsi_set_current_line(1755, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1755, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1139; + goto LAB1; + +LAB1139: xsi_set_current_line(1756, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1756, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1140; + goto LAB1; + +LAB1140: xsi_set_current_line(1758, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1758, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1141; + goto LAB1; + +LAB1141: xsi_set_current_line(1759, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1759, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1142; + goto LAB1; + +LAB1142: xsi_set_current_line(1759, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1761, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1761, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1143; + goto LAB1; + +LAB1143: xsi_set_current_line(1762, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1762, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1144; + goto LAB1; + +LAB1144: xsi_set_current_line(1764, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1764, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1145; + goto LAB1; + +LAB1145: xsi_set_current_line(1765, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1765, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1146; + goto LAB1; + +LAB1146: xsi_set_current_line(1765, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1767, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1767, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1147; + goto LAB1; + +LAB1147: xsi_set_current_line(1768, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1768, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1148; + goto LAB1; + +LAB1148: xsi_set_current_line(1770, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1770, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1149; + goto LAB1; + +LAB1149: xsi_set_current_line(1771, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1771, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1150; + goto LAB1; + +LAB1150: xsi_set_current_line(1773, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1773, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1151; + goto LAB1; + +LAB1151: xsi_set_current_line(1774, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1774, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1152; + goto LAB1; + +LAB1152: xsi_set_current_line(1776, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1776, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1153; + goto LAB1; + +LAB1153: xsi_set_current_line(1777, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1777, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1154; + goto LAB1; + +LAB1154: xsi_set_current_line(1779, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1779, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1155; + goto LAB1; + +LAB1155: xsi_set_current_line(1780, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1780, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1156; + goto LAB1; + +LAB1156: xsi_set_current_line(1782, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1782, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1157; + goto LAB1; + +LAB1157: xsi_set_current_line(1783, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1783, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1158; + goto LAB1; + +LAB1158: xsi_set_current_line(1785, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1785, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1159; + goto LAB1; + +LAB1159: xsi_set_current_line(1786, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1786, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1160; + goto LAB1; + +LAB1160: xsi_set_current_line(1788, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1788, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1161; + goto LAB1; + +LAB1161: xsi_set_current_line(1789, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1789, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1162; + goto LAB1; + +LAB1162: xsi_set_current_line(1791, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1791, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1163; + goto LAB1; + +LAB1163: xsi_set_current_line(1792, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1792, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1164; + goto LAB1; + +LAB1164: xsi_set_current_line(1794, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1794, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1165; + goto LAB1; + +LAB1165: xsi_set_current_line(1795, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1795, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1166; + goto LAB1; + +LAB1166: xsi_set_current_line(1797, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1797, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1167; + goto LAB1; + +LAB1167: xsi_set_current_line(1798, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1798, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1168; + goto LAB1; + +LAB1168: xsi_set_current_line(1800, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1800, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1169; + goto LAB1; + +LAB1169: xsi_set_current_line(1801, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1801, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1170; + goto LAB1; + +LAB1170: xsi_set_current_line(1803, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1803, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1171; + goto LAB1; + +LAB1171: xsi_set_current_line(1804, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1804, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1172; + goto LAB1; + +LAB1172: xsi_set_current_line(1806, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1806, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1173; + goto LAB1; + +LAB1173: xsi_set_current_line(1807, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1807, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1174; + goto LAB1; + +LAB1174: xsi_set_current_line(1809, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1809, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1175; + goto LAB1; + +LAB1175: xsi_set_current_line(1810, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1810, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1176; + goto LAB1; + +LAB1176: xsi_set_current_line(1812, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1812, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1177; + goto LAB1; + +LAB1177: xsi_set_current_line(1813, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1813, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1178; + goto LAB1; + +LAB1178: xsi_set_current_line(1815, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1815, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1179; + goto LAB1; + +LAB1179: xsi_set_current_line(1816, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1816, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1180; + goto LAB1; + +LAB1180: xsi_set_current_line(1818, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1818, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1181; + goto LAB1; + +LAB1181: xsi_set_current_line(1819, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1819, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1182; + goto LAB1; + +LAB1182: xsi_set_current_line(1821, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1821, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1183; + goto LAB1; + +LAB1183: xsi_set_current_line(1822, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1822, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1184; + goto LAB1; + +LAB1184: xsi_set_current_line(1824, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1824, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1185; + goto LAB1; + +LAB1185: xsi_set_current_line(1825, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1825, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1186; + goto LAB1; + +LAB1186: xsi_set_current_line(1827, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1827, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1187; + goto LAB1; + +LAB1187: xsi_set_current_line(1828, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1828, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1188; + goto LAB1; + +LAB1188: xsi_set_current_line(1830, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1830, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1189; + goto LAB1; + +LAB1189: xsi_set_current_line(1831, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1831, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1190; + goto LAB1; + +LAB1190: xsi_set_current_line(1833, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1833, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1191; + goto LAB1; + +LAB1191: xsi_set_current_line(1834, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1834, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1192; + goto LAB1; + +LAB1192: xsi_set_current_line(1836, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1836, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1193; + goto LAB1; + +LAB1193: xsi_set_current_line(1837, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1837, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1194; + goto LAB1; + +LAB1194: xsi_set_current_line(1839, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1839, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1195; + goto LAB1; + +LAB1195: xsi_set_current_line(1840, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1840, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1196; + goto LAB1; + +LAB1196: xsi_set_current_line(1842, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1842, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1197; + goto LAB1; + +LAB1197: xsi_set_current_line(1843, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1843, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1198; + goto LAB1; + +LAB1198: xsi_set_current_line(1845, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1845, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1199; + goto LAB1; + +LAB1199: xsi_set_current_line(1846, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1846, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1200; + goto LAB1; + +LAB1200: xsi_set_current_line(1848, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1848, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1201; + goto LAB1; + +LAB1201: xsi_set_current_line(1849, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1849, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1202; + goto LAB1; + +LAB1202: xsi_set_current_line(1851, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1851, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1203; + goto LAB1; + +LAB1203: xsi_set_current_line(1852, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1852, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1204; + goto LAB1; + +LAB1204: xsi_set_current_line(1854, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1854, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1205; + goto LAB1; + +LAB1205: xsi_set_current_line(1855, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1855, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1206; + goto LAB1; + +LAB1206: xsi_set_current_line(1857, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1857, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1207; + goto LAB1; + +LAB1207: xsi_set_current_line(1858, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1858, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1208; + goto LAB1; + +LAB1208: xsi_set_current_line(1860, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1860, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1209; + goto LAB1; + +LAB1209: xsi_set_current_line(1861, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1861, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1210; + goto LAB1; + +LAB1210: xsi_set_current_line(1863, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1863, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1211; + goto LAB1; + +LAB1211: xsi_set_current_line(1864, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1864, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1212; + goto LAB1; + +LAB1212: xsi_set_current_line(1866, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1866, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1213; + goto LAB1; + +LAB1213: xsi_set_current_line(1867, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1867, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1214; + goto LAB1; + +LAB1214: xsi_set_current_line(1869, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1869, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1215; + goto LAB1; + +LAB1215: xsi_set_current_line(1870, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1870, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1216; + goto LAB1; + +LAB1216: xsi_set_current_line(1872, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1872, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1217; + goto LAB1; + +LAB1217: xsi_set_current_line(1873, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1873, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1218; + goto LAB1; + +LAB1218: xsi_set_current_line(1875, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1875, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1219; + goto LAB1; + +LAB1219: xsi_set_current_line(1876, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1876, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1220; + goto LAB1; + +LAB1220: xsi_set_current_line(1878, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1878, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1221; + goto LAB1; + +LAB1221: xsi_set_current_line(1879, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1879, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1222; + goto LAB1; + +LAB1222: xsi_set_current_line(1881, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1881, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1223; + goto LAB1; + +LAB1223: xsi_set_current_line(1882, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1882, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1224; + goto LAB1; + +LAB1224: xsi_set_current_line(1884, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1884, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1225; + goto LAB1; + +LAB1225: xsi_set_current_line(1885, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1885, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1226; + goto LAB1; + +LAB1226: xsi_set_current_line(1887, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1887, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1227; + goto LAB1; + +LAB1227: xsi_set_current_line(1888, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1888, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1228; + goto LAB1; + +LAB1228: xsi_set_current_line(1890, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1890, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1229; + goto LAB1; + +LAB1229: xsi_set_current_line(1891, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1891, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1230; + goto LAB1; + +LAB1230: xsi_set_current_line(1893, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1893, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1231; + goto LAB1; + +LAB1231: xsi_set_current_line(1894, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1894, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1232; + goto LAB1; + +LAB1232: xsi_set_current_line(1896, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1896, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1233; + goto LAB1; + +LAB1233: xsi_set_current_line(1897, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1897, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1234; + goto LAB1; + +LAB1234: xsi_set_current_line(1899, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1899, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1235; + goto LAB1; + +LAB1235: xsi_set_current_line(1900, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1900, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1236; + goto LAB1; + +LAB1236: xsi_set_current_line(1902, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1902, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1237; + goto LAB1; + +LAB1237: xsi_set_current_line(1903, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1903, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1238; + goto LAB1; + +LAB1238: xsi_set_current_line(1905, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1905, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1239; + goto LAB1; + +LAB1239: xsi_set_current_line(1906, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1906, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1240; + goto LAB1; + +LAB1240: xsi_set_current_line(1908, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1908, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1241; + goto LAB1; + +LAB1241: xsi_set_current_line(1909, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1909, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1242; + goto LAB1; + +LAB1242: xsi_set_current_line(1911, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1911, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1243; + goto LAB1; + +LAB1243: xsi_set_current_line(1912, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1912, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1244; + goto LAB1; + +LAB1244: xsi_set_current_line(1914, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1914, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1245; + goto LAB1; + +LAB1245: xsi_set_current_line(1915, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1915, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1246; + goto LAB1; + +LAB1246: xsi_set_current_line(1917, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1917, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1247; + goto LAB1; + +LAB1247: xsi_set_current_line(1918, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1918, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1248; + goto LAB1; + +LAB1248: xsi_set_current_line(1920, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1920, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1249; + goto LAB1; + +LAB1249: xsi_set_current_line(1921, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1921, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1250; + goto LAB1; + +LAB1250: xsi_set_current_line(1923, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1923, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1251; + goto LAB1; + +LAB1251: xsi_set_current_line(1924, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1924, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1252; + goto LAB1; + +LAB1252: xsi_set_current_line(1926, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1926, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1253; + goto LAB1; + +LAB1253: xsi_set_current_line(1927, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1927, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1254; + goto LAB1; + +LAB1254: xsi_set_current_line(1929, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1929, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1255; + goto LAB1; + +LAB1255: xsi_set_current_line(1930, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1930, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1256; + goto LAB1; + +LAB1256: xsi_set_current_line(1932, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1932, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1257; + goto LAB1; + +LAB1257: xsi_set_current_line(1933, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1933, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1258; + goto LAB1; + +LAB1258: xsi_set_current_line(1935, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1935, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1259; + goto LAB1; + +LAB1259: xsi_set_current_line(1936, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1936, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1260; + goto LAB1; + +LAB1260: xsi_set_current_line(1938, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1938, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1261; + goto LAB1; + +LAB1261: xsi_set_current_line(1939, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1939, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1262; + goto LAB1; + +LAB1262: xsi_set_current_line(1941, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1941, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1263; + goto LAB1; + +LAB1263: xsi_set_current_line(1942, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1942, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1264; + goto LAB1; + +LAB1264: xsi_set_current_line(1944, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1944, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1265; + goto LAB1; + +LAB1265: xsi_set_current_line(1945, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1945, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1266; + goto LAB1; + +LAB1266: xsi_set_current_line(1947, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1947, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1267; + goto LAB1; + +LAB1267: xsi_set_current_line(1948, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1948, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1268; + goto LAB1; + +LAB1268: xsi_set_current_line(1950, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1950, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1269; + goto LAB1; + +LAB1269: xsi_set_current_line(1951, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1951, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1270; + goto LAB1; + +LAB1270: xsi_set_current_line(1953, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1953, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1271; + goto LAB1; + +LAB1271: xsi_set_current_line(1954, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1954, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1272; + goto LAB1; + +LAB1272: xsi_set_current_line(1956, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1956, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1273; + goto LAB1; + +LAB1273: xsi_set_current_line(1957, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1957, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1274; + goto LAB1; + +LAB1274: xsi_set_current_line(1959, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1959, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1275; + goto LAB1; + +LAB1275: xsi_set_current_line(1960, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1960, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1276; + goto LAB1; + +LAB1276: xsi_set_current_line(1962, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1962, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1277; + goto LAB1; + +LAB1277: xsi_set_current_line(1963, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1963, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1278; + goto LAB1; + +LAB1278: xsi_set_current_line(1965, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1965, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1279; + goto LAB1; + +LAB1279: xsi_set_current_line(1966, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1966, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1280; + goto LAB1; + +LAB1280: xsi_set_current_line(1968, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1968, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1281; + goto LAB1; + +LAB1281: xsi_set_current_line(1969, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1969, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1282; + goto LAB1; + +LAB1282: xsi_set_current_line(1971, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1971, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1283; + goto LAB1; + +LAB1283: xsi_set_current_line(1972, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1972, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1284; + goto LAB1; + +LAB1284: xsi_set_current_line(1974, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1974, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1285; + goto LAB1; + +LAB1285: xsi_set_current_line(1975, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1975, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1286; + goto LAB1; + +LAB1286: xsi_set_current_line(1977, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1977, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1287; + goto LAB1; + +LAB1287: xsi_set_current_line(1978, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1978, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1288; + goto LAB1; + +LAB1288: xsi_set_current_line(1980, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1980, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1289; + goto LAB1; + +LAB1289: xsi_set_current_line(1981, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1981, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1290; + goto LAB1; + +LAB1290: xsi_set_current_line(1983, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1983, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1291; + goto LAB1; + +LAB1291: xsi_set_current_line(1984, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1984, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1292; + goto LAB1; + +LAB1292: xsi_set_current_line(1986, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1986, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1293; + goto LAB1; + +LAB1293: xsi_set_current_line(1987, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 1928); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(1987, ng0); + t2 = (t0 + 2976); + xsi_process_wait(t2, 20000LL); + *((char **)t1) = &&LAB1294; + goto LAB1; + +LAB1294: goto LAB1; + +} + + +extern void work_m_00000000001129268806_2975551742_init() +{ + static char *pe[] = {(void *)Initial_49_0}; + xsi_register_didat("work_m_00000000001129268806_2975551742", "isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.didat b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.didat new file mode 100644 index 0000000000000000000000000000000000000000..7a427ce3e543c683a1332f39ddc2d2bc05e25fe1 GIT binary patch literal 8760 zcmeI%d7MsV-vIEtWJyYtw2`fpFpG6ima%VT%TgMQ!PuD@YebPHLSz?-QY5=lN~yGH zFH0LrX(OVPisyT2?&mXk>v?*gr@!8}-{TXG!qCB%A!u)59QJ0hBQQ&yJ&=bU@A_grsZTBEq4mP*^ie0t5lbheM0)LB09$J zwFz~3!%2zScCxl@@N4nOZM6LN((osXo`04ue=3`()d>;iBjmz1T6(RmIF^teIe2!M>6I;BxqpDq5EV-!ARjK6pPf6PEwv(X6outuX1EgyDDd361S z`akM(+bMmr9w+pR9wVe-Kg1ZamS;UqsLxs#_Cwr7*7C6Sqwz=QHdBK?>J$2At&5H| z|CI9Ry)jzM|mnzi3F-pjqu!8of_04kvi0+KHtxeE|!(pT#^txb_}mDa%;SqlD{e6>C__dLCl~Pq2}v z*u*nz<~d$q3or39;Zf*SUgr(oB3!5MvW*?=IzOAusvJ&-oOfAQy5mmr#hp6rm`^DZv$# zEyDj$b*M*u8q$a+H064l(SnxTKx^94j+#f5C%x%IKl+nS1_K$y5QZ|0;f!D;w=;@67{fTmGl7XrVlsC#m3x@Z zOlC2Mx!lWq?qeZ~Sj>Yg;bE5Y2+LW)N>;Omb*$%cHt-}Hd74c;%VwVE1zzMOUSTV* z@j7qv7Vq#b+u6Z;yw8Vx#BTQR348gBeSE=}e9eBo;UM2~nD6+WANY}<_?hFJ;Iz}N zRn8zgImtzC&f;v&;au`@9_Mob1-Xcexs*a&MiGipoXfd_E4hlRDNR{oh$EizRG<=- zsX|q*;aX}?lSFD$mwGgyA&qImbzDz#TF{CcXhU0WxlGbwZ>{I=4a9`vF& zed$LU=?q{XgBijt4C7Wta2vNXnmZWFIPPQucQJ`6+|4xZVFojq%^c=&FAKPj`&q;T zJjg>l%rYL~QC6^u)vRS5kMTH9@FY+1G|%uX&+$B4c#)TRg;#lvH+Yk`d53LmXD9FR z0Uxr9-F(a^e9C8h&KG>e*Bsy*4)HBV_>QCez%hQ}7mgFjX04KqGsrfHJjzN|v4*v* z=P@?$1RHsZO+3S9p5q0!@DeYxl~;M4H+YM;d6#YMU?=bM0Uxo8J$%ewK4l-D^Ce%g zp937^5QjO!_Z;O%j`1_UaDwnd&FN$#J2}Y3nVdx)&LJ=P$j|u{pdc4=F_%z?!W5w> z#VNrRl;kQ(QJONu5KBDes6a(3lR#CfaV^!UNiAwqhkDefA&qE4Q?92OEojLNw5BcX zxQX^8(Sc4RlR_7|kxCDG(wjc?qd)0nFpxnEVJO2G&Im?wJEORRF^pq86PU;(CUZAa zxrgb@WEOLn%e~C!J{Gcw#XQIo9%d}C(2u$Rx+#}|Cb*X-vT4)QIB`Ht`TfgkyapE=G6 zPRnksat7JSNiK487H1Rw{|WCx@^K#La{&dph>N+DLR>}>icy@)xq>UXimNG2Sz?GI zp7K|!?`^9i5w8K3h7U-2~u_=ZD#%MrfgC_iwFpZJC2L~>ZGWaA8SkdrgX zO&-oBFXxh<^C-XtT*yUS!le}EGKx}+5?oG6uA~%KQ--p{5=S}8Q;|v}P=#t-Lv?CU zi$v;Bm-;lI5shiebu^}`7PYBEJ?hhtMl_)**VBv^ zgm>aM(3-ZiBfKMTPZAyIL^3IKp&P06peMcQLqGbHP6h)R#1MuujNy!6B)2n)I~c<_ z#uMIuPh=94xtpon!*pgci#g2YUgmQj3t7Zs9%Km*vy?|z&I(qtnl-FrJ&&`2C)vo; zY~ooqGoVz9NMefhCHk(Q~w zQoI_h8O_v+{HD)u8)pvkKl}7biv4HACsdA)uT-&IY^9{Qgt&xqag{43l#gU&q(=t! z>E9#i-#2a0J2gGEW6z}W2}!a4`82qqem7FnQhWV6S4kn8)G4J~*_1&kWkYc|!I-Qk k7#A0x5MQx!<=Bcz@d=eGRHzVFseF9d&Z(U{ria1*0gRB{9smFU literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.nt64.obj b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000001129268806_2975551742.nt64.obj new file mode 100644 index 0000000000000000000000000000000000000000..5df15a2aa9ffc3447b3c3792e541f44f76f65606 GIT binary patch literal 204304 zcmbTf3Am1B8@9cS5h@`hQ78@OF+=7oLWq*cJY}AuNQI1<5|W4pO;V&nDMe8#Dx#7~ zgJ_;hgYP)k;kp0kc(}y}gwbmU-7EI)F{($}>q>(=oS8jiBHot$&tBayqwVI3 zJx7krIynBfQ_}z8INxlq8=-G-GoFpY+e`l+-d@AZNne-GoK?h*GiMcOi!Y9){=v?U z|HGuM<+tFK{WGU`DO)*n`lzzCGpCO)+c0zbpt6~*ruQz}wiT#TD?I3)IekawtTo;9 z1_DNMjPy~(R%xPtLX<@P5+_Q%nDgY$M%?84SZ~G=B%0J@#gt+j8-$tSs6E#Wu&M6?ShV{@J>R^IJU>!i&C}!$NTqxdG)`)Z>QjW zOS^_Tkkj9{|3^lz!9?d89ync6_2Q|lMf}vqjhpDC>S)S7rT^0^6P?t~;x;?-UJ_5~DifVlYfWXwQ+Q?CDifX5!P8__i+D;`ndqd(XzJ2<3a?CCWulYHuc=n? zl&&(-Nv$X%7l?l`MgK31SEj8p(Mi?R)MfFMt}@X{?IcdA@qr+7+Nndqci zXsUBOg;%DnGSNvLC@8D0j;C~$iB4*yrn<&ccxBou6P;8ZOaFVR*8&Bbt zX{$_hQd2b5FP_p>COWASni>#K;gxBtOmtFD6p#zNHlET|COWBRni>>O;gxBtOmtH3 z=a*H3<0)NbqLUh`siE-{UYWMaL?@L~Q^VpZU1g$^TAWWVa6~+XSEj8p(MeU*l>G?R zfBHJmL?^Z7L|HXDp292BR+;FeI%(> zL?=~LQ{&<(yfST-iB4)&9=X8r@szGI(MdJZ)P#5nuS{EIqLX^}1X*=cJf*8lbW#H~ zbxS;jSEj8p(Me@!YGOR4t4wrK3v$Z^PL8MW%CuD`I;rzDb!$AOt4wrKFXWO{Q{yST zGHsQKPO5{Zro~gb%0wr1IH#aGoI2_COWA?nwk|);gxBtOmtEY z<&X=U9Z%^h6P;9jP0fv`@XEARCOWCNv&*V^@szGI(Mk2w)ckk~uS{EIqLcb7o2 z%0wsi`LS&2tL~1c@XEARCOWAbG_^FI(p4rpsgpHzPdtTJrmZs3N!|aCT;OsT|LJ=o zCOWB$G<9!0g;%DnGSNxB`M0dPFP_qmm5ENOm!=+wr|`*6WAGHsQK zPU^MaWz|#hl&&(-Np;iIGw~E&nYPMAC-w7hvTA)irK?PIQqwiHF`mLJ(^i@2q|VgT zv+m^ zEhZD4)Cro}5>MfkX{$_hQg{C>7q~T^(vOviPO6HgUWuph%CuD`I;mHFl2zN{DP3iv zle$_{uf|h&W!fqeoz(X~%Bt7mDP3ivle$$?ug6n(W!fqeoz&@?dLy3FRVF&A$A6Fu z+!;^dm1(O?bW%+405Q+Q?CDifX5X`1>dp3+q&I;ls$mJ9qOp292BR+;Fe8fxlb zJf*8lbW(f1l2xC@Q+Q?CDifX5wVL`op3+q&I;mqvWYwW~3a?CCWulY1LsMVIQ@YAT zCv~2tj>J=VW!fqeoz(M(<^eG^aNm1(O?bW&e_DXYGXr*xHxPU=QY zeIHNZm1(O?bW*2k>W6qrSDEOf9y}x$_)|QESEj8p(Mi?Q)X(vht}@X{z4e8x`Zb=y zE7Mk)=%o5;>bH1GSDEOf{`_24{Si;$m1(O?bW(FQbu^yRRVF&Aa+>-pp292BR+;Fe zHhv}-_;);|t4wrKmuc!)JcU=LtuoO`efFuW%EBEKCw0B1vd2@p%0wr1lBROR zQ~I$o(MjERP%bc6Jf*8lbW*i7l{=o&RVF&AH$IV7dEzNuWulYnsj0m2l&&(-N&WV* ztjZTp=_(VQ)NPu|A5ZBj6P?sqnmQ?-(p4rpsi!}Z3p_cV(p4rpsY^9gFrLy?COWB) z56G%h<0)NbqLUh}slxG;t}@X{ov5iI@szGI(MjF&pDifX5%X?+jh4GZGGSNwO)>MUfN>`caq`rGsR#l3p zbd`xtYOL?=~TQ&r`caqy}rMc08r4OmtG&HFZ%urK?PIQj6Y^3#=PY=_(VQ)PE!qp3+q&I;kR>Y8p@JDifX5BfI1R zo5fSQ%0wsCKvT`*DP3ivliIygR%OOhy2?Z+H9%7><0)NbqLcdPO<8qmJf*8lbW-y* z)jFQiRVF&A@|tQBPw6TXoz$i``caq~>U+Pw6TXozz%O^^K==m5ENOfTsG#Q@YATCw1>Da)ATlDP3iv zld7euf$@~CGSNxB{<5qZ6i?|Y6P;8KO$~{sbd`xt>esEZYG^#At4wrKGc`3lp3+q& zI;ql{8WB(FDifX5Q(NQ$N5xaR%0wsCQd6VjDP3ivlltf-Sv4k}(p4rpsZp958&Byf z6P;9EP2CVr=_(VQ)Up@l0>{Nuy2?Z+RZUYj##6e=L?^XEs3Xem5EMjkf!dAr*xHxPAZ$G zmc>)L%0wr%@EN(ld*UfwWulY1KvOH?DP3ivliK{WthzUz(p4rpsg9buKc3Q6COWAj zPsyqW;wfEaqLaEwQxC>dy2?Z+RajFG#Z$ValoASDEOf`fF-+Jf*8lbW(q>l~qr~Q@YATCw04~*2Gh~%0wr1uBLFE(cel# zSDEOfp2NG7_S@g#^D&yzRVF&AcACQ1h|!d;GSNwWfsbd}D*P)Yn$lG!I;nA*!Z&K7 zDP3ivlR8CH_*P*wrK?PIQV%>X7l`kdL{qxTL?=~8Q}~WuG^MLdbW%Ip21%7BD zn$lG!I;q~8!Vd#QQ@YATC-n!uN={paA03RQbd`xtYPP2EBe~I(t}@X{mC+RbTS7FY zt4wrK>sQGI;y=bjQ@YATC)HY0+v6!+WulWhh;J06eOkO4Pw6TXozxgj?TDvzm5EL& zzouS~r*xHxPHF|d0he}xZ^l!)%0wqsQ&T(RDP3ivliKmHta>Y+(p4rpsqUJ3JD$>2 zCOWBK@a_7vkJavYN>`caq-JPpPdufoOmtGEG_^OL(p4rpsde~1SlR{di>GvziB778 zrrwXIbd`xt>cC1_^+7zPt4wrKBQ^D5Jf*8lbW(XVbs(P7RVF&ArT9^Rv`>qV<0)Nb zqLZqssZZi5U1g$^+J+xSNn7=4Jf*8lbW&Y3^;tZnt4wrKKins)zKExEm5EMjilz?5 zQ@YATCsjgIhvO+-WulXM0zZ(K_Gxh>p3+q&I;m!w`Z}J{RVF&A_wgg4X{)}8r*xHx zPHL#8zKf@Hm5EL&r>4G-r*xHxPHORTxxgReDP3ivld7nxpW-Q9WulYXf**@d`?UBa zp3+q&I;l>Y`Zb=?RVF&AZ}1;8(pLQ*Pw6TXozz54{Si;;DifVlQBD0BPw6TXoz$wO za)E!vQ@YATC)G$(|HM5b8pt}@X{719*`{LpAhSDEOf z9=cO55Ptw`G^MLdbW-&-g+Br~n$lG!I;po8$twIA%F&drGSNx((-i&`?`TR_ndqec zS}3dVC$2|Ry2?Z+HBVEg#Z$Vy2?Z+_3VOd8Lehk$b)$}79{wGzz z-gW$It2~}>Yg)E6{{5HHXoDR#vOg&zBXjzZRx`_G{qwQi<`+*D|Mg#V(~mUzpO-Gr zmyxltbQ`>@MY7)JtX%fqWKPe0_)NTWY2TbX{lDIWiOxMdd55IVh^Op*KK`EL0!(yL znVKpYPw7Y3L?`v(d|6d0p3+q&I;jzwDjiShDifX52}t47j-Rs!H~eqvUq4xA6|odP zp|Zy9X*K=ehO<+(fBk%&mGwz^Oke%)pRxmQmm4qhU$4PL=Nd9JRW6>=53Y$$YQa2N zbxu5`A6yfi)cKk!A5ZBj6P?rxb7j?e@szGI(MfgC)CKXBt}@X{9iAhrE{vyim5EMj zf~G3QQ@YATCsjyOmEtK~WulXMXtrEnm3T^5ndqeIYpQBIrK?PIQg6?aRn_AuU1g$^ z>Zhq1@szGI(MkPvo2;r8Pw6TXozy%{)sClhm5EO398J}Ur*xHxPU_j2a)EW@DP3iv zlWMD}`tg*mGSNwWK0{Vr98c*g6P?rznrawN=_(VQ)XAD^6i?|Y6P?uk)8zu2#8bM; zL??BTrkci6y2?Z+_2x8LbxAy>t4wrKy)@N4p3+q&I;r2M%BmLel&&(-NzKw!%Xmsx zndqd>)>Nx_N>`caq@I}~7uY(U(p4rpsaBf0ES}O;COWB4Zk1JS<0)NbqLaE#Q|;p^ zU1g$^%BQKz<0)NbqLW%aSuU_+Jf*8lbW$}mbwxa-t4wrKuT7Fwo#H87WulYnrm3sq zDP3ivllpn0thzd$(p4rpsp*>P5>M$W6P?tVnz|;Q(p4rpsV8rd3+xt8=_(VQRHmkS z#8bM;L?`v(&9bUzJf*8lbW$TU)jOWjRVF&A6ExK)p3+q&I;p#Fk_+q?Pw6TXom3S~ z^^d1?m5EO3l?k%y+IUJ=ndqdh*3`gwN>`caq`tpVRt=7)bd`xt>Q+q+iKldxiB9Tt zO%02ubd`xt>hbY%fy3h|U1g$^YO1M`@szGI(Mi2GPF9VIr*xHxPHKpzu8XI1m5EL& zho;8FQ@YATCw1oya)H;!Q@YATCsjdHH^fuA%0wsi()F@xd_1MAOmtFLYU;*#N>`ca zq`n?2t8R*?bd`xt>K0Ai98c*g6P?s)nwl6-=_(VQ)T3kM0w={&y2?Z+)lgHn##6e= zL?^Z9I$1R(p3+q&I;m?lH7%afRVF&AW20r&^ms~FndqeM(A3O$N>`caq|VdSZSj<@ zGSNvrKT0lec08r4OmtG0YidqBrK?PIQeTdgRrBI0U1g$^x=~ZN$5Xn>L??BsrtXNR zbd`xt>cJ6mfeYd(U1g$^s;8+%@szGI(Mi2ETvpu~Pw6TXom5{<-4##iDifX5pTlI; zl6Xp2ndqeEYHDdbrK?PIQsp$YES}O;COWB&L*)XO$5Xn>L??BbrdGsLy2?Z+_1O?v zbzeNCt4wrK*K6wjcuH59=%h~4)XI2DSDEOf?i(x@_+UJxt4wrKwKer{Jf*8lbW(2& zl2wnyQ@YATC)HC^tKunLWulY%ZJ?}rES}O;COWCxH1&8qrK?PIQfF!EiFis^ndqdR zzE&=9Z9Ju`OmtG0YU;^&N>`caq&^-XtDcIdbd`xtYP6=Fj;C~$iB9T7O|6fobd`xt z>Yn~`fg9o}U1g$^s;;SL<0)NbqLX^HpR9T=p3+q&I;m?k^?W>~t4wrKKlPPWFT_*2 z%0wqMO;az%Q@YATCsk5YFU3>3%0wr%wvSxk)_6)+ndqdNYwG2AN>`car1tlgRomhz zU1g$^8m_7B@szGI(Mjdj)NApSt}@X{E$Jl}xFep@RVF&A%9?s3p3+q&I;od?%BnZx zDP3ivlj^LgUGbEzGSNwW*F#pl6;J6Z6P?s#O}!IO=_(VQRB=u1j;C~$iB4*Dce%iK z<0)NbqLXT(slD-(t}@X{?dvA1-ixPnm5EMju%_ORr*xHxPAWT6X}<{Qe^cB4?N_Y* zUw#qL{!}gWi-3|>|NAcj>U)jc_=o@X8ccMq;m@v;`Y4{#53Y$$YOba}j;Hj4Yoe1X zhg90HO8lQx>Q^QH>&N(ie^uhaRPkRwz{#&lOkVo$AKhtPWjv*;OmtHFJIkuW@szGI(Mb*0)K~G8t}@X{<<`{K z@szGI(Mc`2N-pr*cuH59=%gxZ>brPKSDEOfUhX8Teu$@Zm5ENOv!;HGr*xHxPU^cW zW!2B|l&&(-Nln(&FY%PFGSNvD*VJ$El&&(-Nv*y@F7WqwN>`caq?%~zXgsB>OmtHF zI?Ad)<0)NbqLUh|slVeXU1g$^%C4z@;wfEaqLW(GK`t;Ohy5b*|L}YInCPS~)Ks>3 zN>`caq+YySR^^DN^kZeBle$7vIpZl^WulY%s=chr9Z%^h6P?t}nmQq#(p4rpsUn)n z8&Byf6P?r}?c@SajHh&!iB774rt-&Ay2?Z+wY#mXDiBZUDifX508O17Pw6TXozy>< z$*NQ0DP3ivlbWxoQ{yRJWulWRuc<=ul&&(-No{H)7g!{o(p4rpsrH&WEuPX`caq{eFMf_O?-ndqbnXsSXyrK?PI zQuj8O3#=GV=_(VQR4q+aj;C~$iB9VEOJr4*cuH59=%jjRs#-jyt4wrKzc!Oq)#E8$ zWulXssi~Url&&(-NtM=At$0dTndqdRYAP3aQ9PxqOmtE$HB~2`(p4rpsgIh-s(SI1 zt}@X{jnY*8cuH59=%n&$szE%Zt4wrK%NolCHjJlqm5ENOnx-1ZQ@YATC$+titZEWZ z=_(VQR98(ki>GvziB9UrhO+9CcuH59=%l77m5EO3gNtQVn|MlBndqd3Y3j0gN>`caq;hGhT|A|$OmtFr)t3uw zA5ZBj6P;8gO?8N;bd`xtYHK}N)iIvZRVF&At2A|GJf*8lbW-2el~tYMDP3ivlbWQd z&heD4GSNvD)6~`Rl&&(-Nj+9aF0gAnrK?PIQjIlrO+2NmOmtFvFOpT=<0)NbqLUh= zsUGo^t}@X{Wz$rzcuH59=%g0bmJ941Pw6TXozw-I>Kjk#DifX5=326Fal&&(-N%hy%$aqRundqect|qHS$5Xn>L??B-rml;pbd`xt z>Re5Yji+>#iB9Uds&awX$5Xn>L?_iwQ{&<(U1g$^`l5=g8Xr&TDifX5I89B6r*xHx zPU;j*-4svhDifX51C`|hZ;7XLm5ENOj;1EYQ@YATC$+PZtePB8=_(VQRBuh)8c*pe z6P?r_6=l`bcuH59=%i+AYFa#{t4wrKWi&M-p3+q&I;r&)`caq<$zX zs~(M~bd`xtYKo>-#Z$V)`CU1g$^dZLV6;N$U>t}@X{HPh6ZcuH59=%n61 zTUM=&r*xHxPHL#8*2Po0%0wrXQ&UgHQ@YATC$;!2xxi=QDP3ivld7nx_3@OhGSNwG zDJ`ou##6e=L?_irQ_sdzy2?Z+^-U>RwJDy`RVF&AiJE#op3+q&I;oK*(bd`xt zYQY(Dfv?6>y2?Z+b-t!vi>GvziB9T;60+*`cuH59=%hMm>Wz3xSDEOf4xcWocE(e> z%0wqMK~uZpDP3ivlPaXCx8o^YWulXMsJL9`caq~0zjtKN;L zbd`xts-LFz#Z$VaPvR+EWulY1K~o3gDP3ivlR8;bpT$$U%0wr1e_^@6 z&*LdwWulY1NK=R6DP3ivlX|m|tokyZ(p4rpsa~2o5>M$W6P?uWr^>3Y;wfEaqLZ4X zsc+&bU1g$^I$KlU##6e=L?`u3LAk*1<0)NbqLXT+sUPAgU1g$^`s5T@^;0~ht4wrK z*JeqNmSDEOfmY*ya_**=st4wrKH8k}{Jf*8lbW*RKB&&|bQ@YAT zC)G_;f5lU}%0wsia{*cPcRZ!5OmtGyHFYeW(p4rpsWUZ|ku&@o@8~KMoz#>0c}<&URym5EO3YE7LKPw6TXoz(YvWYx*>l&&(-N!_Zc zg7K8DGSNw$uBlVwDP3ivlY0CFxxm8ll&&(-Nj23}k$6g1ndqe6%Pp&l##6e=L?<;w zQ^n#bU1g$^%Au*#<0)NbqLaEamt0_pcuH59=%gxWs$@K+t4wrKFXfa~XU0>y%0wr1 zrKU>9Q@YATC-rp>S#?%CrK?PIQnzTTOgyElOmtGGX{u~IrK?PIQjcbr3p^*D(p4rp zsfL<5H=fc}COWA-*<{su@szGI(Mesasq^D0U1g$^I+h`;E{vyim5EO34oy{vr*xHx zPU<{ORf?x{m5EO3`D6ASstWk6@-s50AK6ejp3+q&I;qPwRW+W{RVF&AFaMEM)#52# zWulY1QByVIDP3ivlR8yXHRCB=WulXM@Nc=m+VPaGGSNxZ)6_-rl&&(-Nxk)#tg0JN z=_(VQR9{Wii>GvziB9UzKV{X$@szGI(MiqKRD*a*SDEOf%4w=mJf*8lbW$6S$^|x# zr*xHxPU`caq)yUQW;~^^^COWCwnz}Te(p4rpsW*O;RjuPGU1g$^>Zz$V@szGI(MkRGtE_4pPw6TXoz!ib zY8OxGDifX5S(>^$p3+q&I;p3Bkqhh)Pw6TXoz$h8x+0#^RVF&AkAId`SH@Gi%0wqM zT2oiWQ@YATCv~ExI>%GG%0wr1&rfoJUE(QSWulX+uBoo^l&&(-Nxk}`tm+m|=_(VQ z)HRyw9#82i6P?sgKgg<{@szGI(Me6yRIhkSSDEOfN@}W4Jf*8lbW&@-mkaD0Pw6TX zom6v8^^d1?m5EMj|97%#Ks=?ZOmtGiH8n7v(p4rpsoa_x6i?|Y6P?tOZ{-4q#8bM; zL?=~QQ$yn^U1g$^difh!H9VfuRVF&A&YBt#Pw6TXoz!<<%c@cFl&&(-Nln(&=y*z3 zndqd7Yidk9rK?PIQmem`3mh9y=_(VQR1;0z5KrkU6P?t)BeH5-Jf*8lbW(#gbz?lG zt4wrK*)=sGp3+q&I;lm6Sj$%ji+>#iB77Brl!YJy2?Z+^~e`;fivPMU1g$^YM`mx z;wfEaqLbSFxvZKMPw6TXozwtL&55UUm5EO3pU-5~+;~b?ndqeEYwGrRN>`caq{?e* zemteCOmtG4K9vhx5KrkU6P;9hO)ZS4bd`xt>d--1b!R-Kt4wrK<2AK7p3+q&I;n!1 zS`ts`DifX5%1`71?~bQ*m5ENOuBMj7Q@YATC$;NiS#?i5rK?PIQhhYFBA(J!COWC3 zAIYkF<0)NbqLZ4Vsr%z8U1g$^Dyyjn;wfEaqLbQiKrZmXcuH59=%m_c>Y;c_SDEOf zKK)QuJrYmpDifX5SWP_|Pw6TXom2r$Jr+;tDifX5z5C??SI1Mj%0wqsOH)t8Q@YAT zC-wRVvT98{rK?PIQav>FWIUy-OmtGezAvlR#Z$VutHfx8o^YWulX+q^aHUl&&(-No{>g zR_%$Wbd`xt>MBj`ji+>#iB9UL??A*hpajhPw6TX zozzX5`Z}J{RVF&A!kYRfp3+q&I;n?WlMDPVp3+q&I;o2_^?f|0t4wrK@4PCjevGGd zm5ENOzoveQr*xHxPU`RNvg((3N>`caq;A*LuknIZy7Jnc7gxgeg>RVF&ADVn-4p3+q&I;j$xsu)k{ zDifX56Zk$%+67jMr*xHxPO6!vs>D;e%0wsi{xh`RVF&A3{5qUr*xHxPHMp#xxg0jl&&(-Nu95$mhqIXGSNxB z@Pw>t6;J6Z6P;8CO|_1vbd`xt>hR;T>auuBSDEOfCTOZ{Jf*8lbW(*h)jpomRVF&A zhgQo4ULH^BDifVleNAKsjViKldxiB9U-N96*qiKldxiB77mrn<#by2?Z+_4y;Rsz*Gf zt4wrKH)yJ7Jf*8lbW$g4s&_o4t4wrK_dhHb*e9OSRVF&Ai!{|Qp3+q&I;l4wl2!fV zDP3ivlj^0ZYvUM$W6P?sEE9C-* z#Z$Vohegp3+q&I;nh`x-Op5RVF&A<@d`4 zj)|vqm5ENOhNiBMr*xHxPU^M$WYrDvl&&(-Np;iI_;^ZJndqc`zE@V=7*FXc6P?s_ zP2Chv=_(VQ)R~&PIiAv0COWAnSI7lUjHh&!iB2k0QL?`w5-Ex6<#8bM; zL?_i$Qw!oLU1g$^dT)uWS`<&|DifX55KY|~Pw6TXom37@-4##iDifX5op;FvE{Ugf zm5ENOf~J`car0&qvWAT)(GSNw$r>V!|DP3ivlY0IRxxgplDP3ivle%0} zYvU^^COWAbHT6_HrK?PIQm1O_>3B+4ndqb*yj?DEeLSVBOmtH9 zG_@g~(p4rpski3Is%PUVU1g$^>Z_^e;wfEaqLcb_uB>`Kp3+q&I;pvudLf?DRVF&A za+-QEp3+q&I;o9w`ca zq)yV*_IOHHndqeMn?+ zt4wrKA5WK6d*dlxWulWBt*Q6oDP3ivlR8mT@5fWR%0wr1&osHf{qdBpGSNv@*VKpc zl&&(-NxeE%R(%vt=_(VQ)HRy=IG)l~COWB~rpT&;@szGI(Me6y)Ti;3t}@X{mDJSd z@szGI(MhenRW9(0cuH59=%ku!>dSaaSDEOf_D_~ohvO+-WulWBuBor$DP3ivlgh2B zuj46QWulW>GD$A*+jvS>ndqb{YwEjrN>`caq+XsVtA2>5bd`xtsS#Qrt4wrK z`)-m|f5ua~%0wqMSW|z;Q@YATCzV}O|HM?s!U9ndqc$*3=2{l&&(- zNfpsl-grt^ndqb*87CKbVmzg*OmtEWG?hP|(p4rpsogiossizpt}@X{4bard@szGI z(MkPty{tMVp3+q&I;r`ZIyIisRVF&A@|r3XPw6TXoz$kWa)CwSDP3ivlWMQ2)8Z*z zWulWhG)7hxi>GvziB4*~ri#Z?y2?Z+RZvqU;wfEaqLW&Aom}7<@szGI(Mi?S)S2;= zt}@X{?HVnsO2t#U%0wsCM^k6TQ@YATCv|j`tU5cM(p4rpsX3Y|8&Byf6P;99O_htM zbd`xtYQspmz;oj%U1g$^YNM(0@szGI(Mf$eLROt0Pw6TXozz%OT@X*{DifVl0Zmni zr*xHxPU_y_a)A}&DP3ivld7eu%JG!0GSNxBK1^0siKldxiB77ArmDqLy2?Z+_3Kbs zRXv{4RVF&AnVPB@Pw6TXom6Q})rzNdm5EO3sUdQK7sXS$%0wsCQd4!}DP3ivllo|| ztg07J=_(VQ)F@5WkEe8%iB2l7rW(Xky2?Z+wQP`FV8eJySDEOfs%ffmJf*8lbW+;~ z%Bm*ul&&(-Np;m!vv^8Zndqc`yjE6S5>M$W6P?slO=ZSYy2?Z+b%v%|#8bM;L?^Xo zfL!3E@szGI(MesRsaElnt}@X{eb8T4wTY*6m5EMjn5Hg^r*xHxPAZqC+Qn14%0wr1 zS3kMH_VJXiGSNv@(o~0dN>`caq_*~zRUP9gU1g$^x=K@5##6e=L?`uaA6eBYp3+q& zI;lyT>Ksq$DifVlF-=_^Pw6TXoz!E!LyJMil=myiB77prUu7Ty2?Z+^>8=2z@hP! zt}@X{U972L@szGI(Mi2?jjS3GPw6TXom78Kjf|&sm5EO3@2;|HbUdZ2OmtGWYwEgq zN>`caq|VjU*mz1;ndqdR>mnC;eLSVBOmtH1G&L@s(p4rpsV}aURpa9+U1g$^8mFlV z@szGI(Mg@6shi>{U1g$^dZ4ph;4Sf#t}@X{)zQ?%cuH59=%jXDC95XKQ@YATC)Hb1 zx5iVt%0wsiM<-b|HJ;K{COWCvnwl0*=_(VQR2faph^KUwiB4+$m2!bI<0)NbqLXT^ zsaf%qt}@X{9lSzT&5oyZm5EMjjHc$sQ@YATCzW4Q^WrI8WulW>(NQjNemteCOmtE; zHFZZkrK?PIQad`xs)g~Ct}@X{b=TCQcuH59=%jwRTvjcPr*xHxPHKjx?uw^$m5ENO zl&0>Er*xHxPHJ6yxxl6Il&&(-Nwv__J@J&TGSNvLXeXL?<;;Q}@PGy2?Z+ zl}A(e#Z$V4lqr*xHx zPU?p?vg*-zN>`caq^4+URXnAuOmtEuG_^XO(p4rpsV7>?1wI~6=_(VQR5MMjiKldx ziB9VMR#(p4rpsc%}ys!j2ft}@X{P1Mx$@szGI(Mc86)aH0f zSDEOfR%OZsz8FvGDifVlBTa3Ir*xHxPU_v}vTAEQrK?PIQUf*hN<5{jOmtEin%Wjm z=_(VQ)PhUo0$+`%bd`xt>U>ST7EkFa6P?rx&1BW<@szGI(MfgC)En`Xt}@X{9d0VC zcE(e>%0wqMK~uZpDP3ivlPaXCx8o^YWulXMsEJ(QJMomRGSNxZ*VLYPN>`caq~2~U ztKN;Lbd`xts-LFz#Z$V#-vCG;>xiduKAI=RVv5 z?_1g*vwZNsUW19wH5|BDQlG_BS&R6dPd~E3L?<;;Q=i9E`oT5PN##MR)yxX~;2zoV zKdGz_UFNKr|N1eWegvNxwy)8#-K{Y0P^$Q^AK>Xn{`aN-{?Q#+U#|Si|9TH5I`@#F zsUz`}esoQAQVZ(Is;}ZH{pgzLq|VpWH}RCNGSNxBP*+xc8&Byf6P;8CO?@9v=_(VQ z)Zsd^>W6qrSDEOfCTQxXcuH59=%fm1>gRY$SDEOf9=b>_@Yi@sSDEOf>TBw^cuH59 z=%n7REvx>Br*xHxPO6`#j>c2E%0wsiS1nofS3ISwOmtH7H1&5prK?PIQs-#uSUjbx zOmtGu)|3m(z&CP&zqgOBGSNx3)l~L)N>`caq&}}9t8&Csy2?Z+b%UmI#Z$VDifVl4NaAZr*xHx zPU^LavZ`b}rK?PIQr$FlW;~^y zthyqe(p4rpsT(zQWjv*;OmtGGYU-+ZN>`caq#i6O7uY$T(p4rpsd}2~5>M$W6P?ss zXUM9q@szGI(Mk2yRJV9aSDEOf{wyJ@y2n$x%0wqMS5rOXDP3ivlPagFUh$N!GSNwG zJY6oZPdufoOmtG0X{v8LrK?PIQlAx5EU1g$^ zy04gA;GlR)SDEOfYHMmpJf*8lbW(2=l~qIIDP3ivlj^Cd;qjENGSNx>cABgj5l`tV z6P?s;ni>^P=_(VQ)LEJu9Z%^h6P?u4MdSj<#8bM;L??BrrpCroy2?Z+^>JZYbwfO* zt4wrKqct@yp3+q&I;j&ibz?lGt4wrK_Y{%~oDfgxDifVlbxqwIPw6TXoz$zR%Box9 zDP3ivle$Jzlj12|WulY%si3Ty98c*g6P?sFO-+fXbd`xts-&i-##6e=L?^WtM%s^Z zPLHQ_m5ENOxu#~sQ@YATC$;}%S#?`HrK?PIQo}VhE1uF-COWCynwk?&=_(VQ)RL3r z0_Vn4y2?Z+RasNF$5Xn>L?`uf0a-Oap3+q&I;qZ@S`bg^DifX5cll-2!gxwondqb@ zYwFH;N>`caq>5{5aXh7~OmtGK@r!oSz7AXxPw6TXom3M|-5pQqDifX5z7u8DvUo~Y zndqbjYwDhON>`caq_S&jMLeaeOmtF<^2!C?8&Byf6P?tBnz}!p(p4rpsTcFest4jJ zU1g$^xL(1l&&(-Nj1>a>Uc_5ndqc;=aN-V#8bM;L?<;sQ)}WWU1g$^ z`X{HXdNQ8URVF&A`I=f6Pw6TXom6>EJsnT!DifX5rW|sC&%{%@%0wsCUQ-+5DP3iv zlRA`LR&9)@bd`xtYP_bNi>GvziB77ZrZ&Y>y2?Z+wGzKDJniei7vd>hWulX+tEtWL zl&&(-N$tWrm$vGqcuH59_%A7Z)n~uP{j2_Ioh|W>PBPKyU{9B_l{06KDqA~q=J>J= zGiMGeo7rk+@3L)MfjYIqgYKD=w)WqGpIe&szp=-^#I4K!28ilgF|g9$Zk3b&RjE?-qN+7(*REW%Th)td)u>UUO0DWuEA}4HyT_>1 z8#Sk!>ttj!sZ*)r$UY-RR=T0bkUj$|H67Y(^x!^2Mvbi0e88y8(LF0&-mF69YLzSX z8aAkRrBQuGj^g`R@w!we^(C$<13xH`kuw9|i9SyFfzk~8_z}+VUlTL%{S6R)a!Lk% zP!7~TIU57Q4?p5;2L6uku7cL$@8;*sXoIW5k6D9ygZNfPf@myC&X$5`Yyi>NnLIrJ zqLCf{H|AT(3!+gA#BN6r-GRyLZUE6;mYm%WqPrPHVs(X0I|^zL}L<&#$pf+`>i!;*l(_MybGdXzu=xmPJH6? zX(14eS|B#+gJ@g@qGA8(j)wiPJPrGf+3_xj##RuG_miiGK{N{Bdo*km0@0`hqR|Y* zx7PtgV{CFZ0Yu|25RE62r!RnLdw~X#5DGkq1BMgnxih z6hxygh>b=d8eKs&MuKQe1kqTToUH=U*bbs`AbI)?h(9{7Z{QdC>7Lh(=2gjqb_Qp&%ObL2N7r(Rd0(V+V+D?|l%Bf0DDD z_@)Mp(jXc&K{T3yXbb?cF%(2&CWyug5RE55Gd0- z8a+TX#(-!{0nvCQIeP*`<8=^?gUQn$Ks1WrU;1p60MV!gqR|?}Mi&r`o0GFCAR70A zXsl13ZUfQy3dF__AQ}bmh(;L@8&yFxu1Lb(U_e)T?)cq_>6rKU$C(aMB`Hs zjXywaE z0z{(^h{iY&jTs;stCO>JAR4il{sN*=96!s2jZz>QbwM=Rf!OE{qA@u+n*pM+ z5=7&<51d2GJM}Vq*-5#(WTshe3RM>p?X3CuaviH2wk6D2(qq&?p0<(Hz7^YY>eAAR0G; zXv_xDSeu-!2hrFKqH!d7`Ui+cN&E~_Hp+l#Gyu`)2x6l*h{m+!Y&M9-BOn@^lczgD zG=2iH@dt=T5&V2y8W(`rr~{&LO>)*7MB`=5RErLY`g=a@g0apt}>}cVGxbl$=Ssq8l6Ej zh9ysL0@1h+#KyxQ8ZU!rd;sE(BOn?ll}()$2GOVtqH#&`v?GYd^&mEG0?}9kqOk_V z#%2(W&yuqvAR4*LrHnH`G%f(qxD3QbM-YwSAU39gXer zjM5+)l|VEu2eEM#h{k9T8#6&P?gG(xJ~`V8qVX|^#;?iKToM56~N zUxt1AZy1P;JCd`zKs25P(Re+1`T>Z>F%TQME=(C`foRkM<;!YZ0-|wkayAUa#%&-P z_a;x*fN1OivGDAR4DuPZ{MwG-`opTn%EQ z2dHq?Te%U$#(WTsdqFf_NzPse70xog1kv~>d0L=G%BTWjqZWw9<)9*2?f?)QV?k`( zot)hZqVYVaNS3iXdHN}c#tAi3jRGJV=YeQ60I|^qL}Ns9HWozV4iJq;lBXL$G(H4z z$EP3~$3QfS)JiqVf@owWXKg?%mQAR1@ZPMwtn z(P#*waYgd94~WKe5F2wqG#&-fcoD?LE)b2Mle42B8mC>9GA;zss0*Ue4a7zt5RF?v zY%BuNSP7!>T5`4vMB{4^jqG(&MnMpb8Xz|6f@oX`qA?i6#&{5o<;mGf5RI2WH1;J= z4}oaptD9;R1ktDfqR|AzMtcyA>yoqaAR2dqXsk}2ZUWKx1jHSOKs0jHOBuyMY?KGl zXqB9`2hkV;VqEaPAQ~5e*k}NvaW#m>a1a|egJ|5JoIL`f@d}8>{^aRbAQ~q(Of`ytXjB2w zXbxiI3J{GOlCzsZH0}n`Serb35k%v25O;h9qH#i_lu;7I#)TjnZIiPrKr}{x*qD|) zT?C@>EQpO4K{P%D(fA3(M)t-j;~dcOE{H}Zh{iR^)4?D%=7HE)1fuaIh{mfRzP)`Q z8h<5c*_)({GeI<}gJ?7X(dY+aV=#z~=^z^SfM~1+(Re#K+XtfYGl<5CO;bj35RLjE zHkyEFbOX^C4Ps+5h{i+7*=i7t*FZErPM&@TqEV<>s!<$7qXvk^r64vsgJ?`h&L)Fs zECq z@f(PZ+|5(Q`Jm%n5RFzK8asq>Pdv8jV0~GzZb>1)_01h>d9= z8mp4CwICXAf@pk}JpBnoqiD-iqa=vNMIaiNf!MePL}OxdHVs7Meh`g~$BVL2TRuqVX(<##)7jmtr7^aRnElAPTJqVW)j#`DS3H$XIg0CC4} zAR2|*ri}AIY}5wP=#rfE1ksoPV&jhF>3tv?+dyo*0itmjMB^BUjg#7?jH;mHT@Z~9 zAR5;uPp=2Du@uC{eIObyfN1Oi@$G#EqLHV4>g*&Cjq^b?8YWLK1JM`>V&i%cjRhbY zkAm3P2%>QyIr|JmBjfUvaTpMUF;vyC7c?}BK2 zojm;$R4B_R)gjd=2cppkMB_>j8+}1EW+Z2GL4~v4$|?|zmy)M%foS{!;*LK-g|m#J z9aBaH5F7PCG`c5eeL*xPf{J9hcP39C1ku<5V&g3kjc-6jvWy&8q#CD!Xw*#3>Var< z0?`K~&b|cE$k{1noDQOK9*9P35F3|+Xbc6haVv<%9UvO(le6bRG~Nf%_&#}h z3`C>MRjI~#AR5g;G_D4*aV?0(?BwhY5RE55G+s%b?g7#G1H>K2Kr~8pP8n4|Y%~PX z=$)Kh3!*Uv#Kzsp(?>xxc7oX01ETQ*h{g$5ry8e$Xw*s08iHta0nr$dJiP@(;{gyG zkAi4y1JU>p#2sIQXq?g|b#@wvMpY1v%;f2nAR6O9Y}^8(u@pq(Ne~+^foOb@oP7{j zJ`SSs4v3BSKs0^<(a6_5)i@nQ5xZ`^e zjlw-rXQzW`)CAFJl{~!~MB^q98@GaJtN_t?2E@iIAR0%Kv+qGP^7l*`XM<=|0nz9P zV&iHMjWHlLW`Stj4Wh9*IeP^};~dZmmDKx|Y2(P#~#(F?@J2oM_!le4=) zG}eP?yqP@x5JV$e?^NRi5REb*8W(}s$OO?Cl$?zK(U=XQaewmkNf3>_Any1OMB@(- zjg$MN8fSuNG)~SkK{R@UXxxxIoerY$7>JE0K{R%PXnYRhj-Np^iuFyMoe82*2SlT7 z^0XU>#v~9M(?K*I0MU3B#KvnN8s8>oKZ9tT(l2G41ENs_MB^$D8{I%O#(~(F2coeY zL}P1m_8N%B7a$scB~SD9PZ^a!Y}5eJXa}Ov55&fGAU5tw&X$8{JO`rjcJlNS5RF^| zQjL5d8s~y&)CaNA3PfXAa&{ev#_b>)4<%2Z0nzvX#2uf2X#5SLQRv!K<7^O(OOmrz zAR7HaG$tfZXMt#}0kQE6h{ii08izsL@jHmd83R*iXM<>545HB?dD;s^V=9P^Ss)q@ zgJ`?}%9ml^uYD6ldeVG+HKSZ9y~!f!LUs zJe>!k@f3)SXF)Xff@pjLV&g9mjnczXXXk)uGzQV=lsxSRqA?T1#yk*>$3Qf;fY^8& zMB~@w>@N_FV#8BLMG%epAR0YDZ1e-sm;_>DF^I-PAR4bHXK#aOd<&wHb41E01fo$3 z#72D(jjKR3hJx6b0HSeka`q62##RuG_miiGK{N`COf?FDXjB5xXa-`V1E^5e=f>FN zYyybJT_74yBu`%e70NO`1#!n=5RF`;Qbq|78|Q;)v`Nl7fC^{5m0=(@rX)`nfM{$0 zvGD?^aF+1_h{g{fHZn%1jIyBPT@Z~+Kt;0LF3Hn@AU5WJ*jNCfu?AEm%h(3u+j|#8 z<7jf0ab3zd14N@Lh(;q2jXoeY27=g_3Zk(TL}L|*#;)Y-T@Z~QK{WD=Nf|{!H0pxb zXau6s6+~ksh>eLL8Y`2tRUjJMK{O5|Prm`tC^$CNC<>xc4Md{_h>cDl8sn3*i69!w zKs44RPq%<*90GC2Hy|2$uTL4JKx|Y5(P*EXbpp{C1!7}H@^mqX#wHLOTR=2E0@3&d z#752=Qbu{u@h*r)OAw9j$u`v|H#!L{66(AZd0-8a+TX#(>zE0;2Iq za`ps>#_J#&2a~5ifM^uCG1VvmqEQP(qcw<)E+85=CudVYH0}k_Sf4!I2BPs5h&z4& z(I_w>Wt0K2Q58hvisY;dh{jkD8?%$AOF=YV1hKIVMB`HsjXywa>?HvHo$bNI`EDwlASrCmn$j0Dk`1ETQ& zh>dk18vBy710WhlK{QUeC1sQX(P#odC@38L{_@^lA?#&;m@_yt6x;G~psE{KhqAR3*M zv+f`o<3ViPo;+OvqVY0_jU6Bwhd?y`2C}R-I^G4*Xb+;%KY2O^#Ksa38!JFG zHi2lo1LE5|2%?eu*3?;k5RLL68W$%|TZ3o}2eB~*L}NaP#={^s)`Mv5PtFd4X#4}B zQFuzqC*fJ_e%k7Kn|#AR0e`Xyl!dY7_&}sF$2I z2GO_%L}OI)bP|ZhgUQ)y5RLsHc8`MSo-#94D+Qw4BspsVqT2^VV_fod28hOL5a04T z5RF|R8ef3&W!U#{e*w`bep~9S6o^J$5RG=p)9xS|lR<3E0MS?pqVXJvjU6Bw-z8_i zfM^t)l`_r+(WnWc(HT@A>pkrbqA?!C#_b>)D?l_}PR@3KXdD93_&a%;e|E~K3@VV- zs0pIc9z>%*h>bBIHkKr3D?l_hfoQywJUs}ak$X<6ksm~(Jc!1{AU0ZqXbexz#(-$d z2hn&qdAc4%V?T&H4uWX>1ENuQZmLlRM5B3f)*3`(0Eos-$~Kco9TnZ}RjDP@yd2#5+=rQ$RE>1kq>=Vxt|1#^~g19H?;CTUi96@mTWo zIS`GHLEP~LsBo5%eL>1724dq}5RFTdvvwdFgF!{I+)2sP+d(v*2C?xRh{irpku2j| z5F3AkXq>e$b#^X@MiUT?tCFYvK{Rdyv2i1Gg(&p_O9 z1VkhET`A)X5E~bOXk3<@bp+8E4q{_!@^m4H#zqhun?W@8gJ}E+Vk6sPmhJA8Q4&O>8i+cq)0i6-47p5O;hFqH*FqDWf!qjY=RI zmnUaefoO~du`x4wdKZYs^B^|1f@pjUqVX$;jaMSpaMmZ3Ty2;a)AR0qJY>WcYmeyY8ht@D#)H_H38L|Ma`qI6##t^j;8+S3qpM4x;fT zh{iu4HVQnFGOB=%cR@5R2hkXiJRJ*S<8BZe_kw6V52CRf#JBe;h{g$zrp^k0Xq*S4 z(I9!+21H{7h>fuz8h3zbJOav>Vc)Oa0HX0>a`q{R#xW3$BCAqHSrCm(5F2ekG_D2F zxEYi$t1$;err$<3F&U`G@C<~&|5Jck&5F33!G^QtKb3im61<`mh zdAbWk<7ZHTtoQUNh{kEFQ^tiLHtK?CbW6_qfN0zTVq;PAbR~$!YaljufoOaUqLKaa zRHGn>MvdgGE{MjJAR2>{r{h61mV?+>38L{5h{iq;cN_xI$oE9*tRRR+1rUuU$k8fULfHOhl%GzHP<3}Rydh{mksY(9v_;~*L@Cr@{SX#5W1j(LILDwRS)=%-2ZJR6dP zkVq1eN`@q%s5D3jmF7WGR4NS;Dh*QirmbVYuWQ}UT2E`SUiQQGeV?Cmo&TJVBR9sx z>ts~u_K(v>5oA##Zr7l~Cx7K`WYHsD2O*0$ksFgy;giMJ$YLY% zJN6)pe4nIS5oA#rS=5c!X2{|J}a%~!NV*#?*9=AQnBFBtWltdO6Ba0@; zjb_NACn|DsV<@s1jV$KGZ2_{_ge>;QYnGX*sDRwK7+KUq7A=q)U630i;x-ytOh*=r z;&nZ;IELKFGAk9OkVRGGMjd3)E^b|r8&4sNaq&78S*${CtVb3HkVURf(?&65Q7dkB zkVOWv=o7C)ki{hA##Cgn7+Gvae#bs!QD}C$6+;%)kVS)dZH_DkA~%L0i}A=}26AH| zve*^3eaIsBoK%!S7L|}iQ{+Z-WYHJ7F$`IZK^F7lwh&otK^BMNHT&FDT!`GLge)2& zi&n^u9>|SRaT|jyW+IEF@wyRNWSW;YvLlPK$f7!Oqb{=O6t^D8;#p)dF8Nn#eU>QmIbM( zfV^FdEb1YP7V+8zxiJE{F&bG+M;42azqcM)9E)3)FH%toSyV+9b&y3nEXB8!H|qE)>1KyHjeZj3<|Gm*toA(MnyBS$z`!3ybA&Uyg;;MLUfGoNpH~Jup5y;{lpykQ)tmk_y)PL6j|&- z7AKG!c~_*O3OcomESe&Vj`2DGxiJ>GF%enJM;2?5zqbup zAvXpfi&4npJ>H3=aI$7ahr`S)**}E z;`JY7QF?XSI2T#eMizG>9{?QET$lfFXDA2Ds-|qi2RO!kVVloskj8W zaTT(-FK+jv!Y6-aFmmJNczp+1EJ1FpM1@Zldyz%fwP~Xuvba2MS0Rf#QIV5v*LZyx zSxi7~yn`&hL`6;(KOnzjC$h-1F5L5tq8oDKab)o#vX~XO&yd9iWbsG5o50S;!@wygS96^4^31m_Hhg4KXZd{8j+Qh9RvUmcy@mjpThb)#OH`XGH zKaoX_4Qb;{WN~HOu0c#6F z$l^id#-qq$EV7u6-1q`n?1fof-LGIiwxvOSLDVEaeEnAe2gp>$LkNs;$P%O)}K;Q8d+3BZd{Kn z+Q+Rca^q=aF+N^DKo+Z!8$Te6gUBNH&uQaqWN~%eu16O4A&b88`Z%(97rF5PvRHyF zwjjUb4`fkzbGn_4EG|bD4deB0Wbp`c<8fp$0a?sMZhVa_cE{}xWRYh}D#{{@%E;n& zvqaL#89JijxVmPvRGhU}7i|>)&u?blmK^FP8rHxX^;<~ui zLl$k2#RKvB6tZ|9xiKACEJqgGk>7CuS)8>!-AW;gE0IN$c+Ef-k0CdnLKbf!i#f=R z#mM6KxE(+i`FEtE0x3v zTaZONWbrSuD6}hWltmUd#;qZ;Xpbxg#_O}l;v?k7Ok}YdS?of7$6;hqVt2ZgMHW{h zi>C3~8d*Gv+;|pQyo)U6BR7^Ii@)M_7+DnFlZp$GMGa(eFLI+bvUmi!F$(3*^xyxl zeG^%H8@FZ1VmGqL^jj+OA&bk98#R!{?Z~1N%6)R<0c7!d+}=bMpCgNP@wy#ZoW3`0 z|9dn$?|H!2{Do8s04S#(Bj z435_k$l?>^#vEkvJ+jz~+&G3T&e@l46_CYs$l}g;ZHFwLL2isd7VjgAFOeIokj39| zJBBRI`Xdz;kwqd}Q$>viK9Zk?BAx%A-@e$l^w1 zaZkK0!FAvXpii?`!81zCKHEPjgDy~v`# zp|nvHSzLxJ>LWMqL>3RlZ7{NU9a(%FuU{gIUy24rzO zZrP5e;v8ggIkLC`S#&^dbVF`DgDfT>iw}{-nz(I17Jnm)JpZJkII_3~xp4!sXo)QP zAvc~t7L()lA+q=mS!|8hKaoX|V`-x}vbX|SG(v9NgDeKc?FnS@2C|qHuiqexJ;?9) z6Iq<`Zz{?mH>x0uW^ua*S@cJ443F2b$YKF<;~Ql03$i$Z+{k%66&IsZyU5~ZWYH#G zdm%SQBR9q(i%*foa^&y*gec*MrESSmv}*8d=mt7PlZb z?n4$s;`TJMcpF*Fjn^f}VjuE54kC*JSyFKxa^rGj(L8SVA&ZBQ8!yD`1Z1%gxv>OU z{E968MQ-HDnu<#3)Go5P6t;iyKwsgybEY3$3SI283 zWYGh;(GOXSL>BKNH)bJ=jd9zGERG|K!l$L89I~j3+-QU>Iv|TjkQ>91#k9E1LKbU~ z#qM}Lf-FjAPaEZs#Wl#{cH~AIWHB^u!;!^gWbt{tE=Lyok>7CySro~Uii?mNS0alR zachGt1|c_Iir2T0#UkX!a%8awS!B+cHu58js&Ts#Su{fyo#XXEWHAo8@fNaJfGoa8 ze#Z`Ek?Zty%a1HBMi$q_>n+Hl4|3x{WHB09ypP%@dC1#5x2R>Vm-3>JzoDs7G-j$jq{L29b|DAa-%)67#6n|ki}GF@m0L8 zMiz&V-|;W9D3&J`mm)W=Mi#B&)*e|5L2kSnukRv@rO1ud$YLL|IPHwIQ5acNkK5JA zqB*kY9kD^kwp*W#*@h6C1f!>Zl5EIjmYA!c+H$Y73U&1EQeIHq@ zL~eYKEcPRd(+j4JvyjDAak~y#v_KZU{ zEN+U|yO71h$c@L4#W-Z~33B5rWU(`DzaxuWg;Q}3vbYpk+=kq^3t99*Zajl5UPTt4 z#qBF(u^CzX9j~VqNyP=ojZ2Y517y(>xzQcD@nYOwMHVxV#dq=gBeFPw+&JybRFpv$ zS0FcTL>3+6)*ZPq3|YJpuOA_cwaAShk;NfoaYoU!Q36?98@C&gMJr^{KVF|i7VjZ9 zK0+2tk;N~_@AwN@oOxEdl|UBNk;TpNdM~ng6uI#vvY3c0K1FVPi!6SN+h52cZ?ROA zM;4bMi#w1T_ach{$c^Wa#p}r8i@1G@EVdzwqw#wB*{Qe$xp5h?XpAh{A~$*?H(rk0 z>&Rj@vRDzXKO>8*#nZ;=$l_dNQ4_gw6SC+Ux8BI&d1Uc+yncc#en5W5&&c8*WKp0* z+BgSUTpzcakj4GT;-Pqb23dT7-1r1ptV9;SBERErWN~)MbUO!GT!k!djn|gQ;&J50 zGsxl{WHAr9@g1`GBW`~qi-M(6aXzxR0$JRR+-Qj`9!74AL>6x#i?8GM9kSSoERM(P z8KqNE8M$!OZ*XUJkry#9hLa-5Sk&OjCyAd72|8#g11o^k7s zEM7zwljHSMWU&eP9ls!p6Ud@SnY2+JS=5W$&B&r7vKSPv&moKH$c;~t#ad*s2l*XG zkwvMp=~fIWGSDD0?48oa-$})xC2>qL2f*REXK#}ZDjEUvREIlzaop= z=cbJU$l?-YQ3ttkE3)Vtw}+6$%gADCyv{=wTae%JE3(L1Ar-}t8|Nd7hH<+US#(8i z42jo~$YLgPV;-{j0a@%rZX8DzWzS2u^O42%$f9|?c0d--A~!}Niw}^+LgdC8WN|od z$C1U^=cl3)vbY9Wv_@`pKo*Z9H^v}~$;e_^+}0qAKafTC3sO-8S=2yoT!Sp`MixDg z8-tL=n{k_rEWSn-8{>5kvdDK~+9-l7DkF=!$c<*m;(@pgLKd$fi)rz?09kBDe#ahU zk>jFNltgY^j4YbOtr@cDiQE_(ucML09OT9VWU&cZ>_={7xi}RS(5YQyQ4d+Ph}SO2 zjS&#iiZaNJO30#V+?peczQ~PX@j3=s%tvl4L>60+#UbQI z_R6Wa5S`jZ77dX_t9b2!+!%%27=tWkB8#QS-`j{RGF3^p?8u@lvZx-fb&*9Umk_y)PL6j|&-7AKG!d26Pk3OcomESe&Vj`2DG zxiJ>GF%enJM;2?5zqbup8(G|e+_)cE zJRP^^k;N2b@kP9@L>32;-|-K!D0)pQE7CI zMb>N6MnPn8dEBl-7Iz|xuJQUXvY3F}cn4X0i7b9Ve#cH^k*9XL6+{*lk;V1#dKK3VtCwML>3<+i?8E#EwVU*{EidIqWJZxsEpjW7Fo23 zTSsK^1ajlGczq99EJtpvMHYV|iySwkjWdzOm2tZkS=@sxddBOc$l@*J#(T)(8)UHw z`5nI@i~KjH+nLCs3bLpduXiAe2ay|(B8#!eVmfl;3uLh)ZoeUmoOM%C3Rzr&EN($= z+<`25Avc~v7B3@LksDd-r=m2nsD|9Q9$B=HTUX@9)5v0cyncWzRwFllKo$p)Medu@#@Wc?>bPBx zEbc=VedG0UWbrO?;{#-|1X*lBe#alkqHu$BI~!SCjw~9+>)puW5#+|>$YKJrn2Fr@ z8d>a)+aJgxPs3D{MHZEj#qG$AyOBjd0kWH!35G zM#!Qya-%15e2*;lA~%j9i*uT%TLols9kRGHUfUsyXOJ5skj4AR z;!EVlDrE6@+>RlOv+hnsMPyM6S+qoMv_lq;Avaz@7L$<0cX3;VEPh88+3rb2A!Km{ za-$ZqxC>cyLv9R27H`CD60-OTS!{^cUC82$d(%cCWN|67xBkj1CSjrqvpM`ZCQawAhlD$1i% zyU5~3WN}Ztc0z7Ehuj#2EIvXO-ynZ)9kMtYw@mk?q6D(2f-Gtyi?+y(PRNZXk;PbK zF$Gzyh}$}3@fWhl*)kPHkws19Mr~wqFS6)`+!%~3-j3T8WbrMs_$glZB8vj8(ne8a zaT&6xkKDKuSv(ZC!N}ruWbtvleu*r8MSjO#WN~`yRFp<;R74iH#_djI(Hpt(biBTT zEao9MzC;#3Ba4H`jcjdFaXvb=i!5$J7Wc(#H{`}h#;+;{?6 zOpe=!$l^O>u{B=*L>5KbrH$gq;tFKZ2)S_&vKSP%Cy>P($YNH!euFIbAiv{JWN}9O zRFp$*R6!Qa;&uLZJ`$l^id#?#1RYTQ0X7Aug&j(9zYEQ)nb8>Nv&O=NKka^pT^F(htJ zBa63@#oTyZf-LqSzvCdXD9|Mp=OH&PM;6WFb|12M2)Xe>yiPzC3y~X3kj1aa;$P%O zp025=gih@ui(8RJ`*`h#+!%x0n1C$iA&b?>-`k2TvUf|jJjmjFWN~%8HbNFXkQ@Dw z#YklFE^=cQve+26t;pgyvMAg=73GjcUF1e1WYGaxJc8U9jx46dZ5FavgDiH(>k(v8 zvPaq|hb*o^7Plie+8~ReaT|^-CL@c_<8?W**pK{Nlv7Il!tUC530$YNOBUO*O8k;PZ>x*Ay=LVm}; z$f8)kR9uSOxEfisid%bRF$B5sYP`OSES4fSRwIjj$l|pAX`?W*s2;bgkwtT4(LG)t zK^7B{8}A~Eg~;MZZbue9kQ+}Ti14<#bwBi>yX9$aqEoS7>X=jkJtB+#Y*JH_sC*D zvN-+Wv~d=)xGHYfA&VBsqIbMLhAiGeZoH2y79oqDk>Bw1s zco@0y7_u0LEIvVQe1$A_#_e}xk?WCEoP#VbMHaUqH||0feUKZ^Ad6R##bfZ^Y|I$YL#W<40t12w9vlIBk?b7T3n@Mr6?nS@e(BCy~W_$c>MX#ZqMP z3-UYuLKbH}mTo1GMRjCxbG+V*EFMK}Jc%qOB8yLv8{Z;}-{STcvdB9m73Gn|Wysczp(0e1P2e1X-*^7QZ6D<8Nef_Rw@Y2U%Q&EN+e0mdN696Po?5~WN`(uxEs0A5?MTq+!%>0-ar;#$L%|0u@hMwkJmGvPDN$p z#udooHe}HOxzQiF@mk#8Ko*}Ni#7533$n=ZOxidDSzLfDu0d|xj4XP_tv|AO5m`)* z*H4kfCggYgf-FuTiz36)MtNjWFK#y@i;l=*P`o~eET$tjK1CL5k;NY5cN|3)rJhZ< z^2p*^WYH{M+aimnkQ>h-i}#Sl0_4UDWN{#FN0G&u!&7lFvZ#qHGLRc>k;S9PjnT;B zZDg@HZYz+*Z^$CcbEznREUFEvdH~>+9-f5 zExD3Q4G0pKC);Sw_A}#SLDW!cpZr> zW+FG{A&Vc7#XjW5ab!{Ug>*X~SzM1Sn#XGgWbrI=VE;Kk;SpNWqCOjrI1BcWKjoMv_o!mL2f*SEXE;=smNkg+}0zD1IQxRE2$`k zENUS)>L7~@WYGt?F$7smirZ9Vu^3rwj@Nz2qR^{pqZqQNhAbK&H<}}hfpHsxEXE^? z8S%OhS?of7$3A3{drT_IAU7%@i>7gFjx72jH-^RQ7-TUYxv>ygY(W->kQ>=wOT~rg z)Go4Uh%8#gYY*hcDCEW%WHA$2EJgm_Mr4s`Y`SGf7G;q|^?0p|EIJ`KdLWBuk;O#h z#x!KHE^Zr<#bIQT_w`hiL>9G?8+DOIYh*D1xiJ)3Oo`hxWU&lcY>U_Z$fD@Dv{4dS z)Ib)EksB?L#o)LNMHX)&i`ntI2wCh!e#d@fk#Bq|&P8riMHY9)tp&1p0J-seypBT_ zUm`aaA&c$E;veKjt_i8Ah)(Syizdk8{&?+!+;|1KF%DVGK^7~Kzqc7#WP2msav_Tf z$l|JaZGbGgAvgLUixJ4;9puIgWU(P`n~}vaWKnQpD#{>>8;~0fkVQLW@i1~@7_#^< zZZnX@DrB)UUJoIQ;%}ynGRUG9vbYVo(F$2S5w~H;ViL0WEMAu)i$9UyaR^xydMgzd zAUCQbi+keM3Rw(9ZoC+;6OqL?$c?4QVi&SFf!xUZb}Fi%Q@hBbDYEDouLF=9W04yZ zk;Qyuu@?Dz+mJ=hchW5{vbYdgTpO>Akwq`$#sFk73R%2|+?b6leu~>RWRYo7D$Ya} z=OT;x$c@Ixq7!oCQDpHvviLY|vysI*Wbs?P{(~$^zneDBMHaP@#U03v`;o=daeE$F zOhFc3#Oq3AaS-_({~(K^lT&dCa^oswabMi-M;3#T8!yM}JIG=Qa$_a3*o!Q(zLz!% zB8$u8b``R?6IpbP*N2hC1mwm$$l^<6@dNTZb|Q;BQ_`&2?s z6=d-Na^o{(u{CZxkwv!mQ*k!3xByu+LT=oKEV>~#9!C~0B8ypZ`wUrZKo)<*>j`90 zZfe@N09o9CEbc~bbVL@z5($MZI{v16e$X+;|jOj71jHksDtiiyd+M4O!%zmWooy;u2(W3v%NQWYG(` z@f5On8ClGY+ZV{UjjV!K?+x5ueK4j51 zULQvm?;j@-B#S@c70Jc}$|Ll&RM?Q3MQ6vRD^7VVM6z<7NYS$u@tn29V_Ba2LMsN_?JfWs$|z$f9Yywni3DA~&8z7Vjd9`N)lB$l|ZK9Yz*~7o_4sWKjcI+>6|3 zjVvBPZj3?}Zz79t_!%uzDPwrWN{gCqXx3L9a(fjZajc2UXR zBa73&OdI)-#YM=XHgcm0vgjSR2av@}$YM&o&OsJGBfn!ivdH{ZDvBaEDj$;uGY?9Axo5ve=8MJ;5}61mY1Sv-c^cm-KZLKfe}Z56Wk9a&`iCKZK{#TCenTFBxq zWYG<|F%Vh25w}Um;wxmaAzpVOi!;7W8-yX7?$Rg)=sVIspY9cpkBa3^HMK9#WU}W)j+@>IlZ;{1M@wyjT6j+)ziXw~4kVSpu z#+}IGp|}l37Ox|VkK^@AWbrHVJN6=r)0d^9G;*UNvbZ&FcOr}4$c?At^%Z0>54rIr zviKQU97JwpTb_#Z(WzZzaTBt*FJ8MLH%1~iUO^V0Ad4l)-`jvJj>j$Aid39~EG|bD zHz11+$c=8ujc1U>1Z43cvRD(h4ankeWRYiODvBeEYmgf^Ad8mBq91bO31l%jZXY6x z?~ujTc>NPu6j_xviX)3FkVPZp#y!YlP~4tC7H=SnS@HS}ve<+Cjz5vb8LLxK4!KbU zSu~5=J;A?d#g*||A6axkZuCMH&moJqkQ*N(i}i8)30WLP7WvnuqBOFo zgWRZ(EZQQA2ay|3Ba5kV`xsfQKo&dV^&qk+_I=tYjVx*+i(8Nz_aTcRaeEqByp1g8 z#_JMfu@Ctj2a!dA^{F@yxp6tNXdbuwki|pDjTho|0t;iz#hIGq=EY3$3SI283WYGh;(GOXSL>BKN zH)bJ=jd9zGERG|K!at^>9I~j3+-QU>Iv|TjkQ>91#k9E1LKbU~#qM}Lf-FjIOdI8p z#Wl#{cH~AIWHB^u!;!^gWbt{tE=Lyok>7CySrplnii?mNS0alRachGt1|c_Iir2T0 z#iF>aKo-Z5yCr@~Z58BNZDiXvZk>?rlgPEP@j3-rtU&(qI%M$|vdH;!+9--FYR0WL zvbYyn^orNP$l@8~#)rt_D6+`EIc=0i7IorQA6c|T77xbj)5u~fa^quUu>x7_K>l}i z5Lp!4l5VAuMNMRJOT6BPEQTOAo<c*`Rvgm*; z9*NiC$YL6DV-~VlgDiFSRwIjj z$l|oUsVIyrsv|e9Mi$MHMR(-JBgkT6+}=eN3z5Z-@wyvXSzLxJu0t00 zBR4uDH-;jM*OA5h$YN#OzDE}Ok;UnMrQ$4PaTRjoI%LrTS@cG3JccaZiQD_gViB_V zIbMH976tdGjkAzNRb+7!a^o&!@o?N8Ll)zZ#V7Il6|&fg{Epv|MXm#>I0w0LDYCdN zZg(MzKFEz{;`LQz@fmXCD`c@5S^SOMIPG96EpAic8R` zU1ZT1S+tGU-pGxYksGfgi`mFx1@iZPMiyEBNw?FH#kt6$X1v~nEV?2$dLxVHk;U7{ zjZcup4{`e$S^R@63LHztImqIA+^^hAkBa4p6Vi0oU zIb<Ad6~otBEY`Ko(u%^&w<2 z9=Y*0viJg7tVe#wugD_zY3Wt~SzLlF>cs1<$f7TD;~`}6GP0P8+?a9ZgS>(%=ZbgtqWn@t|UYj9{2ap?s zki~1rVj6N|0kYU0w>`)rNA6UVL>3n#izdj8X2_x^a$_j67>z9E#BBkx*n}+h$7_~6 zsi=V5xENW~Ll!NN8(okaBjPq1SxiS3i{f=XvN(p^$Z|$1N+FA?$c;M4qFvm&AUB>u z7USY|DzaFG+*pq+4j_wMdDBKQWKk<_b&y2{vgi}9Ly*NJ1|m0xAdB(HVg_;x&7LR9uMMsDvyUB8yhYjULF2QE?lCEM_8$rSZBES!61hHnJm& zvdE%3a-%M?=oGge$l_UKF)?1JA&Yg$@7Rbe4kL@ah0;bzWKlbAb&*ADWHBIKha!t9 z$c<^pVi~g7hWw8G$f9WBbSsH0Y9Nco@!A4e3`TAYMHX)&i`mGHMaW`r-1Z}jd__`m zF0!bKEbc^Zv_KXQAUB>z7UPh`mvLK!EVd(yf8sUQnW?CV+^C8ynjnk&ksE!G8?VG| z9I}{$ELO(rW@M4AXxhkyEGi(2tB@NFkVUt+^+6URki|RkIs;j3Kz_$&WN{2x6g(?! zltC6Z#H|6cXooBwj@Mzx;zQ)d3}mqiS?ol9$01}Aw~Z7H(Yg)C0QYu@6i zsDj+6jx3rYi;l>R0mzN9ahr%N<|B)>@wyFJHL*BQ%?S#@1!c;-=T9`^xvQ3 zCo*Mfm-#(BY8{wZ^&b24Q)`S1L%=S&&h+g7}yYUS$xU9<34)|1b-^Y;t+ z>%S^%ri`xbD*bo%{?q^cQ?XUAUg@7sZe{-OKga+6Q?cj&{h|N( zqyPOcocgEM|KsY)!~f6a)&J)*H%;?ayL+?x(_4#{;mYp-I*2t)oDI=ppm+l!E{d;xD z=-IYihhDwg_RMJ0fsg+Gd}-UiZR4IXV11>duMd+(6#OVeCyk} oTf4q3duFuk)vH6ht{Ht>cJA}P-n;kg*1B!4UK#yb@*nK~0KOL}%>V!Z literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.c b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.c new file mode 100644 index 0000000..7377b37 --- /dev/null +++ b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.c @@ -0,0 +1,1141 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Users/zanek/Documents/GitHub/SE-030/cpld/CNT.v"; +static int ng1[] = {0, 0}; +static int ng2[] = {1, 0}; + + + +static void Cont_12_0(char *t0) +{ + char t3[8]; + char *t1; + char *t2; + char *t4; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + char *t24; + char *t25; + char *t26; + char *t27; + unsigned int t28; + unsigned int t29; + char *t30; + unsigned int t31; + unsigned int t32; + char *t33; + unsigned int t34; + unsigned int t35; + char *t36; + +LAB0: t1 = (t0 + 3488U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(12, ng0); + t2 = (t0 + 2568); + t4 = (t2 + 56U); + t5 = *((char **)t4); + memset(t3, 0, 8); + t6 = (t5 + 4); + t7 = *((unsigned int *)t6); + t8 = (~(t7)); + t9 = *((unsigned int *)t5); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB7; + +LAB5: if (*((unsigned int *)t6) == 0) + goto LAB4; + +LAB6: t12 = (t3 + 4); + *((unsigned int *)t3) = 1; + *((unsigned int *)t12) = 1; + +LAB7: t13 = (t3 + 4); + t14 = (t5 + 4); + t15 = *((unsigned int *)t5); + t16 = (~(t15)); + *((unsigned int *)t3) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB9; + +LAB8: t21 = *((unsigned int *)t3); + *((unsigned int *)t3) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t0 + 4680); + t24 = (t23 + 56U); + t25 = *((char **)t24); + t26 = (t25 + 56U); + t27 = *((char **)t26); + memset(t27, 0, 8); + t28 = 1U; + t29 = t28; + t30 = (t3 + 4); + t31 = *((unsigned int *)t3); + t28 = (t28 & t31); + t32 = *((unsigned int *)t30); + t29 = (t29 & t32); + t33 = (t27 + 4); + t34 = *((unsigned int *)t27); + *((unsigned int *)t27) = (t34 | t28); + t35 = *((unsigned int *)t33); + *((unsigned int *)t33) = (t35 | t29); + xsi_driver_vfirst_trans(t23, 0, 0); + t36 = (t0 + 4552); + *((int *)t36) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t3) = 1; + goto LAB7; + +LAB9: t17 = *((unsigned int *)t3); + t18 = *((unsigned int *)t14); + *((unsigned int *)t3) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB8; + +} + +static void Cont_13_1(char *t0) +{ + char t5[8]; + char t14[8]; + char t26[8]; + char t47[8]; + char t55[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t6; + char *t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + char *t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + char *t21; + char *t22; + unsigned int t23; + unsigned int t24; + unsigned int t25; + char *t27; + char *t28; + char *t29; + char *t30; + unsigned int t31; + unsigned int t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + char *t36; + char *t37; + char *t38; + unsigned int t39; + unsigned int t40; + unsigned int t41; + unsigned int t42; + unsigned int t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + unsigned int t53; + char *t54; + unsigned int t56; + unsigned int t57; + unsigned int t58; + char *t59; + char *t60; + char *t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + unsigned int t68; + char *t69; + char *t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + int t79; + int t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + unsigned int t85; + unsigned int t86; + char *t87; + char *t88; + char *t89; + char *t90; + char *t91; + unsigned int t92; + unsigned int t93; + char *t94; + unsigned int t95; + unsigned int t96; + char *t97; + unsigned int t98; + unsigned int t99; + char *t100; + +LAB0: t1 = (t0 + 3736U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(13, ng0); + t2 = (t0 + 2408); + t3 = (t2 + 56U); + t4 = *((char **)t3); + memset(t5, 0, 8); + t6 = (t5 + 4); + t7 = (t4 + 4); + t8 = *((unsigned int *)t4); + t9 = (t8 >> 8); + t10 = (t9 & 1); + *((unsigned int *)t5) = t10; + t11 = *((unsigned int *)t7); + t12 = (t11 >> 8); + t13 = (t12 & 1); + *((unsigned int *)t6) = t13; + memset(t14, 0, 8); + t15 = (t5 + 4); + t16 = *((unsigned int *)t15); + t17 = (~(t16)); + t18 = *((unsigned int *)t5); + t19 = (t18 & t17); + t20 = (t19 & 1U); + if (t20 != 0) + goto LAB4; + +LAB5: if (*((unsigned int *)t15) != 0) + goto LAB6; + +LAB7: t22 = (t14 + 4); + t23 = *((unsigned int *)t14); + t24 = *((unsigned int *)t22); + t25 = (t23 || t24); + if (t25 > 0) + goto LAB8; + +LAB9: memcpy(t55, t14, 8); + +LAB10: t87 = (t0 + 4744); + t88 = (t87 + 56U); + t89 = *((char **)t88); + t90 = (t89 + 56U); + t91 = *((char **)t90); + memset(t91, 0, 8); + t92 = 1U; + t93 = t92; + t94 = (t55 + 4); + t95 = *((unsigned int *)t55); + t92 = (t92 & t95); + t96 = *((unsigned int *)t94); + t93 = (t93 & t96); + t97 = (t91 + 4); + t98 = *((unsigned int *)t91); + *((unsigned int *)t91) = (t98 | t92); + t99 = *((unsigned int *)t97); + *((unsigned int *)t97) = (t99 | t93); + xsi_driver_vfirst_trans(t87, 0, 0); + t100 = (t0 + 4568); + *((int *)t100) = 1; + +LAB1: return; +LAB4: *((unsigned int *)t14) = 1; + goto LAB7; + +LAB6: t21 = (t14 + 4); + *((unsigned int *)t14) = 1; + *((unsigned int *)t21) = 1; + goto LAB7; + +LAB8: t27 = (t0 + 2568); + t28 = (t27 + 56U); + t29 = *((char **)t28); + memset(t26, 0, 8); + t30 = (t29 + 4); + t31 = *((unsigned int *)t30); + t32 = (~(t31)); + t33 = *((unsigned int *)t29); + t34 = (t33 & t32); + t35 = (t34 & 1U); + if (t35 != 0) + goto LAB14; + +LAB12: if (*((unsigned int *)t30) == 0) + goto LAB11; + +LAB13: t36 = (t26 + 4); + *((unsigned int *)t26) = 1; + *((unsigned int *)t36) = 1; + +LAB14: t37 = (t26 + 4); + t38 = (t29 + 4); + t39 = *((unsigned int *)t29); + t40 = (~(t39)); + *((unsigned int *)t26) = t40; + *((unsigned int *)t37) = 0; + if (*((unsigned int *)t38) != 0) + goto LAB16; + +LAB15: t45 = *((unsigned int *)t26); + *((unsigned int *)t26) = (t45 & 1U); + t46 = *((unsigned int *)t37); + *((unsigned int *)t37) = (t46 & 1U); + memset(t47, 0, 8); + t48 = (t26 + 4); + t49 = *((unsigned int *)t48); + t50 = (~(t49)); + t51 = *((unsigned int *)t26); + t52 = (t51 & t50); + t53 = (t52 & 1U); + if (t53 != 0) + goto LAB17; + +LAB18: if (*((unsigned int *)t48) != 0) + goto LAB19; + +LAB20: t56 = *((unsigned int *)t14); + t57 = *((unsigned int *)t47); + t58 = (t56 & t57); + *((unsigned int *)t55) = t58; + t59 = (t14 + 4); + t60 = (t47 + 4); + t61 = (t55 + 4); + t62 = *((unsigned int *)t59); + t63 = *((unsigned int *)t60); + t64 = (t62 | t63); + *((unsigned int *)t61) = t64; + t65 = *((unsigned int *)t61); + t66 = (t65 != 0); + if (t66 == 1) + goto LAB21; + +LAB22: +LAB23: goto LAB10; + +LAB11: *((unsigned int *)t26) = 1; + goto LAB14; + +LAB16: t41 = *((unsigned int *)t26); + t42 = *((unsigned int *)t38); + *((unsigned int *)t26) = (t41 | t42); + t43 = *((unsigned int *)t37); + t44 = *((unsigned int *)t38); + *((unsigned int *)t37) = (t43 | t44); + goto LAB15; + +LAB17: *((unsigned int *)t47) = 1; + goto LAB20; + +LAB19: t54 = (t47 + 4); + *((unsigned int *)t47) = 1; + *((unsigned int *)t54) = 1; + goto LAB20; + +LAB21: t67 = *((unsigned int *)t55); + t68 = *((unsigned int *)t61); + *((unsigned int *)t55) = (t67 | t68); + t69 = (t14 + 4); + t70 = (t47 + 4); + t71 = *((unsigned int *)t14); + t72 = (~(t71)); + t73 = *((unsigned int *)t69); + t74 = (~(t73)); + t75 = *((unsigned int *)t47); + t76 = (~(t75)); + t77 = *((unsigned int *)t70); + t78 = (~(t77)); + t79 = (t72 & t74); + t80 = (t76 & t78); + t81 = (~(t79)); + t82 = (~(t80)); + t83 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t83 & t81); + t84 = *((unsigned int *)t61); + *((unsigned int *)t61) = (t84 & t82); + t85 = *((unsigned int *)t55); + *((unsigned int *)t55) = (t85 & t81); + t86 = *((unsigned int *)t55); + *((unsigned int *)t55) = (t86 & t82); + goto LAB23; + +} + +static void Always_14_2(char *t0) +{ + char t7[8]; + char t16[8]; + char t31[8]; + char t40[8]; + char t48[8]; + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + char *t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + char *t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + char *t28; + char *t29; + char *t30; + char *t32; + char *t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + unsigned int t38; + unsigned int t39; + char *t41; + unsigned int t42; + unsigned int t43; + unsigned int t44; + unsigned int t45; + unsigned int t46; + char *t47; + unsigned int t49; + unsigned int t50; + unsigned int t51; + char *t52; + char *t53; + char *t54; + unsigned int t55; + unsigned int t56; + unsigned int t57; + unsigned int t58; + unsigned int t59; + unsigned int t60; + unsigned int t61; + char *t62; + char *t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + unsigned int t68; + unsigned int t69; + unsigned int t70; + unsigned int t71; + int t72; + int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + unsigned int t78; + unsigned int t79; + char *t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + unsigned int t85; + char *t86; + char *t87; + +LAB0: t1 = (t0 + 3984U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(14, ng0); + t2 = (t0 + 4584); + *((int *)t2) = 1; + t3 = (t0 + 4016); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(14, ng0); + +LAB5: xsi_set_current_line(15, ng0); + t4 = (t0 + 2408); + t5 = (t4 + 56U); + t6 = *((char **)t5); + memset(t7, 0, 8); + t8 = (t7 + 4); + t9 = (t6 + 4); + t10 = *((unsigned int *)t6); + t11 = (t10 >> 8); + t12 = (t11 & 1); + *((unsigned int *)t7) = t12; + t13 = *((unsigned int *)t9); + t14 = (t13 >> 8); + t15 = (t14 & 1); + *((unsigned int *)t8) = t15; + memset(t16, 0, 8); + t17 = (t7 + 4); + t18 = *((unsigned int *)t17); + t19 = (~(t18)); + t20 = *((unsigned int *)t7); + t21 = (t20 & t19); + t22 = (t21 & 1U); + if (t22 != 0) + goto LAB6; + +LAB7: if (*((unsigned int *)t17) != 0) + goto LAB8; + +LAB9: t24 = (t16 + 4); + t25 = *((unsigned int *)t16); + t26 = *((unsigned int *)t24); + t27 = (t25 || t26); + if (t27 > 0) + goto LAB10; + +LAB11: memcpy(t48, t16, 8); + +LAB12: t80 = (t48 + 4); + t81 = *((unsigned int *)t80); + t82 = (~(t81)); + t83 = *((unsigned int *)t48); + t84 = (t83 & t82); + t85 = (t84 != 0); + if (t85 > 0) + goto LAB20; + +LAB21: xsi_set_current_line(18, ng0); + +LAB24: xsi_set_current_line(19, ng0); + t2 = (t0 + 2408); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = ((char*)((ng2))); + memset(t7, 0, 8); + xsi_vlog_unsigned_add(t7, 32, t4, 9, t5, 32); + t6 = (t0 + 2408); + xsi_vlogvar_wait_assign_value(t6, t7, 0, 0, 9, 0LL); + xsi_set_current_line(20, ng0); + t2 = (t0 + 1688U); + t3 = *((char **)t2); + t2 = (t3 + 4); + t10 = *((unsigned int *)t2); + t11 = (~(t10)); + t12 = *((unsigned int *)t3); + t13 = (t12 & t11); + t14 = (t13 != 0); + if (t14 > 0) + goto LAB25; + +LAB26: +LAB27: +LAB22: goto LAB2; + +LAB6: *((unsigned int *)t16) = 1; + goto LAB9; + +LAB8: t23 = (t16 + 4); + *((unsigned int *)t16) = 1; + *((unsigned int *)t23) = 1; + goto LAB9; + +LAB10: t28 = (t0 + 2408); + t29 = (t28 + 56U); + t30 = *((char **)t29); + memset(t31, 0, 8); + t32 = (t31 + 4); + t33 = (t30 + 4); + t34 = *((unsigned int *)t30); + t35 = (t34 >> 5); + t36 = (t35 & 1); + *((unsigned int *)t31) = t36; + t37 = *((unsigned int *)t33); + t38 = (t37 >> 5); + t39 = (t38 & 1); + *((unsigned int *)t32) = t39; + memset(t40, 0, 8); + t41 = (t31 + 4); + t42 = *((unsigned int *)t41); + t43 = (~(t42)); + t44 = *((unsigned int *)t31); + t45 = (t44 & t43); + t46 = (t45 & 1U); + if (t46 != 0) + goto LAB13; + +LAB14: if (*((unsigned int *)t41) != 0) + goto LAB15; + +LAB16: t49 = *((unsigned int *)t16); + t50 = *((unsigned int *)t40); + t51 = (t49 & t50); + *((unsigned int *)t48) = t51; + t52 = (t16 + 4); + t53 = (t40 + 4); + t54 = (t48 + 4); + t55 = *((unsigned int *)t52); + t56 = *((unsigned int *)t53); + t57 = (t55 | t56); + *((unsigned int *)t54) = t57; + t58 = *((unsigned int *)t54); + t59 = (t58 != 0); + if (t59 == 1) + goto LAB17; + +LAB18: +LAB19: goto LAB12; + +LAB13: *((unsigned int *)t40) = 1; + goto LAB16; + +LAB15: t47 = (t40 + 4); + *((unsigned int *)t40) = 1; + *((unsigned int *)t47) = 1; + goto LAB16; + +LAB17: t60 = *((unsigned int *)t48); + t61 = *((unsigned int *)t54); + *((unsigned int *)t48) = (t60 | t61); + t62 = (t16 + 4); + t63 = (t40 + 4); + t64 = *((unsigned int *)t16); + t65 = (~(t64)); + t66 = *((unsigned int *)t62); + t67 = (~(t66)); + t68 = *((unsigned int *)t40); + t69 = (~(t68)); + t70 = *((unsigned int *)t63); + t71 = (~(t70)); + t72 = (t65 & t67); + t73 = (t69 & t71); + t74 = (~(t72)); + t75 = (~(t73)); + t76 = *((unsigned int *)t54); + *((unsigned int *)t54) = (t76 & t74); + t77 = *((unsigned int *)t54); + *((unsigned int *)t54) = (t77 & t75); + t78 = *((unsigned int *)t48); + *((unsigned int *)t48) = (t78 & t74); + t79 = *((unsigned int *)t48); + *((unsigned int *)t48) = (t79 & t75); + goto LAB19; + +LAB20: xsi_set_current_line(15, ng0); + +LAB23: xsi_set_current_line(16, ng0); + t86 = ((char*)((ng1))); + t87 = (t0 + 2408); + xsi_vlogvar_wait_assign_value(t87, t86, 0, 0, 9, 0LL); + xsi_set_current_line(17, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2568); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB22; + +LAB25: xsi_set_current_line(20, ng0); + t4 = ((char*)((ng2))); + t5 = (t0 + 2568); + xsi_vlogvar_wait_assign_value(t5, t4, 0, 0, 1, 0LL); + goto LAB27; + +} + +static void Always_25_3(char *t0) +{ + char t4[8]; + char t31[8]; + char t39[8]; + char t46[8]; + char t54[8]; + char *t1; + char *t2; + char *t3; + char *t5; + char *t6; + unsigned int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + unsigned int t11; + char *t12; + char *t13; + char *t14; + unsigned int t15; + unsigned int t16; + unsigned int t17; + unsigned int t18; + unsigned int t19; + unsigned int t20; + unsigned int t21; + unsigned int t22; + char *t23; + unsigned int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + unsigned int t28; + char *t29; + char *t30; + unsigned int t32; + unsigned int t33; + unsigned int t34; + unsigned int t35; + unsigned int t36; + char *t37; + char *t38; + unsigned int t40; + unsigned int t41; + unsigned int t42; + char *t43; + char *t44; + char *t45; + char *t47; + unsigned int t48; + unsigned int t49; + unsigned int t50; + unsigned int t51; + unsigned int t52; + char *t53; + unsigned int t55; + unsigned int t56; + unsigned int t57; + char *t58; + char *t59; + char *t60; + unsigned int t61; + unsigned int t62; + unsigned int t63; + unsigned int t64; + unsigned int t65; + unsigned int t66; + unsigned int t67; + char *t68; + char *t69; + unsigned int t70; + unsigned int t71; + unsigned int t72; + unsigned int t73; + unsigned int t74; + unsigned int t75; + unsigned int t76; + unsigned int t77; + int t78; + int t79; + unsigned int t80; + unsigned int t81; + unsigned int t82; + unsigned int t83; + unsigned int t84; + unsigned int t85; + char *t86; + unsigned int t87; + unsigned int t88; + unsigned int t89; + unsigned int t90; + unsigned int t91; + char *t92; + char *t93; + +LAB0: t1 = (t0 + 4232U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(25, ng0); + t2 = (t0 + 4600); + *((int *)t2) = 1; + t3 = (t0 + 4264); + *((char **)t3) = t2; + *((char **)t1) = &&LAB4; + +LAB1: return; +LAB4: xsi_set_current_line(25, ng0); + +LAB5: xsi_set_current_line(26, ng0); + t5 = (t0 + 1208U); + t6 = *((char **)t5); + memset(t4, 0, 8); + t5 = (t6 + 4); + t7 = *((unsigned int *)t5); + t8 = (~(t7)); + t9 = *((unsigned int *)t6); + t10 = (t9 & t8); + t11 = (t10 & 1U); + if (t11 != 0) + goto LAB9; + +LAB7: if (*((unsigned int *)t5) == 0) + goto LAB6; + +LAB8: t12 = (t4 + 4); + *((unsigned int *)t4) = 1; + *((unsigned int *)t12) = 1; + +LAB9: t13 = (t4 + 4); + t14 = (t6 + 4); + t15 = *((unsigned int *)t6); + t16 = (~(t15)); + *((unsigned int *)t4) = t16; + *((unsigned int *)t13) = 0; + if (*((unsigned int *)t14) != 0) + goto LAB11; + +LAB10: t21 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t21 & 1U); + t22 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t22 & 1U); + t23 = (t4 + 4); + t24 = *((unsigned int *)t23); + t25 = (~(t24)); + t26 = *((unsigned int *)t4); + t27 = (t26 & t25); + t28 = (t27 != 0); + if (t28 > 0) + goto LAB12; + +LAB13: xsi_set_current_line(29, ng0); + +LAB16: xsi_set_current_line(30, ng0); + t2 = (t0 + 2408); + t3 = (t2 + 56U); + t5 = *((char **)t3); + memset(t4, 0, 8); + t6 = (t4 + 4); + t12 = (t5 + 4); + t7 = *((unsigned int *)t5); + t8 = (t7 >> 0); + *((unsigned int *)t4) = t8; + t9 = *((unsigned int *)t12); + t10 = (t9 >> 0); + *((unsigned int *)t6) = t10; + t11 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t11 & 63U); + t15 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t15 & 63U); + t13 = ((char*)((ng1))); + memset(t31, 0, 8); + t14 = (t4 + 4); + t23 = (t13 + 4); + t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + t18 = (t16 ^ t17); + t19 = *((unsigned int *)t14); + t20 = *((unsigned int *)t23); + t21 = (t19 ^ t20); + t22 = (t18 | t21); + t24 = *((unsigned int *)t14); + t25 = *((unsigned int *)t23); + t26 = (t24 | t25); + t27 = (~(t26)); + t28 = (t22 & t27); + if (t28 != 0) + goto LAB20; + +LAB17: if (t26 != 0) + goto LAB19; + +LAB18: *((unsigned int *)t31) = 1; + +LAB20: t30 = (t31 + 4); + t32 = *((unsigned int *)t30); + t33 = (~(t32)); + t34 = *((unsigned int *)t31); + t35 = (t34 & t33); + t36 = (t35 != 0); + if (t36 > 0) + goto LAB21; + +LAB22: +LAB23: xsi_set_current_line(31, ng0); + t2 = (t0 + 2408); + t3 = (t2 + 56U); + t5 = *((char **)t3); + memset(t4, 0, 8); + t6 = (t4 + 4); + t12 = (t5 + 4); + t7 = *((unsigned int *)t5); + t8 = (t7 >> 0); + *((unsigned int *)t4) = t8; + t9 = *((unsigned int *)t12); + t10 = (t9 >> 0); + *((unsigned int *)t6) = t10; + t11 = *((unsigned int *)t4); + *((unsigned int *)t4) = (t11 & 63U); + t15 = *((unsigned int *)t6); + *((unsigned int *)t6) = (t15 & 63U); + t13 = ((char*)((ng1))); + memset(t31, 0, 8); + t14 = (t4 + 4); + t23 = (t13 + 4); + t16 = *((unsigned int *)t4); + t17 = *((unsigned int *)t13); + t18 = (t16 ^ t17); + t19 = *((unsigned int *)t14); + t20 = *((unsigned int *)t23); + t21 = (t19 ^ t20); + t22 = (t18 | t21); + t24 = *((unsigned int *)t14); + t25 = *((unsigned int *)t23); + t26 = (t24 | t25); + t27 = (~(t26)); + t28 = (t22 & t27); + if (t28 != 0) + goto LAB27; + +LAB24: if (t26 != 0) + goto LAB26; + +LAB25: *((unsigned int *)t31) = 1; + +LAB27: memset(t39, 0, 8); + t30 = (t31 + 4); + t32 = *((unsigned int *)t30); + t33 = (~(t32)); + t34 = *((unsigned int *)t31); + t35 = (t34 & t33); + t36 = (t35 & 1U); + if (t36 != 0) + goto LAB28; + +LAB29: if (*((unsigned int *)t30) != 0) + goto LAB30; + +LAB31: t38 = (t39 + 4); + t40 = *((unsigned int *)t39); + t41 = *((unsigned int *)t38); + t42 = (t40 || t41); + if (t42 > 0) + goto LAB32; + +LAB33: memcpy(t54, t39, 8); + +LAB34: t86 = (t54 + 4); + t87 = *((unsigned int *)t86); + t88 = (~(t87)); + t89 = *((unsigned int *)t54); + t90 = (t89 & t88); + t91 = (t90 != 0); + if (t91 > 0) + goto LAB42; + +LAB43: +LAB44: +LAB14: goto LAB2; + +LAB6: *((unsigned int *)t4) = 1; + goto LAB9; + +LAB11: t17 = *((unsigned int *)t4); + t18 = *((unsigned int *)t14); + *((unsigned int *)t4) = (t17 | t18); + t19 = *((unsigned int *)t13); + t20 = *((unsigned int *)t14); + *((unsigned int *)t13) = (t19 | t20); + goto LAB10; + +LAB12: xsi_set_current_line(26, ng0); + +LAB15: xsi_set_current_line(27, ng0); + t29 = ((char*)((ng1))); + t30 = (t0 + 2088); + xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); + xsi_set_current_line(28, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 2248); + xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); + goto LAB14; + +LAB19: t29 = (t31 + 4); + *((unsigned int *)t31) = 1; + *((unsigned int *)t29) = 1; + goto LAB20; + +LAB21: xsi_set_current_line(30, ng0); + t37 = ((char*)((ng2))); + t38 = (t0 + 2088); + xsi_vlogvar_wait_assign_value(t38, t37, 0, 0, 1, 0LL); + goto LAB23; + +LAB26: t29 = (t31 + 4); + *((unsigned int *)t31) = 1; + *((unsigned int *)t29) = 1; + goto LAB27; + +LAB28: *((unsigned int *)t39) = 1; + goto LAB31; + +LAB30: t37 = (t39 + 4); + *((unsigned int *)t39) = 1; + *((unsigned int *)t37) = 1; + goto LAB31; + +LAB32: t43 = (t0 + 2088); + t44 = (t43 + 56U); + t45 = *((char **)t44); + memset(t46, 0, 8); + t47 = (t45 + 4); + t48 = *((unsigned int *)t47); + t49 = (~(t48)); + t50 = *((unsigned int *)t45); + t51 = (t50 & t49); + t52 = (t51 & 1U); + if (t52 != 0) + goto LAB35; + +LAB36: if (*((unsigned int *)t47) != 0) + goto LAB37; + +LAB38: t55 = *((unsigned int *)t39); + t56 = *((unsigned int *)t46); + t57 = (t55 & t56); + *((unsigned int *)t54) = t57; + t58 = (t39 + 4); + t59 = (t46 + 4); + t60 = (t54 + 4); + t61 = *((unsigned int *)t58); + t62 = *((unsigned int *)t59); + t63 = (t61 | t62); + *((unsigned int *)t60) = t63; + t64 = *((unsigned int *)t60); + t65 = (t64 != 0); + if (t65 == 1) + goto LAB39; + +LAB40: +LAB41: goto LAB34; + +LAB35: *((unsigned int *)t46) = 1; + goto LAB38; + +LAB37: t53 = (t46 + 4); + *((unsigned int *)t46) = 1; + *((unsigned int *)t53) = 1; + goto LAB38; + +LAB39: t66 = *((unsigned int *)t54); + t67 = *((unsigned int *)t60); + *((unsigned int *)t54) = (t66 | t67); + t68 = (t39 + 4); + t69 = (t46 + 4); + t70 = *((unsigned int *)t39); + t71 = (~(t70)); + t72 = *((unsigned int *)t68); + t73 = (~(t72)); + t74 = *((unsigned int *)t46); + t75 = (~(t74)); + t76 = *((unsigned int *)t69); + t77 = (~(t76)); + t78 = (t71 & t73); + t79 = (t75 & t77); + t80 = (~(t78)); + t81 = (~(t79)); + t82 = *((unsigned int *)t60); + *((unsigned int *)t60) = (t82 & t80); + t83 = *((unsigned int *)t60); + *((unsigned int *)t60) = (t83 & t81); + t84 = *((unsigned int *)t54); + *((unsigned int *)t54) = (t84 & t80); + t85 = *((unsigned int *)t54); + *((unsigned int *)t54) = (t85 & t81); + goto LAB41; + +LAB42: xsi_set_current_line(31, ng0); + t92 = ((char*)((ng2))); + t93 = (t0 + 2248); + xsi_vlogvar_wait_assign_value(t93, t92, 0, 0, 1, 0LL); + goto LAB44; + +} + + +extern void work_m_00000000002982276307_1919318694_init() +{ + static char *pe[] = {(void *)Cont_12_0,(void *)Cont_13_1,(void *)Always_14_2,(void *)Always_25_3}; + xsi_register_didat("work_m_00000000002982276307_1919318694", "isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.didat b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.didat new file mode 100644 index 0000000000000000000000000000000000000000..657d662ddcc59f975561894eb9559c4694687ace GIT binary patch literal 3384 zcmeHJOHWf#5FUIY;tO5iJ29?|_V$6LCB#rKDv3lBD2XN}mr}0M^d)^zaA)F97i>&e z;tz1=(uGSGEL^f6kssi~g^AG(e&6kxrZxo%l9-^Ae0S!|nfdOVxu@rJ{%d>X^3P(T zO+ZtkiDq{K@;^q&dE`tT=6@&MkB1^!ELF9-CF@^eWzL%Q9-l@BBkZ?#aNJBZf4#XAX5uq6 zW6=&z9vV%h9MrmodY{^LDJ_|Oh+2bSn|KzVw8HXI;$SDPaxlI~)HT3dcxo zpVF^@xuKB9YbNKD{ zo*&~@co6o_3VS{dH2ZFxqhIG1m#5p(l%8bBNYBCNh5`4s+cx^skHVS+14Bg4hfFP1LW2{`KOue^nOq+c#WL-kHQX zjiZmkF~R;3wD}I|t(-?%FW-Sw88Dz-|9h;jpK}8SwExTQ>A+jF6#fV2-NSo>XZ|sO zKK_U60!{*_0G{J#fV03k;5={vxCqQ#85O(F8Y{YmqV+71bJNyfK3UGXxl+-(lPV3B zC#=z1*Zd*Bm3*3+wCsCv-;Cht;kG?|SL~P_ml5~zh&xR+R(OJBTCrqW;;F2gFPCC! z+~kB^ogd8ST(R@Hk`o9zeq)84fW$JD#9Yw{^f*DagV&wVHVVZGn~R=djkBp@Dr=RT zB#NTxOt@1%ch>dMUC9^HR@U*?Bp8hZgT3L9zt;&w1JO_*5{~xxCR3A%5_x|C`jiO9 literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.nt64.obj b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000002982276307_1919318694.nt64.obj new file mode 100644 index 0000000000000000000000000000000000000000..54118e7e70bb51ef55eaa8856bf3810fb3748a1e GIT binary patch literal 4647 zcma)9Z){W76~9g%nH{fQ`*_qP=$RplZ9VdI`i0RznE> z6DSfbnR%4A+gJF&G(IqzO4HU&d_ZcnH5Jm5z~-Q8EQD=>bw#jh9VUG0hh<`Fz2CX_ z+1O1bawYqod(OG%o_o&kocCV;L5J=_jf0HerPhyN3bJHx zxW6ygr&#hohW{;JTxQc)1g_+-2SuSyn86X*rvkcA(nfVi#0*XzcFz?&Ei4)v+_l5-_M7kF zXznbt%H{GVTMKdF-bc9i5$=72dmrK62kS{#FrX*z13h`4(X$kmGuFA51Ao8~+<7as zAB>`h&MWGgJk~ibnPSDloZZTutX6D-l!<^f*J7Q3N}=5&nhIAvcGJSF)!1VFluRK4 z6bwVGA!WV%SK@qIk%sfTF&}~`nrh=B0(Ps2X?9bg{mH_^Px4|sG)#L%li@r;=+wn3 z`+{#uw`cT)+32jB`nYJ0cl+bM8Qq%92XGbZU7SywmG>YFXS>Gjc&JAg3AQk62qG=k zV!iEp`Vg$Eh%ebE*BSf#!r!8^hC>*KgMsc=->mh=d@K2pBkOdQn?Lx}tJD(UZwd8? z=E60PJ-skzU1+gPXj_vlRzK}>pvs-3R(K3vrok!nfarA%_R?iyq!bfL4ch}P2Y&xW zxm-E}1s7?cSSj{px$J8d%-2+U0b~W1bvS(hiomI}j$Gr;;SMh*xY|K$%t5lGPtZ!I z;y`LYug~hYz$6QYXO^%PNGP?!SMq*X1*RC2Kr1C(7YQX5{4c>BXC=9+Hk87!q4KM2 z>&1jDp3>_OPy%FJj?+mL<9Y`yVvEDQ?lqDy%fw5Xh*GIuUbtYL&#$pQ&UbU?N1-Hl z41~YCJz_@<=ewFNqW&(!(KX$USpUhlmtIx}Ch5TWKaqB_uCC@Md{M#t4feEX)cu~e zS-p+5c}fqH4=9z}kX%w=>w@t9|6pVECS{9S-hMfchYrd4bm)kjPs&zEEpH5K!#*in zp<$29R>1q zMFmAnLet`W|9No>!cbxgNdn-Q(~U6n>i2UUP@@On)nJa~#6 zbCwOD5ptWV$-N~SoV+C(oV+C(AN5uPjc`oP?k%Br3Tr-kmV!hL(E1mx>+0?XII`}p zkqCt>>n=h{kxJcJyWlamZ%wR#PHkD-nrHd!Ft|AV_PK=%x;2yUPFUyi zJqB#Y$V?<5yzzE#-1a@=yr?CFKkjcfoaeNJ-Asz@rQfRZh|yv5GqRZK%VRw5^QrpK z1=IbFR3Hr~kbSa16zJ+u7=R^0p?u)fb+E67&#-SGe1`ZA-9Rt@h4Ke<$tL!;w;5Te z4L*5T8+`1>p3)1{#N60dK)RI8pDX3kD(v5kSD0aLf5gS;F@T+0levcS)e8LSHOsXu zgsdqE&pQ=V65HH@%I`v~cXMY;C~4rWLkF7kZ{V4d-%D^#(qkmZ9dQWYxEA}x;?Eg%q6Ug`PFzB9{4fYB}~=XksRS$xL|v^!?g-vmnW>b z{L6))r_ko13`WSj@Wq9fU`s7Vx2Ez>BP7pAh>{uH(HC!Tj@w?td5LhE@PkTLaN1M) zq!y^tkC@d-JTW8b$@HTZCY?NNHdLTubLl}FG-9&qLyrrJJZIm8|iF%M`zC5Hk31oG`FUD)Fv*x$MF*)8D__keF;rpJKB=)t8Z>df@h z7`)zNJ(#kqDH5&2INXnNl;4aRC$l%DWiDb z1Euu#fm$W^Ua3lbc(GPqwSZF6PeG}@cOzp;dJiZi9j@};0HyT)QRV%+$~%fDk&>PS zrL0^Ab)WJc!BX~?0Ub*3K2XZjr$8y`TUF^qmG?0yW$&}9bQC?FKEX6L2h=I)C!mz& z-S~195ENz5!@{qm2UDPEd|75}K*E*2N9sq1yY#RiYmhqi za&tHpUbl9Agt$~@NCC$4_)$I3u101K4M=$q9Hj$J6bm_Mht%n!C7pNM&Q`3bzLXu3 z@5GNP?>1*II8>90Q~PyK?lyW(AWG!R?NR$>FVFIjdpM?M)PB`V4?Psw`ceBuPmyc% z{H9*PU0F3;I*+k;7I985;#^w9`C<`gbxlSZ*aocb*a*Vgn7Oo;VWx-DIcDmEyZc_t znqBM6?&>1C*6d>D#+~S4(QY$ZA-l{7GtKmlVbk1`O`Dn2KsuXCWlYttzD|P1w=JJb zWox%(Q|ONQOa{%#983?TYGosXI|oMkGUo2Sbk6L{X43;h=1AXQevy)yA0lC@-|Xw} YueH#hNsqv#kyp~0Y|hMO`i8RX|J{UhO8@`> literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c new file mode 100644 index 0000000..970c073 --- /dev/null +++ b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c @@ -0,0 +1,337 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x7708f090 */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "C:/Xilinx/14.7/ISE_DS/ISE/verilog/src/glbl.v"; +static unsigned int ng1[] = {1U, 0U}; +static unsigned int ng2[] = {0U, 0U}; + + + +static void NetDecl_16_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + unsigned int t8; + unsigned int t9; + char *t10; + unsigned int t11; + unsigned int t12; + char *t13; + unsigned int t14; + unsigned int t15; + char *t16; + +LAB0: t1 = (t0 + 6960U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(16, ng0); + t2 = (t0 + 1960U); + t3 = *((char **)t2); + t2 = (t0 + 8648); + t4 = (t2 + 56U); + t5 = *((char **)t4); + t6 = (t5 + 56U); + t7 = *((char **)t6); + memset(t7, 0, 8); + t8 = 1U; + t9 = t8; + t10 = (t3 + 4); + t11 = *((unsigned int *)t3); + t8 = (t8 & t11); + t12 = *((unsigned int *)t10); + t9 = (t9 & t12); + t13 = (t7 + 4); + t14 = *((unsigned int *)t7); + *((unsigned int *)t7) = (t14 | t8); + t15 = *((unsigned int *)t13); + *((unsigned int *)t13) = (t15 | t9); + xsi_driver_vfirst_trans(t2, 0, 0U); + t16 = (t0 + 8520); + *((int *)t16) = 1; + +LAB1: return; +} + +static void Cont_48_1(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 7208U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(48, ng0); + t2 = (t0 + 3640); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 8712); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 8536); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Cont_49_2(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 7456U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(49, ng0); + t2 = (t0 + 3800); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 8776); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 8552); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Cont_50_3(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + char *t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned int t16; + unsigned int t17; + char *t18; + +LAB0: t1 = (t0 + 7704U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(50, ng0); + t2 = (t0 + 3960); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t0 + 8840); + t6 = (t5 + 56U); + t7 = *((char **)t6); + t8 = (t7 + 56U); + t9 = *((char **)t8); + memset(t9, 0, 8); + t10 = 1U; + t11 = t10; + t12 = (t4 + 4); + t13 = *((unsigned int *)t4); + t10 = (t10 & t13); + t14 = *((unsigned int *)t12); + t11 = (t11 & t14); + t15 = (t9 + 4); + t16 = *((unsigned int *)t9); + *((unsigned int *)t9) = (t16 | t10); + t17 = *((unsigned int *)t15); + *((unsigned int *)t15) = (t17 | t11); + xsi_driver_vfirst_trans(t5, 0, 0); + t18 = (t0 + 8568); + *((int *)t18) = 1; + +LAB1: return; +} + +static void Initial_52_4(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + +LAB0: t1 = (t0 + 7952U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(52, ng0); + +LAB4: xsi_set_current_line(53, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3640); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(54, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3960); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(55, ng0); + t2 = (t0 + 7760); + xsi_process_wait(t2, 100000LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(56, ng0); + t3 = ((char*)((ng2))); + t4 = (t0 + 3640); + xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); + xsi_set_current_line(57, ng0); + t2 = ((char*)((ng2))); + t3 = (t0 + 3960); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + goto LAB1; + +} + +static void Initial_60_5(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + +LAB0: t1 = (t0 + 8200U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(60, ng0); + +LAB4: xsi_set_current_line(61, ng0); + t2 = ((char*)((ng1))); + t3 = (t0 + 3800); + xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); + xsi_set_current_line(62, ng0); + t2 = (t0 + 8008); + xsi_process_wait(t2, 0LL); + *((char **)t1) = &&LAB5; + +LAB1: return; +LAB5: xsi_set_current_line(63, ng0); + t3 = ((char*)((ng2))); + t4 = (t0 + 3800); + xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); + goto LAB1; + +} + + +extern void work_m_00000000004134447467_2073120511_init() +{ + static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5}; + xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat"); + xsi_register_executes(pe); +} diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat new file mode 100644 index 0000000000000000000000000000000000000000..0745347ab6fe199422cf5be96eb52951bc8dc729 GIT binary patch literal 5500 zcmeH~OKe+36ox0IKxly$C=bzs3Pe1MU%81>C?%sXeN<*5O=Bmzv#H0~a zhy_AngNnq4UDQ>VT~vq_V!;9-mPkabiqr+D8x~;$Na6qI&RFA7@S_)rhd9#7nK^UL zcW1`u&fHx1x&Pe2uglYYLWt|P;t!+@eQ!so7htJZwOzYOh>hEXIIv5I^*e?568a-_ z6}gM>uk8`yGIVvX5SO4|KsTZNcL}i%>OoIJXQ7lU80#e8BIcSCH*&WSMn};;ul2Ki zLVN|is`dQ67=zAY{u|Jb!P$%Xy$ibr{T=hziQFr&*Yup|Gs?M{wz1~9v=r{05p0j@ zV@s4JlH*3X#cbcK)%e?4gsL3cc48RY#c&MER&oUUz&__n`6M*zuVK(~j&|Q}TPa;N zhY_^}*{PzpwrJSqGm=oUH@7yGAI*P^ygu-DALsVGGb65ru72(3TJ-CqJblQ+HjA7d zH->r4vGYvZ#6+>=bG{`g+d^;_-3jx!j@?k^GSk=X8{yopb6ICo5jc0~T+Z#)2pqnX zTo-HZwI=5lw7L_sKYWkKVP>G)H?luG(#T=mEJxt*7$S#t^iBlMJvtZ1xVRXB^PtYf z6(KH1;Oy7AtjEtIa1Q8P*5fx3I1lMu*5mgPI1eNDuM+<5tV_PQU!%dA;(J1$t?0HD za@0wI1fpE`{34vd(? z_&dAm4#5OigA_9tEJ;b|4gK_)_tEv6yU+D&Ov{~323h9bbnhFJvz=9U6$bbmj*mi3 z-Pre(J%7eA#>BC2#9j}5cAU*Kb2QJj;|%M6`|sa@J-Q%xzVO}Vxx{Zhey8ypYY58! z`O{FIH;1A8W_kg76#61`Zj_l6+sjJsgyEus*tUYi)yo#_bVb_^+kRvC(4y#RxHXl7iD8^QIv~CSuABH^6;1P z$7H^EtSB;>;zTKIB2xzQ4Q4CLY}I(VI+mB!tPTr%nTaq-j}`3Rao_G${HoQ>jFqdC zm7L|N{zuWVe&*Z*8=r(#iT_mOc7_vjsJBu; z`E(`g@0$~@t8f)$GPbk9axfQf$Qdk=O?j#|8>_9>VsxKdSUMY@lZmEy$wANa(%x`d VrV{DFWGXR~OvX+Jr>7fy&>w;~F8%-j literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj new file mode 100644 index 0000000000000000000000000000000000000000..610fec0a661529d4c82efac118a06097a31e2664 GIT binary patch literal 2810 zcmbVOO>7%g5S~rkHa!4QXlVI2)RiI-Ydf(M7nNeuAZ?L_EFwgtBE2~F)>+{=W_RQI z&;wvmx>_0Wb3)<}At5*xi9^#eSz6do7cI+E_|s@u@bOzz*ig;>fETb=M!fLIQX#9gu1{#~aaL>XdkR=OU4eDJ z(7G~l8J6>!^Li|!Id&|oIcH;<=2T+^&G}Aie>Dn&l(}_Gl)K2YPc3QwtZVKw?5jC{tRLgmeU6Pf+pJ&+bjMg3wvp$D$MLR*_Bhcc z2;IH*p4U2^j^^yuT+YYkd|b}Q<$PLK<~iA=+a;TK%CY%8(q52hk0P>{_G;ce!bi_L z*Vg$Inw$0rf704s4mfvaPz{_L$lJ5tV)vtf9r(G;`vw%vxzXAB{WFgxs8`ApL-k_* z&UU_i#5>MldQj#ieXg^`g`V~t@4yca-*l#HT6hcVA9y6~V#IKgk8o;pVa#Z4(AWv+fI#TtN8>GKZ4+mo(dC3AKgKmgk3;9Dv7n-5-$xRop?}z zPsxRv{_3mAu#DxnElM?8FcgdWlBzd#mDOif%s1l8A`y&Kaw?Td&7`Jh#AIS-Dmj@* zCzEQ~Kx_2F68ng|`VgIXHa>4ujaoCFOsO;RlXEYM**RX~4c#=VE0wrqmg1G_VpVN) zWAn;=`v86s!bSRsR1ci#_oKM8h!33m74|5Eyn0ZHFEAnA+nUR@O$2@qRztrgE& zqG+I-2v7ouZ$csUv{BW8c--s|Rgf#6`_{p}JQRx3kY^+1?kwuMnocA#EF*bB5*U1+ z{4}%IFo{})iHRp^0}b~$Z?K1F!_9{{P%lmi62BcX4)4E-AHO#}%~@#pjp}LMgNEO= zp5`NH_$`#0@b?L)G^|vR_+g;l2!ES+=TWlQJ`JXbyLXZ zb-NvO!P2U&TVXd#N2^>lO&zpoUV3=1fu_|cnxbe~Mx`bi#p-I`e%)Lt>6RtV6gjKj VQOc%)mM0pg4b!rPZ5C@5{Re}JD7F9q literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.c b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.c new file mode 100644 index 0000000..9bb9618 --- /dev/null +++ b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.c @@ -0,0 +1,36 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +#include "xsi.h" + +struct XSI_INFO xsi_info; + + + +int main(int argc, char **argv) +{ + xsi_init_design(argc, argv); + xsi_register_info(&xsi_info); + + xsi_register_min_prec_unit(-12); + work_m_00000000002982276307_1919318694_init(); + work_m_00000000001129268806_2975551742_init(); + work_m_00000000004134447467_2073120511_init(); + + + xsi_register_tops("work_m_00000000001129268806_2975551742"); + xsi_register_tops("work_m_00000000004134447467_2073120511"); + + + return xsi_run_simulation(argc, argv); + +} diff --git a/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.nt64.obj b/cpld/XC95144XL/isim/t_cnt_isim_beh.exe.sim/work/t_cnt_isim_beh.exe_main.nt64.obj new file mode 100644 index 0000000000000000000000000000000000000000..54b8c4c4eac22fb2e213071af5b7a1dcb7200afe GIT binary patch literal 1328 zcmZ`&&8yQu5TAaC2r7Pm9F!hx*Yzic#Gk3p{n-+5KfX@uOzLiA8hEiC;F=_=^*N`$>sT{5$@;I4jM!$7o5M4}TUY z5|_M{auS*|za-fQ=xwt-HQd&1qpOV%s)YNhvZlgXYtvjgCOY1)BBhq?^`n0rq85W{-Mqql8-J4QiUPmEB*QXw&EVp5?_0B)u(t_kv>fV?Om?+VEK z457bD^1Xl@&Z;7gfu3OfKrkM0pY^;aiZ}CQA4(sxiNfBvKW0mx3pVf~9J@R`@rFDS z-b7AdX~5?J8{@NO(}0Uoh6u;eT3{M5ENeP)1rPDG!mH42OV`a#OEsBhYj#VsI<|oo R(EbH-=~LT8E`4ta`9J?U<5>Uz literal 0 HcmV?d00001 diff --git a/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/cpld/XC95144XL/isim/t_cs_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg new file mode 100644 index 0000000000000000000000000000000000000000..abf976d42a2490f9673518f538b49135c8cafd54 GIT binary patch literal 5876 zcmeI0O>9(E6vv-@2_k}siug4xprFFc^cw`R%nZ}Ql&LdQil9DATkF6uE$x)A7zt4~ zE{F-ym?(+Su+W$o6Wpi^OpF_4p$lT%;DW@sAW?%Z+|>W?&3*0dmD0M@c#<>co^yZq ze?Q)v_ojXAm-$Dwj8%HBj*X4I?RnmG{_;G}TeZaVzFb=MCAWFr)jK?Ijv`Jzo!u^= z#W?I7`Q_QPsungijrWH9ExDFAc9)3?fz8tA4W>;$M(W0hYVXL;%IRC7Xd61rd6QLS zF3gS*<(K4_<>+J;ZPU?si{2q)$r{y}&Sq(B zH#(^q=y3mW&UTC_?~q2f(b=Y)=Jl=@_dGgwj40oufqh0Nt$aG2`^2DQ$B6O)4LoFY z+LTYHQzHf)J4TdOH1I{E)2@6vomw&I*fFB~qz0ZcI)lo&wWm5C`gqp3F{0XOX`C@S zLx#DYPRuZNMCV;;d}wqI%|It^7(1f#u{1t2I>R&2sWXfn(K#=T3r1&T209Ifu_HR) zN#l~ysmwrUlVR-0^Zx_+-EyvFXgsr!HuBy|wP8P!-y??&d6!+KKG<{edkx3+#awo^ zYOtTm!}~g%(`9*9!=9J(oM(P=%w-=`jeF&5c|;CN9u+mi=Nb`-p0=n*<$Hgk3GiTy~vmu$_X+im;pw=CbQmgY6U4 zsR+y2=vc;mwyE=6fh`Mq!qhk$SjG`Umgh3xp%dyrk2+@)GUVYLv|&$*g(2r}1B~@v zi+x2b=SLqAn~Hr^EN24CIbu_>r^H6p56c+LWqGf#-qT{^im;p`7P9IJ_Q#pQzNtdJ zA}spYRO}hCjp~Qxw-+`Q`<~cl^~16jY%2DBu^ZG6%Wo)bD)yY%N7WC@y0MU@#5p!9dbMW7YeM}LyNshr1!!_`phP^0ui>Y%Sn9D9y zZ9%nuLVYLxZ;$;a&VgqQ*Autf|HkXfyWHBtnDwq>e}BtEp6>wt3c>%Qii(Mi(XNsH z;gRU^o^tcGqGTa*-DD5i=_A7%!)eolgf{*rWBJZ`g z>LZu!;Ioy_e7P@ZbmzQQFOk{dm2;`QSME-EiPl8HL$CUg&gZ;zA@8Nt)tSpAy&->e z$gdn6@;WmaKa)-DNUNSrZ}roetr;&Nt!%Zqz0jKW3&}}YV#lPuc023H+gUDOsHR$g zUo2NxL=ag-&zYk_p|iSU zNuFbuod^(%f(8ur{p&_`mySLw6njG?C&l4@j6u`FrL@@@nA`% zBR$7P{CI<3XQwTGJ!xXFT=DDb{RVqzU8CPP(b?oTjVJXnzj-35_gf~C27d#|`1DO$ lPH?*hQ#7<_HCDPotC>g}V_Hp+j_f`Xbb z31mDRrH{6@wXODUtBfENfL%=`VWedZQGeSW{Uf4zJ@ z%-Pqq_g;Igwbx#I?VXw{m)UY{Hk$+g6A7Db1-|^}m*21c=|lFAk zjiaW`xv9ZDxBm7U>#w=hJ^Py5Zo56=zV>=|ee^c>O}Dv&lc&0Gz5TlD&nhe&!~Dt$MZS#N`iA=1NE6%vhcbpI4lCzB ztN!|1Zb!k>2oE^59f$8zhn1^D>i-k}G{f2cVxCQl+qJ~#Kl~=owjukU7Qf1qf4LU> z(2bIhprrnHP2Y#&iQ*=d-qT@^Ovnx$IH+Q=YH zw?9w%P81=#)XLqkL5olG6ounKPf0i)_P9GVJ&+&PEAvCXwt80-wJM9Wv4Nuc+%at( z&)7RQ_@`QZnaE!2+tHIftLzb{d9HMzgKf`9K?nTUhb8FwNhsar5TVlDQjs-&>DRf8XTyHa@)HcuCa{jsM~2ufJXRw|@2GLxb^v z0|T&dP-z5n67iv_wAfgCbYSc*hb@{5bONc_)4f3-I`$HW>!DP-4xDHH(*usI1jNhi ziB$0oU3N}#S3pDvN>;bDMTfM!937-G;+Hr&(p^Hn>Teokd}un%c3B~-eY-KOD3MOp zhnBZx_PD%F8|%Cgg2eTG>6FZ$H*7ecd=~R(6u-!izP&5xag)Ezf?-Z;8oilhlWNkU z|E3QcQJVB-fJ!xezxm69i9}fU=ZD8m$d8_^>3-1Zgrev$(uDpK&oUNoTFqLsI;4_m zA4v#WzBYDpJ}Fz(h-^Za`&URczd_TtYI@-h))b&BShTJvoh6~Lz83j+B0sFJLXi;4 zC+bR4rBwaPQuW=b92HRc=cV#X`{jqF{o_*btIOAh^q0|uR~7VAHh4AS^H3s@AiDgT zk`cgEt$F6P4%;&H@YU7q;n1+YKCENBZv+xkysqWjh?!b*UY)~sKhVayvDMzB>|hIO z{?hQ(3~1)7*C@CP6|d9!6gp z`}V<(0-HU0JjVGULX>xdF&9eS)#hgkJXLFSD zM?7;hy+?Qc2q2!YM@PiE?Q!Q)L^_>|@SD(#!b1<{+035b46wPDJ8wtYxP+_a2c%4+ z@P$7i>*OwmPde=>Fhxt7=BmboTu}2gS>&^o)IvV{O~enb`Mo5qPZS^gd7iDMEpjH( z9smGMM_P|cgP1K|_XjKOHI?QV)7G2wjJ?(Q=_)oJJ&E&OnkYW&ag;NP6W<$z4^qt7 zuLsyRG`E3i@zdPQF6ytTtPh^GgMy4{p6Qz2ZQinsF}*_5&$VOy0g&a==B7mP35@G+ zXgb1$D(_R_cEk_>E_2XNmbIL+=uPRCbo5ATPL<- ztNod@DfCJD|LXa%W9+H<`MjO;(}Q2m&utH25<(a|=I3>!Wz0_%x^CXPM&{?IzekT# z^D|looHajVl6ap9#9H%noQxgw6H;lMpZa9Eb5&Yuey)(lQ}goxv_KS}wJbS5@BZ?r z^KLj5UXXt422=-K)nW%t708AgOj~ z#>1X%LWkYvk_|cR!8DIQq;E2}r(&A1RLYpYS(jrY;l3)o74~T6IYLQ#S*l1CiiCBy zd2`%ubNzLf`B?{t*JsX<_%?GYzCqVtyUE-Y;BBuKU+5_XySr=j)tHO*L?b9uGf%kK zftn26cfK9XM#rTZ`Po|ODDzI8fl};pD$_2V#nzOteJ0J>9F+-!}gFR>Nxg$*0TY9OA2ThKxK03%%NyJyTu2QLbU8)dm|h1ivsN2 zaWan?*cpwI#7<4C!p>`}6m~9<_%`!ge7_1iFHt}V=mT03JJ*cuhn>dm6n2~#S$1FF zMP_r`*J9_X>r&WhzXI6V19c~)SKDIqzqGlUO~gP^(?tggdag>2xYqPwIxIYm-H2`k zRqiW=G&X9zYL9IK!ja9z5jfFhD;2&xp&lI9MCN~i`0Ab^3=4w zEToUrTC*#e>NiKIHY_u;F)@`+qioOT)3UW*?-0*SBfDu1KJFJw&ki*=2(Ld-AoGMC7zscVd^T zeL{Y$EfGCI)AO*!OVsA?icKg;L@*Y&Vy&wg_%`q#W8ax$eN zAe~7_1X9ZB79f{A!a24g=PYp8P}Gb6=dhSig%tb!d`u0-ho&Cmx|*yp1?ie@^Rd$5$3m zns_l%^vs^9Ez;t-{q~$;HgBNue-h=T8N-m8(Ue_kPCp!930ZM`PR0hJiWzB6E8xtKcX&L zq>Kcx4aC|@umeaGf9pqi5O5Q)`I?0;uCDkpY%=6qrMg#D6dLPC_iFU+8tjOA z9r!IlQFd>Aq$Gr)gne67_X6l%m2_`?`HmXj_J|{-Uol@ZCYL0NNBj^|*|H;2AjJ8K z&}*r(MnH9|0H#wWiR}LCt1s8A-5qdkZDOY9YwDQG6L%2^%x= zYxItgK2b0}DXdRQVY~!rC(_5$XmKQ@zh2|(hzw66-381i@jhM#)=}fzCQ2~JHXmb~ zh*tfNaBPVP)_Lm6Kl&t*SQaKvZox1Ei6W3@;kYMJ1{zK&DRzja7eQH0Ou=tgFM8M2 z3%cnF8$aIpYImL z^^h16#UnWYeP)Gb+*7Lg4n&UE#x~543>8V#@MGpd67@xUAkzY_@2?IU=iUm=tZsfU zGG5aSnxvB^B+Z!WPSlo!G3Q}pLXl=%j?qEKeV?=zpX-*U z`@RASrHpvIF`1u-o z+AmgwJFtU+-s}7UM_*N<#X9me-?qpwZS4H{oIiB_3XBP9(GN9WhwJ<8ph4r?m;Nr# z*1R@yjt1fg8xzsJDj;Dhkbnw1$pi5@{gE(`a1s;U(%|P0BLZ5oa8UEnVYCGO^XND@ zD%~UrMbXcmKZAaDADMm%w=?s-Z`t~r8U=)sf4cJ-6au33Es3_c^OuOghIE#3v1X3_ zA?$9Tad2k0c^05(apw{#Y}w*qPKi6CnJLM>@e9%7YOcfLVQmBsC*z-Zo&ovDegLd* zQSeNn&6rsl)?W#O@oOMzCu?AQEcOAAbbEaXeiY}2g!60ktu-QU@e6sjHu`$l_X;_` z62-ggUHBpAS3;zb^8q*H`#U(lJOPyryni=%pEMhMNX=KF1|?H=Pa6}pSo280%X%?+ zHIlvsN{5mJ7mWEWYH*b#mQsWaDj~S<6P*4!c*cD83@pWQ3)E{L!geFJsY&^+mgT}f zv`>vMa_%F@7*|`V6UY=pWQ60w!sM3 zw6Otqq}bdD^MB@0Hd0TtM2>C`8_iM~7{lU=AzHAyYN%-tpTGVd@u^70GWS`!-e>&; zgGGe?tQ~06hb7dXx|%&Z(JiWcn(l1+BS^n|wH9yo*pOSJza7&1#HO~J5`I25sYIpQ z+%m~hMCO`nRB)-sl;JtQ&7s4luRF^m6v&5#p%lSZTDJ$AfALaRjvS-uuP9irLSgOs zg;ain8n6#swn|qiN-9;TZMUPnA)GKc@*r*n$GT^Or5#u zap+&w;CN7-QjnSY!(WP4wneqJUld!k!vbaj0A&C;>HBt@)R&DiD=&CRfqeFSR`;7_ zwGLaX&kikEq6=?V_5G>?7ofD&K9x(ASd!KL-O2V@z+5_!q%$c$Q9NiFk#+TSE&daa zEOALJp8$4($oIP*#&OC6I|)`_yo;ZhiAAD2a=I2@>Uo?AAP0?0<6xO0^Fa)5nHF2T z6M6Z)L)E+)540g_zA^&krQzK$I{BLuuI6@7*UEfmzW3h{syKuJFi2JyQ#mFh-y*XHcgjG3hVUO3e;n*y!qmx$L`!5j;oZ@PTrX-9o3}{$4H=55pMBQVfxx%o? z77)kHHraeVEM&{9!Uxe7`jC^@Qq%HYWVQwld;-O!bK&??DxyQN*WxdVpyp!c!p0;Y z#ExWX%o?~tl6ebbwOhx{Z*3Ye6#UW7(kp-O<`spFr(^%*cW*?x}6k}zWMo) z5E~klZb;LiBvP#HidE$&qHYZw08NCOq$+HZz-20q0J>#069WG7Ra-Y3dA4NZEtm|l zI-$ct`vnIK)1x;DL&0>l{6jK;dbJzq*?>6EO{ku? zX@*)oYR$@s9lEU2Zo;rLX__9SZMoUg$inC~Nb^NcDL6m(`N! z-DIA+o5X;Mr^~2_psQ%Y&B79_=-DTk;y) z^10lWPq$?Bn@?IYI!L?NE*uDj^|#0DV9Rc^B4qVPGruiC-DL*Lk^)zl#cj@>0)hK8 zjuFc-s(H<==w(U-;z%_B9)h&JhqZQtuU{7G%0Ll zJ5Aw!*3U8}?J~3^$oHDR28@iV%O6aMS?N`UIgEB1wqLi2|HH*Ki>RY8> zH&XSz>|}-c=bvV_d|bNaGPE2*5fIW7_vayaONt#fntz3~(|l`SR0!!yWz7xg&7Mrz zI+`*S!Ug7x48eLHj7-ZyF||zR_Z}ns6#@s4NX_}jL$0YNzaNKwz9W+NCwot$%q{6* z+rMGTQTfH_5*fj5ap*>KxSL4EhBe>x>jG`G(1_TuV5wZwxH21^l0%O41 z4S@}8a55k{|AbWoi)zl89ad9=&=o8A7=svS8@d!4t40wiO!dD6Rjrb*wOU+NYQ7V+ zX0yWFdpD7d5oqRyWUAY2N06s>ST)H}Qw(raZ1vo1gT~?KZMt*I69qP<*moiX#s18d zMc_m8>ZNKG@^b}%GT3b%0ur$9v_YeP2t{H0=!N{CqMts|%hc{I{T?a@%B~hm|KSRL zdUKztC(y0*5(cfHY8lRA&8xdg3v!%$Fn zA)GH%BFm?}AEY+UcMr2ZS>6M*0gfeIj8#+p^EYMZlx_D3a&v#!OqXa~IJF@Y^z%g6 z;J*w@nOlq95T! zP&k4f3d6-^n%4eKZld@hDY@Exb@o2!tH;{~{IkvTIcz9@r0@^^(Qnw$MQI0xjIa!1 zt^G?tRuz_sF~nklOoLcQFZR+Mw2E26eGq4XTQx>3pU2TBqW&b{3J{*j8JV@KO>+=zq~>I zCC?LaJ_Monntl`JbdB!|%xR~&vsvbJDCCvH_i;U}DF-x|h{C-!Rkm0$Y)iTWMp>YwQQtl_wjzM4c1V?TO<5xAie%z&cM)oX$P zQwcIbNqSdY1Oi=eu3StJu-=><1HMo@3+0>(w{_HT1t_1RkIdr8p|ru!AC6z=@rU(O z0%-e7*GK^3cwg1;z<4`-AEUo(%v}Hs8dl@|;z;8S>wv;BK(rCEj=cj}m&-urY*_Mv zJ_U!7z#KWZ+f71f|HP>!x-?@#j?gSgtqz(frk-9cptm|L)|aoj#$%y{S_ctoO!AxU zU(%myJo+(7)5pwD{Fv_gs|C*PM#g#}}bBh{^F7 z0O(?TX(|JQL9zINK6TmfH<8yC2Bw)_nULhKI{mg&-(h&FeS~INU70d0w8a=S9SPm-(ETvcnr*m~Ci-(h26eh!Xq{2~1f^T2-k z30C32U^DteTO-95dRE?+(Kllpcx-Bk)i%wxXldJ>#MVTp!?LnoYEvug*d`{kVNhvp zIDQZIgKRjC@x>Pa`*{eaD1qk#j=e|$%0LNKDN3jUC8#NP^CSbu)139N{*O?6M#-f> zJBE@|V^nPm#nBu*a^rsRTV)ZxVAINykTG=y!e|dphc|E2;yGA;Kv|V2g_R}_$6YQ* zag@|&tk8UKMyf;c1}M`FTSD;#I7nE~E&RFOoL>Q*Pbn2qSR}8`*9?%T@q&;tCfN68 zbQ|@I`Q*sC)M*-t{@CienH@@>Oi6W`Nx@yUQUHuqn<#$yK5m%NKVr=AyLk?II@Chi z-(+YLF*FUGo`|7oMS)H~{DbwX=@{Ge^0rVMCzSCjj3KR)X09+t_Rou8hH-F6KCfU` z$>ND(4LuR%1uKnaOm~ykOZnra5Q8~X?DFe~4dGEtB2N<011=lRT`{a1+za8{D?R~u z7ok*3q)Z1glxrI1trU27YsN5-ni8}iQ{uIzq=HkT=?;(P3wrYDunOmEc%$}d&OU|K zQVg}N4t>(k;mf1)h!(P68|K%oDK zvU9p}aimA|e!=Dyx5wHPdbw&?l%*4m=OATKe6?Xcu-HDCXN zrl|1Dy~AwjOZ|##lyLEsbJJ zJYZ*QknSRLw)M^+HqHxU6dVml(*2o?O%1m9uhm4el_Ju%66O7So+fk)rTap?@JMTCyp=` zu}{F9ZtI<85&K0Jv7Z2w4^}FT(hj~S7^UBfbKRnblj&cN5ws)$aD4NG;wOOOt=t<` zt{VM3p?Jt^<*T4!4NMe&`z|#;i`Zvk!bA}|jNK;S<{38-+9x+8NAtL{4GqERvi? zg|eL5EH;?+XUI7`4%VxS>LH<|)@ysER%%8dp+JvGn9r$PMT?kC;i;_ox(7;MnjVu> z=qF)noWm47($OzTi(KYpnc$rzIX+PCMOa9o5Mbnxcs!%UY{j8D>CnhzkB+sJ8+eof zY^e1tg0V^wa3Z(y5TGa`Cy$n)Uo!)HxEBBi!3aB}=U8~RpnbpiIiP(smjgzy1S8D_ z=Z41SN4+owx#790P9iSJHwQy3QOURuEeVFv$NOMaSY0ZH-HwpY24cag0?iX#Ntc;A zD!%TpalvzoX=f&f!Y21)Xs`YJbU3Yv;<3m`y6A-ADU>L_k&3RYyaPgG9iHe5RA}8{ z;I)o>$mzoI=`Lib4@bAp$o`uYHGA8PNzmO7w?%G$8g%4sM7<^o2o-83M&L}5(s z{Gl-ZJW9%($VtGYKySfHU(28ARC~ZhZrYX|2jigm5M=TV%=gmiRbs$0Jcd)j*Z?+W_%Lauk3$44pG zU9H^4L_n;Q}s!NBZeef6CF)ka*Yl@ zuh;373aZ&C$~C~)%s+rndcK8P)T0RzJ8N?tT!^?R9zaLal|!-lRHHXWaj%bHpbEPM z#?6HBKFZRO7pashHe$tX)-r3IfWbZl1_S8B(t1XjGg;<0#EEZv6g!|hus#kA>fik` zq)$>90X9AXHrAK}BWg)^wbY?}z<1CUyIcXU(K{{RXg8y8o54XnAp$1>|FMD8T;K`m z_sAkj(+98=gh?!8E&XMK!Z~+@(ScY`U5i=~tR4g1465400D^s9Ko0Y_A>?XVjcmfS zrUY`vZMMMwCWsR_BJy`c2)0Xf?n+_#Iuu~$5@u4isyPCJTrJPSDo>LDxG-ZdIT%du zeHn8fSZ+5@vcS}FdmdYm0R|HVlxO4PZ&34k#}RaTqQIEuZ<+3?K7Vg?AbsDp_<-Ky zKwO+H9!Hs%qiLw>S{zHoRot=N;+cD zbSz8zYV@7l;rS+kF>*Lhv3tQ7!@(HVIoKw1y{toMdvMQ{!YkZ01h_D`7I6_mfGb!) z)^pdQN0}t~tLT)qDhkyQ0v0}84KF5&U%vy4;p-$yU^S4!zqH9Us=mgAFbLhWu!J6&qjWYWWw)f`h>_?RCYrAx0qvj?V7>IuiYN z2nzBX;_vync_1$IQWj^Zf4;5t!|)S@IoKMF(?8~;-cz}H`~L#d^E%g}KMQ8p5wnwJ z4R$V6b%Np=lm;E}QVcRhg@Q>i#eVICfhRnDMd3 z{uW%wHFgFlohv)_09aaM{cN3NiJliQ(-{k%wI9!hcOwoAzac!S?^k0dC0H0hG7ldV^RK;+1aKa+q1PI9!b5Q%YJZOR;W#m#YZP zB>uF?7RRu%!$0hhYdRQw4#>Gj4xfy>KxYRc;jl5fUhD}C^SN)cT>pN%N{)cCJaw-F zCBrI$m9U7;uTwxWklCYeV;``R^+jKR$0XW#(epTI6K*X};7gA_iNj%xacZvHK@S-x zqh46ED(K!Tc2H}*PWiVR&WmwWL0bqM!l5B_>z^iy|8NtMVhc(k(ih}&S4^+u&1M_C zDh^kr{Chg(&OAJfZh?UtR0I?Y0YMi#%)XqokJJijf``GxS3#P_pHiMVbWh)B{>vm$ zV%S|c6Vr#lx(_)7JN>n^@&|qIV?A7L?n84hdk=@1en`OgVLiMUs!EkY&OkHJQT`Ey zKq-H`Tp>Xd73SE}U@$?7pFbL#pBrWd?{Uo4fXGG*k#3M`$~p(efHzr!2V-z*ik_&^ zVLg3`1fo&J=~l^B8a(1|z?}=nr(5HTt**^7NaM8hhis0FN^FE_m1>8c2cO)w$XQ{1 z3e+U6v<8Bu1V0qP7mHx2hcIZ%zGCot2p&;r?_x-2UP=&{WcLgbLok{g!%ZTb zG8ktI@J8auvc*Sw|Byid+&?%MkWH{Ph_z!odD@NQwlT3JQ9RrVmXh+Qd8HW{A#gtT z3p#f5_D=Ds2~*3BhcF75+2R4=>$+Afn8rkyKfeY?Gj>lFIIfmYb zPdF}Zp*@KIfbXqIW5&5}srKRv zQm&?yN^T~ptFa7bT*_e9rA!ISWbYcnCR@|T5Rx94V{Uj+5SE*E2j`Bm zAHr%dQdZ^2NmS^T+ZLIMZsl{8I3FjR>GRFYuo7%EJu4x!^s8ZSom6Tb?}qi177MXF z>;s3;JOZ1+RxN%jsOeVV<5p1At?rN^>-YkI#~^8#;|7Wm}UsY;Y|PIL9^C=bYKtKPSmw+4g43UgfPW2Vv|EK>7@- z(LW67AHvUb7U!W4yy0qAYWOy-?4OW3cJqVl2FU)9JHT!@;U>lCW|5Q1-jcdcC+osAjk;!{co_>C_u5mH%M!&Asl1JqPf6B{WY)w91MYjF zRHvg1-8}c*i{Y}+@)0~Re@E`u4{193T6`v#1XuOJnwF2SK%9kG$W{F&oN@yzxFba5 zbF*|R)Fz{N6f))g3v7hCWp`u#6^Lmr2qwPG_PO;%VKTX z5DMAm6~C>+)(hZY9n~7n`{;wC9^+6eg%(?tA6Q{?U@zZs{Q&H0AI(QtGaej)PcZ(N z#PnE!BtFDMExtrzdTbbCffbDy6w(+m8vz1!f1*nBqw>ug3EQ>&(GgBSZGDU%*Yem& z__QwJFCr&Pguj}uyXAumju zACyOi8a-D{;=+6hm<{S`+=i~Opm3tAaT|{)EGV4lGVml81SRI(oE$?}Sa>7@3)c{~ zYq<>H8f36laN=4nXlNCbAR@>Rl+ePIITHQB4BW(HDsoh15iCgj#P;V$N5;R$c?-sy zia0$Mo}kLdR+r-S7JqDiZe&nwzavugJUzt%@IRP07;%`5Vtu)H=3+6(f&d{Af!~xu zH}9hQ38^3Pp?$rH&8E|JX+Irr{hlI<$lh^@}YRc7a4=_SHy$YJARyVle$Wb?cq;|Y~*p~FscJ*$L3&H=gR;P@@4 zwdr1{yaCs8|Dlj1ePC}596~&BsI}=nD=X0&NJP7n(z*O)NUY<31?!Sg>Bo6H;583= zW;?nYTLqY=y|sDhiI_1-fBNqjRP)duC*yxWImCyj;wt}9#G}iTEu^MS0&*Vij;$mW zU{T)}of%t63bfrht-KA+q|fJb&Y*pCHBs0|EO#Bb)N9VI;dCY`p7!^^3KrnS=XA12 z2+9SLaiFP>(rn(bAaoI4VC-tW&3wNOOnI2_Ko70`vz#SwSA8G=kbBrDzCA_>y)F~~ zKyFST(H2Uy2Y}a5VpY&54b}fEIWAC+aE0nCq>ywsEz`&-X&)xc##UHcscT`|QnuE$ zyr^-1V|{Mp2aSP>VfJVMjcJKCFrj1NyO>yqT5QLaM~l--?>KLRV_e0&V!Yrrt|B@l zGb7TQ{iYY%yXLFf`_56?J148XF%buPaHJQ*C~wTuL3%h2J`o+s8`tPs-d3L*Yio@4 z)kO1fcuF||N5LbC3z1jmzp<0E>JP}QU;T~hYv9b9yTSYAtHoe}C#%fw3&iMUa5TOa z7TEHa1>5a6EORZ-Z`?EzLz66z#6cp zJ%yI+4Uv#2e&sTX5az+5zJd<*_N#@4#j!D&_R&l$Ey;Djzl{H`e&dJQhpE2-jlkM} z6%3?F6!b$t^vu-Y-_II+^w{iymy9cq3?tQAy_=ovN%_kmeO*o2I*6F*}?5W<`S zMJ2vC-gZ^Dw@!6}>+Z4$#obz!mngn`Y90tS=otky?J631m65QuY9LcjX{{Q>@2RM_ z74=%H1~cj*p`m^OBcp?@GxA%j3K>4FwF;s*=sCT$%9SWSFeM9iYt>NZ0p>CMo{D-! ztyRY|>}jnU#_#CXD#&<&a~!{bbA0M{&F5DL{mwn2J>zgEB*=t)=1xK zqY7%#W^(~z+U#z=CKiI}Y_oYk9+9#PkeLUS789iJztz!5;-$?ExPLQ}nyu*}-4xwg zZYP6n$x!C!=K*T0uf)~z67);9lLLDfXs^(`Ndm6sl`4Z8iu0vgvGSI6Q9h^T2X3O@ zfifu>g7t7hhH$8=S7?9lZmLSR>kol+GVl?rJ#~`N*r!ZR~9f8#k6f zRM$dET%N(aTB5k&60{6UCS~$N;0ewyl7mRbeKc1KAC!g6a~lEkf`8wK7MJ`CDL9vr zYowdZ!5Ap>;Tz#<2_UQSz!=w}3JH|EmV5^Rb3%wH=wnXHXXqg`#-H4breKTmBmB4( z79--ljS)}aHT+ag!%x+V_zAv+pX$%?GyWy-Rh|hLNW}rf#_qz;`3v#mI|V=Emf+`t zUi@76HhwOG*~a!f3`fL%qP8Nek4#D}UUufoAybR85~1QU(T&RfJ^1;WZlFZP2>g6q zH+ptSADlfr9}pX)?)=CK%aA!1?5Qy1Dfp?n6+gkB;-~ue_!-Z%33LXja3VDJPxv{1 z0DgQ0_!;*X{9G^`KNo(0pNsG)L*D=AF~0%`U)K*5sdx-O-=H6Yc0Vwz(CS4fNJ^>E zR}1YB*R@DY_BW6?wx{EAM?#hm=b8#Sc8n|Oj$5l z-dWgWt^y&JxAi=!AUFiV4h7+FJl{78yYVp}&4kd&l(9(&jRK+f7Ffy52*N8sySCn$ zU}O6|E+)=mA}Oj@qiter<2^Q8q#XVI0StVB72T?}fvUA*2>aWatran4{Uocu$4F}w zaMP*n9DAtBtP!NHH(xqOhyZU1+RUv`#~^iT zpj6hXZ5KqF*Bs=hp9YQsQfU?Do{LyClXDPDOABm+AwjSS%SfZJNYuJD9c$F)#1wxr zfUKl@*pOnpE0(|fnV-xo*M zu$8_x5yRFmgNOEI*w^6-Me&0amKcaXQCu{MnGj~kEeaU$QUV%ZDCct4)q_hUCO*9p0L;#WjhZwLyI@j8fd_H z*VN9C+RXp*0)*1LcHSBdjQH7$RtcyU95D7R=R%iHl{ zkEF7voYz2OagFrTz&J5a_IBFdy|5LHDStUIrrn#X z8IH14%9vbll|5uQMj$0*1W#fumJE)8PRJz3$q3qmP|#h=3y?8pVlL7HW4c=>=BlEA zS%tlsV`Lg=mBU-*MAC31$;D2jked;Dp={@ zSw+{8^Py34OmjI~^C?|zLF0NL^;RG?3Z&ixq}Dj$Ha!4OD7j+AM4(^~sH-XatfuTh zP1*VyAO<*@%iZXziAKSR=s-BPoh^IqVP8kXpepFpC+3Z@V>@m*zJ{YG!Tx>W-G56T)+$wMI#2n;F&Fs7!=H(*q?8EEjGS7j$v4`h5nCC>^z|6dY zF;!#2CpxRV;S(|7j)vnnq_&KX4q&ysFhuy=P;6B`k+R4sNQ7=ee@QqyY@4gO21#@Z_0k{IANU*oat5gXCTBl;T8$l-xT zJAfA;L$Nja+TJe$de?Z@@)4&5T5I-|zg#_L=6)nrx6a&8gXk$Bv34xYYXhy-`%sVY z_Ml`R03=W@vrIS2^aaMOWpiJk%wCi^)UOQTklK-TkZrft?8yYN4%vGw5T7CQ3zYj3 z@Y>m>F{`S_thY*6w^o0Sj<#+zAS(b? z;Eh4Fl}Uyl&RLxe!(Ge6J|~KWePGK}nE@yR0_#*@90JBEl$I*uL=kYfA41mxlPh2x z8DJbJ1Hs@wlq!=WWgtLOaB@*57iA8n%Gjk0gioqW4$9=9%$F=P0+SoAI3R`W8HMa9 z1a98cz>T>tFW?Qb5O=STHOX?4ieqZmqRqf2rHXQ0KAiYT{~+$vdD&#_LS;p>n-BYN zL067|WTA}9iB#7TnzF$XC{+XvOw^vu<`X@9>XmDo&E$)&)i#ro&a#smf39-393$ry1)z0y9qWPd&ZPj61`FZS> zGM=l&7N%&W!iky&1AFHZo~_tAh z{7n30AVy&-4DV09JCL}<5AD!g0{0|^AVaqhlsw<~&*106tEnVr;pfj13rb676png?zmYgOLy%Yj59~pW7YKw}pH=8uBG6C%0Q~#|9Gjk=o5; z051M5I*4i8LgkBsl!6NhUS<=rpJ%>!h6J~D z^?geDPpqXJ_pQHk9OkX>a+$Y)nzxJb39R@X=k39>W!^NTh=VWeDjnt-7v|88(t`;q zPt@?b=MDtbG?CH0HM!kc@?B0~ui&xl*}&$*Zl2{rJGjCg;Aa1wW1!_W3_;G)Q<>BL zc0ume05o~uDwTSgN*#byL&N;6YZy$tOX1wDT5L@&HV;_w+s&fZ>Vx{Kb~C@V`cUho ziOyugxxAl_A z+Ji0sadHg%b*g{Tr`uBIrT35CqjRDY1UkFh z?pnS@y4!9nV}DXdJ|5PW^Oe@Ya=Ietm^f#}u8pTEy}3XdSKF1R(3G)MAs=Rkl>tl@ zj#6ZLnpSiA(pS`y^BI|V_>Q^zw}ZAI0o_i(&&HVP9WXD6ww zr~}D^#_Z@Ffd6;|jfi+=lwBSuOQYgxze0tKtUoG*$dmZE?&723l68;H>-m-BOu9lx7 z6uckY^i{Q@ccY`=wgP|BW1(W$*y!~E>0W?`yzj7Q^^QQJ*$6f&j~+vC^c94|LJ1re z#`YqmuOfPOIz2j?Gdy9O9O2-?H3nq44&WvC_2x%FD|j~N}v809%Plb2CMRWozyb`=A;Xk>UMQHO0 z4SQNBI&QR`IxDAuO0|zCO=2rp)v<6;S7Qps>{bS0w$*NGqa`_%+X2_5xGt&vU#rgHP3@lLZ+lHQ zF9c^{Ntir?1ID$qZgc6|WG8#%yFl9wxTI=KIIeL4+#lduG|}0(kM0lq$`vhL^H0ni zJs@&B#4j5AH7FO;&7KhiT6iLWhV=mBAEhxx$KrmB+4&ENL6dUN1{ z3?JLraGc|cO}UQB4~bjm*V%e+8?VJ!7aq(}1PP3q_i4jZbZ#GX{=M@Q+GWjHS0X zZ~Y*l1{)-tZsP9*3Kxx_^&k8?zt>3{^L#9BI{S-nj6>2NDgyWDx;QCQJ7?ii*-)5| zzlpjsT2M*P&7j{{;!8eVQTQ(&D;dR;)u^V+sP5#b?inpRg3Hu^%#&p(JzlALK&n2e zs{Tq<{U52ifK@A1)hDg0?_zI(ZNwKaLB$dWqu)WRb5+%$wSvnN@xf^`tLCYyZf1Z~ zpHNkwM^#XYA`(S?;D3E}n2#>u=;AWD96KkZ@5XjX-lgHWhL~+X6grfLPEEWjx06%w zIHtG!qs&tboNTNxW**dH2@u-ds1nlGnls+8+%#56z}0*WVPTf)cMzMK!p1oR%o`ZS zndESXHjjrS5a+*R4W@;JbyzrtxH~M6T2*?V@}{I7!YumnG6nc#nlzK?}znH<tnbSx zyY_cYy7nj4wHKvpZ?J23oFbTdo*AIZW6-0P9hxf$!?dQa>Dfxk?mHkbexP9FDatMq z%Kisod|Sad&Z6v1XJx}M1cu^{DGEk|g0WCwyiFKAqof~;EExCqgAq!yiOfrqPm*8Z z7tNhK>dST}@Mmmar$ZEN$7&bWKQNES9dfL%<$IDV?11<)vVhK(g${zX=B7Pd=xTC5 zOD}Xf-sL-lYxyfUfl2$Orhg(QmJ`5-G;+xCu1x1rPa`JBd{V9fZc``Gy!o+zD3-6> z16uAEn(xn^AEHdd5UuRsB%|Oof!zBPOT9V_YddG&df+vdaiw0pk)Paf`u81FSlc(_|zFIR6X33-J8(*>X7V*_&beNCgL$z!7($R?J_dST8Knj6z~S6~hKymu z!8W}hN0^Ja&zvsn3@%Y-*77LaYH^vxdC@eL>Yp-ka_==ffF=e?wrsc?d{~9mU=RXA4=9K6x|1E&c>BDPvP@?Remed4I&+k-!VhGQViB<*$> z--Eyv_)S!-)cDRA!bIy&fZR&qWi)#lF@d6^5(7Vk+Xj)n**ux!JCGCgr|!4N%$w(J zA;|*;>5-m#BuJA0iQ|NIccZyg5ZjqOI_|xS$KPW*>?umrQSntER z3Rm_9*nP8pKhM=x#T24ab^%3@6u2fZ}l`rIyqR^FZN|k#Xv- zL;?%aARr{_>WKpXT}wD~K#eUxp|*tci=9?{3Fo_aB=*L`(?xn+vA#rfP&$E1$1JEk zmOMGKbSAw+KKHZH@QH>{zPW?*j#pOAmsOy|T+4u=3pM5fHb_&R3H8qC8P=Kg>`79c zgPI*G(pOdc98C=l$hrB1h=k-+pJ11{Fx)b zaXr+oQp?w>Z>lW@z5##XC2?V~-NIr|z9lU7fDG!NgXFK2zWL$_muDozrt<@gZld^3j5LEBU9KN(2|AS@Hc1D?B>I^k?eN5f}Q51DeJS@ z?OKRq?Bem{RR+5if+hN~+Xt`rV>ehXj7e_uQxLcoU#!^eP2XAMv+?=yap14<*?hK* zEoJc86JYe*z7(IWTz4cs>r1T3;l7+3@TX>-N$3EJ>ua2L|~9+N!X=wz>t69gE4Jv_o$q`GoQ32?D-hvHIh1witlB zUF`Sdf8Q|Owdiq#63~+Zdp`vqJXBu{UJBq;asXnnhCCd;(Oy{X%dIbfb>DvHZ>oJ& zj{3&~#uWJGt~GxFagVth7%(bfhC@jTMBMX-!{kD2bo6}z{qHv{gN>AB9Hdp@<@ezO~L*tscn!Cn5@g+>epVySX+#b}OYj$D@ zR_h@Y$jMIp;c5LbmZ1!<)Q9~LWqzJ6V}2VYG~*F6yJlc*BJeu3%f1$qXl*5?Aq_0C z45ns^{c=xbGdrn^{mn zNrbM&FR>R?@?6VLfDRga*B(gV{xB|B8y9@%#svOsi24KP*7^%WEr_)m<_tZQRH~Nn`IwiO-&!?L2Zm7zr#u<2qpuJB&R4C%3#x#$m3fE{amf3 z4Dzp0`I%Ios=h%kNMp8wt_d&|wwLtuo|@$!4kp0UF6p{i<>4W7IxqI0!j%ge^6l7{ z<2oa}7I1YngzKs}UvxC_K6y{lc@ED41l;j}Cke5$>0_cX8Qsv?^dX|wAL~Ik@h3=9 zdF(Y-!p^3TSOnL%>(b*$hEn->?c+%K>OPwKy8zQ+znFGm%6{P$qx^2D8klSGWZkWm znQC>WJNGkKregbWC8H04#=o)?n3M5v?!JyMnQ^NP$)&lPX>-B(Obg74_>=M|vp%fv zuQBQd;Ql-?67=l9*ckRL^0wQH|B`e7?2O1LiF}FBDH1w}(5VtSgpfx<34}%?Iuc*LCTJ4zK!)QJrvzmzj$jI{eqqWmG~6RS`xzMp*^KZ3hEHKrjD5-2scf9FFBtPMw1=V548hBs z-9`xZUv!%xytEyp)m?SfwdhW$n>3eN2LQ7-27DhkctT|dgJoZ^dw4%H<p=5Z z(sPVYB>+AJk(ZW+U%H_d!M1Mw?StgKN{SZj>0zpqMTIA0{3{fJ%dxy_#z`Bm#S@OS zP(6YPz|?_Vnq!S%?$)MSkP1@#_H@!ER#HwnX^NFZL1a~&Y9%?+Nz<$(n9-A^F13B@WMQ5pK!iut^$mNL@3tyw6(32&~V<+T750mI@ z6@_wY%b@>2d}WXs@s%1rYSci76ArWDKYjT4+1l5RUtm)HC&%x__$yXYhQRV3a#e4v z)Pn=h35;zD16;I(u`>f_H?bbWUWD19!1FjwvAqDZ8@qTWQ{pSV_-HVc8t2}IcUg^_ z9o5F&35(thT+7hk(zjqz-jM|ih-vc=DoO{MmrB71=4*Y9 z-l4AtI;>@oP!?xHNdQXMS3~K}F{%!IO%aDtb?|G701bkriT z4*Z3jRSr5iYO#;7Xf!}ip-8L1gz*j!KI8C7QP`<)-+_R5>gq%S`0Nya9Bn;E_@i6f}jh0#0UX^)Myn~>I!;XYPnh-z#ae-AbGs3;1BC>fJJv%{7lY; zxj!GCFi72Pr3wSLHhpSE$-v0vy=a1xUbQxTZbe0Kv^ITVMMZG5Htn&ZA~;%`_A;uZ z9X^yI8Tc>iC-2y~B>wUD*jMSBk1XyIU2t>_!D}*>@Yk=XY1!jy=Bmp+QtBmTZlHAl zlPK`gN#m(GiLlE^%V8QNUPf9j)7asRG#rsC*_V;#WEurvMw+xsDVUL#M{N{_Y#d;w zcaE6~7}q!G6u4E!mos4zq0?+14CnBiPP)zpd}{-)$^0A8!8qQG?+ed{W#rx~MSj9P zd8858GH&2J$Nk;C#~Fdg#yRIX8{2=^JIDz94dL9z_O;;eAH{as%UAipr#aC9m8}IO zy{^hOPl*HZ%KUEQ;XoY9e1tz(4pCd-UH({S{KxUNR=n2aUc61(J%V^_BHM z+mc!fHS?qLl$r^h<|+B}=(I3y14Zz{G2YVva2%jvo^}QGcM`?#7EvA)-Xd+jf%jby z4`WC43~LD91ApV<7}Bl#iix!W-)7)_bNNT&*s&%&dn?d>wT4G0y(xNzYj8oyH<*BS zH34O-qK;NqFW#ldYuo7<1iikibpoC-Y6~RVYkaE~KEp0?Ba|eC1FG#bDM*)8S1x5& zD*h8aX#3yv!2O@-LH9T8!7Sn+<9^To?Y?Mw?%!zVwGQoZ_=CSG4iSb6ozpxOSZyQ$ z%#a(oiWU^m84#*;LT9* z7E0QA7CfNri14nJQbqmXO_S991v1{@3f`HL_Ag1pJq7sl5?`lC>K3ME$fIGB`aDzd zjQ_|+Ogr!k|M7}oL22|P7$EU>(!u=tUO-UeegI_yiE3MK5t6n_^#ziIJsu8+xvyD& zEUoN6@jMP-*xzI<1M>IX`iZ-Y^C>P0CEVpeIN`EZa$WfJY5mh`93=1E3Q46U)`n-p zTz>Dh$^GUxTn|>I#E~=5IEAqaI=al zL(+tSMF}R6LsYin_O8G%KZY5M2MX{XI0>AI>kY21K&h4NMzSZ1-n#txDi5{%Q0>|Z zurs`?r>m(CfSA)Invx1p0aBq6sZePubWSQneN2@Lr$W&PA~-S zKR5*Gt1{Q5dxK}~sITBdg-G?ytrDmxA)QKJm#Au1I^iLmYF$?o`)#GeSAyv!lFm_B z>28(ok#vs9N~fNR^4y$siAHFpQyoM)wahNr?RagHz+DH@HAzp79tpK7om*O%xVoBB z=!lCRg%WkhN-q3de{mjhi>D;H^~T!I75L^eQ!B8aPYCP$o6)5s&^EW3{9>DTFX#eK z$e%tH27m71`Qzb_mp|uJ>uaEYRO?sHt%k=ht|zYVx3PMdTcUuzr8#(lJD{(jW$*)7 z2H&P-&;?Q7yp#p`{wl-o2zCPOoQoP-XF{v9+k73CWrn=w28O8c{Hv=fU(HNe zFpe#yz6NKN-2dAX-LbwA4JW`NjsAiWo29Qbjy4Ai+_-nx_TG`uf=sW%2`mJ_5z1qe zhTaSz48dH!N_n^gF3&qw&H=%g-XRclXdXT#-4Jx8J#XXqS8V>72L#r8kNS31=|G{X z1jBl_`3x$A_3mCgcDh#QlVY!gjL?|@JWi=s6@<#RB2=JP;khVI03ts20nJoqzC_>}5+KRMQpU6*N(2R_$>gZkouK77862fmEH1Fu!uN3q}G z2QgX@5aS0i+P)CuN004dMDf2Kll_pA0(y+H3OW4fG1)Mw-JBk?0W;P_^q8h1{OGZ;itwYy zC~yFPA3ZirMflNU(^Z5YJ$99f@T14-RD>TrcAbjwLz6N#3rXvs^?qn=`3@l`$f`N` zUuXVmup%q?G()qgP-A_dQ2!Z->wEa;hoi%0?1MV6`GvMyQ+4@oN@U8`fa6ds9aJ{}X-F}`8_h2^M zpYhB-J#U%#9c}?3+|x4vmRn(t{vw3YUM)VrwRIC-*vD;FCA*x(8h^2Blhi`0T9P%U zAgVxMiinacST;??*IHHGruoT{sQ3dbpP=tWIDsBxS30`gJlZiZElJ-rd(49Ig41>_%DB?vi+ za28|_`;0$3frUReNjQlj?4lk*$wcwF37lC&t_E?AHK)=ThV6FYACVK}9~CNLCbqf! zYa*hb9@)R}l6T-=D0_G8q`c;Zd0GC2Zw|l`ab*9(M(MbH&nkPwY2NBUIdux2_99I0 z+LG^AJ14RdpSrW^oom(0TCI5AntiH_C%D69?V0;;zD^uy4d(N4&fTz;CN*)@CN%G! z4+f{ybDrPc@6RyPN&J{}9f=RkjAysszd!H{E72083-@K6TBpa`IMx;!+-To}P9hln zB6$v-EMevIZ&n;J-!F63c-JvN)^1lb|0YA@T}8OA@TK^LtA&3|*WbF}81w&7_vP_X6df7*TLRK%u|qId$*t z+gZ@}{l0%bf0Dj+Z!M=zopb8csZ*!Un3u?S+`|pCSD!@iZ^ZllxiT|Ey_YgAkszbq zk^0-?_P0OibixgU8v@CLbYx^=)cfcCU>k_OGrsK3%Kpg$h*N@}z>@QzzN#m2tO7eQ zPvMP(gjus}EPHzH0Xmo=zT%5N$k1kymIp??PceygB-|k&!tf7!`dD8EmL_1wa%sYm zSmB!u1Kx~!hyO^_&7!+JE|K)q!&w^x4knBAZ7Bf|Fw36^fG&|9fP~hAzX91erWty; zcs*`@Qzy(NI&_$Ipd>Sa;CnYqA#~GV+Cs@-{p}I^+vz%elT--T;u5&^qlj0EAaDox*Q?nh>{ z^pU!Fr(m^)xy=pBE+xHS5egFn@HH0t-WRwGwKW%90PpUd!QMj0I1T~|{+$62P!RD% ztUcX)3S7dS1v3!$Zg}|AKduAn!}`P83qf#`b-`g;dRdH;Cya8MTw7#w=>Z;*b93p! z?}3C4xfG`54!Dy1l!n8kHUo(Ki21Wi$Q6AOmV8bArYjSc&`p6O(D^rmhpV;NBotz+ z1hq1dH;4n%FsPzbUU22znS1*ic6=Y#k#AtQlDMwI$wxV!O!xMsKGOAZ2U9T;Td2WQ zu-hY=`~b=iq2mWMtC0mG1rwnBVq}gP&a)>NkTt50K#A+qpChRf$~|<;2ao(qUf@tT zebmAy&;c3)h0y{CKw11LVZo0zMliALqs~z-0|PsSzE5g~99!(Jng!UH_<32<&9($m z6MjKwR(BOxU2=3aNfy0yB&$6%T7FW#6FV@X#a*K6Gt41R{{lruPAx~g+^@nQ;KE_Z zuc-HZe3J7*7?w?Z#(hOPN7UQEVhmRm^%m z`;ATu)C|r}2=)P!=i-%wKL$r$sk7(U+0T-6#v0Tf_1;DigE36Ec=Q&ep?=8Rc`bJm zg4uqGAcJJ;RB(@59z$Yxgb2j_c4?hF7Va(>Jjb~h>F2wodnC9a|Pg+N; zVBvQ(woXA`a2tbU6i;{fm}lXP3A9O)9lk*djK&^}xGlo>y_=d8p}cKY3-+U3kW9>; zAYMlQhu3Us_zS{zFqtNAHg?Y_jggd`dnS**yIy;vXf2<6Fv zZfc%Y+bGnA<1yWCO-xWb@CTU8A0I>I|Hb&6YxjR|+y1wK7n{Et-sylBU?S8d04A($ zMtrnY<3CU%V7{;(Fz0EQ4L8jHyYXLakN+XyrKJ=Y%4sRz?+3tP zJ2?z76dnedF^sA}@bLV!U`A7s4^F9E_rU%&4+k^sXQwhekl#=Y_Ik=2pBzc|@v^rG zUr7|at>xhp!&jx1hc5@$T%LsqA)QeWx(2{2iLKbgTsbe`gQs(Kqy*Cjlnx$bkVO>F z4K+fO_-}A`oXC8AZIlkEy4DYQFs6Yvv2RL&taxT zo7qY}nBAh@Es~3Ego}jL{bYQD{emD6Rosyyb|2Y4bPdkvv99T><-;cyhc9UY;B47*)Y_3=R0g*m+3^dhD5>10g}zJdh|?)LJn>?dGPo4yw)9Y>c8gA$-%R+d0$hQ5NahnO)!`?7}ydw-x7TBrgmzJ zeOkI8VwI(*P^|@LjbGteoD3ny`%PY36ZJkO^@Wz;?cm;_(TG(WJgjDBbS!*zy0(_0 zm8Pz}A@xB1G#kU@dD&kGm~;^amL40{RUJ5&<2AAS+2= zRm9w6i@+vk=ZWMFywa1@w0bp#x6obLPdgyQ0q`1?xtl^92S9cWXz;uQ^|9z1%A}2D z<~g_>fm3RQ+^P4|X7%|lW<`wDO@^t|1z5DBC)oPjpzZ*f;fo3jxvf`o@Ed44*T;w% z+_mAo6l9egPu*hxCC=aE_2z)*^_9`a!Zoumn-r*B*;8OHH@_+Ky#5Bl;J2A{ssMxl zJE?HRO`xFzSde;NYR1`i?GIy^DM#@pNduvMak=2$&^J85wd*^U!eZizo#>tC^=2+I z!YLa7^rYwtH5Usitb6M|Ao~GUPyH1@Rk7#wR>1Z~AaY@1;pW*B1CjFM5-sQsqLQ`k zpP~0`QSzDo`yXV+y1PcsM*Qvm}fIh-Qzd_rD-fKwAbc zeAQd`29fxQ_H*Gm2-GsP4~WTPxXF4o1OltnoQMUiP|XIlLq>d~dLO@(5pUzy8m!hA z+Yz8`ukj)8))1l{i}-{RLL*l<%$GW?MgxTu%O7&%Rx}h{m0llHo?`K3mxuMKc1Bi0 zD5Rxou!UTYNf7Lb1JCA%`B}k}o%01T1y5W!zcnu?Fu%EHq{JHP6&MxIX4m0 zwL0$`_T?yJ0;Jv=MimH`qDT&kl$+zBTA<>gO)1ABk8oV6OaiW`0C0hSB!G)rY*|m=L(ue3@T)u@eghPYxh{IAe05FBaA z;GlM30`coW41QPS7H+`4h_k3%_(!}S4U(m4)O+@qM3TacO|f+wr$o3h!MHV9eYqkz zVS#^fB0Wg(J^@HO9Xq&jpY8LCO+a3fw4bKf`k!tn$6Un%X z3ZcAs=!Eaj(eD@N_o4B1+dX$9PyzFv{zx*iuq&Sq)LpUK{p`Q&O6V_u)$h5xNdt56 zVLSITR&Ml-7<|NQs|rK_j{|1d!oRpB3|$D(Tdo8BRaw6As?&*WO#5`wfd?M5fY z>P{GuF%_>fpx%I;_WUC^u|qG{`=Qv=3g^OW2tpA~K)lTUxdH4x4}lTi(D+#~Bvd6Z zpEthc0Zh{_tcd)KH@2b3zNPcfrh4@&h&@cvey|ixcx%+FVoI0eo4A|}_j;t6r9Hz% zJ zONqiN{1T0rWlD+4YWz;eub@;q#jbQnFmb^E(9iJs>BaK`u?;L-7R$qrEia&JE;Klg zSlYv3snLgH(g3z)J%Dt+@j;i*Y6*5y9uhL{{AJS|q9V4R7Cygc9Nd;ZYTK_U&#jeb zdE|27Ww(renfd;Jo!0tS#vg;x;rN>$6pTxsc088Nmb_6fBYFkYLTJyJwDuN`OP}&} z!JpHo4L3iK`pV4oY&rtq7F#Sd)1hwRDThxcZk|D?l+k$zV#NhIVh3U&Vdd~gSAphu zY_Rap=~I?j^Iqp>ItPc#6XNmNasPP)k84oG3kYUciOmuR7HIb^frE4Ao(w+}Z*)cB)+tXy$zlKf zdKv?#Vc2Nh$AqSR+FCXvyM+Pkenq+6Y|huD4eYqyob32Ig7mkVWj$$ZG+qtKuF3DJB6Np_;q{#Fg~l(VErK7bEaOBK+)t%Dq_nnA4%CYyEXQgU10g8!Oxt$V=wPx;tAz zn;m^K{4ZMUylZOLG6ahy2{skpkUr%xQr@Y+Fzh80181HPII}cGD3T|g^UpJn%O`z7 zOKsApv}<8i13}WhK+JgRPZol_mPg_y5~kdKWIN&dk`-Vm!_N#y->}X1yG*B)1m)HX_xBK9ruDI}H z(k&X`9+0{QwFjariwmzzpYkAI?7$0@hr$e+?aPD}-%Y%G+fpp|1e_piTI(n!1yD*+ z>ktpiQrxAuKw>px2Ow_x)b?nZE84~X+KrfRF8`c=02FupFGAL_e@^vjqCl$Z*P_u_ zT&|TLvtMFN6eEvbtjPQ&yH2=A#N4Nj1E_meB=S0$jp`05BHM?SS42#o%mMt2cMTFKq6q-Rooa(Jp<|3 z7@4WZxB1=!=|+`=^MbKlu?o%9Zw|!Q_aV}_1EbUYueHhll;ltDBtQEf^)B9yUV=N& zLpUR4ZjCu3#l|!0z2C~kvxjx^j2+R>wy-FyR9n>k7)98_8|#Sm1ciL}8C_!tL33xK zDkonD`gaAEux78;UoZl=#pa^O$V@fxBhua$hbzuPwf{!7Fv>?prYSE!gJYZ^=l9ZD zV!{%Tfwo$cxrGfQJs&p8|3=bkJnJVpsp{!3Y9__3ym5B`HH zV&#`JQy4Li<0_EykLmK6hb(`KF3(IZ0Hpktx;$ZXpDkbyV(kyr<=b>X#xvepffdfR zRHC5CLZcJsi54^UsGqx&W9qf(C*y~e_)kI}2T{0Yn($;iQvDqP2{Ul_$Q&O{eDlSI7yu139!K%1#~DBgPX z>eOufa&_IBZ`FGgKj4b1OOCffQBGFNH^R;k@xBK@b)NHIOeW;(PzZMw>l#+c*NhGLQFGP`0nZ@UT=`f#nM^$j?Nn)s|( zQ@ry8bxxgxNF^XwiBXylVuU`7 z6%xXfl5-1cXudAIx=_q8FS!!SdweZ*0aMgm*Ayu6(V`o8|GKbJw ziT6VEy9=gbvDTX5bZE{qBnS(bAR1~3P~_NeM&XScFGGR&+Kq`0cvjubcL-WA)`mWx z6tBTxJZy!V=hk2jG&mF#OjtxF^t{9T7);?ZF=A$*lVqCZsXfYY@N0Qa?p zcTyVwnhp|xP;Cn%>r18B|9zXXP>C$$CXYs(-Q#S6+z|Xd-$FIts0Of&z$?gzQ;82- z)E>jo4{QN$vN)~wKFKk#XC+Js#BaVbq;*dnof@4!6yc>)`MZK&7X}}}SHU35r&;AU zqivR#LFfazks%RY7{uBvWPSoV*2p|6o3hF((0{gzqEn{|N=G_hi~r&x=EvyHX?OhW zwy$x-?Evqb~o2Eb}rHZo`DMyY@YYWqj%ppbI(gmU>7YF90bv%Sh>3 z4f=fDQVHsEXaBry_7{-BVwoB?-VXuP4u8|U(;Y8|&;qtaH$#a1Eh9xYn$st+} z5DPJ`Vb?6VA|Sn{^LM~CIqC>$-BZW;!f7A_FG-nF`65BF<{GK)I()UbCP%%wUt{o1 zv>7{}z?uk!`Yd7*nw0zznH_AVov7JH^8I|HW*bSIaej<#E4&|C5_-d}NzrxfXSxeA0|#8w|jNjhb!xNOWMh7=k-|svh}bl>6R3wk@*# z4Ll0lfCH#cwB;G-lQ=p`6k}?ctf!WR*_krnsiogSkspBAzIEBVfHSPsR_($Ys+FhE zfJ5m9Kj;lz*jj3sM2J;F1^w@!dx;UF2#ZGO+)3 z4qplB31W}zk&v*!-krm5Ho63P zoh`dOzBkm`%>=r3NF{3uVeoQ+7+X73Ldam zBmPCry0UA-Akf+&=>k>V^(40fq<{H_b@!uAiXA#{7l91>eNk_BRt`9-)%6HBhaIZZ z@U1-Ds~IIU+()xYv)3}~MUG0t3lM;e$&NRK801;uS(bA1HTl3^a}&myB3uY;&Pozq zyoL*XC+?s=FzYBhoFyQ|>2M4;rDFKkswYz^!4=2FPYJ$LQ@*8?{c{iaK<(opK{#0b z2W<~GKUdvk@w!WQ=6wyjt^2H3t$Sm9D^u&TpM;sLS#%bvq!6{W-Q%P|*Ua6D42VKZ z4wiX(7}EZ_sHg64ppQvFlLcEXE5f`a_C22?d)_{lR7S1mmT5$@=s(wp)G2Ywc5MU1 zeQjZ$)CP#A9|efe95KCd_B{@4!tHqS^6^6RLuR1qrM&d%`$s+X*9m{e`uVnC!PU=) z(1i3xdU*vJaHA!)Ekti4gBvXaHAJ4eE_#Bq?XONBC$L7~2dF`~OjPb|ImSlKl_487 zL#`Io7*4UTe~1TUR$I7-wE^TmD1h+^-RjJ8z|O(74tDD7SAXXm7^Ty>h?W#!V)%hoFv^4)pNaCBB*xZelETW zGQno*tM4rW+lc04Ba%GVnh}JEy{s<|n=c+dRF$QRgb?aDE=+66cT;2%2T56&oVdrkG_2I!PG%)$ zrf$C5>&YnNJ?uFxz;WKTk>lw-$*cLvr{+E^In=Xuj>X8qeIo(?D*bhx^YwmRes@p1 ze5uayxRYa=lz&^7KNVkjLy=T=91;ek8uZgiwp1e$2BIVGZm$%i*z@?k`0DQVo?X)I z`W_&xmai$kSY_0EZWCvmU6gA2&L1IE8K?`0sfOU0q}@EKrhjA$!n0smBGb_ta&*=% ze$V0$v}wU+*E}^#w_GRwKT`3Hc;i%>5eN21_++Vkd1|OtvO=US`&`4493^W?SoBZO z2}Y{w8IFVXGPgknYxZR`DDnfa?k5LJ>7Xe0wrMJ^WAlsI^Wk% zyV`sS`MAGh!*C_?$Ou|>UyQV2T8U*7WgN?#-1}_WvT9O7a=o`y{vL`|7Ee$whCq^>YpE!9k=j$pCu4VnQqzbIu z(9*-z@Rr^;9IA@A-dF#yBs zqoLDK>l4g@!7!BPcPS1D_|&tu+GwaI*d|~*K$}-snSI#75ihl1Mx-K14{NpfqT$NH zYk5xQ+U$Jon6_ES*}F`Bs4op(nVPVG87lavl7FiBXEOg(^Uw6+dH>C>0ngLnuosSJVfu>SQJ_x5Y#FZtI2^IG-C>5&fPY=Awb4YN+_64y?PV>wwYpzk{HLw|npCc!2Wj76fX za8>XG+n=@eTM)CAH%AT8pF;}qOmUpMT4Lrx`zeWi;+jLKpx<6aIsd zyb00zM;VQuCJWiW4(_daMc_@~<+(Bh8`PG+Cnvy}qxCe9_b-gO3GyypqR9)7Tk#oR zl8(?{)*%VGLdRj)>rXXT?D900rqwn?L%ry0i&KUbX?Qh0y>C`>>j+ z1R*4-K{vrjjszM&_ux*nQ6;fkrflZI4Zz;`GE5vAg_m+TNsA`#AIa(SNb1of_%Ibo zxik1`cygg!n++u@eJE|8N3iaT+5p_Ozb~qiH2{*cms>m8*ko>2rz6Gf2xvaCIh}__ zVu$Uee%YV4psHon@F#-1&Q=?=jju6u#cJ8LZdThHSz!ltQLM6mydDg$VeqK}_l(MP zQB&^bP6;aNy?%KI2tX~Ky61sq!U)ck4VE#$$hEW~A?Eu&(zzkFQ-1aHi=nP~gq#L$ zphHe26NOE|W8wd-U)I6}iJ8?9{V4>oj$IzTe}i+t18}Q`8*X#Gk^9aeKwsRbG2hTY z)DSch0OKqG5%KXF%sb`@&CDUkgDg1sEx&^vq$ee7|1gqztgr{;c^KwU^cOT_4#ixA zV;AO7K#y=^Lvir}U`7?|z#}sGeEtdm3Bw0fwuoH&miCkf3rZtdlGT>wv|J40us_Lf z2j>sb1WtOD<*3tyl4R*HER9wVzgj;MbMm3ubM`~!eBrsj1|IMgh~ogH)7+Cf(lKXE zK#a5<3R2)V13q_ldp|ak+RXU75+CJ%g3o(iw(yDlbXLGaZgGyqz~}YyLF2P4cq0y< z&Ug;{U$yUzwSQh`?eF%)#&a$RFs^-Y4H*CY9N3>i1F+lq3uClKycsML$v7TAfhFI9 z64hP*rLN~ajvvf5px^wKXO>an3S{xlta1@zur>j~;?oE7AmWd4_~U$Tg#QtL>wTK% z&I0r_8KU0z|E*1hd61fUX>4%Os{j=+3`N$}sd@Oz|4>VFTp ztomoQtKVSpCPftN-Iy0Dm-f zCaaHR%;JxR{a;I6u(M?yUj>v>O5~FUd9XPV+65-?KxTQkEQ^dB$vBIZnEPSBKowTU z9(qC}t^$aw5X4=n8&1*yZt%b#kBPzp!DrERQ@4{W4FD0GYb{>2_k2I`OB= zV=wfCq?(W|=2KU_)KN7opE}~VllHGi91U0d8|<3&eV%{ny!~emi?x5QT?+Fl)@@7v z_wA3cYtrrS#3**we^>iW$mZ&QyY?;mjHJ$FA<7arh2G8xrjcG!O4`%Tqihjl7^W zxpqyO9ivL*J}T3|3ARmJ@(#|Ik@KrK_fWENXs2FJb-kT{G6SbW z)iMKljP)8A2=QzN@)6_J3=F2q74U}x$C&|!XEp;+!m`Z(M0ifDRh$p04D4x038M7r z1%b3+%5!vYc@yv8-hwg(f$R|KFE0q>1XFNQDye@1PR@0Ke?-#vD`NH7h-&+$Z906u zKW+j8gmG!R|L0ebSaAP98aLT4dWO>Zf-1bYMqZTQg?T}xlO7~#m}4?#%J-8beLB*n zq`gQNBhamR0T!JZ_sP3N{SNh($ z3bwwjmy%vR&`HaF=OT_F2UDA9tV&WSCSw&1%-TE z!b214jd$48D+FAaDT?KX_W1L7bTHCug~-vdI6cWTND=Pn^b5;`S_{}o(QN{=L%hGwP>V<^>ZdNxl!xyBP6)E8h(#?vr z@CBJ>MSA#xo~DtBfE=dLGd%1#)1QU&W&yM4xG>@kBlK{%2xJJ%A}FDSJN~q(pXalz z`cIeo^Q8WPQh&bGKUnJbOZ~;VenZz^-f{hNzK$Oc(%Ic&T~V_UL}>xm*Je@W<0-=B z#o+PBlXwNunluj7e94IYtj9qpn3j3V?9CXlg{iI)yI7A{HQr&wHg}N`+a^C8vHR)b z5$ScfOd}XE_^m!h@@&9}taNhqMoe4Ru-(Owv6xIaZ1*j)hpqebmObVf43_%#8XjhZ ze>yuDhgJu};?F6&5I#+etP#ugY97<$<<5mOGCg&?m&Q7uhmpqW4E{)*Y%$Vl;gP4C zMoM^O9`+x?BL|vBdU&La#7G&5kunmcdL&BqNR*bF7gs`FLdcY!UQh}l^DG6)S_)4b zwKEDzDPu~@3rb@$W^E`1=JP89W-_i?#(1WLao)}>N(+}};wL>^+7mySIJbNLf?W?McjZ&+i`+MX@~g4k*EE}HPOjNGH=Va5cnNutN;HHcxeoQ4VfJyaQao8 znux6ScoO>*&pglhdI5-vQn1pNf;40XXobdc?#2pr2gYGR_%aCf;Z^DdCaj@buNFg9 z(zqKg#5Y`sZ@3WOa3Q|oLVUx8_)0YKm1yECDJKn;Xc{WfG*qH#s6^9HiKd|vO+zJ` zhDtOIm4JpWguJ6U*#~!FN(YLIHSCPM{pxkRHO$znJoOWNngw_tv*f8S|H?Uhixed_ z$jdjM7HmS|ZsH5SYV=4&NTL5JQ>FiWfAnPQF-P^VAAKs>e#}!@|B&Y93sCB-E3NE? zivC?{6el*Z8qZnDL2w;cw(MVJ6)=2Nn1Npq1_GB#e3ep|6zW+X@u#S|r&Bp8brn&X zvqA!IxR;<}f6=xs&Q-Qd@$bLS zLf?{5<5rlCXp{%h*TudFD?}!BkR_`|e7%zY8@X5p|MZm{9)*!Pm4PxFTVP~fhf7C( zY8!at%l}dpzrcs^RM=DDc`>7KIS(DLQ+EQVhRHYKnLfcVPcM|4m*SqxVVS`b-RGwa z#L=m3oLt7!so|o%%n)kTvPo7LZN-w-KhMu>3Y5^o19`qK*hAflqeH9)snB=UQ0jiW z@+10TG2qf=y!Lt9OFJ!U;S2HPh%Y}`+Zq1~&M8%LGTMj*6^M>Rsk>_ZY4E%f z$%vcPU&7qNU3^oMNk0%oS~S$hCJ2i_;K*qai2t_Ltr`52#y`pUqb;G3)m5Mrw-dYW zAB~Z`g~&*2@&hlxiPOJps19|A8r?s!566{9Fh<}BbsP@*QiZ=#9(Jg3MNG#PdZo=5 zrcuN<6iPGC_lsRP=n%t0v0(EGsGje7HFO#lH!D70}5(|hbc&_yMxgX&6e z3yfhNPRqiSkF6lM68cdi&QpE=sI2?yUqcgoo0wlz?5T&@9-q^{iF&vHT}(q+lKEt0 z)=* z!?n)Gj}$+S=3C1PbvWN(pRb1SK>xp&ilp`J^~YrifIj>MB-QG}w(=%qqYV#PoA1$C z`AXf5tZxix;GPvn1$$OF>3j|RV5W*64E>}nrQX?}ikA=2tM(Zt_eB_qv~YZ85M$A- z#(``Xv|@v47SE;dQ!Qwid0MwE&1B2_(Xw@NtdgSS#Byw5MZE7og2;wKPjW*fb-3Uo zdBED%R0?329e^yXjPlD0w*-$Gj)F&&nL5UO88*Q|>-dh-sMyn6%UvWV7=bww>iJ6` z>jkmdhfqXzmAe4M`3o&F~KvT3F zg3iTyioTtHx7PM6RIkT?cIHj2nO&R^8r*a)h5T$lKMpM2%sH=z`WsxGv_RX4fMs|k z0%4-Or9i+O*mQ0&%AvJKAF_jeRgw{_$cXX1<9yI^7N&Kplaf9PbZV!8*fcBNb+3`D zIR9q&@x$#FF!x*P8hH2_g)4$si(}8H@U0-s^DD}8mj?kgyboSj2wa%GyewJU3VP^u zm^^m2;1+t4sHL&_h6}jVYb40G%z5etz`KBRYTMC-7SU!|g!AQ?A`F0VCyskj6)o(w z08a@2429(|Ba8#Py>8$q%)o%|T6tV);M5FU4K9*0aDAouHFsS(^tfumH5oDpa&;f# z8OfBi4@ax{h+~yG7{HsEJA$K#UxXCXMSKqTD&1cirODHU%gF5H(dm_tVJLnJlIK$gPLv?N>1 z49tFsCM{;Jw8UuL0zHhCs^TG=ndu4;gaAVyQ0NHV8^m20+W|vr`p0^TyUUKoC1Pa; z<$gF3S{(jSFbsLRQ6uC!p1Ey6dq4=#>Y(IlO<{Q`=tfBuNFd0fouH%&C>bqPcYu;Y zeqcYoLgj5bKld+o=P!6r$QNTyK>@kg>qsdF&xDLbJgI@HhZGw(-H*PSy*_L}V_Iyy z#YeXLCMN0yMq~sC<2`vaM~GAhBim9Ph#m(;bbIhbt!W~<0>~k@6u|_b(=ZX3BYf%; zppI3hfvEGwkGr&G4}_Yg>`ul5yZotJ_qpgeGOSXagHDr7syG5z39M1yNYL(GB*yP8 zVuZd`xVtk-yg`;xN5+vMK!grV-qpalt!Y|+a_LT%1+o%6RSgwns~m_l=BW$evyO^p zW|l)6Xn-J*?Q(Ge!*Tvn`T-TL4qa!Mmgmo)A#}nEjx!itw6G{Ec$xucA{OMYI3uBt zJ*a&6+0j7|X$y?nqRh#yr+`0l5X>B_1wk+Ih6v`unHpn(v~Smy5yUSJ0Y;)%CiM34;s||IFFzUOb`Q>KhCHb1fZQi4(Hc4 z+|K%BX$2Jut1HACOA80m%%SPr0~nggJ%FJ-xd$*5`?PSoIF5S&;(TEa&E_7!&>ZXm zm_xC1aJo4ZI|q5@Q0yEGG>2m6Am1E{orA&VQ0yG|&7s&iC^m;0;Q$tJ*f}UitVr}1 z)|$`Qd)P_Cr7+mgGC#6PZ4`{e^?_8~$^O?JU&QZ!EwXl!to<(}JK2BQ@}n@8`uGEP zJc}RxPWiTiV$<4tBNf==jhO`e4l0F!)=ZCRA>f)A(|5DenVikUL;zg@BaazToP*qI zVXeInufK1$vYf8{hwR0NVfznZO<}K_jBDP++&l=931owK6u^(Q-%gDfKx{J#HwSxh zCIW-n5=-LtbGamoBqI!`GA9)yc08414P)Y})3s1XWHE!wP7zo$!6--yUT+i(O$$!K z-^}2p_?s21z=fz7Q6E~n`2*e+mkTiW!m6zjgW2-;2w1oiLw&3o`Y}|f_1s54r?G1V zhXvtb*Z4h-H4n|=pG^Kq!(gj7*OoDskb;mZ zVE2z+`cU46UDnJtw!mZ$xUjCTvA)O1TKJb)B0a zWArWCT~Y7M`?@4J0cHGuBhQM=vq>9vm9h@y-F|Bs=f?~I`{)Ih@KyUqL2g>hL_e1| z9}#MULKz-yj=mW$kFnN61VJ6)ommXBYRu9HFH%_W8>1k&)tcb;+Wx5Z(b?JTR1W1y zy1w2;T?G5|0wcQ7hQnxmk3eA5>^Oz?tjrivSr@d?-(+&w%~~sd6%Hu4v!Ml3F?9j( zjvRijZNlPBFPOpE8!;rZVN8|9Zod7WSoOY-xX4{qZbNpCo*kpeSG8?8itS0k} z$aE#~yCbE~P^SV_K*#;2f>dE{RG;Gk5hN!Y5kzY&ToJgbiQG|1Eq!fQ>pLv3C6S)?F@s+jMVR zek(WMFT;MVbq11*S54JSY;0xWOS2GBuiShSCzIe*msXzJ&3M_F+{_ge%yGCwRcU3B zqMR})al_4Pa>@$dG3+((V5llwB`%rv$5Z%!l2N#K+918y#rk&p&G7V(3|oTpy$fWa z_7!YnTNY{# z*caFS^sQZWu)ur+@!l3uI-|cn{KiyK1_OJOu_4uPQP8(gsa=E|WjJLYI>k|SA$Zdz z+}#>AT8>c*^DUIDt!ECuX6NMcWYw}^l)7y^+%YdLvXHUO&Wi?KoNECyZ zJh1f-ki^Rg7H;(1R$U3>hq1bd(nMwhk(m{sq4U-T?+n&74M_ zF)+e&ws5b=`@-EEH60fWev~gF>7G8czCg0{LD2j}s~*&(xH`;jxJOKC!QwB32M7F5 z?`j8r%>GazI3^5anaPxY)ncCetH1{nf>AImD})(9EF;NAVWOv=$_7^JQSayADs0@r zHVhLnNKJ>(j|8IS$;+u!df#A%Nb2AJlY|RO3T~I95eO&7l^=u>7EJ|3Qh76%ZrzQ- zwBS)N?^d#d5${OpCu+G}YLP8NsY2Z(maT|^@dxV9DM1sLrW@Ut+}j65lLk zc5o?JPw~DYedoZX@Oh#dWcRtxy25pyyBlnFvh~~8`G?)L8$ssl>v2)dc5@TmMGnV? z$xUAqA@8aW?!iTCM?b<*LLS~ss@5c%gt>(+*F%Y~M3WTu}s&nrF}BubiWPl>vrz%yf?>j$Pz zFLT_K#Ds{ZYaw_o01v@wK%CFJx0Yi%_E_NhEj_hGlVhWMlh$RskvQWW&o`!6!Y ztlKrj=*rRVuD6wMNGG=WzR%rthdyG$^AmjUSa<>l9pqnnsQlVqk?|sr-(m)jdRKss zFe`-ya#Ep8Q1?Yh6k9OzxK|4SKVToXa)VS5M~MZ93giVD#G_l&7eeHUPk;{$2A~K?IGge-H!!vxfF(E%vDmPO+V=~$+R)ko)tciN>A)k@pno=+`c0hD7eHU#- zy&q7{VnS{XW7}?vmZKTCCk#)B$no?DimjzcNSy`w?PaR0KdPu3Sf}(E$Hv@D-Z#|E z2n@DB%=>6VR8RcDVr*8n59jg%U_8*%be;Dh?Rzsedph>((PB7X=ICNPLbd~@{&)gR z#|lg*AyJ#3U|oYSU7b`c%Ud>j_KgV%w+XsATUWJ_|I&XdFM@FB%Ho*05BtzyiDiq0 z%r~05qTbv&^euQ5Z?QgF4OvT6EEc{YG!Nqm&_ssw^?gWaXb_I+hJLtsQ5<3l@4j#(%!M2I^qM`xks-8>t> zT5~C*24tM^d6xur$L*L)d+E8fr_80uIu==h?=Azj^ZHBNG@>t>n66d~buheTdgmC9 z$FGm83UfE~%v#RpKu9<%>a9jXkLfr(0gI*O#Nzo#M7_iCS0ANj)-=Ck%Iq2bu% z;D|1DKcR$21VmSgZuxNBlc!t0hAo4co`Oo&f(EJOM!~4MC9TD%78WDyYuFT%u2FC8<5iWj^fye|3NU?f82f_uOdw zsRK4s5LFfDIHYs&-Uj610Quoo9`&99q*xO%=3t*}?%~R#HzzGX{KypCh=d#Nj^cfF zw!X()a%0^r)?;Qo`51uU+``-IqTX?ks#e$RU-N`&uGJ90;R%XH)y9ERXB>^3c zD|n~(Qc1)Ye2E(@n@iY^a(Pr@sPf{|7dkd30kGAMJ(_1l!|=zp+o#?}+;f!{C( zz557<0xeyJDhMbjBgq0VcCxkU764jo_Iif7u{Fau7wjl(mVM{{3sFPrx6eMi+Kqio?C~1lrN>0Ep2aXt+~_#cdZsar7iyVCI;J zv8P7)6?{~XPXNkD5LolWHZ^Vq(OQz`6iS+>(QS4#Eo_hyAG9)R;e&g$k$rVh8r<)+ zq{TTEbvwMMz$Hg4J(*&@syOz^QoLZZxlv|@pf~ERz!OAKfh*e*62PST;_Q=ANd_x9 z*7a3{QU~W@I*>;{T=m=KQPy3KJo>;bj~WUbdGrpZhYsZt_yeAoR3oXeX%<x-^9+abzPMz)jvY-C?u zDtNzbMN2ALQb&CwXg^$|y=5q2ZD|Geh;``I(DPDmv}ed|5P-n?3Y}{eaxF>lYownO z1Vu=csT}ptMbdfi^V10WFx^lc$*%AJk=vY zOUeed80cjQbd0~#`Kx#31&}Whg3H_jd)E{mn_7b@6Z^+>1KqQgq=xb*S&-(b?Z0Q0 zO(HX6+hC5&kolJPZB)ojirgde$hbtk&)y&;bumR@74#n@LDw@EX}UJ^qu#k7I=Aj} z*=%cmgI(UzUCOQOQSTp-IXn~Oj@lRm$R!F!quxGF#xvX0+O>VHd;V!NS2{97N>P*; zI90(*cfD{$j!?axs-SxzX1`p&B=aP z1#CHGVP-NuqX(B;KoK*40vWqyZP87{jN7I#z{)K)g>#YJ#*L`8ju338kJ;-TL+zgU zt0Zm3O9s&x>WJHgs$o}{pIrlVsl#FWw-zjtes-Wk-c1Pe*r7NSeOA2oF~q*U8@L(JS~5mj2{=;43NyO0r3Tn{p!6N+tS)M1H4#6!;pSABH*kjq63dcM7eaJpvY}?RV+=w@ zMl$|B5r&W@GvKaRGo;U??zn{F=%&V@qqOIVf;A#e;NttkE{Qc(*IW{u>aGg z|0hZNziUc8jt@M%+pPK?vT=+-8+v!l4u-m=>QLk^XZzgZ=Akh30uXyz)ceezC>q4_ zWD)zhc@D8(I;k^JBNQR)lNdd4x-zJv%OVBqK^)@Y8NvE9Ss^Z=Gb?_9`Ci_gIFd8p zW%##VzGI<=Sg;0e{_E{od?fZ8LaF|<_XjgPi}&jSd4EO$9Nj7WaLR|2YJQfSdA0?r zUoGgz3dJXa06>c1d`6pdd2R6Lm`;nw9}0W%rqjvuL{d=Y=!JNUBBPneMEjzD8S11f zI>A~S`js7O%RcsOx!_3bMiuHINdZxnY7Kmeh=B_A8lLpJRz7&3rZ~w8m4GDkRjNr4 zUAn|rKFLb6w(%E6w5fnw+%IM#4p1I04pl|df8mo1Bkj}}@!7d>n1iJmFSOA0;9^Hm{db z1R{9!-3?fiT_7CjeV-qJkZLsr(>auCn&=!&bGh^N;alKCj1?&^-GiQK8oxx>)(pl@ zS~_;4q<9~krKd%s3}Srlz4mN#Pc^F77L8MA(YPkqX5NM0S4O3i{m;m5tz}v-qWl^D ziL7MO^-AW1-$TpCWyo?45->--Lqt??A#fy~u#EX-5V9QWtYFRvrR!zm4!%#u^?w@L zA9Lvvy^66$t8f|%{?OOJA9^ZIWwr5%?u^gxyzViN%q)d0fc z&-%+d<4>+9YoO0_ipxQ1IgWNeUzn+-e*vELdXlO?jD`KTt8G|R76Z*V zL;BNtJ>WjIxrXfN{mOaa1B(;6d1O!@?mwG^tnLNfQFtK$`_v1k$$~Bk)M?I_g$EZ} z=S=1BF3cAA=By;#_Cm`&Uw5$H8hy!c$LI7~2cL24b01)`@Hq&RPlpOA{I^PQ%O_9R zTPH#Usc_wbh669g-S+;Q6=@XLT$*dK?FQ9{jw=Us{Lv_1O)iUE;Dh&8R-kYVHsv;cWBa9nVKRh_cf;mUU zZs@iptNxAI|E!x^=6<4PgK0D&fv?{qYszB1b*^ zkv_kpx+5|$#8Dci+Lp6f7@u)p20zP20{7?es!C0{i@|Bp^GcoWeV>(ve-OXlDQQ^3 zk*ZXq?@fWOzL$x~YBdrPa;V<^{Zr&m%Phy zok|AliO3{>NE4gUAfNKom8f6FgvlzE76-|?dt8tVu^_3RMoyM$PLskJCfZa7ztpoM znD1q1hOj^$5%m^K7Tond6K6d7M$qT?yfe9$5qQ6_RKGdzoAC1ku@;$B}AALiREnsIXvmj@eF00ldEloa3@p zkW@hd&nK!XIMtE{R%segzW3Q1(89OSGU-kJxX{8?y17wkj=<-r`*AJ-%GgE~#R&(S z7I@JyKTlUP_}QX`>3mhKCf(s4`)c(&jR}iZs@1KM*0eBL8FxD);8QOnA++!y5W)K% zNFb9q24)V1J`I8G5g^{ChBNVM-)jBJq6XYJw_cTOXV=!Nosh^7wrRM-%+grgG%{H| z2|(CHo+?7Sq!FL)!44$R=S{l(M?u>bwffZ27KHUx7L80+U8HcvR6wG(eXeO_x@y>F zJw?5dKgwWY9_$Vojlulk49s`k09Go<1vCRiRxo$1<|5*CceYJ4b5W&5Gwu?TmqqqT+nE%ge*Fe5uMGK!O_26zTbb7Bi|zsD~>+v2W$eSp)SO9 z(V=|rtogHYIk zz>dgVdFQQjK5e)*IiWZ*A_?E7%1ev>rmK~hE6J-g6-IG13{8+ag}-vt7gwWMG?oWt zFB|jGKxW8uGE}Qo%s_!tjX>u~p}d+I&;?KPyoNw-4+^v6FiymqYypD&MVi*CTj3yT zm6~>1O~KgENhmrtbVBXHq?@qYLgpUR+d?z$2f||u7KRBb1z|P7XxJ}b*mF zDO9MTX+F_`rU8%6WkG#b68{c9gQp5U>z{!@XvIL+p%M)5pK?WL>#AyERfX)w>FR1c zT2pTaY}JOIJ&R-Zyc5s=Rg!iuu!r7=?4HFjd(!zfZCi`%PkdHS4YlW@PRRQWng89Z z5AG9M7lDiZ2|K8#?p5G3|7cI$iyXS}J0jJs-H1z1W7RD?@Ws+lt5vDq=p9nDf@?xrkXFwuxjDDB4-XU?c3&D@jo<;~^I@cRAUgKz3(ChSIXb zjZ{mmYBRpE_5a9U9bCu%U^4u1gc+x+%iQaWOmxPQmnU7=$$-Z6Id?3YYiW(8(bGEd zn%eg*pa6mZSnRuY9uPy};GaiF0meo6P)|SZeck3!_P*|YS2+8+=8!rT#`VdOi@}RH zk=78LViZ*RrgX#b7^Xk76}PVYv+y1vy9+l4FEk3r_@-bNYD`XfWMo!(q%;%f*TJ+b z*+WMIrMBxO(?>`8%O4dA@P&J%Mva8JTbQ&eqIx@i`)19&-pffZkXu8&qNk>0Lv@ zJr3WXj)p-0*bDE16gG=Ml6laukj2$={V4LnW#6>@m0tKvbZFX-#(?N8oykz?=#zBh zQ1&u+eOuLEaijLrJM{A+#CM1E;QP| zLk=C#78|wJcPF-kL-u`C|qP^ zHdKU}X;G3_@JC`jG;5;E*CXDr-TfEW$GQ*qom(#;@6nWr{(rE9%CqBf$ePrTN6Apl z*N4Nt)G5;XFT>fO0J`52OnQZHBAv5*4nILfMU=kO{n+-$V}AnkiqqM`Zes$@uotg_F9%>V z=V#}!J{T519|x6d&dMrQi}QGwIf5~!k81y3i05i&Qizr~l=-WZLLWMO(%E3Tc#)~Q z5cvz#g(xT+)miRYVwu9nB6g1^cy25J)e0|;?E$e%*iO&+SLg6?S%&x>aGiM z2As}vgK<9Xa$2*(UFB8>_0?F^ibIe!Yy}x`tB-fdS`H@a|ELm&;F1lTvxlD%9}b|6 zWwUkF&#)vzGg?*aC_z7<2%-cHdP6|6%RGuQOJUzhP&c9!B9XGGKVV2jMZ%vvKIQX| z-%`#GD+_lI%u6l{Uz0W*EQ5bpc=mY~qq)GmqMX*`a`OY+b6SL|!+i%4s7UJZH+GlX zmt*|FfJ|KH@xtob20wn`TQd1${8RY13;*^S(Po@)j%57!0{zX8ywIM7cshNJ8YV`p zkQW(NN`~)5lu8dIGT3R7A(+a|j;`2gUWU;i>W^si{@>R7{aQ*N#pCBkAY;_)znBA( z8omjGmxZOnmh%w6=b`Nh2}_Rz%A($RXz>mD;6Q*^V-~A$$sO$fz`{U@6SyeQZYK!7 z$veu!RSS4xxBC78JJH=m@Wk|>C4@UfyKkCz@6{Ha(2OAK~c;pUbXg=D9#! z7l}fMIVZ2>eUb?R+fnSITtZpy5AYY#k-BtfXCtD&+A*PxS_JR%6ya1S=wfi<@WQ>G zxi6t4ZW{~sJ1>%Q0MF>XJ|ApEbif+uL6?AlOx)`DO7(bg~xcLF%R}kW|aB^8>YFb(D*GA)kWJ;RDagEhZHiWPdQSV-`&9KO| z2Y9HZcu8!fwQ~xQZhbCf24gacRQK?jm!muBpn`L9-NJ1{k^75 z1D|?MC?>ewquvwFC&Ig{rDIYPqKLz^BCT-91XM8V6Er(YY6AS>K_mGHzpBGrfnCgu zQ~5SL=(G+$4%8o0@uMz5^{QD;r1whadvRYELzA(2*V6g<6hD5ZPeHXG?(3}AUsRB8$@O)O3lOW?oK7&$eEE(}W zP(%vSJf$WACI&97NYqgr2VSgYFQjN%7{gqd#;A1VrgspkFEf{yndiaDe{JyS1x5kZ z%UDb_Vn}5%U53T<+K?(kFx@UjVWn^CAWbSTD(0hQ09Pk;XPqt83DG;subI; z*kbcjSf+@DG@avMLt83*ZKiO&*JWN z7#Yvv%{BYRdWz+qRaCixJQZEh`my9!FCeSvo%pl~pB8A7toFXGhVX%n7n_5Uc!w0Mm_Rv*M-NH?_6iM83Dbp*!OWWcv7zLq zgnn>7M>@1imJ6?eA<;2RdhqIqdPkzMB@lnnmM77HfcbvyXGy^vYC;F#UxJKKM>eVx z5%2^^$+QX`gF?V>>rEwfJA*xIe@+T{x(~WZuA9PwWY%zWm$ehJDx;uSfI`~x2u8%% zGm(W}s%7}a4itB$&f%*xb;|Dm1^ez9@MdvjXc8yUx5}-(Xu$hVa1=eX#Gw;5TxyKZ zkA~tAS(sge9_Vf>Ciy6o1ZR#S|4Wngb3szdt+|?Xtp>#wWyfh0?D4)B~?*dEd*@mLH$QLDl zoNSkbcdua;ghGeqjAiZ;S0u!p9p|Xhf)vrGUG@N(-ypv#IJZ>d^a~~}ECgG4-&j{% ztO!?mfVfWW=$?cX*X-YG*y={<4jJrFCRd7pX?HeZ((yFS_t>MSVLm~`pw{y#1%`G_ zf;x=*T(L8~UiF077MzDt1~jzh4Opvs_oQxg`Y=ps(QrO-hov+2x|>y6SyDplVeELb znnk}h-AzBOdKDeJT{#5M(fR?s+`@B!>3Zsa zK(#Ip>7%vR%Qv{f!FF@z<^udwsfG?AratX0!+{a}=(Ko4yUYW@r85JV!PgC_iaqTX;ZPeVjQLn9T* zbPNPmPZo~f%dxGyT!v|l>aQIwz>WBx!tvdxX7>}5>ztLWa6GJL;s0hY!XqK}b6bZB zN_qI<>>B(6uSLLXM27{EEI3DkR|9qiUO^)eVb~PFP9%k-zr;i|w2joZF-2o%iRCvA z<+$t;pQ64DWJ$WihB>KlOys3H1XEJjiM^5f5_KggY1Eaf zIjci|%;JUD(3MiJvUH`o>}qDwm(Ehgtig~$$b0H=*EpD6AKQ79_bl;zTz!eKdsl2- zD}3kZjlwI@S_Y8Ff!$r8iKzE^FqgdU&*O-Aor!j$-i3TkR!Cs8SVJ;5X-m=tcW2WU z0=32Z0L=wA5Mce#UVWLL?X#+2&8$Mws3OO%LO!61BPK0qS003QCc&yeW}N#Iu%I?* zaOx~@>TGcIhnhmFiZex3oGGee?Fy)hb|p(7lc5+5(%Ry9Xp5&oTSPd3y6h^nVk?YZ zDvX&_80~^jqo7t7PkUWd#}km$Y9mfz*}1~V1+``6G{6@}wL0BFh2; z-OwnhvrtlJqeOq`v>UpT-4Lv?Zj5nv!{13abU}rJx*OTpaBdW}ceQ8nrWkxpl-X7n zKCoY_MJI9mgzR-k)CTA@A|lEC76KHPM7SOsQzmMJoQis{gakx)%c;BGg{tLwI?fxh znMkT}xdfG>NV7spjj{Y0ycz#y4lonqm$0BG2NtPGo|{E`<{xNfK8gzVtQ=%ofnNv4 z`ymO`**@V;=r_IPVS~zfNpHYF^%@Qh2hiB#vd0kM?2-J^PG+K3_+i;e%{gn4#7?;u ze|8No*m(#@)cc5?)HU2|Cv^>Tk?h~lT88QIhpY937xgBAPu-K_7DG>t&dJVA$iB+S zz8$!YZM%SBtBC<9*rUoU1siE7*uDO7$TgWm_lak4^oIGfyTJWQbK5 zF0^&dTA?yFtJwm_;{kibzW(}$uwzl{cyloHq_<%IG51EjtB?r1#z_?RvU(35Ce=12 zO{zWEW%l|32(7nXeGdm1KEW`!MSXz;4kmc&Kj&NomI3x*S?K2{HG@~gs&pb!>2Y&kArp%pS1|S4>2U`)w|aiPZ%cx+FPpu!+xl`YAGpj0Mx-`tNe*B^bkGuQj@#h&Xi#jhh3anf(ZgE|^+ zn{*9(fp5(X1EQ*{ya{GdPurlbqB+yt8`I*kUO*I6EmJ&oD@kVDz1a|mR3xbft9F?&1&KSp(jj+Am-RHrC0Yez#Lwoy7CDPYW+T)eUM}i zB98P1^;gu$D(=89JY6a6y5IztUZ3|QOSqN-Uln1K85&T2cslk?Gd{pkCuX!anRou7 zjkFU?LE23$*3ynR?OfVf`d-KMbv=B+Fzgn6OC4`VcGja}1fZ@c{?+C>(qG)qa)pA%E_hL)LR86mg5?QD-6$2*cPm) zjx>El*gIU(E5Sg!)f51-8?YpTyb>^g-*RnliMN3n+WHdpj>gneZua`|9Pa(#y!S|; z>w+u@EKJ#IJTMK;Nxk_$9LcVRS(}B|MtH9Ln6*BglAp+3IZQ?V!k?)36;ueG6*~*# zb>vx_$v`(9KB6C66cZbN4H3Kt5Fito!iY2CxD5qr5rqDCcs&4G9pVVXwblJCDU`_% zAT;;eKv_wHaJ1_hFZDBL~|hvgh8G$AP^v~g9deb z(p;j`oTpuLpABi-+*{-AnE<-k7Z_#BB4n`)r;84ngWlAw{O*7I!5Fb7P zCjE|HP~cN&MHn#{F6N;XszO%}hI`$fCW~FiBBgh#I^tQZ=l>6F-vS?1as8jyVt|AV z!eUg=Rij4H8pTIKM0X*9yRy;v#`;R7Ka2HIlwFMq8cb4Iu3M>M)oNSnt6HsZ5qvcP zBw&E>s1L;YeoTy7!7AW`{eQn_=H9)#31IvC{rRxDubDGv&YU@O=FFKh&hoFb4a4jT z;IGHwyRGBzMsW7-NJxk;{VivZixH*2^=9edy%T{I zue{Ra)}eu$(4&8z`KA%l;N*-~(UEPD<}G>HkE09LSuuCcUs)B~KO;aBpyA zWZT%L=_RRi;zKf!Xgapyu-I9>Ud4+|`3mgTvq#2v|NNmC+<@i^e2Y|nedQij)wP~z z$xy^UB-mPi&Bs=1Y4?p{8EBtn z$Z-I0IM_-aE~i#G9wOZ_5wNEneWWo80Ea7fhyzSsIOPNSi=*UV9Y9VRsBqS=&jcA) zsehmZx>Oh!WR!7>!2OPHAyAAcr)0UALc=-i{y(JWmtX=9J=fgdi=GcuvbA?aPx6PU zO44`p15CbEl!ZI%rQ^yOFVMVpe#Q&cv!w67`v5`@S{UI{fil6Mixt-L7z$1h@0|A3 z9{wAQRaSC5 z2KMoia}}lBAVvxQc{J(Ua6i8W*6_lm!zq$lSY?%ZSX*eUJ|l!5hm@iUgKtLT9>8X4 zU`-CNJ@Gn%HO-O;)-+K#rrtdTjD_!%e!9*u(aG@X(ZmL&Bc(cYN2RwRrBnI~xJT&& zG_X7e*dO@1>SEDm_Czn?_8N3KHb`8soYF9nr?5$KU$Wn)O7S-H^BVN zqd2q)yE>YvSAKCmp{|9mA)ISIyjLq&cC*IjM!DF{!nKJTHS@YB<7UEiaN$+U6L1a{ zWFC))^Dv+W9?bgv2&P9OBX|r@BcGDKTY;b%(38I3-Ghh3Ozr)c5Vst7V`!-hY8eOL zsTgtH{15-x+U zCvKb~9B?B=H}H87usUvR!~=vLSOs2hzDESd8+DC&l$x1VSTno%uKQ{HA<3YL7Q}qr zwKP~IeSh3rYmA~UCh}mHf!QH8w)gpz(85DFHY)@_iBZxCCI(Z11#-(C{3z}?7pxcs zhN9QGD`Abk*?e^n3ASSYi00|b(dysCz~SUs`wWQiE;Sf^4+q;hA{?t4T>|#2ED=t^ zT#s>c0=Q>F5cj3&>h55^fPrJZ`WtK|XpLcL>}UcVRI_nHGqtVRIH8#u1~+rgGZe)B z*31slQ4XI>`i`DQ-e}g;#))?cJCn!E$lfgNCWCu3+m7RVb0cm*`VRyIRw;ZNy})GX z_e?lE{W0l#j4AE)TAfJx9{&@u*glu5o8bbPo_F4QID31RZsCmY?qLUNbmsV;%?oc* z@2H0z0+kR0|E9x<1zd>`E+oj4X>rc=z(}HyJ6R$KO~{!Iq zX?$Pw`qCZ;-Z>6D*c4G92?Cv3cC&R_2(_CEj6IA5nGm!R=jJS}EzIpX3;H7Hgx8`L zR9V4k$63{znsyjdN17W;b7GxH?@n_)Mb>~;=P+Lt^1)}lo6}J2VvQeylhhGn zC^u3S3i_K5l^%@wa7KaqF=GxhCVtL!?EQ$PU5DQ=tNP?%*ni6snloyws(Q)~A_B7n zv3HT~ZUyFjQ9;*FwV@rm??9SV;PZp~(K_Ov+m65S$*da+iU78jvuA)n^cO~1Zs0YxAcvhxWq zWoxe<(p!J|JsOU~zwXg4enFZ4Ainzko)fm3%6*`-cLJ0#KMn~M(ZM0%8G#f%WNL(+ z<4Y_dvuYrjUWJHY?{p$JVvj|I!st4%EDb=DQi|u@hJgkHgrtYvCz5C_6+T9~L~9VY zkz}%*Z{RA^B;4?ea-H>8ztdSG9jz7;@E>kD*W$m04fvxTk}8Nyf~$O6>_`WUxNwco zkR}GjoQSxa<~hXYeNurR4n#>Jkg)WfOtKVp5Gow8<(@}5@9s?Gxz=!W6bgV1=io<> z(MiTf|XJ+LaoznTi~PX`{RgBJybjOZ1qz#q`d zFgBex2A)1`FvJ9-IA5X;{d@wQcD^M1WMJNn9ID{QkqV4JdU5s%in9+6)soJ&m<7RN zOz%3bm!h;~YIpRIa%2}Trge%;>bD!o!BRkDxG%Ypv}E40C_kkS=OHMtdvB6S}XLXc;-LW7+O zal^zMIHR#l^xy%o(8qkwzX=Z*X=J--!>MH_6liHb$1zw0v!mB*{}{_ z{{X5X^))pVcR)f_V^D9j)BI{w3Q19^cic+7K_JP%bIrO^Rw{7SetlL-S_#4Sqlnye zEVxQqX&P&1&o!j)RQFO*M`Z-HnM;T=D>FM>fWPT~w1?Syc6#_LEyXu>-F3fqZ@r)yL{I!c*>ySf#|NOC7;lZ)=iwb9j3+%C5U~4K>w>> zJ~Fa1n0w$lw-``ohdeAqdf;7CBS^X{bVaF$wyXa67SIzD!mY!0LQmvpy7&4@w*&ZL z1OLvx^11kG{0M($U+L2Nie>L^f7^5|>Vfvq%SPVznD$%U9@YQoyFJFCgE)nHt{L#d z_A>ihRRsuA&IqTRq0px3Sw52K1|Aua%aq$UdZD;LY?(F7u=+ce+8 zJ_&ILb8om1ilvY#U53>Gg-Y{M`lVB1-{lQ8 zibem*s5Hwj<@pcj3-CA*hX(r!Y;C^0FgB5kDwQ;}qh{k#GaJqiXjY7JmvFIVLSZVt zyG3_`u2g*?o{J>zjebV2Kq4+LRnm@T$j%v9(7Q#=j1y#u0m8+xWPMAH* z;_Tr2rjYv00JoytoK7yD+#uno;fA@`FfmX>AklyKt-S;6|W=ra)$Gy(J zte>lI==CwmY-!qcZxQCHC34REQvCqS_DQ8`p?(PB!CT@zc-^~1(_YEINE;Rv5^lXJ zU!TVfS+Tv~;j{5?k z?6*8B#v)g#$Jgf3aYv?-?00pDkKljFkMk)9bGJ_HKzX{P{UO1O4-N ztop=9+rGh`8(}XQ>&2^cH{!L0lNSdsa4PURO_zL9i8{gDm8y`r3#y~dUAa1hcfbo4 zoa=xWqDphIBzaPyy5LO@!=0`lG{af?K^RU|^Ffmugrku@gVegeQgi?D9rnQio(_BQ z=MHO0i)HnXlL2@q9rj=V@O0SkhV{{5U;9_D4*S(-8RZ&wapyyH!G6<`UutQFP0dNm zt0N!(f2H5?`XCUjm#!Aaq>B%jRYHnzij5vVhlT?2B=1rmf&z2I*q{upe! z@=n!3V=FnQHdYim3rf7#2JWtYZI$%w1}V;rbrdY;sOjK0o}U%}3C7r|_{E&DkZ4U+ zhd@|6Z*&gwuf2t-lM_r=kKud} zB|*jlDblMT=1U(Q$$|g(**VDx4YTlU z3`Iv4a&v8N?3Z%9y$bIta!Vh9ji#n^+2KVHZ0I$NZzTRnW|&JY$4HkSFPN3z1MA?a zd0~bp5TnH>J$cwt$;Q+HOQ)!h&^yRNe;Eq+Xo4vez>kC6#9A{Mu|2Mn$(Ht9xiA+C zUh1jAt`wc3&zh%I@XtUw6P2dvyKg%uR=Big_tZJF3ZR0?9)zIkQqr_~dxZ*T6^HMy z@H@~dAzDIfM27dYF2~nsS)o3^%p>(}F7G#>emUx5l;KoD#>c?nc^r2-RW61r+2S9Y zmX6=#AUHZ~pL{A!RR=ZGossgHE@$fFc8If@sb-%p8&^m<&@OWu+`vlS&|sqBhTfh81&E5pbrqxGUq_N zc2Y$XA7{?qb49YbGJS=Nd`uLjbK0{M{G?f>iPpBPXqPA?sW*qrZlzqBz{8$IVcg;{rVz7xM=FA`&iyXQNdlYPEZJvlV{ ze5bos8+=3R@s|l?$LBjqa2Z)xGUsKmKLOuKRNxyp3{HXjYlI8m81mu&g5?vgxj*fY zC8+vCtzffa7SW|{LPtlCH%>3YHCQPw2NN7ZQlpW~+QPzJopxHKKJPNvR;WB;i!{=j zK{ccwqsBvp(B&P8UQ3|k`T{B#UEqRUseYFR`|y$surDAc0nSW#Xa)rlx-^Kq5OyRS zI~0!!Xz=eL2G-o?<2`Z$dWGg+ilQ79zO>G2=H)PcOF~^_Kr**_dUY+?23I4rKxi;DaYoDE;S*S zjmq((4$Uz~^Ngh`@Y=aA!#ya+8d}V<#E`D)LjBJ<&k-c7$L};I#E{mdY)DmaMq6i$ zOPnlAMHfLrQ=XToC*B1?GA2V13t9E2f(fweDJvVlPjxkp7amuqqrb!DqY~T~0tukx zaipmsmxK(?ovH~FUPr(^4dE$GX{qJyPD5lDj`C@S>b?p?r4doGssf`MRw2XR`)|v# zwlF>BZZO8}cY-5PTki6P(<9 zU9TQ`$MeP>Y)u|Z5#yJgRk1>ppuk*0uX=SZ5TMWtQQq}s&w;lWnr3Vvk-?@^`-Bp< zPaFoT6H4U{o6JuT7K`UUo(mhBBPdP(3~{b4gK`6B+j>xj6sVxdCy1@SkQWl13QE|) z1wN>^&o}fZM|G-NJYqPrUVQkk`d_4ZMGA^;;mp*hph5gvEd0;I4ZJzT$qK_ZBZu%6 zJ)Pif!`GDa6>51tL64Dq<7}PCg3s*c_h_bU@9{zHzzpz?emwPk!vb23Bbz^n_(KS) zv`jsZ+`uF`{OtA*3c9aGK|rHuW-1>8tG~4${=)uLHKy&rfjRMWk|$NDe*<+Bg?B9Y z3snWv!BWUSP)B6C3(mD{9fh|Vp{PAkc&`om=eLy20S-7ekmiO6Re~7 zy8{O1sE_v{;n1md>?t)&)H^3f`3q2VH6{{Gw4(Z3|4lJaMbrAsJW^|wn&`u|8PQeY z!s41J9D5QLE7p^z{J(w-DEVK)dg*##%K%z`l$r%jh#LcMr<#DFnR>x6*j;wz03!z8 zjp*xqdy&;VrUqE&#QiEsFNEeXNAVF`5jj@{CA3ZiWeJBJ^Ik|BN6vQ1`k~PCqwv_6KnX+b+3zZkK<5AT-L?DNM z)AVvd=`)}NJ1qRc3(C-9qvL}aNeto$6f+*h2qAIV{+LXo()+2W>CI#N&NVM~l@n|- zlVd0bN8xlz1S-)U+R%F~9(M4Hy4KIJ+>kuATn{7M33V$*SyJzCNV9VP{AocxkDqpBPagm+jgEL05)De8aWD*Mm&}`xSN2^D-e4+aM0$8f+!cfXM1n zKcgy(Xoqlc(=Y1rf+01!)EQ79ToWNM+KGKV=%{%(A{s26Ua&E1HH}d5q{v7AjUtnQ zQ8@SA4A>}aJxzkiz%_VD#S3q)!U&zs&)C2DqJE2I`rYiY-!s9ksbx*amW+m8D3g)H zHnT=uWRdf`pQK+IOy&Bi0CqYr9i4m8mySW-G%uX~mub)awa}y2;u!K|wujmJOh)6- ziT1z@il$R%fKqp(C_X?Y0@YP&g=|DJYWVdCiKi95Rce$LLY#ZO@vI&NF_f%QcQJ!! z{UhY`3TKDDQ!{S`Z4 zx0Px=Z+Tz@X08~qIa~$luNR_gAM=qimW$YI2{>bdbwG_#>!IvMxe^0g5Uh9GwH{3@ zRo89Qvklyill9ZnXr=B{ui;=q_LXFy=2wt24h@diJ;dL_Z9^C;TK|r#9uJ%(Z(;U} zp5t%551)|#j?0*zD!?i0r0NDyd3F73P^=e{RY5OE1^{9G)G<&x#ka1JRmC6X-xroa zgyOvvs#znZrZ~6&gqhA6jijn~b3X*>2c8mz4n$b3-oQX*^sUdI){KQLrmXM2CW~n8 zkK?T%@BngjzXnuXFv-B$OQBxZVHp-h*W-6uI@f8?`ko>jU|Uc64Uw-xF=+;Wy8Gg$D=Z?)+)T2`r+I;leHd3|fB^*85(rS5S)FkqXSi>IC= zT_=UFVeoQrP%8aUsy3t_^!g?{=Tzz`y?iezVJv$IJykq0AnHAym^uao3`&S6@TH7Q z-H4%IBM0BTqUL~NI#Op%;y!6uD|tFNBCn=u%J;ED84Qe+uVDP$0K`fm5#Hfb$&fG=yJ? z^>UKEf*JO747C-io`s!-RH?v=o1ia$iD|Z$k2TO{D$wQ9XCqch%F!ty$7+@yRFACX6K*TjOevAoB*0rU06qg2_LMe0 zM z%IDmct8X6zsS&SawQwKeYO%zSX)z| z{5I^Nwc)|)0SRs8J4wHYO*U$8?5A@=E#JgPr`1iVV#`iu$1yFbebH|X| zfi%S_yh4pZ;Y}~dv=IT~neaOu5}FHo9X!sxeuv>w`jDTZ?C6~bI9CBNbV|Wn?}{1; z;mH^}K`U8*hdSjQAqSYCHhEcYP1V%z{Vl(jL7n~S$j3k+JqDGlPrfGdf#IYIXjZP4 zuF5m#UmURkI+Lgpt|k6X$j=)44eHm|f-Cl_fhrqAn4y~lA%0% zHKuAO2?w-nhzG-|&eSX6repJ=enHpj8Gs+2(06<&&3aX-L`EWno*Ri2)(;fs|9v&1) zPAmmCsNch4$`u9Z_~&Dlm7~g3>ZqIEBo$zGV|8F6Xn+n@k-goiul0tE_L3MQoR7L* z0<#|y?tqs-lesHZSDL#Lb&0uye;DqF$2ngXn6tBv+qj*^?)a+)S)yJvcctnna~D(( znY(iJC*EZ>_MQ^tRg52fHFh8NcXZl%bsyIwb>FU6$9$sc1}5!PuVCyG?ypyWdJykH zHIIXX(N{CAuH1kG@ibqFin<>06);leEDb%FyEHT}$La{tP)gAO!5gFwt$dh;qj!o~ zUhKTFgV=LJM4)@U>A9G~h&{1#xsZp`$YNrovx!mIlkNTNY`N)dulKBHQ$s;SbEy~>z-Uk~;Eu8nkVZp*(RMR;rK;H6m8g7kSE#l= zz{+*1uRiAy^bXNJkhhHFl4&4y1eLkE_6?bKNJDbX4L#^hEMH^PY576q0Ucesri0*XA8-ki39nLILgs*8}q z0Mc!o2DI(tLK2m(vU@ZgZ(NW&)ma}Bp2Qp-ggj6;gdM4FbgG+h z7OLw%WM*nO6T_vF^qsi8TjB_z{HCw>KU#InzU2Y1pRrL`}v!fIU8CYg$6f;0u;kFN9yyTORy1v0Q5hk z2|s=qWCk9-e#Nxn6m`vg=C)k@%DD}ypX1hyK{PqL`@LPldihVgOL`~1;6TWeE!y}8 zzsX0+nn)&CWA8#e(R=tvjtkAYgiV5}8~>n5E|FCqId&##7z@-3z-|wDvzltcLyro= z#&-4myjq!d*(u(oHEgnQ|I%cGDQq z1?v!?qXk^3oaK6Xi3J<^>pe3teR9?mZQ`3$2-l1n`d92i8_yQue+7*N|**z!;-&>U9*Bp{e2IiP*jSsVg-b z=<+R6bE<{iFO-`9Mm;FJO4O(3u2j8i?t@t_|j6ZBNdz>YO5~({>ovPhxuEZP*L*n zp|F&9Ps0N`w-Hw>RV9tBdTb1-J-Hl=y~aP)T`8nqL057zNgkrr6D)?r|0QUm!!59AB9Do3WhDzp4ao>bG* z<)4gHQmv30&s$+74t$MldhpPO*l{xOmFt8U`1Ue)rD~|TD^UZ?U7^as9Yy1}ACnV1 zIOXk-c_a4;w}IZ+-ra=D4vt@x{Ujyg(=6)@-z>_Djj6H2Ti}w={%R`qTnWK|=PT4t z5R*pM*82m=Eea+zwBI<0D12lsD$!lxxvXX*TRQsdd4ij0p(a6w_|W>Nj1BJELOr`J zhky!}4K`><1y+8njR_cPrr;dZAcr0qe=1@_{PX>@3MFI_H#STpdAKHwu%L|Xq%~ky z29wlK$*2^G9#IsS`}RsY6Y?sR0l$pI|?|JjsH&KO##oG(S-e#|L#8GO~E)M@uuz}r6t~! zj(VGpH+2q&^{jYPCo$gCPiE_IQ{l>-=}x$*!$b#o!`gnhsbU8l4MTl~n_BlF$uR*f zY{E@V!kCo`e2CYmOcB^DIAe?(Zt5(O7dCMzBSIesbsp%*2hGmB&9$X0V z;M(HKs$L%(Lk9D!G!CHI1&s( zl%v;F4)sT{SBQo$X&2wgv;u1ZZV6lT)XeP=D`Zild;Y{+v-<7b>&^PfR!VFOiDA2X zUMwH}N$^tQ2lJ2LcjfnDelI*?Il|k`ZCm_AuD&|S+^aR&ms>Bm2#gftQiEcGQMCdr__3 zNsms)UKg2+*jzTDRGU^(Y4n|nH{yUrf1hTArI?K~s8LEyqPSELFKV)V18^5k)XXld zopsLaqHsse>=Jka=7g8l%r3~SO$?vSoL;iJ1VGVS-d88JJUL6~ui_mAP=CL&Z~zBGqlvd$93m zvAxL6<069_loOV60v7-X(Xde&6jg*-ovu|zzAXC;&%(-4fp=Wh8ipsHkEP=aTXbIQ*BjrR$+Wd zxM}*Vg53B(@W-s8+;HNwSta3)0*0|a(s^>DwP_QJ0(+5lb`3+*^AICX5sMVZ!|6?;mXry6~z1VQWW;rtgTH9 zo#o+;|7-am{1UW%Dm4J(&3bz^4CuZMc)+@lPdzF!t}j0uhaO^|hCVc=SpZoKD^#fv^+;yKzQQrlPwxcFeX?BX;U4F(N*cUKA;WrBv?X(h%imONAy zp>Cg#z%iqd(FLCg|4&G3z!#;#=k@~s@|&!s-I*AZ%!d9Jcs>oC;~6z(%N{XKQUdWO zkpg{=^!rOkHvKL(keB-QLwbbnK-2=@{A(Agw1;PO1dS(w-aq^R%E%ZnW{E*0go)b8ND#xzXpDSNYL{E5Iv2>A}2%wUkGLo44f0ig>C84$ee|at!`*Npp;(-_4{S z#`MUP7b`M~fTT9cKvqr-!>!M{?@WEh0wGhMV_w$vNq3a*Ue}zw)b|w9=faN?snPDw zV7yxhD~?;OL)K(e%SD*@BK`dvz;u*%XyVIRGMZR)0%tsJ8gnvU^YbbE48{vGO;B=Q-?${ZIgTazra5M>HqD{FMM0^+w`h7CI^sdEWH~Q4!R0-Zb z!?zv2Cz+JB%u3MVo8x=|?*u)RmiD;{S}okYZqse((t~s!C`(k>#_;>iw zx;%aq2J}5o>W9Yp11m|cq(4AFT;8geCyFmaI=wZdd@)SciQ;qd0z;znt~UW774|_I zSAoFuU_4{NG+Xo5c_m7k=NqP>89=kY1770Kry!j}3!zUKFLp>D-Tt<(lt?17BFK9_Jy%$ebqSVSA@h}oX6)Kcey=ml>e+QGf z(@`3@7H2Ej5UNYmZ9bILEx zDE|Q*5RUq1mH*{8p8DfHv;L-hQ-3^}`Xk|wsXvpY>o4Wsu_CMfZuz?Y4hp*dYx}6b z0Cnn*1Wv8fSMYCtq;eYu&s)C+D*uQ5;~@>tEh1ew?oeKW-?!I4oc6hdi5&g(d^|uu zJtKJntd203sv}W1S_C06U{=B0W`D3QB-aCoc?%tHH>8*NzDTP#5L1GQp|!*J&A*Kp zgQh8x41!@h%u0Mkp8gYga=E>z_yyec7IgPGFf(K=EAG_iKITie_X*^;2Vco-@98YX z^tY+F&)~Q48c%6Zi;Qy#;KT3j7VMYzFyLUd$fkhppxylRIL| zSHua%!FR}bu$)#Pf-a2U0J~j+aHRH_er;c;0HGkkS)Ijo5mu~gd1l4#MH;Et;11vI zxM#(BCQ>OIkD0_p0%4l�SECD1X*-}XZG2zgc)kw56DYRQGv`wH@%RO&Fhp!pZA zdVcr*OxOFL(;h=5yCeU2PBQv`7TTX}O1H==tpS`1LB?9#eE4#7ph`@7tqVfH>{(A~bx&n_ZUK9z&4EX^&lSpV=PkHxhME zdo%zY(;hy9e0qkre5G!Whb0%<;}&u?Mv1KUxQ*#(4`zH2^eT_TknDr7s9LBWZj{w) z30bAuRus??ZpgIV=EXw3 zI2RQ1lLn%epZ5+E|+SIJJa1|LB6nkpM2z2HwSN; z#=QC&bP0d!WZu#OC##ungg*v2F8~GyQ4O!s*K~6u%v`g z1np_aL1~Nf%Z=6L=4bSfQLfHj1}Vz4xlLmx>B3(@H5TR(L9Vl_+dB%F9VJBJfs>Ry zD|RV}xa-0JARAYNK%G`l8>IXVE-C@n0(6zA-3hzt9b=8TUF{2E$^u7Fz1{@h-Dj~F za%^6_@WOUgcx+zm40Rw%(xn`jF6EI0Eag63%JI4si>2)6E#*zOloyt`rCg3u<_HN+ zTEcU4;f4hlt6hxozl-zqo61Ocw&8~$1efW9e4e?4E!LO0a7X@`MtCSzgKATa?yBd4 zJP*`>JdhqQ{Q)Q`C00?t8oRV0Co!QAzRK~VEqia!^rdH6+csU>^%S1T;zhWqqnwZ`QS=qC1yBeaasRi>(=KG_iG11$p3>woDy^VFIAr=sctCrxui;J~t#f@*pj| zzP(NhuYbP`$WWa!y9D|_wsmC411zzXx-aB=D46wJk@Z~ZKKEHah}L=$Q5zRh4N#|p z(B39Fit=0n!@)t}uh*kZ?1?3blS=RoPQwV%c?P!RgI7LBz|zJnjcX=SB+SE`NzLi+1nKt{j& zZ9jcisBWB*m&4u1GnZhzkQz@W@zJdH9KHrw_UXcYu#lT}?dNqU!!UHocHEKSj}6@_ zXxWD|?Cx1ndpvaFGeDLTA!caw#+;#2T?L%*3CVEsUGl_I^=njtY1HSyQQlzDoHvDP z!*sI8D)s7Owh3}`>=fg>k;s}3b=ytk-H=wF6-%@{>7}i~EWU*66;ax5axy-`x zOP}omVd(m|VBn_jqlSC17p~JEUN6dkDTBS~58VS%9~WDrkM>!{R@KHOUiB$9pjozG z)hu#*f3@!YZBKc6{|1OO^!_EdsAHb+^!{7;=2b)(glu!%i#W<_goIupYXMbo{M(yn z*H*8-@@P=#EPxmJ8WziGDiC~8#*)D_WF`Z9Jf;VQpq#Lz!axtFC$2?w?~QPjsmH|knx2W|MehVZKYN@tudwNQ8xZmR;7_$Dt)ey)BXm-v}6<> z*u(>cK+6V$*M-n}zPS#}$U}<2ZAWU%YSWm32{FH^vkxhY*sl_^yFkydUF$(C(!9jw zlLlbf^RVF)!79r?Wjp=BqKlvI6l_{HFwSE{3-5zEZ?WuA9A2b7pTAPK=QA(r_5=f= zDSI_w3$%IC2*R6yK`5@k^;S^?ACJ$EiH9hi#YUXik$YI}-@!##LZWu5&s9D~vNoCQ zty0Is`)H2qV?`gHsZky`cqXPheswv0j}qf?&$zTEq~P}JFFMIaPt^ll@7LAuR7>Kh zwiY#mOl{9dv+M65sZDJO##2$!wUmq*l%PY#cM@!Xh%Rw#fyA-pm#|00Kq>G$0=tnJ zDxAJn85#KoWgcnJy5YJ#t&y9soQ^4Nm)aHTvz3DJx1$iBu2&JjKwaOls<*K-b*jNc z*>rOwAz4fWDgn~T$S#L;hD^a}dHLhp=nTgrQ%*U19|xV0 zG8owx30mid&pA&>0vdrMK~0M!K^?$UE?xEkU5val)G6cEung*4s)_RhSlYwRZ*Asi zze;`loFfzeNtuXZ*q)(CVusKPyGoa&@o`5dnIA_IrBo$wi9jxyn4$Mo~+TiV&?< zS01Hx0*tkz9v%Swa^iwiAom#=gEztcMwOr*AHR7(55)CqU({y>!|aScAzARbs0SFC zpcq1f#ret4A&>oT6!ol#w11wjOGJW792IHnAWJ<1Ms0}NACMg@qlx><=26AKLy$qO z+VD^ZlGuaP0MVDW&?dknk>+i=@dNq9G?ZRN5j|^pZ<-8hVAsS8lCe3w3d;4`}Y za^vSzy#slg#f_imhtEq74&a;Z${*Q3<(^LP%oU)OJ+4F!mo<}~pP~QEaVI^C^&H%6 z^0;^i4V~iJN`$kSO!-7c_u5q>tV$QA9Psp$|pgIA-$ ziXX-*!HOTT5vd6}thnC-icXxg_j9u1XJAE^NLF+iaTi9@r@S(J3sjEfXy z&4UP6U?q;T66Y0yBAso`CvD=;aZ+t}t3vXG0cL|* z!myjKfVpl?q#4a!*mDRBUFEsby1Awm=E=21@7uOl>nZjuVSPxU2k})Md>JR#bx?th zg%3*W#hAHd&(3cb`~+$lBak!GNOb4@o@wIKNA|-EsrV1LsFHipz%;zkNOUpZJc?j*vYQF~ zpraZ@FzN&&)tG(6qLv9LC?c5Z;Sn0Q0|Yk+PSBSE7M4db3pIffH8|t628_imQk$*B zD3Uh#BS?$WIBCatQ8X%N!q9aIb-gaN{HX$vxE8*=$Myq>m%+<~M?)6#Pa$~*UojSF zW&{h@yJGLWYz4k<@-nzeURMfDU*H2tgM8ibkIK^S`zfVtu1R~ z`!sz!AU3?|+v3=6v(L=6u)A$-{4-99IaPsLcK+g~<8xwPaOQ^52cqkwTy^x5>^qRZ z9In7SSWo;LMH#XzEx^Vtv@+UKEGHgICG(3KQ$kGiej3=+Mtu%#COSD(ASk^VuDYbK zC|-^FhAKzKMnPyGJ_uy2|D}OA;vLmq+yl3;0o?!kPV<)Hc!8BXA9lMz*5s25sxCRA zCq`enI#P5W`o?f1?&rew8+UJAvX1#b4skTC=`>J7#*$wSE@GjUG?wX1Zjf43aObv__wvR z#lg-i)nqWHWrNsXq%q%%mP{;40sTB+ckF;z(Xqv`!j?9F>i`JMsI7^>Ezu;3ESVWP zLA4U%!U%u<6M#H(390V}(DXKr;UBQJGwIQhCue>pl(&7qTaj)swgs$ul=SM;M*%o_ zXx9<_au9?^w;S|RHXUdstrtH&nmqI|Bw$ZOk3dbV$JitMx<_>Ml$ajz1A7F--wz~$ z({}6;o+q5fy+Un5siWGuR{;eK1}$uUA)J$kA(2tcnn&SQ`Xxx#FVwWHm{SwHT!NQ9 zYcYS{IlufCNr~Ea`K1vF$S+)@&g7Sf{XMvYMSx#$f>@egu*j{R{u{+I>=i09a>)+) z<%jL@3&}7Fy(MaYWadvED#c55{UO#uv(p3^C~p_zZOj>w9!Y z1i0i;sR|5&peh(wccd`dvIj3OoRe0#Yx1_#oaZEZR&uNy0TWU+Cu~cpz5lcg z6jGS>nMJRasrt%RoTdRq0pN-^{{&DmS7{_FcJUVTI2DgeTjcF6O;49yjmO7vy@s!G zy%yK&aGj3p#kkJE^)6hm!*wRE*W>yj@)OKvJYSA$4A(1gorvo+T;IVphU<5@#&LZV z*DG;-AJ?mJo!>1{acy5raMH+tIC1mnLGd7DA&Pt9N)-F!N)#91N)%V(N)%_|N)%7U zl_;KNP&@zC5peql_=hcD^V=Ql_*-c5=C_XS}fK{jR;&QFaBWPOWsF3) z6!$^=%JHkfuM)oyeinWxzSjmhXT5Yi&Usb4Kg^IWeuPIA^wT*B-En;zbW`l#jnvy%q0Kx zQ8g(lqkdHyokCTiZ%WjG`leLvrEh{Npl`}mk-n)=`TC|(eJkxfF{C!?8%rsDQ?K69 zHGN=YIbCoS6ZG`5Nm@UrK^Ot3GSpwpUoz(p zw4NHfBWk#D;T)8pe19pxZq08vv_L(zq6x(Cm|BQm34W#c1@S{jkEwhQX8 z9=}QWHQ+Y|zp3~&MiY-W0V-#dUmzILE9G!)NglcZ7b`iU<{*sX9(`H#kyW+!HZ>N* zKkW+hkk_*NL4auT9}e;#%V8hdG^RDp_h$_ILq&;0Vh;PeU}Qaq<-n*fO^3WOOf=I^ zam?XXsZYM5L8X?~#&|8Gw*8OYP zJutYQ`aAeV2JZhec!rRO@DFaGUWC`)*C)cFbrRvlEZl=)Cc^&KVN%{Ib%aJ5#(NMc zS6=o5d2eNQRF))|o<*xO`!3q0)uf#iJq0u%y`J49^eT~}PnDM}x;(q+eNHc+UYluJ=pA2dmU*T{!n^`&%B;%z?reGrPCmVX+US8Re%tDZhD#<;P(pS*2cL zoX$jTCChFFFMyGYuh}03Cj%S6$Y7Swa0xGfvty3>*>9=c!D^HYe2lj}laRPhCq7Om zK1mXP!o)}F#3e`^Vd9ZU9Mp;Ll%7)jS6spi-euxlbYd)f2ObgjUZ(E*1FUZh9}Uyg z$947>wa`Xde+A`*X#WKy<8+7=E0TAo%>z_Zgf6d56=ufG3_|^Bo0^@HzU07~0R)_s9BXD0+xr@JLC~gqRyJdN7_e#HO zUs;T4EgB;JQTM-rA@2MwJ-DH1=n+KJ1w|VKx7YMnh=nn%y1m%nvKX&+1n^!5;O`B< zvo*je0y?z0nsI6y{Md5iFBms$w( zDXQEk06)M>4e*x^z}0xQqbk$RD>VFz0XRhiq%F_ia*GSF$^m%23vgIpjnZ=gpvBH* zYwV>VS%4IaYP1GwD6uhmey0)Z8xDe?VKWxty!M$?v7Q*Ut^iMfn@`!79VB2=NFC?KyzUL2cGd-RU#cN??MVfJrmymh$tS{OhG zB01tlR*_sg1+TpH>boTC^=EbMQ2SARv7YaD808(`Ph9>_w50-#YwK0#KDB*EpcQz~MNp2eJ#amQcGn*;r7@OF9`EjN$>VFh>S*N|B?KL@w`_Ve zg6;KQOI8GH_;|)qx%u$?aUdk41M<~tzvm1K6i&1@A-mVU)kc%3MT_W{mi;2X>PhOR zNBz(G#s|U60Cizv0ytE@(zS?4k6MoC16lUc?CfXunH}e`G5du(&;KjrXVKkds5E5T zo+CuIJwl0?EPfG}@PhNe4mF8qNE!7VNUv9fp1`-B%aKJ5mn{8tmOUg(RA*s3sb%;J zAv>7q$8ZvU`Q0=Gu7u{GF2pTB+^<1gDT1~5MqI)R@&N*xg-Yn`H{d0B3_P<;9dMhY zeOPcA0GqB<>m;Q4O11J%_^isT;xTCuMUjiS0;djz_?6)IznW680*~J%6;Kc2YT3hZ zL>T626__X>z}txTP!}kOr^w~d$+(DebPM%o&XmLp&pjd$6G(UUX)zMUHn>&FF;)8Z z4wkmGXG!+t8_#|EpJMu1kI9uB!2wCP+}}bA-@WP3|bC7SZh zJ=l16pz+Y=D|Lgujf-mkHD1x4vI>6uZ{dv?5!Fx8mU9Rg=6M5_UowAMS~de8z$oNLJ}ar5)2Y@L$h|INN>R#|2vpREZa8; zsX;>Hw*1-$ra8>D8`e z+tSnZ33?&83aY!1R^}}@m6mpz1`3Ze{zd+MgItaAXv|}P6iqfYv3&5&6!BSuzVvs& zE(gitW8t+2Mr)QdTqayZ<_gksg7_JO+01yO=uK%sOk%tS6?5;~^>b9GtZkdZVW7=FTHZHi$Wjs-04+zUjSZy+l z|8ijU4^Be;pjRIt*1~Q7&Q&$kFshD;^a?X>6A%py5=M z3Cqz%0EZd`5sG#m@GvAlwTUFxCn~Dpw`!_Sh5PzV+Fiu1qxVK&IT3$@3j+(J4$IUn zuphz#&He-Oe3*VhPNq>$JeQM$1=E%#s5O*5vtH_BiH5j^*KuE>|T z)G7wTJ>yZ0cLTZ_grzoL%q&@O7RgHkCy)i_W$(vERD*;zwOS9nGir6m0#B_5>RPpq zqJ(sa{Qw#SgfWFFq{kB}l+?F|-2M}Yr$|K8hMyxN7rhy81xCNiS_b5({!i*93`n6; zygP;OzJ9`a=Wp2)L$6FxcI6lhR~wWRQse$8-gq=O%kwBqBZ0(e@N<^uW;_drokq`0 z<_I%|xQDIgiwc#4`JUiiul^?@+`=!I|Y@j(809Z>q_pGx5$Q@(IQ@o66B z38JaO&C5{j5|@EK`z07?92n?NLp%&L|03Nmpq=5ur;*NW(AR;d7s!p0+_d&+e$1%W z9Y8#TfzCvaa=XPVcwlJaBMbwPNa`8(IhRyS-xJWNqj?QV;VGs~D%8>m<~FFFcWy&! z^$dhHKx}o?I2p+I)~_G3GV;CK^C+ta52ma>AI*s5AMPq*_G?_!mg(fHWe@>_07MJw zxi(DGTw^uT4Y_!GA1?f-M+@Yz1Wvl?rByyT5z(02Vi&-Fh-c)@Lk6-3zqxhJznN9C z4QG4}*U2*0|4Q=z(doa znSGZ4?p)dAmJJSUeXh?q{!>=+iBj+#X1U4VDBzO{RNsUO*uGpCB%5)HX93(xqHC}X zr9D>fj<^i9sjfzbGEsQP{6)y zPdE%MusX2wk0Z>xzs*kt{)asxaXvIlc-wm$TIM^R`3s!! zz}xkN&+(x?6?k8=g5RUbP+=W-6^?%cBMB6OJq8J!$J)V)Qj_OSOBU+Aw@C50^-kJ=;cNT3-BpA_7bK>^>*|)0I7-S(-PAW+SjzX_N-$&I!E`%HPl=stg zc?j76bSJRmNB*7OJt_{g>*9AX?{;bbA7mJ@G33b;Mqy zE)~6Dpat?`=c0XL33lUbMZ0zv@`UC=@CQre(TSgXSpH2stkigERB%`k5M#I+Y`w9> z|1y#&!mcj8uf$pA6?$gxA7s@!!kR3iVKldcrfY5_O*_VylUyC+E2yO`{5kGZfkCs- zhE#9sq4=JNv>%bkV4Mb~B_B3mBZ2wWEpTL$R7JKp7JdP#;m>Cdegd7lL1#FKzYCYu zRA4f4N9-fZ5I8lOoP_15RW+5vV!Ju?L;pS*{ky#;f35D{bucA_VKCkNm1Pe%9o$~b zxXshFKe_4O+;Db8)PC(h>)!{s{X1C)R``c{ft!wOr@>OSi1-XOJ-vU8Q%LO2IzM|8H2HBm=KHN*M!Qxg0!R4_ac}KE4$k7fGI;OE(@U7IT;oQm8x? zI7B{SCt}1NUWQKYZ_%S?tgM{k*_Dq`#Ab=u>!3*1R_4bBVN2~I|4RdE^4np|{VHtJ zt7!Ar*oZHBGWNW+#U?}{@+s|)tApIHirAfXBiFg`K$QMm-yB>s@)erg&H5(9Js`#g zf*tB)1dlJoc=Cl+-BLCSNXLsH6QJkuzNZpZ_yVU5AV4tsZ*w;WoLmZqt=7nGMkK%) z$g&4xqc$Ppc30fSzpkr(H|7VEr2@ybu)m?M5O)RJ2v0_>A(RKDuuJfX@&G9u`m}C> zDB)@AmaUJSi6n+%+p)%vJc*Pb`CHBGYF3%>GCYKPsg6d%@FBe5gA zeM`R8ta6!RwR~RlmH)g&{=?q50=p5zNR4} ziulI?shmu(#5e_vQ9Aas`wwfsa@be?bJ}q;t=L)!!th@c+@Fx`kY!dr3@JIFo6u>s z`hnsAJ=wJpYI~x#=B;XG*Ac5#P%JQihaVzz&d^)PpBT~qFPZT%zka}DQggIGZ7(hU zC%$ptf;Z}Dhi`E@hhr^;8Sf#yb+Xf}cPI1yAI9g4Xn1ZBj_F17gY7$r-?tZ^Z&9ZC zbw&2Gm0P?O`(0e%)XYu>?>ZjWu`ZyCg@dppX zLq`0;r)QEgwon{lGzUxF506!F#sP1VGsgVuz8%CLT!oMPN1-g72j0wJ!AyK5{@~w` zN5>zWi@V;8bd3YEIos^+)$c}}$;^;roVVD(80gcYTyd$M5v9Wb|NDAclyk@IB^jWc zIZ7U^c2B}dH;rNj{LS~K;g3wiUwuh7{J8@EpfN8=hD`XUWWs0t$9#SToU#0^H;`<} z;--NNYWGVxZ`@ zo*SCD=vPQ3 zYKwPz;hnE&I-`?{@Q9SCYrs{Q<&<)J=(Q#4V*Q8$uPs&Q>4$PW1l7rWNL-5o9KLpi zg{OX~6<{Nt29_)UeYk&czVfBGoOJ!fFk!&=IvgA!1jaWXu9aFxZenTdJ~tHnR>PiDdw%vK!vlNjRO zXFO8uTXpewPuC+R6jJ(E@E}Uxrb3pOUz3;&+N?|kPJuJMCJoe?{q;ks z)iEw8La}3wsRtnhyQ)* z@J2LSChL>_j(uvYY2P<7@rQK)?DH8jfPHWp(=K&3+&389Mw59LO~%FU#IcXT0Qd<# zVN@DfXd#Y8R%Q9!V_))?Un%8ZV#)`f`O&yh8vzD{Vbd(N6F%E9{G$KQ@E^HK({E1) z|1fCyA5~z-_(%Wu@S#81Fsl?#`@JZR@gjB69@;+&s-pCkCFNGCAxLJ!KmU9t9&Zx+ zx{pO-rb^A5m6w*9IxYVS?A_et#cuLBeI^IL5dOz^YGi!fZ|&~Dr$ZjXqUpGr>ALTVv=qzdcHr?)2N$uvPhptjXWp?^SZu$j% zr4Q-!!cMoFq`vMXk_x*vSYCL=ag(B0U5pgXSfR_TV7*SQ`3Lluo1hfF^K+TNAheDKG<6>HacQH6S4YElnSlaac)GX(>?WMI64!YSC;ybkkO z%KV^im;KNF1=KaW_((V7!Pyx(oBZfv%vjR=GS$W3%%?F&DBgIRuJj=FNdO07A=hIj z7Yfx=q=rT}qq&jxeb(gkb^i@HrG4i$fe_h=@r-g3ZtCmZ)U*0dE$Pp4)1Ty~rzNuw z=q`WkZ}JqWJ&`Bfxi!(|v6Xaov9C!GxF~$GKvaq+ikA=OI^ALYP%no;JTY)tC}OWo z&1nN1;Zzrw3{aIC)EzFU-#DNu*?9>EySGqm9qh9ddjPpWgLERYrV%>DglSjVQ-~oQg7V3_vO~WbL%-F%=m${5 zcUKowp%)aERt&xxOOR7Q5z8!CBmnDQqw9Z*u0PhMmRSFfwrhcps<`@j!U72!mDQ*q zt413^G#b=kM0d#s?#iO^jrBoVEDgSjvQhXz4Q^<&URTlLt50gRYJJu!54D#4md_zvPpyG^=k zqo7WpMo*;M{8jLO>Vki^z;7;VLTMAdc;4li|~Kyf`9fd!{^;3J@qap{&_C=U*kyXUBka_7vbM~vy(sb1-@JU zE&bgit0rbv<&b|D{Ew%BG!_zuhk1lC6A8&*eeyIdsHx6Kxf4hb_N%>(Y|`|R6GA9JOAQd z@{h9fA8qGv?InLdJAV?cO*LET>?Qwtw3nI;uD0{9=_UU|cK$!w`PcQ5f2N&Z?H?z9 zHuRD|Z0A44hHvzkU&({dEK_6*K$(p8-E0?E^1}(x#$Q|J#UFFl~Ykn69ML)~`)Gm)3)*bcR+7Wt@F0%3-${%8v$2Jp3`SkiL zGRlW!0=#jqO@Co#`JlemtiLL~ygA>x*Dj9@b*g?&-FlmE1pvyW?M2_4@Xoa1X}!S% zd+hm}mI+V%9nSbnwWwm;_!D@FF{26469Xqrr*SN##`d;ziN<~Lg()@GdXL=K0yp^p zXbM*p2_kq;tQWU`Du~4)aaQ^DOZ=F17~dhQS|2<}mRQU+LImi`GGV^GSfur^DCqQ8 z@6T8QgdO4ZJwa^eX!b)mi9+C%tgZ~H9~0lZC7aU2Oo zV2;I4oSVKcS?WbC2rrJ{m~Hn0qQ+3GI`TMu6{DB^ovhEimr0dCviH?Xd=s1 z;L;#1j!l{~u;9yhb%#XZ5nRX~u(zgj8_#g)X4wk(b!{1Z@ZH+EMT* zUxo67mJPO-$jzJ&W)&svC=+v%)|-m)h{s< z!_|V*H18*bgccmg zWSr}SJo5fNzw5=1t89y<=t@Z&@>`YGOrEglYwCTzRrJr2tvITZualy;O4^WmmA02v z^ltTjzE!kAvK5a~$z!DG*^)NoFqQTP!>sipNq$Q(_>j_)@Lek3I?<02PpYyf*O>GS zR8T(nvE%C^qRwu#^MA&XVEtE_CB)%)M9Y^{>5_%@aN8zd)vk4V~(>r~o8 z3(u$3`!!b4xst8;T$MaYie9DC#;COMl6H|wJ4&UUAZcNhc7RIz3Xz!D7a(b~%ly(+ z+6&*k4uNmz&E=MhFN?koa9k*57Z~zmN~9kkU4@#{|!(BNEnh8qO}CX@ITjmNfi+w z0H3@c=ewOH@q%Ng0=b0m^jcLhwl{NS=Ni_|O)Uo_diV|CCZ*~)+|}W{UWx~_-!9&l z1c(^iQ%6ff@ol5#g-%#yJQiaQJj=uFxx@G2MJm{RVw3}M+}ndx1O|bEFB|t$yHq3? z2i={!7O-G52?vWnAFYb$?MC!`s+)p?*luPHoPwSiM+SXRidIQ96y|i`oN)Zt9xO$( zA0oZ`1N^|#I20qz8gs#R&kIL0!3d}4e#qf`&;)xj_!-n3_qWT ztj4#P_{`(M%Y5UBN#H4VWv!NzO3geA-(w4RQZ|9CuRn%A#@25h$dx9IGh!$6tj4U;+wOnH1DdaZrG0 zdy4{vq8+*|O{nQFW@*8RuzwoR4W^+^*mS6D!~g=to)T=U)fO1Fs$T5xLcOm@z1ZU- zEVC;_wL^JVD+oGHVe8Gr)+&WfR~DMM^^F~Y?Cs#m!{jRtEycdL%W&-UbU#iWPO%yI zYuKd4JsCXL9Gv7f9VLkRw#s*SxZ#pGYFMe=-ZRO6s6TwkKN(b%3*zJ}i<=t|qM4@K!bCQr1Um zy7o3puLB;&HBjF3oHZYZBNFEEz5)W*^a?QiHK%>u-K85V4;Q)wc!sStzg?4qGn{rx6e#ZaQ zdn^^{`b~W=aiv=?sqdv$lIu71-S0}bUQ*x7tt8iP>bn_m;`*xQ_bN}Qtif7{6)yof z><=c-q%H37|B^pKZy*hldQH`*?c}>1QsT;&DxZoWab`$;uXiTf&#CVXcAE16Ef3i; zcMb7^DYaJ4HPt4xF=(z3H#?~>=hHNc57WSh%q33?9t*Q>hkKrD!!8iFOC~oIt9*;Q z{4U@#W4G|hPSovEtrH^)pLBY9C@*919+a2Ce-FwpAlvq!{DXT~{)s&-zjzPJx9)0r zE*)jaV`qA4(Ff8$n)qpF^1Nmb%X4gWwcc*lzhMu{8+%xO^B$Jpx`*X+XgoNjAN;fQ z^8yb(IyIkqPJJ)g$@kKoeE09*RZOd&#(eg0jp0v)*BsJs(zfnI?7_&%RN1S=G@F zyDZI*-=qD9DS4gk%^LleV84DkP~LCkB<=&SP%OxN+u1`GaaDfnMirsKb8tibmR{N~+(Kh1*wZ5{K^dYTWSS=n0A2w;+S3M&l0h=Oc5HMs$$Kt=`5PBsyIoi z_(^Y7IOS(a6UP%1{wkC*Su`yJ{zwJ?NP(Zb%kbwp;9r)4Uy=d;^^mX#rZiaer(iEE za^Wx5po#y06#OTSN#{>g!LJhd!Ci*Gz=8k6C}r}eE(87`1s{ts!2iR*F5>Ty{}k}A za9JAX=PCWLs0PddWNS;Gcm!i`7h(%+BkFQ!2aM-V{gaY^WV7Ty1O9n7eDNPDkE03S z*DL&#{HNeoX29Pv#=^fG{Di<+NALjur%&kxf4BUns#tS$I*aDpRh*Pj#hI=uocwXi zKeAc!p84%4r&658N_=9ZtBQxNy9o-Xu9P*z6{?&+dfHCeFW$aI!oqTo}XyWk(*JA9`+|273bkO99y z!KXfV!Edkb6@RBZSEt}_7@m&*eJ2ZlsLx&Sr}PfrDbMd9tI40H4EP}hpZeSdzfTtU zXP4oKZk!Ki?Sv@jIV*vr@7NPy>N-~1RI|YshSS>M{xjWg+`p74e)HABoUVgkP9|M# z%NMntJta1Z9Wi>)Jny0bIN#uS!M$WktQvPI;X>d%JmoZgM1vHH+v&llZ}M_EkA{m@ zXb>!`&Bj531((6Kofv%uDqeyW7(n0r)nB+0Rnb^UV9^mT_>rvYJ6-B)ERo7jRWJEd z;?;J|uyO%Z>Q1|0mi1#B_hFBWDnx96z073O3I7qd(O>Zz&u!QQ(3lHgYi=gv%o134 z?5coMJ$cwLK(xWw4~q{4){bh}Kd@Ml^*l2i?-ta%g5HH&^|zD0PpS%WF6ckOh(L2P zS{D1RAUY`aU18K4`>v0QFnR(f3U!Z`WvAaGbN8{#)1P??k*D!cD|TUrihsaSN4Yq% zEH?YJ9sL{oObNv=Ic*1z5}g>tIiA=o_FW$DB7LRS8+s|}I~xG;f;BE9AHqkeLu2t0 zSy>&V&w93ar*TM@gFJKpU~w&aOVT$9f5D(q{y_4;qzQPmdBcaBf&pjoZ1EiW%A{{Q zg_j%Guvp$Df~>+aa4~9Ab(wj^fOqcwphLxfe5bA~NFe0n?xxwL$ujR;v0f+RWXAEB zTD=Lkd(yX~l7icKRnzRDxhFPW>U};kG!NHr4$VvYJ{l=9y_fg-)8QRQ-nEj@_&NF@ z&VMj*K{MszuJ}x(>y9mDcq_13v+Kgx8k0;qY zZdWlEGJUYOvWTfxvnJ>`=M2lNRUvfVijck<_ZZ;NvS%3A6oD#36it-t4QEXwpV3|F z*^Zb|&V~yf;Y#XwuC9eAVx(~V_XFrz|GJx={d=V6=-sCWr~2(WF6b=N z__?0iXA)X+ciV@7iMv74zG)==fc6>nn!9~wKHC#Lw}SHBr3YTE-Jxe}mAi?406kOJ zy6Ji7nV#r*0hI49Jq5c*&ow9PF+KOZ>ZWHsf_bv*gU>-Z>3I@|D`CdFOZotDjl0u6 ze>r}S=~=PHO;5ib=^6S1=s{%b?$Gn*aeGY9w$*NWMlJ5CeNIP9?ykJi)Y%Pv&?h@R zmi;C-eFo3r0E8205w$fVqIW@9g8CO>-7u~MDI92;P^lg%!~AWH@iv-kf_@Idv~h)x z2o-~MB4yJi=ojIXDdyrZL+cnBtRaRbBQ_Xc;u>P=Xpv3iI8z_dFTr5Lapt0~Ge@S{ z!0hk4i0|{SWa2w)ckxC1`EDS4e`N~U)cCQB_zuj1@Be}4yT@M+5xax(m&c}1-aY07azPql^sDgI~-y4s1^B1On zD&AEVwY&=vr;16|ox^M=O7#KhPMYMA0E29Smg!Kqb{MPaS$_72w3(?g(%HY=^+DgR zx9U4QRo|-p)9br6RUekR((4ch90wzwtd+B+; zuc=&-^fewX^Gsv)h=QE%E5q~OeS`r_dtJmBW-u>K8>z`o^7DR?;+Us0ysJ2ZrgJ7U`lyv=QZ zjHg0x^CI=s$J_iUp5SS^7R*cfJ_FyvdePV3MJ2e!8}Z|Zf{$p!eVJ(d>Qmf-xoASp z6r5o<*Mo9k=+_$VtH$k(i{J&5`zJj(cRCN}4Sa?;<>rsTnM6%8RJ~c#N%f zhJq!-(6^rKkJqH{0TH-W#!N^pB;2?Pzg-#H?&h+W?e2nB2B7 zM5XF`OK5+b(2e>YM+bsj8U4)qa`D^!<5Y<(G?iwh=}uJSr0HA$HfeedLdiPr9|nvk z^Ky}7dobCqRe$BZxdU;nbR5*vzN-_I2BBmGN&X0|y>gm-6JhODP{^I`Rki-$(vJYL zo`DFU>)OL?^*Gp3SqqTmn(VfeR2Bs?d`=IoS zt~D!auL-~r$C^wPT$QDg?C>8$PSaU_RtEh2Qt-iH2mEyqdKdC|K|D4NQRU(IK~hmq zojXzKTn3dRpgcYU$18Q!a)yXo83OAdb^xpH(SbL)@gQ{K6-(HO%h_qo?J1QjjM(9j z8eh_Pusiv3Bv-bEaYh;X6Rx8$g(-0c-^04)tWB=Se^nB;+tMZp+!n9^C}gHSXs0GZ z{SQG$TTI`Sw&laHH_(b+W<(ET_t^}O?C}TSyk*}E>2cV^aA8@1st&HL**KP+#yjRL z^w?o=fxxMYm}s}M3f_pNzheA)6J>O@@p}NBM?s!1(Ay&kxV{kn?nmf5CNQ(0{6A6` zbY}5pzVs3nAO-f=TlY=aSzugKVW#^h+LmPZa+WeAnVn zl{FzdDVSj#iHnLg3=IYWB#INvFt;_}y`w$Q@>E$XQjL4Mi23|7wj_4pAlJ3X6#-+s zwJSLgH3XW!Y4jSm@giZQBBj22VA3~JIX_aEWILAdV1$ z*iWTs@kiA4Z`h829XB`@cZZ*nVi0s)H}0S;E|mP69LW=q8CwXD`BkPO=S1x1NECmx zn4|A$uau;1&jZPyQ%WmBsW?@2Swir z=`p3_)kC3~U)8p3w4~&;Lm?%J;u}zp3`J+6stMe8xkjV?yw$kpW7YEA(%>LyRr2;0 zj4TlV9)5>&8X5aEhaDV6fFV zz^wjqNA<0C^|(SXCs3tYI{MQPWOf6a8M09k(O=fz;*{DR)r}q4p#UOl=l-)18;tWw z%Dj0ttfw#$084YRu7D@&``z~U`RaQgluh`SKMj82p7e#-r?Kg8B022iV1;h8A?ad+ z$riJttNt9qRmfg$TiF||!n%Up%R~7q!jo5|_vm{MF34$mmc5c~6`jJ8mB8W^V3G9Q zeNY;z(1RimOOc;BidgOakeT~C2u9a`P*v=?vQAdncm?aL zLg8zqaJdv-ooX#I8uf`?XZ+Lz^Ay26MvN21r%AcZLx^Xpoa85-N1H|Fph)-itSo>+ zM@XS(QAnB*VM*61YXMrsrQS~i1^(`ek6YLHNYz+~qH){f z3?Nhj4Oym2s7MoNs4HU_Za9M=Q@!Z<$$3xMz(2-t08|Tc>tVuIrT~A2AEOF@QL=k5 zz7~iufBd9WyP97QP+!x8ndZqvmpIcZl&igEn1bMjb&>a!eD@^qgLhrv|8|f|;Guez z03HVh!A?A|2Z8_B1|H%1;fGyiZ&!4Ln@X_r8dYX_a96&g`5p18}@8*^BWo9Rn3|^91bL18lIF z5Jd?WP#(zKKo(wr2>~St+o@RwL|yg{|G2*eQW7CSpLJ zBfkT8mhc<8S42AB}Zx(eQmCQsOK^^Ll0?`Xi}J>f`y**F9*FtUvU$~%E_-_FIHR7N5cX>Ha z{x&bC{vP#@@9DNI-?hY?Cjf|BeRUk$4a=l#)EWNSn6$PbbiSm!0Dntqwsu9TTcdji ztLyv`Zv^+IwMGkr?SZnK^mvIqgqNEJFZOL=^wik5eWJAy1sUU97$@{|vU@o0uN+ke zWMxVi5M%>DwzaV1uRbUn*XM96u?gk{+XC~Jpd17WVI`epRhcskn)gc+- z@9D`Jl1q$l4@4ip%^UdV(Goi4jPonCN3rWkh<>I-n)_YNTPDP%W$wD3h^bYBpEJfp z;b~8(cEL?12zkLBdA!%KA4DVR%iouuaMehncpW+%?w8mH2@;gk5_^y+BpxOv2*ZFL z!74nIEC0YLhOj5uS&D|z;01{~2a=SAc{o5Fqt4zjq;a$hFj#b1vFmQ<{rg zEd2OahJWSwcM|>`iGLONHxmD<)WuPE>`!H|RZAS_yIPRp9gM*B1|M-fp*ug!YsqJ6 zD)-OL+#pG1o9NC~r?LgovmN8kHc@5UKRsK2ceZ+!?aNYyqFw1ngIu^ZsBEj!vpwg| zHce%_FFo5G?rbwuw(HWfUFpj9EJa=AJS#nC$epvv&Ur+7&I8;z+3^(2FT! z%_03)<$NPOXS+M+0>FHs4u9ga21D*V55o+4?F4no_Ro>9<*!Is$^^FhpS*=R)g9OG zhY#=b!$a1G7JT5yKOKD#^SEuO1kJ&_YG-%=97DvN`N}ym!}#gG@V>A*<~$lX5uQ~x z10LGhIOZ7zc%(zQhQVq$tT;lzaF>(iGj?&yXZ&RQ{27X|H0;c5pI#{|Kl+kbu>_{O)S_} z{RKT170Ump>F1Tw9f3#+w8o^8XUc(__@KUIzrbyuxY`tFVo3O!buf=(Op^0P{90_L zADOpB&tX+nntl}qq;H~7BvS5NRI%E)N~*ZnssinFt5oq-S{2kpH$cPScnrC2>4s8q zaH)Yx;wK$@#m)O&1?vO?0CE0@^k(g80S{jL}y;S9Ol41VMygVO{dzk9eJ^e0W7>cF#c^$PkmE1DhubFn;r`6u6{_=&UuY?Z`mo(!Z?t$zQ z;9MWX|H(5X4*d1Rug9HM_ecr_K0X%Q$R3BN;(x`@+t29Qhsccg7#q|>sqq#bYH{;% zTu%0)+`GKfFTE5z8(vn2{DWNqil@eo2V5|#4AbMcS?&b=aETxMG7b}>*Qo&>J>n=X zCi%LGsor7zV@c{gd9Lxqr$biYfPPuMgN$Wz2A^s?R-;;wlUH;fckC*=joDvJ{-MEx zr4fDa3Ho|QW=s>qLJyXRk)a1YLFMg*Vunpg&4eqjxo1%3G(3D@hNcfRmJ0f(d5k6M zq11Q+4`35SH4>Kt*w~&BEalY?OzIj}l2U<=-u%)_snBi)QDefkcL-*Egy)^@#alHS z*fb`3uy9}zMSy3RjSgoBj*sUUj04_`5rPZau1r0Y8V6cPtumX>X!D|d5mVx5!#%E_ z<2CDlbT3nO?o$t?#&7W;OtI?^{txO`Ry^pp>MyhEKQN>Iwt@eB`WIOAqlKmK+@~Hu zKOWNP{~y%5 z#lQgT1&92G+TUy3$41sq_ZYub52eQKco0Uc#t}-9_@q*!3Wyl5vH*6APjZMO`okXM z!`TDyx&0o6&maq*0Tw=a8TdR{1bl+o@v*jE{J{R%YPL^;ZBO0WtR6~@S$J^E$H71& zt$(V9dApXwbRQU8xT-F?ZxDA}MoaLwZ?r%D=6UCKvliNwyvpu4TZ{01$Mm~5r~)0W zC;gXu_6cB6$==a~Rf1O4D0E>S0 z0Q&KeM*shy{tXuWR{dvN^^eb}{|nE5pMJxlpKUAcuO2`@9@6N~R{yT+FD{X0(WhI1 z0rc0}`AUDCE%ig6j#m$*#uy6`Tb~+zp}*$bkwu@H%doqo-+RDkv%+VLiO-8{bn5qK z)kCTABp!q@Ha-)H&lfC!j_T6yd)z;Apaf}ejF#HbKS!#EQe(J99rKF3RrSckw?;?hx z*jUO^mGW!OU&SmAdnV{>c+fA^BCO&NtsKUu)b%p6>Z`%D@RMKE^xD+R8U?Rvf)L1!l`86H)ATt1^pYf(yv1V0#idFIP z=@lFA7D%IKPNjw3A6EZDv;IyB6qUjY>Y>zFhzA$p#)bcb`tP*px9UH|s{iO0t~uQa2+=aV==*x_jWA@x0I*7pos zlJxyeJ(L;`;=xVdY5#@#?l$W?)v7OO)mN2K-}^iEoW2&bzPH&nr0-SrP-=AI!A;+l z|AqQynDt$1)%O#tzKI$2?bz<7&tXqx)o1*kO`lzYI-$?5xJl`c&)H1WXa7Mo_JOx?xerZ($m1a7x=SI?Q=VJ4-!dd_q% zGb!EGbEd0q11l}v^*-f{w7;PqN{yHB;HLfR@7%Ogh0A(<+92Xo^jIAJ$$a{G;b6^n zHZ%&y>2Pm9y^{$}&~s&i7|_+7!q z*5UYVRS%`cB0LCFdUE`p_HDks_C>^cSx?Bt>clf14r{WW@EAZl?uVGbD&>9%EH*fn z3&60FUkgLOS(+OyG~U}r9D7_)(BgYV;`Fj)V?OXp=ckNCW_xmGE4Q1-vVWCCRm+ih zSR||f-Uzx`!!g$**1%RS8b; zOj7tGIxe@zdC3Xi7bFWX)*}M1d*4+0$7XsP(vj_b^YxI|a&scse$v9K7}Kowml)rg z*Z_Dy)_0FV)v`<#;_?A7e#Q9L+FTX%ya~-UkLbLQ2d&3uBmWQ$DlIs)3=oIp3bSzFszqXODGLb*R zLVlQq{J}QzxK+i0JlZ!rxiyj~Xw@b!jpPT*gEtj84>L*s?%&Dx^4gZ7Udv~GXV6mD zwW-h&dEbN+Vo*2#llSwA?f0(l5Dcw8rM@ppeV6<{!ey3b9(ljZ@eX6qBeP7$x$Nr= z-+er3JW+VZ?d&@FOEk2OamyC8Im85UG+3p@J`Zr*7@ub!7Foi6<*=PtrPTL;qdw9% zuEdSc7F;&#!Veb?JKx9o-0wffrR2`<=k4o$ABWg8=l2sz-S0m-!2RBl_Wtt&-QV{c zoO&m}Xi2!&^w3cl*E8id(KMPqvxFTN7f2z>4h-V&CI6AGBWGopAw)y}>}orrEaO8) zdsMD9V)v>R$(Jh37Wp19*&+yGO0~!mw3WJu3sFRA&7t6D!=KBMuJb$hhPs6zzx5d~ zFUJU=?H$_ogKtnV&+i-!s;AA%q`FMpP^*nAzgAQmmB2r>ta~1kO)U)8rH=0u{f}u1 z*6)d=pKOiq)e)rH&*=!_(oX)2q_XG;iq>4hPe%N!{2X~==#(*wZ`fl2-qe&DS2*6v zjY)ii{PCOLc$Ro1Mw~o>s&p&4s6v+R-wZVpKN@UInwe)+8Mg@1lIu`WHaDnT2z?5e z#6Su0%%GTDCL)g=H33v+5nG`?nZk)5$NDnXjSz@dXvEm4`glYj@U2n3m8-X(t2e)T zJ72w3@y!`Cr;mrmt*MfF(>__~07JLpGf_hPS}3mhu}6s4Hkg|;&CNp7s3J5`|gA_L(seE9E{>cU*nwEPaq*ccR$&&`1EV}{Ag}jJQi8G zWsw2kJ#JYnMkc*kqWF`Y)rPnEd(^GAEQa+rWCJ7im!cN#7}|huvTu=_7O`~^P=_`l z4f_$ZZeJuF2q!d#051~@D}UDglZ1sh9_%FKu0OR4!x*`lg1FAyTc|!`*<(`8s1OjJEmCk(WYG=XRH6I&v&K% zLwc!S_97M``r~ExR8;mORMtH!9bMzpU8-rZv1^ppv$BNmKZuxcOrJ|bSoHJu=u~J{7m}Qi+Gd%6qg6|rzUwof4UYAR)6|5 z={su^P&fP2r^e6LIQx^5=7LXq?N2(`kQl4B0N;RTXIJ_Z7WFVx0Qf&gH~JI-B33ub z)_ge)h0pW<&sZw$a3nZx7vAe?Y?Viz1niz*-jeoh5O z5CF!bPBRCWKI$>rhL_I|Vffx6zrXOQ{r}b_hTM$34IuUwakrP@ce-Zp&V>ZxsD!7HCuNM`N&FAA zKOtz*GQVkr&cs}DeR%R_G>$g;6SR-gB|MLP*_(=gsq>Pep2o4a0@&lqmbL=Oo*m5Xnam*JB)u?Sxx@GV6WMa%IBbs8e1 z(_tkS^b5yB{kG!84>>RJ1Y1I$E#_Oulgn4@W5|=oS1h_p-jFAsub70HuLznCdA_q> z3;FuJ{o04G+wIrBeBFUP1*|qy!g@=R$z|bKn*p1@``cKvKqr1tkMy^Zs=r|zk^WX>_P27gzop*I{^m@O z{^m@O{^m@O{^m@O{)PnUZ{_V~e{&{Ce{&|VzZLb|-|qQf5BeJ$Z0oUhgSq16{Y%{QF%AaSi8Fwc@vyjIlG%#UOyc+d#b;cyZRg7 z9Q{qb+Wk$v+Wk$v+Wk$v+Wk$v+Wk$v+Wk#lv-CGuGpfI#UC`h5eLvOTzI=oI?RC}P z&S{eVHU&S%J*%z$_H({I^Iq@$%{&V#tHQ)UHUndAME^-3k{D5SOd&Q9Votzw7%f8@ z8xj1#Dy{wg@v_x^@eOwSCG{=v%3Ob#rho3RpU1;ZTyzA^XGgcCiMQNSJ>4^VlWcJ~ z{5={emsLrv)Zx~!3SRsb-_mLwXVn9pz???YB0Cr;I`t)ZS1}5^7-dMuMER6*Z+J6yR~11dS3QgKPu+t>qSuR zz)x`Tmk=I<=L6!yulWI5+6}X7_B(Eu^zP9gQ1kxBM7p?@IU7sjPHzYmz@_dx&KdqTh2{y^TMe`N;! z@9k3igACCBZ(wh%kO6dO^t1gjIr`^%i~d)I{!0}7jra*JK0@fn8H}KRIzMD-|Mh!9 zzuEpk-lBg+2L12uQu~7p(0{SeFGoe}jDEI1>0f8jzeebPoo5Cnia*9raB)cJUn%r| z#1C2MUzbV0tVcNJgMni)wWAV1w{IK>=pO)@Vwz3mWM;wwrkE54K8`hoab^S!{a$qAD;2!p?{82s2QAl&vo z5XN)~wmcF34JtAje2R&%tWOAo^9A8^m6kbD)5n&W{_=D_mr#j{20gbNKAMv-O$F>f zys3ylO_;9+Zjx&PoMx*%XQh5r^3faqeTaXqg}-9>+o;3D|AuzO@D%&ftQ)hI#y zOLq(Z_uuFVe++J2YpuZzRA^k*;54L%cn7S$xxOKOq3bv6fSmascLK(8Jgz*}H~y;c zTYLSkJJ!f$XQAUgqUT|YPFVM7qx$1aPHWm=SK<18N9Ol*{F8-${T_wZ12i2`9ITAXjtp2l<}FT7s(JPhy1!H;Ym4^m$@Ev5_-`}ue;azH zg2)-~5&-Bx$VlG_x+fC%sD)wB(pNQG3aD#auN(g!;m__JKAL@3;LCctANtgRzbsxF zgH8Bo2QOLxvG;5W7t6KY+rinsned-`Q}O3O3w{th+N}zteT6^V7@*s%59>3~DLTT# zbF_!HVgik8NMfIRgg|4gS?eGzUX_w7Yt`6AkC|vUhx8{NbTizewQb9{#n{f^pf};$ zbS+0c40<4Gz0vkjZcgxtqo2HxQ`^u}2&~cbp=@>SdoDCLRUIAOE4D5F8gJ7K+zr#O zX-3}BjroB^xv&);5I9s6EIJc#ctsCXt~$)XYRM83^3`9w^OrtP zRGQZ|Ud}s96TT8=f){^=aSPlL)$LK7ZHFSU8QEY1nwbjrD* zvZK5U-|^PIuZl%)&NZ(Qon{?;1U}SfbTWJWe@Q85GKRm7g`KtRvioUqxa#UKws0vP z9oD>BN{eICU_RTeo_et0?axTX61Kx9KG-|>{pVW9I-0`0?C+2leZAm-6<*^$EE|)z zz@r!)A`%avU*Lcu9Q4vE$m|Ex$!JB0g}XDR@UWhs`O2F{AHD@yqi3=*D6%0#@k;!L zF_O=(>D3ID0kW%&(Sq!1;{+lLq>q+g$;6@^g&V$MTnCQhC|t`Y5LE6O6NG-5+p3eK z_5C$Q%T?EuM2FH@TgN~3B&;7v7=dP*r^RYKp6-LOQdFKRl1cH3p!Mq_`cerZTV;H` zFSrQbm79Cezd~OvaY@m4G+wjQchMj=#%kldR~3Dy%ddmJ&Hu6J`+`qd=tG3zoKKs8 zAyI8$G)B|t<;?4yi)eN*W-(rJm8N-Dz;D&!&1DN%0%VsfL0if%c=cjeejK(U4}YCl z(p|_+`pdLd0@DXgOtHUzzB)$~4b^;;G}JgW)Dh_o zWuDiXP-sYltu|^XNr~cV(}8whL3=ak^)|Odi!@aM(SP7UXhAz0_x}ap5qLtU5nCSz zkjoKrz@6wA$Fch05)ll&@K-OACG#aWyL+8+4$gvM>a=nhEmlRGcMhD(QspIG(R$Ra)}CrLe7(TcA^+$~44{5X{b0w^GN@cmKM|0d~oI zN`J$wbb|4i>d$8@ajVwyhoAzjm+Eh&6=8=LYG1iq5#8C8GxV`(lrCvPX-_m^8wf>T zV-sH2a}%oEYuHJe_JP4KN<*XO2=EUEOezBWDd!(QJRR#noPAg?bi2?R!O3--?-j0l zj31pYv2#p&_m|+Q)s8PLO>f5pMjo`|Tn6ug!YN;pLJZ`H2p*oRwQbFZ#-GHBd&TJ4 zX~c*F%@ro&7f^`mEqv}eP4H22YlMN)5=3lb_IhOp4;QvrU4aiGBz4AN>d_2?+7EBt z*p^lN2UKK)0c{D6;6wSNr2L#0oA8z%*{U>hK%Hek-60CHY4lBBi0-%x-9agh2VSOb zg3>S*rKvIIF?p9XMgS1o3y;NL7!SoOiR0YQpg7q5ZU$y%uWK-l{-im*pSt1Ml#(CQ2sMm`a$;d_~~&|s`- zrd$^vM+E=*sfpmN=)op}uqW7JVAV9y2^W?{ZP97Q;K%Bx5$PGmIT%OS|8dz}4#UlC zeOmQ87h76FjxV{GIs zwT(DMDcvq`+9SpyWP^CMpj3KB!ZY5&NQLh+vVBM2$55F%Z)lV{S?UX|`h(~`Q^1a8 znDwkOqBjEX>JNB*;y{LHVitXFi8lOIEw*uM(l>Uc?If|z=rXMs*-F6qgxD}@4*{x# z&|@VOCk2IZQc$d|L=2O?ja&K<(RJ(*DjpfV%d%Wr&4bHN^)Qdj!|GG(sg}_cI7dz> z!nv&*8C6sLs<*jDdH^;IqTY!b3@B8Ml2?Q5+3ZFq(mP+F4PO?~|4o$`8UA^=ZF_#C z+PLO;$_A{aS8&|{bJZC~!amV-_}bSQZK6{#T55>`9M5x6jux*i>3Uie0`==M0t;6! zzq&>nzC~->k&knomR* zzSp9g2uFlJVAiRLB7-NgVtkr_L1Q_Dt15WmMHa6qgIE?D#W5jn9^{GlBhLbGDtBo5R~&%o6B} z-sT(8o{X{gH>qoVr!jg}b`@dHqpPb_jpLW+=QPbiNaMn4b%pAh=>AjY1)7q@(LRBe z6Sd^hz)hpHWE)^Kb}@lon)We}D-1Nl*IDto3;QEyOJtv9^NL0|fI5x)Fu^D5aO|z6 zlpMbi{ayQx&pHa9sDN<%0#7)8SxGoPy)+!3<;Nv1SgAA+;1JQfFh@s>17a>~9`)%2){_F5JeIQ zp}&u0>>P}%NZH5`^MNM03a3^8MTa46WP3p=%B(UTLcfma2jO}foD;{;#b9!uKr_x7 z#&T>oJq*nB7{m^d1DJ{5)WHD6KFrQtJg+26kYt^a=trDZx$`E4i+Mz_gHeIABqrT4 z1*${!sG_TBrXN;)Ih2>t@j9m|n4>RjrJe;@&1J11o9zGXaXXT%ca<^cNYD)7o@?Nf zN;;_0OnQU46NN`jWzXSJ(23$<@(gPN&xf1O4U7=P;^?%Xj$rTaah@-Yw@wyDsBSr5 z7`w_BzhyeKf=RqOsQ(galf4*viQ9!k-%kWGjW2Xxgo7B*k4$bST#bKlur?x9_y@c1 zFDWbMA3xgmG(Gep^z&=1h4T#~2gj|?({yuQqQ7kX0(^i0X@33?!i>*2i3*baL~eK9 zv8_0Uxd|od*}f=!W~+o!^`FLk>>J$DhODiuDF-)1n{6Wdy{tQ&=6uR+TpH$4CHR$g z!9;2zo*}Kcopys98n=E)IY1bJW#Ba$rVgJGGfC5;M3jA}ao$U8zs+97pZ#|M^NqZvrN7D>r z(R|4$)<)9z`$cRNZURx|u}LJ*UHAfvg<~g`LBL*vog7Q%dYf0`0kt&YlR#J3>#7PK zDQiJ8abj#@k`L4PAhoJ894TQK!uCq~ek8RvmgC;%r=ehL5TQ_1MysI+PDMF$88`Em zEGFzO#Hm79Ly0jBY}f@zGCb(Kz=Y2Y_$M6jic^dH-HfE}eU`w!OD26!FGPnh6PaTg zU$!C#e>w97oOkh>i$`2a0Zq~T*_5EScKH;1-@ttOc)zh9+XtxEQLr@&557QFc?diW z1l}854#FuCXke-$Xc0<&Igo&;pNxBR6uFv?5Zt7%1aEu_yjKNvnt+(3k?7&mCyLQ zloYgZPdeUA5Vr6tL|R#MYoix>Pn8J%gSM9gkg2$dx8iPq(>5 z%908|>scytSMHHi?5(i>QPQ{dNmnPxZ2nE8YA0=Oj=%a@gQ~xk2LCHaRSkYz4-FYW z4o5>?1vJo*aCM@YyBFHccD_O{dmEf2FxUpis%$;9K^eZ9ZLmKcZCQ8q_#jM3gw9ie zLQ}IN$$|M7J1k1ti!jXX^KNO?RDKpeV-WW8#i#o5uRPFlM4)AAZhUG%e5xltwWvL~ z7hW*Go9X9*l;wI|i(9y;R-b#0YV|U|Nnb}zg!(l;eNcN%30649%T6@cZZq8dfC8xJ zGS~^+{)WA06nXpxQe_r^r_`AWdrSSk?29p7u4txkyz_s zDc|n~@%V-&RC~5nJQDLCPBY20Qw4d2<4)>l)%rb$4=sf&};-&iXTG*aXeQrRj(#L3{Kpcu40np^6;TaKh2#uHGiVCu5xXS1qm#j4uuw5mP< z4(u&;>@C1U#v91N-xn6=!#K+Vbl_e>Q}w|ufSztFI&LA!$ctT^;&~m5VM7{mtY1r<1~18*#xQK&59t>S3dJuj zf#DS&J1CO44D0k)!WNuT1(!q-&ez7k(9tw&uN+)Cht;LAe ze)Icbe8~*%Y>cN+)ro<)!7^S@){Pi|O_+{jj`+H+^EDKVv<2!C_UWn?Tu`IoY}=&AbU{iqyXmZE zlP1j8bT>ZTQ`3DS&ecwwul_e_y0t~lrbDYlsy~e4&NtVVb?BUO*$?4r&tJTi-kzfY zb6z*XLEMd*QYiWbk+AZ(!bh5#JMma_S|M&@%GAZF(H!TE>+go+XO%$tsw*;6ORaK# ziRp+b<$Si5hqluJ!z(Hc$X`3W|Ie~NEvDLRdQK)xIo{fJp_bE2ybGJ<2F8|g6+xL# z#%_q@dz(wq*mU&28cvLV!Z_+#nXq%oaG%d{2kydmnp-jZ`T!fkwZzR*iSaGA(qdB2 zJTENY&ZQFg8GOH+2wTR=au=3mgL2_!s#pzHw&)umqAXouv^|5$uud>76u-8B=&ix0 zmT`O0cXJd*Fs%a{`H`89I(Tbt7IHXgM%O=^E}_-N4j>8ZqjJ}B`!TUW?!srGicB0X z`iJSEAX>&Lm)WF+@PUZr$0tQh7dlQH!R^IwVL(-_8A&KJ!@OD}U~lb9?Jj}8Rh?&m z=!H@QQaH~uNNZUxfzv}V87+#7gZdqn`X38+Da5>dP49{fV)8ycW^}D7d&(>!6P}sd)o! zG(Vquf_-c=oFgF^O&szRz)lR-!Ne7LX!_xBM*Zzv9<;Cu<5U=WI#K+4d4E>k$9h6K z?KBpyd?!44Iehl;svi+fjBJZY5eaWV?s5BCR7p%A(6qTDM^ zzK=)MbT&5m@n1lLDEEt!xHl+q%b`DHGS*5YO}AOfnzL1i_`~Qw7w2ujnsYSN@}O88 z7r!>F=Y(l$l2i_Nkr?Cd-~gayFLIdP9=iE}T(Od&Iw7qP5HX+Y2^EZ>as3 z`6tNjHvdlANh7E84=vlkmX%{#;Bk|w0R9BnYM~Z5r9*6|;F3|+fySCFfCEs$8NFkM+Np^dC3gtQA9f2}^Fy>7OSJefYwNqz6hX*DlE^VUWeaf0F6Ugl8DD0e^bZ zx8;7QPgI=~fmv1^CT>6i1RAujw~{ z;M4ua097o6eQGFooho+=%gsrZBZU{DoJPBDm2n0h=OHP~B)z@+1Yo8=4GI*FbhBJc;$M>WKv>~_JR4MCbmZZLK|~tPjt5IWI@~ zAEN8A%NbMbccLS+I-IZ~V0otypas0_z!MA4gn0hn_JIJFfMQDZy_keoF%_SjbeoPm<=WulBZ)0c)cK&vIGa5W zPO8R>O=I#E;~1Em?0CqAyRlG9RMu3h*wz*H`Axk4Oos8bIJ47z1KQ>X(GArNXzQpe zx&a70fe*amRx&9bq3+j?ByHF=hTJ-5S9DdD|9H z{#PixY5F$(Rr0vpxDw~N00oBKfxvRDdR=tfs9FB#ks-atk9Iy4I}UFGHKwx*lfLhN zE0b7jF=PZ@h2{&P2&idIFpWzz(5mG`Pf(j1(8MFe9<}H#H@=*gPH&08kQ?sMz6>09 z+YTJCviZZIvap*9@#5UJUNfGW*w-vS#R>cb7w7loSb+1Z@j>SY7~j(uu=;VH%Fo1q z2%T1?zlU=#gg(YXocSAiUa8OYux31k4jAw*l-*fyk%|h!vYGOSJ5-TFpXw0r^;!of zL#VNzR=q8AaxS|+FmJULT86*;ZL#CZ2?I?Ax7U*#j3ATteR_p*pI#65=%w?^+#T%S z>3w;(|DgNwMss?zll$_nw(iR-VP=lbgL}F!@896MfJ3hA_vOvM58OzO^QYaHcR!NN z`|_q|zc24<>%P33R2`+nj(2QI6GuukMXimE?j5D#gIvmj8bjZ*~ZR`0_6mcAP9 z;M3yQ0^C^wZZCw4i8IqUUu0w)V8Q!%0fr?g#qLGQ0U3L-!X4LY_oo0l6UIIC0kk!h zG5(bEj|^Z{9P+U46VI<~WdKwha51F93F^T=zjxu7@|K!%E%tw&oaluTA$6uFLN=~4 zR-*kQltN@xBLYW?ee8HL86=R@_%)WEOTu~$$Vq)?Nf?jvJ;EAs;tFdt+o%pIEa242 zTKtR>ryY(3Am{5#SS)hA!z#N6rz>eR$uN}1X*Odhj|WTiF{Nk_eazr^aIikc9}oKV zF=g=}mcPb;FTrwsOhp_kPib3-_7n>6=_mM{;R#V5;<=TrKmfI~YE&zz6>f)?%~HFq zzf8@%tbsMGBkd$8}W2Se*gn8!e)=BllDb#J0DKc!e5X`^c3hM zsFhX52MCl2^!0>}Z_wWst`Q6Xh zmU+FTyp1*BrvJlawZCk_>)ID~2A16+XXbXNiv@wzh6V&wRMaq10|7x~nS?~a1%;5h zP9yZd_9Ww>mWQd=YB5Rm^Uq-ZL6`0{Vgky5{CJxmf+dXuL}9@F9<9s$40qWn4?jwp zVX6Iw(nc3{r}3+SDkkm~p!Mn_lb12b2=Q^3J7bRciugD(hw*XEFG?}xw`?#rOp^dO>={tZsRJw2 z(^zm#69iPqB$X0&G z44_4R#WD*hqI@{lWgg5AG-R2I>Dqzyg4F8M29z*HLJk0w&kguzhHrg?a^$^CXE6N` z;CQbBR!k4z7o`RhNhZPCoorE}4K_eCY682DO8PFn)x=fPRop<* z_j4r4Se^7;h(|@lC=ij#5<)r5N_3YB&6ic5WEpr!AF56jqcr4 zl@l!%Ao0RhVQ z_njajpC{n0#G)=)!nnK(cS8KdwwMJKu{kUF%?W>V-GeV5&z^O#1G+*%oQ3i0`Ht z-E2N$`Fsu@O?mkX+E+>WStO(I6?jk7LhneGy~tw(pRQRPsXFv)Lo+&9+fIxomQIfX6cGl>BH>Oh35YB zo6BU(gzsX#NQOD8&cTVXP$4{*$@WP)Z4&Wc$Xbi-zo@4Rw}HV{(_})k9)9JigAoiD zp9+#3qwj_V)cUK9m3KfC5x~aCBItv1Th< z+Lm-f*y}9jeA=>K?GyE@c$7Ze50?YjGgW9C3$?_*B3& zT};cN%*1^la8J$ta!84kRY+FQs&P&)l)oo6=_9&!Jlq0R#}YyBPr?+87F5x=>JX!_ z7$OHU-YSnCM$U)C%GOXzg{ziS3z_y;qOgX*VPl7+z)-nm94*>^YNs{}FI>eAff1ITZ8jfz%v|4CrCMO%Qs|F&{tqPFH)qNB(5L zZ)T#Ly4@R{sTMo!*K9FiWA^!aqHwAO&YIsjk7`NH*Ho%77aPR7e*LRl`;eAYb6`E3 z^t<=Z;GhAdhT?&OO#4^z=cLkA`BX-}>bkpt-dSiqh?^vpI8F6R zYa@b5W43rtE?FcB4>?(SklOyf>~^vplX_FY2WSbc#5g^IeYJVq5kJX;T{;v59;j_IRW75T|BoB;jIR&_hae};I(zF6V^(&Ne)=_vTKHlS9^mhKb zw(m;je8lQ-{xgPYCBc5+SnjT0(Z_Jx;!%RbM>41j4lUOy98%|EMTi5k4n)@YkaD6z zs2t*F+SjYsJR@}if^3@I8#?#llZ@xT0TB#i(h!) zsg|1$dA^vz9B_{fU#h)oX!%REHsc^|YU{PkIJ{Gf7oUTY%E^ua_6ZDzmeq)fyqJty z;taLL0&!IM%ynvD*#|ZNWsK7%jFgXqU5sfGieoQMgAPV}!)H;r{g2orP*4U!a@vE~ zrUk9LxahP6-lozqI7kN~xOks^n$IZQIQ~ZZV~A?(#ZtB$rm?R!_e%+p3GdM?@S4X* z?>~9X8}JMgUiIjo4f^;3e*05@bUNXCk(|5 zZU$b75PTs6;zLeA_O5<}mAJWV1CouOVFUyTYe<6MxNGzGc%$euj}8$Z@%`^1p@{Cq z@|v2p85>~*&x;_eizH!>9`Jzvd&HhYN?DBcn4d8^EPo?lMyPR-eHF5!0<4VF8bI(r z3U?;YL>g>VRq1Nuj1!0xX6Gh^whwm>p>!S1+9+8=-cYa%CYcs_wUic!?v1F1M(*0+dY(n%_iSKI} z*iW8vHe%dDp7L!T-{=pTrx(T@;1dMjk_rB20-nOH;BuFVf}+7u4W<%2;*P+c->F4J z@bzRRuv0f=@HJ6f#5G0nsi1+dbg3Gsl#U7F#{X=r*I|dZ8N!sY=`S0<7B{8%^&Fm! zMRdJlO6cI%e54D%p2d_aMHlAJ&YCYqG*F6PYxt%Yp6>vE5y4N)1b>`>Pr>bhUlgYd zevSGd3%}mQ>fNsL>p{-GRmfK+znrJ>m{SmifxccZy#9t1=WfKaaot}{&Mk$ctrbc8 zG1AGo(R2P}ac;Fp8YkauvjzAy$`A)6oD;dblW%(APzU%!3H~4pd`ghNyPn_`=LnZ) z+LAWm0bH|LYG zCc2h>2|8n+^p9Q?`(#9PMC{xA=mFu_i8{)1>yqGnBOgI5iph(*OcGff3KG2;X~Q57`*#y;%p zS|JjCsCXbKDt*0op+`%$VqL9i+lA4+z0YsMBDfgA=F}74lfF%;I@bDbZ0m{MUj?A1 zF`}Qn5<}hH2q4S5`vjbse)Emf@T29gOn#^(^IxC^mIjE)w79b*(;t{6nc-PklKt6} z;VjIS`@pQpn288szr0>}dNyY!$yV<|4a0Z7(SmURVVT~A{dk1JPbH7H`EfwPiFt6< zc^96FeES&n%$FR{wy9viR*;v0EA}wtd!Mh$@`=E6v5+$Tq>9wO+e zOZ#{i=EXMkMfzZ*mwKP?)DmNI(TYGUQLr62DaLTZwy}*J@wM$IY~hQ?t7vS_c8;bObz*KCIYLotzs(rg*-Z@4*z*$6hWX|s3V5vj@$?Eb@_AO6MfeytWJivHL%C9mmVSO@(b9OK* zzZ?vDu+n!u=|7>0mM7+c77Vj|a;aEnm7g`8c(Hg{Z~cmE! zDX(n|Lv2kN({E6XrlX3c6GwRGK7e9aP=^_FDrD5#d>7sc?pA`ss8OY0K8Z0bq^}E{ zxb@m#@Wk(?ALkt!LKoc8fs22nF7^jh0=>jaP%WyHs*wBYx1_#qgg>I%?h~*RZk3dW z-d#39ZxaA!$>5G&0;0~eqffrDX($`P@LNzt{(c$0$d%{=6*)sUPZKYiI=1- z%13Z}UaTv3%9b@#;x#*F;`35>D_E_8{UadIpB`l4=czri>vRIdt3T&@aLeK5*(tSp z&NDepkQPe(cz7sr<|#;C?rrXi9(#CSqhUX6fJ zsdG+O8S*P{cdV;_bY`q;MDzq4=83H#bFm;&jj{;3m=df8tw*t~xvsWa8k-6|z$@{> z)$1{M;DBY8;AF$Q5DLgOGL7ZP3xJ7bCaS=m$5jCiRlJ4OB=^UxPt<* zbQ*;!@c+!c34B!5`97X)AV6e-IyRt4&{%_U37~B-A~Pg`J1~(TE>T2cu^6q|C^H&d zAef+xmr>lRwbrUt>sG7PTF};pMFJwL8%R}Z7jKLxYDK_he$Vrsd+*%YlEK3F|L5}| zbC+|^Iq!Sk^KR!o?=fl-4CDUuxSSp$+Wzzyi-&h4zxV#45JUIYI(aw|6K7(^Z2C)-KyrMF~+}3SA`x>pr$Y0st zXL9!xm4s5LTYYM0>);yo(H0fyjvy{t8)xBgmj)e75wPXicQ< zIDh4P?$(VUXrKG#rIs2po3lXlk8-c*A4T{P{bOb|6Ak~91j%_%>@wuFlV4zGOsMzb z^U-iu9yra?nW>AO#>%4|En~2gdT~ioX;jL}rPd=^R9~lU+zl_{0Dt9rcjSkB6q$(l z+_%vWbmt)B5JU>MR7}HqnR|Yzs$9X39v*?pke1eyV}h&dT>~tDHRP3yX8Z28jkq5@ z43~3;jxOVqTmHr;V|dy2JTD0qLI-e(z$YBpR+57~mbGOo{2O=G=C(&YgJxl8xBG=5 zzLu}Y04#pl)qi9=Zp$#4UF2ATFMwwDE!r{D7tUh{%FK2;Hh@KrN7o3>)jGgtJdGXb z^V^$$%qdl;z5+_6nuupOK4N(ytNLa)31>cY7RnUCA$!C4Q zqxH1u)0PXxbs-m1R`}H1tb#GQS!410JpP}L|Bv84%f)}$*)CU(Tsi*WS=xWOE?1s| z>SLFrR0vnBS`*%4Nv>90tN%kJz&mgOQ3B*)M|i!E(M%uwx&8gE?KuH`wedHsYIarP zO1WC}PUH7@f(aZNN_FBe(qeQ?Kwm3sG3znUjfJW}@O=?k{_rVS&SAK&EzHO?9T}9o zQ>06!jC7NPJX@4Axx<%-k8pKZuWI@+`ezd(r(kdCb|vQpI9c1BIjSZrR27KS073*TVYz<2@irJM+0p^N zvTuB4E268ymEo#9W=<=XwGcEzaJ)hmGMwj4MYcHrjDT9!5YRt0Zda9rUZR`pSwYRZ zTB1f3c*VHFY~}r~dr)iFW6H}}>O)=e<0bjd%E{$1Km)jXkKAYCg~b`SzOtq88kbg6 zu2)C=P!rY|e+CswM@6ED1hnd z)Erff8R^q^&87<6gFfA>8HHl{GzR5Jt78zr>x>UTsYp(MzAbeJR)r_#Q>pHYg+J+9 zI^8;>{(Z@&d+OiJtK<5oHVGHoT{Omium0KNU99P&s28eXITi;8SXHkwJ_IGkh6R)p zJ{!>6Hk3(9lPHBX;t~fqp^B4cl8F0 zsDoJmU*Hm^5f$eav8HF-dG7hfVnJX(nRuN~;>Ip#UC8>JxghQ`PVIzyFm4Tv?qH{b zOzvaLGiv-~t%ITwLRJ)|OCb?~xJDl~2l4RrEzH74p=koiE^3LQ=;v8^--DsUNl zJ_HVVi0+amcq#Rf+&zxvGx*|MMk$(ruQhzC@$e@s1-R~zQb;pOh9t!X8ree9*P3$_ z@Yo^6yo8g$F?nss)P=+JQ%gW%Y+wlpt4tIcpJOCME2)Moi+HljNT=(Jqi#_7eh;DF zbOSJK9E7D`YoN?Wi%#cQ-C07at{SYMW%)$(<=d;Z55RK#hU+q6}nBNt1db4#`lMiAl(8UQy+;ZHdO3Z-(~ zV1ZeE$v1KFUkFaR77f$TxE@Ww4ZIm0Vqg}$!db}K!zx-|$%(FUFE|EIA~o4Qh~H|x z9kHh%uSVliba4^0AMoaVSq|TUMJs6gYf}o4lT_FqHh5{Fm|ACvOI=; zSG?!?51ewtRZUj{psxOQQ%AC5)oMN7w031T9}93h3rH5yv=5KX5G2rE#<*4J(Pr<>Vc(#Znw`rJ~xbs$!M3Yy} z6g{Vw55i9%hU(aJuW`|M^xS4DUgN__3RPadt}|8%G~lIcgkI63_l1kV^HPJr0M<~0 z&fL7bE7#;CDMd$Y{+m;Oi%2OSgXfa&Pd;OPTU4CZK81~mP$?eI8RvX%_S z7P5{xoEl!JaA3oQs;{%h5n8%IBcAY4n7;zSKPPa=WKFrwI7^Dexa?c72uV98zJ7moRyp|LJNjgWuqSQsZ*hT*nBchOWTsH-Q0%mP<6Y@_f8$O0U1!X{oRF+Bu9shy z#-UvJr_7Nw*p8jw8y}Jez5_{u=y}~W67B07qF9n0hATJ(=5HmVn2>KorKMe*@^!@B zT!l7+k8#`2KG_0UudpGHr&?eapD88Y_y_oZwO_B1Zl)F4%HNpckF222wIl9vldU&ns;W)F0eaw?L z=vt2N-H%l7#z^lzyEwUb(__7RN8}wO*=i{l-@6}My+af1+ncvpeOr1N$18@t*{W|( z@G-ELzHj$#6U@DIBr$iUw7FR@(qAED1)-Cj_g<@KCs+^+5iu)x`?VCCC0VA}vnuq= zudm=9hZPQG0xD$OxogyyT8|ry!Vp_>)-p66Q0Cw-79pg9CO0V<>ambt%y@;xTicqKA zU(E85i}g{@)@h;}9A)1A7q^%lc-MgSOTLSS4u&J9(D$e8F8IaeoAr23j(CbzdD5&~ z6vI5}N?ai6xqd2L!-@c(m4S8}kIV0RIn(lTNvMp9BqK@%|k zI3_mOydR-ayPSqe*w47}bH`9ZsY)EmCQ&aIotJ7Tn~dh6YA8c>#5(;-92=@k91$p} zd;|rRBM3y6M?Eu96OaqVMQ*QFw*#Ole5H_$GC#pX&h1JFrCt$JI=OI>Fx#syA#&Zu zDe}AC`1m3<+y8}MIX+Y6xbVi~)lva8eTA8KQ5@J#{33EI+*qWAo9NH0)sgA{b2aq@ z(+3jMFZ1XL!8G&^@gsdBexyKTc2saLx@42_qf_H?{{r*{YZ~?aEUGL7&ZT3hT%;WU z_f)5ThmuXkH%N2BX%re;4Q8lvg(JbRVEauIy}Qnh!*(Ck0_4YI`@bk-Vf!B4#P;2| zNWk`6jSAcAXQsmTRrm$Anb+Nm1Oyd+{b%@{r6CD{odh%9vQ{IIfd2;BVx{Oi z0slJ!`W_}T2}_6Z_UGp;BG(!C?L`=L1Df!BEE6z3>-jdF02 zT5|@pA+wpy%qq+oFc1sJrcvyb8F0%vG636cqqtMmeAVF`g>S*k-Z!a#kxBifBBQwN zs9eSb&PuF^Mm?9JCYni4p`5NF!IpOBM3+h`x#ZMfzr2uW-o<&(i7vm0+h>j zENrAgU;+PNTO-z@`3I@gsY+v**)6h9F}7(J3NLkTwrWH^bu>jPdI#@eUGp1Q*FOEd zQTK^0>AsQlh2=y0d?GQoVTURK-(Y-mD8Ni#^C+Q@q2ZrqxNaf8tp<1ItqV2nZI(dCt9 zUvFp-j|ngv_54)T7?ZwuHW|+-eIXD|`VyhyG*?dgFD}TU4ktB2`oh07QfRE7QD)NG z)(bdy))}wk7xLDTM$qI^|Fn|yreILEJ{F5ARh}J0-%^`MA~r5?8jpgfD`GP#OX18o z9%COniA>DJ{%8m;b$h1nAyU|15GM}gNa*1*#^)WmF&%m zjIf1|=2ji4%pxqfd$|7MpgZuuODTyi1qI?yqMPT=GZf{?Hotd4ZfwYX<97V5hL?i% z`moAX4GM0+=NI~-?IbgD*~X=_;eJ>66Hvg4?zL#I+|Cz%kx8!t>P9BLxFh!#hktiT-??R z?>#9-lhh~%L07Q|@^V8FBvx>h56q-V+vCVHE$CGZoi2iL=MpqRj#LfDJu92`0}96P zvO|@k{HaQ!o;fHE6{JYhttUbC?HfX_ce15EdUg02k34aFGZ9 z5uCk0j=3mKZXn|N8zbZwD^SyT5Bm?lDBKJGbJSTz&Gc6%VA9e@e2(Z;#P@SQjlPU_ z)_{qTgS~)OTlzs>)H4fq4Qh#xPUU_C1hG0cY{~bnOY>F0s!{u+Y@!EYp~;_02Z&&` zo*3KD0E-v%6S6}5-k%wbKQLfjP^^YStG-7ic<#=+@m z%nY7`LGSdtS?ifwWE0hQ_ynO3`Nl~e&fqn`O7YarQry`3Ie-^@6sv$Lo~K=E{0CaE z7Qvz#zukfxw2L+)XQL+*3#%7QEj4B!9JD9r9~z0BFZ2K?mpEsXCgupv7b?wi&z}T# zE=lMIa0+Zj8Dq)Z95Mv+Zfi?U795*x9u(9fcb05pHP*}1TBWF!h1dLKu{`Bwj)hX( zxEcx3-7jFv=|YwA_e0#b!D;?HW)n^va>{S2qu^lm_P2+&u?c9gpiJX(+%RGW3dMy~ zC@xGoM<{?(!6U9Y2b0yA&dVhy5cjZs(IL*+K0tpQc^P1PG4kT^hckzRM}!|PX#ueO zU10t$!tY9zB@I~y;C;fFUfF7kjgehS?$;|F)?kD0Dgh9g|7aO-9gwC5R0OxySDq=n7F;vW?3pfr) zMz`2_32JB830PraEHb*mrj17hS~cLs#yuz^h>~|t48YjJol;C3@ClpKjORd$R6)y; zlMZ})ZlM-w$~PBFyvCcTkSj1O&yOqEJ~-20&I;1jI^%TI%LNS5h*sHL6s$L$9?S~p z^1{tBo?rY7D_mUkx(CIu=ruv{G;_zzC&x=qtvq|{jkUnG8waDIY1V6Ohdv@BT5NoP zOE1J{>xxFlVu#}A8<~F%>lpy%^g?a%lTXOMV|ZTUS08Ch_rQC~J$z%dTnzZZrz?&> z4+aowi|6>l&%D~=Gu1yAvuagt;K7KVU)I9U$e~iaKk6xlEDJ;i55USQUJt77tzHK( zEs71_+1v^VF>|sO$vd6j)oV+)$7Ck6b(=9P(@` z_8h2Ri+SQ#_&Ia;h{N5aBYS_G-y#7I1_yZKn&<{2A2(ox^%!y0L*o~2jvYgh%bmeA ztRMUsr#Z(nX=r(v+Yci61EF2zJfRV8M=Sgx{un&T|7%-xW;e}!HF6B*r6rA)mupKv ze>G6H+W)dOUVeS0|Eo?7!G<`GQqx~iLuTMEhmlFQY!v`z=R576#e@oylEDM(jlY7R zAdlOAgNJIE)T_v(a^pdH7YxQfZ!p5@xj*jttwMg6t5-$Zyyfj|dtQh9MAxD_bKAO3 zW0+mOq4g&NYULM_vr;`BAy2CsIw@q-qao^1dFLzkqXItCmv=tLCy0J3TLUC$Ktepx zwtkcyoUZZj@mlMi?9eqF+iHE8KxcGd4Ik>4TeY&}0I9NTh716iz|AISrqHz z7}tcNSHu;aVHQ0=HF5@Sk<(Mhujvu)Cb3bTY&0ao*?Qj`2go8x(ns#Xu&I z7VI~ncdo=I>cbE>dk{|&K(KwFEXSTm$N0Yjy~Is;glRP*8B=%|4pYj<|3We}^cS1H z`wMe|Vub@U#({wNJW}A*mi{BppSwy6p9Ys9Y$aMOjmT_?5?DpRNU74Iel>B5#&TOE z#W##{oG8wXhB{^XhybCAHS`48F-t`J?5t)Lq=my~uPJA;YwNQV@;2CzJ z-~FZ^DFgO9es?a<#?}JdEIgfBwP<(cfF)s7RpxWbRD(z(d>-^pu-I6i!EE7F<kz@k_m-O6(bBpf;fuNC-{sqtN8-W5{YGx~@O7_Sogb)ZimO=&8+uIpKo zYuQNz)9AN#)UUx$A*qnE0`jiMep>ke(cN)u4w3h-rPNr;f^bJ?Dn0G{?@TP=^DV%R(BJR3-_o)!`S#ZZ(`esky5VWCdn)BEplo9m1AlTIG(bPEw2jvTEHpEY?LPE=K;R zi6X;lFTjr=IpUc-S_v|&7S)Li+Zn8Z47NE6pK453?~xKi44=SXN**BbLnamDR}_tQ{^}imCfF}1G3GPyo$KmqO~~K+ZFUG$ zWQI#{kqSrGP(wbpFGj{6ISUFWOozkGJ2f(l7eJKvI7LYum;0pQt{+W`TzXlSf9b#T z0=Y&~H*it{jcHW=8}!1jziWMmbWf|;-_Y=+Zy0i|iws&Qz#1zU*y8{I{@8z@I}Gsk z1JCHLiO}2*W`rxGSKt}c4N_@xvQg0=ZSH5U6g3dPjY;Q8pQ_d`lwKD@FBD=X6X(=h zXB;CvTW)f0)FKSba+R6qNh22f11^s6JZb2>7@7%SokGuW%K5<-uLE_dqogY!w8YIB z6Xe*z6DY%ALY!ln0Cf6ixiwr zWD$o{xKbcusG09ip`&I;GCI_G+gKt3XFRO!KZ<%jtFjxFU``y_iVX>p4FMj>YY)~*jRIzp@&OE5s#UbKD#CPFgOW%ehyns zrK_=_RP|$7dN=lakG0W(`k^}`QIV=2G8bqmjZBPcGq%iM-R#t--7g#rCn}<6bwAg63u5Ml1NTjag!_jx%5`Wn@9*itxoK{|f^c$(#}h;MtHv5l@D-L1{= z=OMT(cfHoSgo+G~%V=Gb9X_XE{y9a#-ciqReDs>TR6Hzakdv*S>7H9n4QUA8fXga9 zP`Yy|ttC_DVpk9L5&a_;bgj&N$7UakK2*HqjCX`CUiDgN09jPpWFfidnomJv8Syp)vFCTWX0~@O+8c?OYdAFoQC!Cf1E-Vn1!jR2*vnSRCDKr^ zRxhTSrSitpTkFRaGUpV|F?6NEh$_q;kpGx-61l_ZB7tGs8>fbnS5dW{q2-O$s|OZr zG+MW*r}6bO7GLIbdE9gBM)(EB2n0TFi~p)?c!$(8Z z-i*3Z$T15HNBa++lF-fX+M zpQmna$V=Szr|f|ke8+s>_WIcEMMtFsFLiq_LJoZ|_XO+Z(bs z?Y#r>ks*9vxCbC5bc{g%Twy`@dt9X;n87lF?jc?WeO{7zd$tn-+zInRvzt3Lb#sTm z)H``T?16~L5Wa-=HviZHZyl~ufS0npn=^0k^8|ceWH&f1b%TRV0G;?8lzDq`{Ad1ywvU83)z$*KI7=) z8Z@HRl&I%&`#K$coXA#^&4zB|^B}uU$bb7fUHxxBIP_S50zE7qX(8-sT%|x*O8I;V zTl!w|S+m`>x>@ zfS=$h1%N5}`xB5o8R9dcy#;oAbM~UWlQVBGQ9l2`Zt#HA4W^RMuR`=>2w$T7`dzt& z&|l*!1wvEFuX8hRk4_pa0U$|=a1#FvLoLQeJ;$--t>GfB)+=|5>7aqtilxBz;B`s% z%_U;m6hLyc;!vT{k|Tr*6;Y3uJ(^LU4?jn#Jopg&7llFxwp>>kJs{K%xszrrUzt~t z)s??8uM)dO7Yvbde>2M=5+2no!CiDY&m6Zr9^zbv{fmTL-d@c17O zkT(W8_Q$?*`7SQW_Fy_NZWrB3JowFNpQggUGFE?oJn+9WTt0vMoU0tJA>}c=FbVgk z3+@+zD+3S@P>*Yb)DI zk2sFmhn9W4=eQVZEf=Tq`veEGb`BcrhYA1HMx0saCsJJ|zLHj~Q~6JJM?H6?Xv7@9 zVOAsGv5~jf2-3#LsQd;X{t+~Q22a7Il1njND}TwXA!1tGcVr^j8U&GV9ZOsPAjYyo|;}#jj%hBTa<`vXY1qezv(l^-m<|-_kEk}m?&#@>T904v3`HwHOvhPrmD`K{!gml4* z7-Ec+b9%h==!@!#BZGcTugR~jXebE&_$zNdmV~1`v+O@dBUfD*{czTR+$x^mcj9a# zS%nqK{SM*|edwIY2q(%{Q-(4I01L*JEOjiY#Mb*N99%ngY5Gqip7Cf2GsxH~i$DyO zOk6sYj~xEi;plX#fq=LK7szE-CFC-$9{Ij5w&J0LQmC)S6=MS~0@cMpb@o5v`g%Kysq=lT zgKEZtGLUK(qvzVuirl+VPwfw5Fs8&wGJOuhC${rF>X`y|X&ZlB#c;PR^jugHRz0GT@sf$Dt$wEr?9qZD5ypTEtK}FJZm)wL4ITXexdYC~J>;21xa}*4r^! znKNk4(k&A-O36neyI@tUE<%u61YFlKn#oj{{=yVapOo0>l~D)xzz8;?e~YZKHSPsL zLi3bG0R%F7wNcFOMK~EpaH;5+B1>-!^&tTGX=$tooE_@ahG~ z0{?O?T&C?fGXAWZwrHr=_je49b=~zf#pB41>D?xUWbKACtPFF+a*Y`lJpYg3fm!kz!lw>o+OJ>+kX7g+$cRR|1vmu3ONyDR_i7!-|0xOd)EGgQoVQozFo-D%Nq? zU0boz|2F==n>b?1)HG)UMhdgR4tUsBY;yzV<%|N<__5;dOnH)KtX9i=wVvo4t7rLK z7z`!T#hAyjpogw^6UQnR_23+=N_>@iu!fN@h~b7)V{7$SK7ZODIpsuRUw`|wNMIPk z;?6->%WtrZzR;2%;G)=-2X*w*Twn{jwrlh8>-^y z`WCnFt-jW{k0}v z@Vcs=qGKB?jEpPPMvW60{3ESwoxW6A{V`Sc?V})Q=B*$W5)XY$FAoR#lI7uhgKbPn zPnB4!M;~MI@aFF2;l!etJp2JZbjZVUcC!b0DD;rzZ`r{;Dh$#ZZFg{G4N+}LE~Un~ z+sK}ncx=XmJpGL9f{h>TTn0(Q5KJrHoRZWH$;X*E1hqlgLJTS%=HMYSJI<&=lvR<6 zvI=g%krEVJDgx%Q?2s1&-u50#!cBvqWn?#E+T0ntTCO_*F0vm;Reu1>r{4^uhKe7@ z-OB0{qFKno-J`z-^o&5Pc^|h6Ag<6Do1&F%^btbUqn;lfM(SN_EhGGVXdVP?0KuLr zR7G5W?zW&qbXaj??)m4-Q;=RC^ov*+h{_Ze@bDW{#dLTx#sUlFF)Z{_SQu_ji-D*_ zceTDt%7?|p8L|UC4rjan?7D(aF-3Oa!OXBPZ^Tya>*LYy3|%c2O-fA_GNq{up`Niy zi(FSw-ZEFA5dF9m{V-98yP512lrMzVQthhj2$q`TiSvBAg7EhvE&iuG z#i8=;(EHR*E8lkCwY+U>FmL7fsL3kPUP-x{kmcfnsxCk>v$avr)D#GCx1B5oPp!;N z!bLJBz~H2qn>9tjJWanWODkI&o18LU&;n0BLMCTBN`t*lOE3u1&(CW{C(qAQ2c?>y ziy^mS^YcXn(RZV-KB=~dioSX^K6K2_MME>0pJu2=ox~r57f24(CdK{vtQ3F(KiEOm z4pj`wYAFu3$T#=hmg5*Tj8Z9|H^}tGmKhFesc|4S=q#e)RLU0Uk%|F1nkGHMGSC(Sxn#Pi*D4cvnbCKxmM@YyG{OL#g`&P_)4;G{WF;0;cRu3 z>sv#J2MPK-G+dv*(p!*?1c`+LSH$&`f0Mj>V-KY(SYf@!3V3$?_WQyyaJzZMlpr>qL9^7ZC?<3#pQuoO99)4#Cf&>Y{( zaK11a;79B!bO7?bczWk2;}XKPljC7`SaN*R4{Tb4)cm=F*P>yJolKW~U+~xkt&9f@F`#P3C#r?uT*dn4u{n1qma9GZA--1Gvy3!CVIgn$ScZujq z7(cOPuw($ya0L1*QNZwdn4xFJ;Z|2x`YU(LIu!c{+%Ftrx8ENpr%3xdlG{%;9!fuX z@esO1utx(Un^Mbp9FxNOJcWLAO;6un-2L|_%l9fuGG+V2y8nKUhyCy7d*oE^uKzFg z^!?-Ae}7F+-(TGQ_b2!C{jl!8-*YhG&rd&ootU}ZjNgkregAm(-(S)U}s$f%E@08J%4mitiJbf-7Rge#hxk~H>vBp*HPasQeQW;c74zFO>oqA zYEShY*K>V)4vfM339h@H3-2ac9Le~5!cpJ-J=GWKxxRCy4(M0u_>13JM{<7#_4Iw8 z?!SL$Ko2yq+G)ilw|{R>--o;Z{#^OK8=CQV|NWqzzVFlh_wN+;z#mpa;kV~N3ix~a zKHUBH=i+;7exD|Fb%Tr1&bm_1$G(pGzH|2g+jeN|ap<;|*rQ0|HO! z)3^;6MLmz2zxT^;oT`BRj6%xs>Oxc0b7gW_q|#4tONTtwkt#W`s$9JK^CA57depU? z%0A73JpAER@<-bj^bJ%lyV95m#S&F;#Xrtt%<*%;_iq0< z_}1Z;@U1j|UzXp@;JZD!Y|rpL0^znl;rsRg1)mzePjN@^J~V&dm)`{NZb&hFS42I} zC6`SDUY;`AXlky6yh;n+VT_keH-2e`Pvn_n{05^}q)!E(+k~sP`5P@DyqUrGdUDyG z;rkEd(|(0-GDrQUm1RiB~}2?L9zg7-9lHT-zrOo|kb;cwUg-p5eJAMY$f~c@P3? zf5G!@zJkZ(f4CF=C%-*|^S2b`QiJ2*d7B{T_8y!J`Q34G@C-5G`9X?)rXsgbduPfI z9Ps4qPk5%GN8|@2L+BT$Ne8ihoT8(t06IKHxzq!Mt>PAg8xBFe_X9*vRSJb7Vg5vV z#lbTNw(HM#nam`#q-zMTt-pmEvI`_Xy zREmnMc^pDYB1*({>)JCEmg=Zcn!CD#E=iPm-FT~2e7RHc)z)C~j@GLDXb5>s5Ja*D zTWN7$5ar^Cx-X4kAxD*0 zT8w82UKxq=(}n^T$=T>I1jtfFE{OrIs{M|dvM+@ zyr|;eMDWUy7#sw}Fi|_Qa7-;mlJ-(0X?OaVohX-hBQKdvu~ANEc|^m4fhLQ2a7Gr% z@=4UQ9UL2}Rj~-05HaNZeg_3)bmuD3Yg#4!k?MjR^@_}5Ez6fbY)xS zrlya}*BkS_J739mxADy4B?kT&tMcg$N7#uOjE6Cvip0o^@U8&=?bLyr{=(CoLIZX6 z4i0{R?aDhCs~EVh=<378feL&)Q*$CZKyn=-fk>)~ivFq=1 zafz)h@#2p$3f);mLOTkF)R=F=jrroF4uGnY*kRwb~Ya}TaC1WmE*V&;6Y&X0)Yur?C|sm#JY2_ax^{fMh%6&ufH z>ZRG}!tBDRC!7WTQK7$b6f=b=?0$)s6m~D^ZDV&8n+ITL1H0|Gwy?`Vzi9xojaQkjA z6SqIq6x#X9Ms72ayW%xLZXn!Hp=#7O_#?IX#v2$}V%Oc)jDDxWaOZTqX#KXod;UM! z;5Pg*E=7YTZCTJ`p=g1bb_%(B+F7dkMbiBNR}AWdOtFZ(!a>}~FqS|?7OZ8zbi%4d z8Ud@Of2ygpQZZORbD?P=QqqzGUM@c4WNWbg7yF}i2E$z);O{Oh^$4tuHmnQ#rGa%8 zUSO#6+--E_C}8KZMKzHuV2=f~zDV5g=MQ=5;5kvj^T5nB@Z5wW?lXa>mMvIg z)Ezu;O^Ac1<3|=eT{xpCB{6Qoi`HEY?zVn%QH=cFa^ZEi?at?`I(OR+xoC3FXZpy9 z)@1xOw+D#(r-S&eUt|XHRJM=_#Q*ZgLHy=P7R2m*N{F}N#po75V!_j3Y|rTdp8L~L zj3q&jWZd`WXj2<;L%$ zNGjJIJnzj&Gd}Zg&kUZ4Y$22R^F~b^Jg*G5;OWHbK}vqG0WU^xaKKY&y!%}b@LZk_ zoEMBul{I>sz_S8>A-4PX1WxoPX@=)Nn1`8=+f(0W z2+m2>al`XN8=MKbX@+MwUbtWU_rdPgn8Y2;=DMS|ThamK$q=Be-((2Tg;j9?oq2*a zK1ivUn%)}l0xD6yQzg2T&2nqrdKoVi7;o(9 z0gOkcrUBzA8gUtz8dtJ~Ou+cs8wbY6$0{&VTXBAFU_v<9Pt8C`sP;wj%#4eaMaWi! zW@m+twx7dEfg`CLw`vViiUkK?-^J&-SdazxM~vkwT?g@J=)|Cj@>IEa*v=(dTZx4-)O!694yEz~m*wZ883^Lbq?KH@8 z;O7{=hq8RE*kv%N*J1w&5~QCCBgV&czE!?R?HFUvuDFV-7c>^YP=;madg5I`H2MQqbak-m^!CBf@5V%po(P~*=zwmjK|FC%S`i#C3pnK1Ng1c*9IcW z&7th1TgF(#M|?J!wC8Sf0fdxO=;H#@#(fLbskyY^D`GqjwBts$!|-#z0LKJjyJuk< z*rvzX?TNOmz*dKLjHB3u1GeKGuxa6^5@ECL+E3%a8(@R?LqL%dyaVt;nYA)>+t~PH zJCopZnza?imb24<*K%`@Lnq*kV+#)OG8(#r;$S;#4P9o_mZ1+RtI5rv&XRybxm-hiBme#_qLp0b}<{ zxqz{Iv0T8|-GD)*vAf~FJplh;k_<4Xer8 z1lp75rXktSZ_Es|#h-r<(B@YE-Vk(!LgoQ>t_ zK>I<4puPLq_W`PvUH(EiaY4QQ+I!ZeH(XkOz&HryR(e>x}4sGTxDvr)_W zKA^21R=-p;lE!iO6hwL@&s z$^b!1HgX_ABTyEHEHs|}v@8$t;HQoa+66~ikX;2^Ipv_?;6l@gjtTW$+k1d* zbvk`z!@SI3`vqIrTi9m6XB~rW{t*^z_rh#W2^)`zQ1)~Tw!?aY?f0jpp~(NXWCq)d zAAb+nZh|*A2HWF9E!bYpP6OK`c%e+|U*SsW(O$OP9gn=?tTeC{WeD4QUEc$?C*j$R z!M3*8g6#v$q?F^f8ZQ(?m$SX@Ac_XkKs0V{W}{W`eIVKb-);<|uZJjzQXAYjE|m86 zl$gDZ9gzL4ZHNwY8!K$;u~^$j#_pWPb^*@E`KM)UznFz#^KnaF#Rs`rqqDr&zup_) zt@IUS=^OCEv1Hp|o<)k&8~UNJV*x&GyaQJG>bP&e-luOCGaM%HMNi1$t=a{)p_8MN zZWq{je_&Cy3k=)8sE%UumvI~nB((!fZVw;*O>|Mza})a1fnld@m@}s@VY>7mxmmhF zD|4N(87GM>ik&XXj$zxA^Aw{PKv>H!)${>8=nm`HIU4q+YPqkQflUD|Z)3hd*FSourlNZ=$wxP?zL?(i@^)G^hBFoxjsc|bd zEAo>vRdSXK%jI2&S+NU4NTP5FUSq5}7_(B(&G8Mucsb9aR2w@PPh_3fq4w8|z(@!5 z!LPI4$Rq@OOeyj$&H`HtgohZTWfTzWhI0)^J&X-(VQdTUK$TXSJW%wge>o@`_rnwJ zhb7z(O}HPJa6cg7zE8q^cEbH`Oi7yn(f(%%_nis%|46vsl5oE<;eK_({nCW{B? zCfq-saQ|S!{Vx;lZ%eojC*03VxSySHe?`Lm#R>NpB;3ctlRzIeAmuZCt-G>=lkhhu zcsl;(h7fETQ&K1dEbBECSvi*f83_V6AZSUE4_QQ> zo*nlrdH+|p?f*{N-|}uZ?YHgE_U~5kch`P!f3`nK!T;T59CrC?7Kq z1?2e>@E50izZm$o?@fJB=aI+d(16gN+fd%t98J|6JUtUp>g+>8-rJ+H4-FEoSDmF2@yeLlb}ftr*yU6Oyue!gu~0bd6i2fL^wl`q6e&73&G8|L z7Y=xK4{1YPFu2?=WLGYAx3#EiA8vwI;-N1G7Z>s(7Z+z?d!@g1X&x$=yA~T!IO~0%W?Zdbz6wHef;`T9D$9s)MZ~Fb(arGBO<;W{>jD( zpG#q%(B8oTn6NUDo6ru|walEoz+ZH0I{bC~GGqMhMSHiVZEx;=X>Ul{_I|uy+WW&h z>G1jKJ^KTnW74+wyZzGM`Yq|;o4#M#o1V74A7tL1ZNKMsk_$7xsIvDxn*mJS9%>c(*fytM3iK>i6xocs{O9?eweBI_1#D~$S&ZR(6(QJ$<~tF-i`}8qssk}CL~Jy zEHucNB8b2v0#sqA)}6lG7=<@X*j~9jIAu|7WD2q~uB&BQ#n*#X;HU?>U+!PIF?59K zABipvRIbPI&C&J%J~#pez;<8GuG|p(7m^SH)qed|<3C7=psEZ#4I=5f(T_j#94+hM z@8Xl_UQ|Xs)f>dy@$WbB6Fw9fn&9v_+jMvslitoj4zR&>chJ#+m#)jJ$S7KoGA>Kg zZ{?;CEv(BA*nKZLwCaj9{iafR(JDXsP37v2Kl)7-_#1BJUsN~#ZdR9ztK;O#tXM>$ zxxq-A*U8p8AI54wl^lD%^y3#YU-0=`V_DHW)vs`Thd>@{h>WRFoyGx7TUC+^yS}YH zv%Yy=)ww5r!8);D$JLx+?Zz9J!U_HYoKw0^Fx<+QN&ckmZ*rEy2S6?0CWTNFeF|?8 z*AeJPhu{r!5tFeudi330?AuKWUZ?v>(J$vOz=k($w^q3YIiyLdBX@GYzCOO>vFuXweYMY$*mu%RlXmBjwZA{1UJFrnEpZJ>W98ag(vEnL>N){ zqt=T`zERW|9;1cl=9|O_jJM3r&O%-{Uv`#EcXK>jW*4LVEy2D~&(@8(Su3k>%OP8C zzb~}jUkh}utir8&FZ4Yno?nUb+k_s0c&m{wGvYaf_#!jGIiH)z^h`Dt^#svnsLD+k zbF0LF*M%+8)ta0SI?_6pVti4KgI94` zZas2T08CfNRvt=*sHX<(V-XN#9Z&jNK>zy`*}>+JA97%>@5Lu%mHtqE5Z`#P$M=->56s*3$!L-ej`?$efPGeDJhkU$r6jB4g@^0{l4;ka!0(syg4 zUTVR^V5Jg!_^vqW$tFGndMn>(VJV>LQ<}AKRWqfZn>*lGU(~aHy^J)p?={gykFhus zu!BsnOZGf@6}eVxnOmF{RQa>Lc(!tUF)FF0xs2(ZPlah6uJQr`F|Y|nT{CtEvII*Ph7M!FypRVLwpkGK2$af#i*#r^x|^o@ zAZ4nTvpyf{%a;0B+28~_-F(ej@S6G}`-`ot+!Jg02VgpH5%U-c8)+e@P1>l~u!Row zy>srvCWdx~w$NqCF?leby(!=d7gunD4(-?=MgH{>*<1yf>zM|0nhS{&ep>|B>%sNcTRZ-mgpd{!R7% zKk43|^r6)MUAp&osrQGhOfz0zs`tmIdw-@O^^Z$>Z|mz0e=Q`NKDnM+L}5VpHBctN z8?x*bi2s&r{WMRi{GeM}jpei&pTQeTz}fZ1$vA2|6mgclG4AG={=ZVR5akxZx#p{q z?2QMdghPf|ZSfaGynC%f|8KpZ$yN@1(CncP*2U?Az9w54Y=GKhPp84 zFe0g7+QUyPyY-iPk!idrTdMtbw8gDb%qLEHL}7twtiQLl^4unrLr>vnm+ahNuGM&q9yX8=>j^bzV7)P(jsswdiwiVD9RD(bXOO?L$cBdmpy^Zh z2P~O@5=a0g!})lVJs@Ecm(P%0*`>&=MooWJE1QV*uQoO7kmbLDuSWIP!VA?ScK|ugE42C1 z;2{k1D|?&aaAoVY+==y3Pp`$8B{*GxlUmE20+L&%h0$nuN)bRGNH$~`Sr`B`BfM~-n2nJ(=Mz^l#oVf}r(E28 z+UGOwqy#dx5s(Rdaq|s{0UYzqg6-E!_X}*9%)pb=fhI7@d`w=WfIUp1D-M{00?KJY zs)F2|@UqC=#;jm}xS#Q0%U|i?YXsDAEh^Krd^*JNFceh&inR5MYk)$%$*g=k>ViAQV6u7eS%TXu@KEju_S z5CMOWc#3YYxy7VH5PF?FA z+W&R*53~BZsONJuErJSIE3AcLjdg>5eyoO5+XDBJ^&e9o5d`<7lFUcldg~(m?LjNq z&?yWz{FKFT|N58jsg>NQY8qqLG>bK**GlZ^|C;D0Cf!g)X-ZI0z9DviD5xl%yi8P4 zAZVJq(@#|WEkK4+ff^F(zb{sQI92^IdBX{-*6~k+(vlO>TK^($^8C=L(EIYWvZXk; zWiF1jtb#1SqE-9cANpssYq?9={bI<5LSsEmGt7%8ErbVNBAQySEzM%yIq>K={{pM2 z-TDITrwTI-6~ZBm|E2hk9Z9N|O;1?0^kOaMKc$Mbeq3)mC?ypXm9Q`-`Ph7am~&H3 zfpmJ}_^6!idW}(-S&;W|QN|W0fcJXQlSZ`1sY}#h;~pf_JIGxF`o$K|0R5{in018p zVz3@luK=N&{nt8jz)zzc2noeM$XDxy`CjTTh856Hs!``psxv2xm20jjg=-G$BdjgK zH^(Z?`mmrGxvl+M*{)EoT1F4QS^|m_#m7E_6Z94*qQS%~G`+7j!-q4L3Ja|HiuI;| z?yo?KH!hfFGhka^?$2GVjhaVI#eHXc*jF&$C&$sul=d%?i;k?mFbdHDrt zx^p{p9CYV2^kptC1N!+De)y9CfgcIyVu!n}$qt`WF#j9@;e7QC{StEEiRji2|3r9l zKDIs3hdOdK#_l(BK>}eeuOV(Gi@Yveg+{Q{mTp&oJqWS!(l>&#D(tbED5_b~et>7J zKrs_V(SS(S@=Np?x7Dd1d z?r7ay-{AV7QzkKW=94le*}C5g<~FBy)N}eXGCik27v2=au7l);AuwHS*GV72^)Pzv zLCnmFMXAp;vgcr2Cu9C4iH9@J!{oQa;fB6I)!7*ir|=)w_w@K%ARr0;fK?>e69U^i z9NP9&T$=#AEDm42aDLApIB}CuICn+ghe54x=8)2i4g5}7}~_J2dBHUDM3TjBE* zCq6empM=je`l&`1QYW0_oKnV*3{^=`5x62tOI8w8nA+;T5S)A*&aK0C9^G~I3qVD< zdUzpVntCN+f=&82xGbC@STZyMtg5>ot9hxrl%B^8lvu>(ECULO#M%^Bs&=UBa&s_FGH(nBNK7 zazM{kBwE=XEC7~p05vs*(3jc_oba~vNd`iS$RD=3?U3nc;a&j8tESN1N(4} z{1YQnh|GxR$G=r7fM(=Amy?CFNwW|T*|+s0FxsrpuC4fzK@9_1C!KXi)0N=?NP>kOU{+RGx{h$S3)wBN# ze4qY>SakLdj%5dDXADz|ay`}$bF-8Ci z0MU94c^qTLE|x0`h)Q)QK-k)osUNC%DDcNa@vT~qvZB0JG_g8HdEJgrf^BrOH-c@zIzFMfW~ou;3u1D{X%TE2Y;+Z?rx|53|si8Y!>hyo^645vs_u= zou}>y9>!%Wr9&Sr(rVtf6SdxWNux9(aA(D9ZwQq3%RSe+`POiXGFX0;#8oi z_U9@8Yd5WJ5yJ7@exKFa%BNIoYzvCaWVD6DlXJN|VLEGL4aDH#Y6ysjUks5i2n#U7 z=-L!k>+7=O<~hu4wb-abtDr~3PtjWs5-2uqMTY5EQwkm{-+P^H&{AYKG9-eTGYCHm@Y6A$-Vtty#Q@(R<6VQV zKs9F6#mcqd5SANi1NPM#{}rQHu|5rq2!b5Jr|gKFJ`KHsJ3Qi!>XiPAJR%tH`>r@kDaj4VU9kCKd^}FQY-lF_8sqV|0u{#a zR}b7Z;J=N>j`=My%+;sB+*7Y5V(wfA=GHwD!yFVl?mhK_I zI{{y{=m4W7)8Z>e-`&ihyWf)eTK7w92DLpdGpNa)uLpn(Gn%g^KksHSqYoD|#B;&n zg4y{h%|NXK+>*6+0cCKqe^ z>{1$mWqY9b%|Ql>r5cw1qMrVQTA$b?dkiqWsv1LswA@u7b_%>wOd~*SN9ACuHNw^} z^+yiQt;Qq@PeG}P<>C#-9m>bb?gRK%X9!=!_4Xw=n1w1ZH9W4+(qq$;*EFW#-TpA= zVZ4TC%NK`#gxbGqhTcag74RNq)A8sB%51k4HSlrh5S7g`b8Ja=5b0ll7ja`O97vB~)Zh3}vGU3wp?`KK<^Jmz< z2Ok!pYWDBRg#NX5mUiM)DQkfM9fNUg!&Z{kulCCu|~05p%!yJB_7^if4pIe1G;~C0&pdbJZFiwmu91fXI^+ z0uz7-04%n*RtEb&cQYzN?bS3KUf05KB!sU96j#GFa5V(P)es|BW0|ur+V!C&RL^F( z;15L^L?0|^@arqc=OkN{!i-IyMvjcH>pAH*YMkme3@*=8z^7Sh*$MB4f{KejLGUv_%B{x8@k_5UPo{T2J9{+zV+ zd-nc1gv{aO2@{v*=X|IF|93H?t>ho6Rh zQvXlV)?c_!>d#4AfBU2R0zVI(mJUBn`=tJ{Y3ncEC-r|&o38&G9@!W8xgl-+E&HVY zBhuDizEA337D$JmZ4d7Y{QM+s{fqWV{W)pt_wJMWADWmBKRbTAFYq%qZT%1IllniH zkgorA`=tIG($=4~PwGD+ZT-(Yv@h_#%%2WF4SP{P{Cy08i8=2P*^m9k++S{Z*N9_g zgIWZ+UAAL+zHYPJeka_?;5hpKllHSiiCi;X?D;^%-{jkwogF;Qr)Oi^F!pg*izx{Q zV+EtW+@&xwXS(O|I8U0Ge9qXi*mLBr^oiZ$Wk<@5VcXFywdJ${0Uh<`#+a%GzJ?R- z@!Mfv9;c zsHd0%Vh1qRVITV)vJ_o@HF&S`zg+KrFh z^qaX4Ka}UwFDYKaAPHkW`;8^PMeHIBx`V3qwbh8{)8F7qI)d(U%oCjFibvJd)%V}#|69;^n#-Sm_EH)wG#NU;IhxrDwhQZTKTL3y0_5R+TewC zizt+3p-;IYjm)_ycse={f79u(GuKD!n&=hbGC~j;HW8;vPeuMBhEEf*2%j!R_;fi= z`irG{Rf}-p6udGWKLT_--@h2KIKSycbSR~76pY9cMs27Haso+9-a&0;c2wwV3R2aA4K2d_LA(lDQ+)` z4NMCPT4E(pJ_MZdVfexx<;lm+^4ZDd)qG+^0pfEdsNhvBFDciO?N6oaP~xM(55apu z8M26lFnlm(zm8xzdLZ>ZW>CI2LccRoZqb1^QLB!O1NWYVLbD*FW`Uv4!gQF0=`ahz zXyVN*d>*xp-t_UoZKqj#4dJp&@L$sVU2G;Cw>+4i!Gp;SHSl0wARf%A@L)FNht6<# zFtIFk5j>bHOb_NLcrYmd;hM&d1BVl{=0?+rNw;Mgj?1n==jdIn$=9-{Wp$nIbX?Yh z=vu!^<+yBDj>~nDY3xnODHdjQ6T_Ou1NiX(emsDGYrX|Mz^sC4vQ|=W-Qk#JFeV@s2W*AzzEtkORcznh91>&Gf}!p(8%xOIH6vSRriOd79j}s z8d~WiSI~FdsFK9^!&4UHn7r#sPOOboJqDrpw@G=(?=!JI>X{a%2-PLnn)rafb$t>( zNGrfepI-}3HeExU7-|j}1Y;OUKx6coXEf@Aa&aO=zi3Gs_LboglJs}tBwG9eTMA1! zC>@A8$kI0?J{71Cd~FSao`@+PR#lsCM7c7Lh6*0^ z7B?@D7Q0Gf{dxqC5=#A1mEr>f&NvB!( z-VRokDZYo@C-@%wE5Y||H?w<*_+E?p65x6VkN!t||GXP~f02yuM%AJd-zO#aYpg0| zm#iUq3>I?rQab@(9Stin6FOLd%Ium7u`@f z9%tD@vCXBy_13U8l7!NABC5bDt%5F2gbma^D^+e-Dw| zx8;szLbW)R!g)VB{vOOblq+0bw*Oqp5TzjaFczPtFV`Yfxn2Lp-<+=Z@i)6`3yOEW z5kmsx^}LU_v5y!L4Z@6FU;)cYy07FhoL2iYj9=@vZ^J(D71=>q z*lNbC-B&`ju6n_JCENua2rDMS-^a{*VvP4(+3#p8X~&Nl26!!aF?n^}&Z?4Z_x$_u zMnee}WC<|B7xN173{4hCJ--J5AP-kt2`gkd{>pp(PIW}5eSVUeUlboT-5}J2J~Kf< zol3C=_6o7aK6C6=wn^8sTNg^-vRfY(kiLWF4Th~1Qm%o?*NMF+hF;Y3Ap4@}x$Hf( zj`K=NeM{)!;Kn~MOAGOq8dRVr0sawx+)g~C|4Pbzz`5%CL?e{duAi>p_G#m_zRp-&JlR(hWHUk)_3pyl3#FX z)z){(RWeg`&jg7EK%B+UBSqEK5Ha&Mj6En<$w9qQ&%dv=6+V?tBYC|Z{yS+u#&SV| z&{DP-S>LdyYmEA*Y{SW`>6O@Q6FfSmk2tpCL|f3BV=E5AZDMfEWQOg}{XXrR^0Qd7KJHwW6C7Qw`*uN(8y<>AWsec{)^cMV4n*_rT$#-{ z_KCZU%Ww;h2{C||G$<;_QO{p)>6i#pYDp!OM0NPKBb}X0_rMRekFZ6Gk0nMV?-dECs zIR<#@;+;|Gx}85Te!M^z61V2eC;uryhc_rWpZrCqkQabtd(J1n7wyeW+uo4O+mrcP zj16sw4A~kiKJCws;`?(^H~netEbrur2j(=9{)yJ5{M#R| zB$c}^BVUV5Du+J+@z1!iecq94iO96^FxX~?c)RrV7j#Jd2dMgiV$`4StpB(k>gRe$ zGC!@OOQRm6J#s52D?CqdKfBh8PJ>9q)}=7ceb+-uQOkn-#q>BoA0;jm%IyX12APj> z09@2_5KH0BNck3fnZ~Jb$cSrz(vY~T^L$Y78eIg=qIcG_z(53%y%F^s$$Gkw6k7TW2Mh_jUK}7~ ziNv^D$I|4{UGFwK z=y5Y{YZfV@i)MP)j40z93=$qm@i+<1|$H# zERab0edLMkf<1zs_!ew za^aco`tA-}0bhkuerD)-XXJKBY~~eMEOWB0WE+p2g^ElA2m99v2*#iL-Z0`%m28&R zt_cL5PICw^<>JXwaagVl~75K0&@Nu-e*zBF5QJCAc!h8(L$k zC72oJ_k50Jvcf>*sJ!vawg0gF%BngLId021zIsZku8zQ=$=zEEWh-^m^I8yHYh53e zOmFH9pmPI3cXqduSG%cf~QJW012oCT;a}=c48J)v9YLUBaY`C)tK*Yn-9zo zF=9MIKH@Ek!2w|W;uu*gKLna{DI{kTa8lKRA;5JbR$_toMt-q#KJzkhb{EQxvG^Bi5LhLv z@*0ntPgL23VuKsE;h}U|o~9mzLaBUV34hH&@TM6A^bbBFa}cCyYY>Vt2;Y>eL8xa% zo>OO;gTPxN;~sf@Q^*_yRjN^yay`RuF$gSV6*gwQ7dHs^09I=d%*Im@6^ls~EWTk; z%9&_RK@O)(WIcbU4?8IkEbrh1`oe+*!e+jZPQt&t3)3gVqz-WvxdwvP0G`QGTzgJX z&D1Ri_u zEjEu`jM{2-qgwxbZk>veBF!U&6S5Ck)d9oMQ? z1s1Z%;fz&KWyp?sLw2lM!i+P&=X1r5M~84KNB~(Atyhk!C-A@!3=1EjW02&4g|aE? z>G&C7XV)~7+I3d`)9e2o()OHz~3}6fzfjkF`{-VU@4rI~f4((V$A&Ulg;0In}Ihi}$ zdpo&aRfKB{ynP96>efZhM~fnmqY2Tw6TZ5+KnHowwmJ z(U#1OTaOfc9|kd0j%M41k+`PKCdw7x@5<+wlmPtQCIu`>Xo|j5AdcR3*f+cYrwGH2GYid>hX28%0T%GDmDfENA%4DFCfeK!k%S(A^FS$A9($E?YO zebasCr()RqzCG_d+yQ`7CeILM1`>wMK z-Q$J%ud{Mj+70|XeA&`WN+^h=X1#7D( z3@8FZxX$}s`mhX;<_*w5e$7`gdVUt}Yalh)8 z%=;#4nyP`M&v=h|3Av3C%wC8ppoDoLNN8i31p;~_>V{e6%ae^k-!AXN8+o=25tkJx zZwcl$67(vF(3>j{d>gzE|AC7j(UBF<%x#kCxTJDxXu&@3{QG$o*4uIR2tIOdpdpzZ z8_3CThjDf+ez{JF9qldG_ffr|zRmy01DvKrqBDZtmp=~ZuON@@RO;p$lIb16;UC8O zppQX`TJ9>lGuqs*E7}k&M{#{r*_mO+pI3q9Odp~-r_hne()`@ABZ4sMg`#9sSOR_4HVg2ohZ>QMG z=JL6+mla>C5;rUM!L91;Si5gO^`Tzg(MRVhMR%{D-fHfjY}KpP{O16vmsIMjR7%Vd zxY|W>w`t`akz5mE6_$!su{Kh^D_Okx#!ULPac|L2T;fiy7Gdj^wmm}A+FxRwD~}fB zI*(|6`;$Da+(eCJy29=YtIF*wYWC7QlEtqI^NNrX0XC4&SMgi96~Y9n&M0-Y7vhYe z0%|-*Ve+|GWX0O`W|dSsA8K|@f9f&PAECv8s++QGn(w-y`)rRu1(ocy`bDa9TDv4v zP1l=;Y=wIMAv@b&K&h>yaH0TNpU4sAl~Pr^LKc;zB)?0AkfA?dTFkI|&r)o?Eh4te z_R4+!AxYU|Kh?XmXR^4GIthMgMm!gmVt-Kg0b%k#tBJzqb9Ny>Sii9OtFUv#X&#pIfP#C~8iy1rak9G_%{1X~xFB7X@M(03(@;C1VfIZ$zye`&U=n(#q2 z#lZGf!HEUJ`A4Y&xmWHRYfOBAZcju9JNzv2@b(FGgd-0X_nTC%a_d#bh0$u?Kat0h zD&S7l9QGZFnZ7;V(eKQ)+M6ZYEUY~iRP->Q#Hy(M$eebn8Uj@C&oTtoXhz;ZE!T{c zAAl<&<)3($Rg^Z4#HeZm8keM9sorcBL(!ABlo>0c9Xu^D4jTDYiP($|08b7j$&ZxEr~O&!NqYd$wXi7 z`|z))^~@e?vpQodYufRrBRUZSnD>s_H4KoWH zhGjokFr=|v&MDUK^)&o5TYs}Dk(X>Xs`Flb-xzlo3u%!#^d^q?)y>u{Iz21s`!L`g zeKd_sU=#?_@(OY{_QLgbNq@aM(i>*k}fQjihc28h(6)mlvAnOp@tU zY_PwWhQ`CUSz_RSBmJf9t0c&pd|E-O%VdpCNT zRA>1-%>3TakbgLD+wZ}Na}>=V(IbG_pC!{Q^8pBs2vPA@2z52OFdXk8Tg?c6=iq03 zw1>16g-l@Ppqq2D0xiKy3$udpIiAq`e?(80^Kum}zDjv1T0BU?%nr}+f=JWg-|K$? z&fWC?sH4<4tH`Xp@kAM*vavp%9f9Y%Wr1qeIl={lVJM3W{%5L-3#QC!H=Ydg3Iq6u>~r3Bd_yrn z$QZ$1IGX`xTMSSX!RcpK*a()w0ii4usB-VH0PPQei#%Z?5`+(4H&2;+h@*9NG*Qg^Ghpu z<8m2jK^2J>OLpZL^YI?wB}|QHg!g)pj{+4n18*w@4vl-!4cwD#_;8nTRiP3O#S^4A zH(25!KGkCcuV8?WEsT%MQ}UoUA@}+l*JlUy<&@D&bjB6t8L*TfXBL&JHfXonZ{QJ! zbWwii)2D3zCs+GeWiPAE%E>an3nrBYBK6cR16n#@MyjQLl8AysOuQBK{K4w9N&FII zLgN)uqXan5(O)ld5{7EGKUw^Pt7Z3;v|QTlchJKs+i)gy-`tWF}s-v`D=s0hMv})4LH+354o%>hXuTf+3 zyI^0dgU^bknr(Nmw6$3JbZ)v&eb?r~mR6T{>RNC=GlcB%?CZ4M?(=(?*9oPIb_4T8 zgITEPW-e5oZ+DfJAo)0LJj7$vV7)cCzk;0-(*~<6{ixcE)A~S>>UkA6?)7Ra!2!z< zh!bt}qh=r49vAVC?{0HTo)@yF8DoQxnTV^3F**~*7J;4VBh-OAVqbNrlN)tWhllu& zL!EPk6Q+_<9sUN>!weQD2xp)txQdDvh*Xm{rRgOsA+-lpvP&B3tT7+)3HGx&ngZz2 zQ=N*_qh0!QqP^5p<}~Clj5}$1s*ca9Crp7jhVND+7wY4;BlR**LYb%OJg_JquqdC~ zg6TKl;Y%1yY8O~GS7o!#GzLr5PZm-|Y=bf1^{Uyb&ydE%Ey=dIv-2vlqU9Xh7wezu z_sT|VDX50K>L%7wYs~LaaN-xnRY~EJlU)RH7LGxpMJd9NcnXPS7RTHz)qo&9#zSf2 ziPA>y*?L-8n;ft)!enRJ`!)_jCB!>HJO!Mjs2q#(zxs)DPGpGn%?)g zZ6y@kNNH=WnW&Pss!!G@i?6E@guYqYJq6ta>+*=z?!R4}Zub;v_r63v?GBN8jrqxS zY*7YX3kCK}5ejq&1=gFtn*{}c+H(H6xrS8!M_PmeEkc17hXPjPZ@$U3EKHFg-QOwq zHost3%OMGMr}X;}ETvyj{l3BbkUH?pIP-R?ht=<=1@v*Qe&0a9FVF0ECBroHstfIY z4|cdVTo-?T&i2lfx_r*|PAdO=?JaP(chd}4dq2MBf33aWSFC>K!}XuPz2CUt80{5* z9=n5k;E$Q^;bJMp8PD5dt`;Bp%D>d&&(F_xdw+1-=Wp+W=YQVzo+G6=?cEi1wYSf| z)?Tp()mw)a8ht*-Flk;O?%NqQp)~j7zwNA7{UY%yob@X1V0VGQ5%jar_q5}9&%#a9 z(F6TroF%cC|A>RxNL0~%iGDUn(+}B`)jdi5s0*3ikHRwzOCwhdii>yatiqN8B|YmT z7Bo&MD0$E|7m6De;_IOrgq%Rd680jTLrbdl6|C7riq@Ie5f;$9WS1=i-<>Q}?2v%c z>&@RzhlYx$MLC{uKPuCQ$|`-q#lGXMR)4aP(ZiAZrG=D;{nMxavpl^w+!7Pj{ym2;dQ6 ziRs%F?^SHXY{C{r`X?cT+DK==n0HAJbk*l618-|!_=@OR%Jz31b;c^PRyXm>)>1+J&4|9Snws3K zmKO05rDAvLaFz=!!A9r@uoogUF|LW-<@|tmNxwx^MQFp4tid^*X-|Mfg+8JbVtJYa zoD!8>9`dc3wl?E2N#wOt4df|?s!b7nl@xw$M&Xs4oZ>^2|HYubrG8OZUqks4q5ovc zAGXL}RTVwisle_eLBE;;zD?6M6HeKw376>-Pq05mrTSy(Nr2Duw1yTAF=)-H5BSzb z2Pcd31JWN$*Ls)IAsv1&xsMK+b}>IQ?G9P_FLj8}BcnfV$skF}9s)rw*ALXg`%Zsc zNPYS(svlOwG>1J~^~fg~q^Cz3mgh*9tjc)q?vl*@AkYQ66^9urH>HY~4nZZb#nm7E zDSsH{SHTde^68L{wpca22#WfbT`uB>$JU-=c zT*DSpuwZBO^hz`=ui5+6Iqyv4Ofs~J*-7G8;qt^dtB@h)ZwclLqLXb2*f5b1T~^55 z*N=XoUL915UGh%7Vxe@s6adl+?MZzW667R?TyM2s?KSh^F`^jhVb_DwTk=3kV&kJu znbmOUn5P{kj%{L#tQyP%AjPvp@@{*)_llTwmJ@u;(<3-a9$BVpR zAv^Lg5l-w8jMR{$(a%R?8)921t{`E(O^g=aF&ngv?Sc5!hr@bKOI|j51b%X|_N0cs z>O-NAiqC-miYyr$)+7UBJKr26i-#VjU&uM2hgmOr8|KPVgXMGu+K!;_4J;N1eFvsr zQ{JJ!o^16U^^Sf6gL+MNtS>6euu(`ToL9~JnMo2}*Mn)08C=@acXURv5{#X9sQQjh z-y!-T$`J}z2|;geu51h~SOq)Do76+W$8cN)RFILPM5Y4QN^k{@FhNjxOpwKf^xd~}@Amm-r=G#0y81!wPmKQW?lbA`F4O)5E6!Zog1HP>@ z&kL3lDyQ)DfN@Q>_-`!94h(Oa?FkHTd@wg4?mmiHV;@Q_J<1ips}eo*jX^_8Vq^)Rv5^{RNer&kY6CTBrUn}VzKt_W8OgcL!SdB1 zBarP~QWO~e>g%n#;CiB zSE_}2P5^YD+p6WhLA1D;r!4X=p=qmU=Ld$j&FL$0-nV>4f{9F8=4rM==BK9A}JQHN>mCYqX0QxgS&R6{RIHB>5MlUqowFDFqr_fv2j(JsdJC zzOX4?-t2JsXSHAN*NFlF7>ve40^jm!UE1Hq)9L?4`wLzYQRk+B?^qOIib%D8TIcq= z>hT%v*L$L}MTE5f*M4zqM4s69IcOaneuPPiH2pMd3|7<(S~H%drVkgtd}jGW zGRr@`bNQT;VU4}rR*`?}u1KUs6(8bl6x2uN>HUKA@>vkYclF`vr&a4cSvHpi^uS@I zKE6s0c9W&0Fub+IleZ2F%CZpB+d?Ak^@AeR$|@WAln?2aO;)#vAEijRUs<`}g{9=VrC%U_ zoTMV9o=i{660*ZZ1vd)pktUchX5o{#Id(rsM8xWFzlz-mxExRE(|rLWbkw(tzsZ<4 zPAQ?I4Aw+^OkQHNZ&%D4&{z6j$c`64N*+}jqmuE#@_?Ij z-DW8%Z^71Bt~!Sb?PeeszrifM=CJS0=~w#a?~L6jfh?vBDe-cGad@zrn%}x|cZhcw{gmkU!N8~^M zBWz<4RR?oh$_)sOuVipx(3gm9L|DGUisKZfbK(eH%Ayc_{-@Rg$=J?>Q~X|PIFu9n zrF^iM51t6?8^W@)<#Ic{9v@6vDVJ(|OYx)3Kgz$6C~-kOr&r@3X%Z-puxfrk+J6~2w{R4(S$#0>&R}4HNQG{A*gfvMEi;6k0x73KLxT;!j zHt)h;1LMm@`j|YPkHA~D3S-2WHoX9RF>-@%^|XHRljGwclhC6==rO6;G6dK+X;~px z_y|^F9M%pakO(@K6{sqT7*pwk#rsJ5$jhy1L1`+@LM;wR!c#knCO zIV64uGNvQYu`u0eu2!ZKG@wMkb?2ggR=qo_11sRdQadzD;5F#_3>!-(bz{B zfS=FvrW_COcdD<@u|&m3ECKT4HRquI-G)=!Im0a2AFBLEXVgz=Z0un&dtHl*GuWgN z65Zw|hXVln^`Us_{n9_0rZb|2CuBrVbl^uS{zfMLf9Fbi!ovSIcftR!$jdbT&({4N z8%-xeWUTJr>)fgNoGHcVk3Zx&i!Tx0Q0oIDZ={vRULRb1jP(KCWG@kfvKngy`K?Kh zA~l~V9`0^^Ab#$y^}#%Dh2oj(gKLkqJ_yMAAn`HlgCc8vAP+b{)F&=?|DgqfGoN-^ zA6N@%XMu2PdVwIV40)Gsj!dS<`m#B2>|rsDjSBiU3Lw8aSq~Jd4|#)YLcr1sL**OB z8igZ6#>i~P|E(`NidUz3_`7i?l1aE(LGphpqLTN9lng_&@g}o{$G95&-v<8QAT`3r zh84&vDK0FGC#pQ=T;*^wWZ}++l$S5@&_O`eK9R zU!$7C0)YVTc4`1nUQq7`loOjKoizP&94d0LXG=dxzT|(6YE_tJ6Vr{Ix6>l> zZa-GuB2TfBO9;!QtHo9>Gdq2i$k#Ve0)<^>7e+xN_2tqWjOHVyIDF+&Wi_SSVU@Ky z-43P$8;?Ikq%Jj{?fc!RMKK%ijr5n$u1jG%TDG#J*K1)raX zKB!9RgXlF!A0weFh$X)SZ|Q9QkoPJnrfX2at!XY*O1IoaQP#QuO~aKG&N; z=k7|xBou_&@n11fG*lF0D84R>Khb5M;SALx;8s?{a?lBOKp69!RN#0=i2H2 z|BcU!!RI)KTL9e=K_8{Dg&L1aAC|VyWZ?6qApxvi@%i}cT=@J3Zc_Ms=8%5}pN~H# zKEF)nQH9SHGS`d|0~JtjHShnD!suwaEP$@Sd40SPEj6fJf%7yAr_o?6Sj={||Cg@+7f95#(&_ih2@n5y+Bd{Jk@U3h zfl;pZ-OkMkwQmdwp@?chIJ1hU4U1G z(&_p(;&QbbAT+%M6+>O9e9e_c-f39uutY1ZP`$JjjWx%DD$NrY;=-XKa=NL_e9e4T zt>Ye#CMd+R*etg`PR$MZvP#o}{|eh)xmxo>~aJGun`5i2m?yok+VgG2#Q zV7B}nP~I=16FZaRzZ>EG67!+9By0azIU0xPxebSMqi6Z{r!@Gs+nyb`4^_Nxzjq|f z$$UjR>#mk~3mJ>(1&X`f9^A*G+T26Vro<%OMSDWM{SmRA{h zwa`)#@-nvGlVe(gr^x6Khx&A(UvKA&&qqH`viQF*#s}6WU@<|WIur1$)&Btkc1u5J zHRB>+(9s95zZ{GyhXLf9eaqDnzsxmcBl=s!o9C?1uN8$ki$I)g7t1P+&CDD4WmjGN zny13?QH}CsvwG9cEh~2`m{fIq)U(`gkb8-bQ5_$*jC+>a^GkPeukYb*o7{D9Cyd0u zQvQ|k??T?0{8sR9DF3SXH-dkDT#W4fU`Wxw)mUfNC5{`&JF?ElL!;4Y@pEj_YW+~bB@#0fYYnlK%eYswW@`BQiGNcE?EGF9yUcHuirx%zOc z0mcN!N8a8X&{39h;i&aU%B zc>`?eQbJ0NqHfz`75JT1O7)3mkwQfgCT{GHjNY<=3d zyH9CeMin%@9|9O#$l>;lY*Vn-Czh*>O;4MKlC7AqX^XGMGyPm$&OoQDHf}ecVrHPn zG8?Mr`WFrb1VJ^oS={a~_HWy@1VDuH;T7 zwU)dx#kDm;pLBpthrS$vy+x>G{)v)Z)4uaw?UKOrM%*<}fHB&^)^DHF0n|nPDuWvZf_(5EtUikGDc?Fn+t@X2;8tPA?15w*fE(I-+wx z#P}6pzG<8eKxsq0ksb0`9^-10fcdmkp@GxS?fDXunlRI2JeDVtAC@xwi&XcXA=HuJ z;7S;Z#DOFwYR_>1r*)hcR!L^<*V&&d`Y(S zVk}43gCJ@eXXJU)XoQoReO2FLMWE-W9UhZp~c||#) zNC+`8);J^En3Y|Ra&SI*Q=OM9)%hxLBU4Z>xjw-4LZlAU&d1rYccfbwGO4;*banm; zJ(UhvbZY~}%u*qK!D4u!szc4Sg1V(Ul5MG%Qm%UWd*`K-o5g?sHhlxo_lAFizPGRV zPt*5>fob|a$MyeJ`Z7Pcw>c$!YMwT%Jv#5#)8yrOXKCdKIA;-#&BUXtS<-a0#HPG7hki#Xhl+H>QK z4-$~TFt&}}fd?64&k-N(x_CC`d`v4E{Y^9BvS9%TuhH z;^P$#tA-wF3qG>EJ8u4&lO)Z}wmenxLPp7(*r>4Rs(B+loI9GN*^C^k*&}H-6P0(^ zgu^omTJm~l#cyC%kao@ADl zq+oU(+)%-OQ`g!_YUp=|#O@qUK=|(yx=f&Uen8)mI5{=*In{N*wo}_(XQXO7#p7BB zK)SQ+%AN$|KABL%)D>&ln!&2BEeT)@X6RHT0BV~?F=-xl@Ja?ucJTMRoEy>&{uW9V z#St2ehfMUH!Y|@lEt52Z&JFA_38e7%LuB3=e}^Y4{Cy$l8(@~ZN+5V=doX~tlRel4 z$fauiXSpDGx9ziL@oXy41*UdxQkU;L;qgEJ_4t`|`QE{-73RF|K0OW|pND1C!G|vT z+B7{59v{$sLG|&Wvn;vha#Ky?&+hTKvv*ZL=x}WJfc(jqj}6GrN&)hX9RIQMfxcSq zPNi5{nJnI01T61s+1QN>F($7x&u0i^K=aB~=u<&GvdpEwGT7RocM6{mr136@?Yy^l zF=ya&t3?h#|2r+{3eeN^5jWa_zQbCallAjBopP7lzg+ko}^yi)LS-%_~KfZ>fPvi4| zh0g;Dwy=YofH67s4IQ^&B5mlnZ^l4SOMuuHIW|atPsm||^l)r~7Q2PVg-@yk!&LX=$a3b@v#2DV|R1<_Fx=%i_Hm#}qI+V*OYc zJpIkNyFA7?)|udQeGL(Nk~p+A{0|%{j$AlZ_>+1QIu-E*0Hcph0dzY)QcgYRgyziX z2d9sX~S{f#rfpyf7} zuLhsnWQ)2e-&iYn!V{>XgF?04wtv}1&_WxD=f@U|RXVwpc z_-EHog^I~^5LP>ltr#%`a~H~m^aDYO3xqVr5yjRoDxxvr`8EW-V|E1z!7Zd5ZCvOH zNC>e&!;z#nsMZv=io3X93fj6<+_i-*tP&__E?;vQ&_4?6LnXc_%0LG$A5E#IIns=< zR6zo)sGY_oX8H3n(=s&+vMzp!I>iwkw~6uXw-%>?qQY zsBrR}$Fs)#?LzV2zs!>Lqae4ODF{+NoGm)7Uqn@lh{TTeqE7voV?ck^e|M6t0x z)?+hPc^hGG!nyrRRj?Q*LtD|FWY-@-K82=j3Tm_q$aV|zoHd^C~z1|Fb8N)amt*PvK^JbbSfwaW<=SjbL~0T*>b)s!s2>bo<1B~-$V0QpyE^;)F*>Q4tpE!;XdHo zJ1sw8L`#$Uy7Ki&gyN21`A31|E2u8ZH|D;EgYgu76wZx=SR3DP|K98euMYb*&+Lga z+Sw7j%|?Y}D_BThNf#Q|4q)?C&?joS{K91MpL;NW?2NL@WF-zDK7vFgi=W~dm}^Q) z-f3C!afjP?!ck)HK1VFO1f^#O*Ll*jDYkO9!?T-75GcpL@U^++4|u&CNhJ&GY3_qv zD9K9p2a?gk>E(oM3g@0G{GQI)>f(rTN0RDW=^r9}SRZWp8qXHjZ|Sm2noFh2j#`e# zbB0o5;s&b#XY;s8IX3*HFa3wRaTrwvsZ|HpNdl*XACLsFOq~e!sRB@D$%-}A&-S{t zYyYO=YPe$x=vxRW5R{Mt#De=$xZ$HhIpF|Z{b*~vnLjBrmEp5zSl zAhr@`5vvR!SZERFSabotrwCo@%=<97HXI^Kjx{`7Q79+SEh<{0N;i@*5#aRgK=~_J z!p0i?r^uj6^`)~uu}78UT*McSn8<_rLLLzlK=4tBi5{|suFh=~gbUR;qWUEBf4sz3 zN)4w=&vp%`-9uc|_7+*xo^pI@i@m$sNP99Z9(O!_yVH1j@NjUX|x(rqjKni%iycRamnI4bJd6h_>I6%gfErw>{OzvJ7+s}& z)XnIMo#h%`C0}xluCuvGjV?bN+j(^5NCIbc4VDC-J-S36!dYp8cQPXs`H6xo{_@Ig zwx7Ar3Si&cZ0#fYB73Y=PFH`y6y9J*EKZ$jeTFeHZLw7*B^8S}0bdtKDm-kbX_6W3 zI~3wt30siNUeCJp3FvFspPN#VqFfeXiLXWQ5~Pep>|R@^Cl4H2l6!l`}L`%(+|0F^bG zDjR?J%`e%tb0K3iL%FVMbyn`E`doLteg0Xa@UfoX?>tzj;)BDpg|sngd{kP9j{Gu4T0!A|?Mg>PFPKt~!au zCKYc?g_k3Kpvw3ZZSu%G{br9&@JrN@Mf;laqQ(1~a=Z&9>}aAF`hVJB@kZL>8M^-;XLJYc zI~G6cv(j37D>8fq2Zdh#+pY}B0$HLsr%l>vxUm3R)y*2t94{Ei-qIJILt^d_L1 z=^bOTu{?gKwHryO-6ORPA8#6WJ#qE4=sm%r_vUHmRO>|{hCZ7K#Gbqg5)%+%3)Ef{ zEN{bW%e-IQ7;Rz;eQkQ*%44CoMd2nK$P{he_GBwuMuxho&2lI!Yd^>STz!v-_VX`j zolwkx^s`Z!p;(n=9&vTG6sOXwgV3!ce&P*fBsnp8|9#eCiwN3izESY`dy=7VLsA!Dcc;7OiIeri5An-*PT87=MUK&_;d#7Y@dTqs$lgAdhoaEdb>s1xX} zGNKn{jWhCkNGyUus3Sq;BQ@g3pz~kP)T^&EFQnY|pUJnz$h{HFzf1>s)CBeWT+>0w zD7_+BendQm4nNuLe1HqpMNDicGe+Dgg;eJ@s!8Fx{W2+FS5%Y2w{v7tAp1bWVPcM? zXN90|8%m&U%nQNzS7l;Qs|Bdvqkd$(f1P-0SyO{dDXe@~lu6`X*$L2TK4yMU^Q&uq z@P}-7X={FX+C_Y!w>>{RX4AjZ{NT1{FhAJxGwpDcnjo%qeecWk6F83!7{`eYnnYH|ic{Jzcz$zE?5`Iq)bVv0I7+pLlqkKyB< zSA^H)zxMk97}llw+x_@;tuI_now~T&rn;CHtuJz{clLD+{QAl?wDOMwD3W0!_^<6#)?yY3b zDcwr+%-f+f+i2{kqME1wVmV2KjVpnz z`ERM$oYbP}CnMA_vz;kclYkFT>BbzCzskkfVgg` zcuK`{BuC%sXrVdcED?ppck^Ihb57LP@ct3&BZW&>?$vzTqi4wK=xh=;Jdl+|ENAYn zIGUXWrK=LX)ZaY$D@UYXY%5mJR0VW!k1o|Lv3lxsxyk2C+H|#(P(8Ct5NL_ z>W`MT!4~p??i~Fob^kzOs$x;n#5OM3)R?)7UMck}o&>00oq!KL2E#Q@z=xjPq%=e4 z9+vJU%mYO!^?+>57w1`tZ_O8MB3NU-G06I&r`+s4l%2Im^L;9>)|r2nSC^}3r|Zlu z)~h3y`u1n?%26=A%qy12GG;S^+B^E6H{qx!Pg1n2A`Lx(B;P3I{qQ4ct0SFL^7~;D z+wyzL@#Oc@00Ni%J|X$IMfspu^6`M%YivkINl92aUQex;<&KKL4@MXwI?+?=wDlH=`_tnQQRSq_m7*6!y!yFcIh-0^; z{IVq}r*ta%7F$wc*6AOR!Zma0o6-drd8A=sE|R^ZcU_|LoBy4zNEcCAAFrS`N=&x! zp^)aHzi=U|#uF2fKlo6x?;;|LX#O!p6VX=_3TbQ-iaGsH}dgz65H}o zQz^Q)7ShN(Q-U zDo1DeANK39)g_Ei_!Hzt! zkSVx3>E<-xRieDx@~5D6Rpoyo<M@E&hQ>KR9Hza`*7Wq+0pr8nSR3prxRtFi{Zr0Z{hA42rc8GSJ zwfY=po%5xCgO&Q01v|a-zb_aH-GPR$vc&o7!Csx57`FB0AY7El0*OdrWodPzxmt$vSeE)wVVq8UXpx;9fe1;qxlU-b7FlYNIXq{==aHFd57=FOzgm{ zvUw!l>wdU4Xnvn1izp3P`Pio>O52F0>}CapS^_WjqVnypu^@Ec=l?wO{t{Nl?)SGj zsOQA{^S_Am-Cck7%XjtXU;4ZHvyq!rf4==m*Z%yeByjrk&ywIX`_nIrJEtQ#$YU3A z|MjoYkq4wBMG+(&Su5(JIuw&3{e#XOx`qxtCEcMzlt+y~WN^JKsmv{Qht^o8a^<^< z->ReAOh|XW-Kjg*o7do{R=(Nyw$+{R<9g}N8rbhz^9Oc!){6Q^2DR!*bwZH^$ly!Y znjG1M`d1bcnk?$2Qo;qx*UQq!{e5xf`*UTn zq28#Il`gri$>;WKGVVkpb1R`|&^oC%#B|&v4U$Ty~4Fp>b4M*cj)j=GdQV z-#X1Z3WIJICn9oW979CIUrzluIO5wj@RURdHx66kKeN+aP6&j{-U z_B;R_T$L>Ysg3DiW?z{Olqj~gU?(oV(ipm{OF7kgtGMInZRTwU#Qgt`_A{+rK z>%y#<#Z_{dt{XCaJi_-;)fn?>zI0Vm9JHMp>SJI&+mXx znhAfj1Ny~j<|Gf~{XmP4DH2_`T01tZn5z77u;Do~T5w@{eZtJDMtAx&<5|+=8sQao zO1-CCu2^*)P=~eyC>SI%J^~VhQEdTKd%E?_=>tEUJ>3(M*7hFT74MPlUDs)vF@+U8 z%E6Y9a0F^6X6jSeh-M%Ap~UtproKb48;6K*gAAG+{ktTe3;lHt^Gz17{0FTUljzTQ zXgTy~w*OSbxTVvc_q!2T!+ ztFyw%m39zkIla}No#-Kv4{O-7*EoF$2IgF@Lky?fJxr##uSR^kXL1Vtk{-m2&Kuq| zr&l=HBF_pE+k@qM5_y4!bxCo3jP?mU$1$5$p^4mZ@)a21HcqGhhj;!k7Lx>Uwf6f_ zKH;0a3!cfwh~j-2Tk)eRa6_{AzuvdIVkoZ~<{Zw7oy@Sch|sH;nOk5@%yFEz4Gek`mMa zQ_2|nt166U?30U*uqqmjk$S$$SoB7gzQ&yKh>RZVhFONS**)+cG$q!8eKH#@gihC` z3Eb;NDFy1XUL%;CxLp%Y#c{dTa@PddW}M48z-=>6BP#dszc>IUTp+1=oA+9;n|b|~cm9PrBA3^g!z76>%e!Ep z{opGkYsaB2EASWC2_8k+%?vVvYYv!4#ss{fBUrR$G-GHK`ycR&wWR1ee)LN*AbRJw z@+t1CMbwolA30EvrS>;yG6|!l*Cp65ftvg<6L^ra*{1sx?1u=8;Qc zquk8eFPw|*2bDQ!p^_j)8HIKipl6$Tj-2j~?6Oo;IY#F9=(V~mwbf?C^I z{6T#Rlj=%)2Z-Oi7GbC%L`AET5+_wa3zp%fqog(0j>-w*5D?L=&T^)__ODBafi9L7 zj;;^-6uemKh8L%Aw!7~#61tdG;KdLg)#Ka&z7V0U7{<*JD#P&>?a}>ouJlhI`d2Ic zBBi;zG^lsM7$a5YZp>(REkt=~BHyzUJWUv&h7jAq8WWFM=fu2!vCe6fQ6JK8%ThyX z!C!2gQ77Y~GtLkuPRV==XE@{FmEF!bxSx6X1joT*(OY*v4vJN)Qp5shOemDonR8{q z$XtQQzkwoU$^b^JF^efxrVOQ?AM&n387<5PHV8rUo(3T&Tdx%e@y=h!E5RPGN|Gx; z88ht%JKh0h+{ulDGM-V=6`jF9gtZjP_%x`mQ}DtDVG3bv!Cg%d#(9#FapudPD1`Ae zKa4-eP^3Sqve7L}fKz#;LKxHQ2;z|TBv?MZ1_wiBO20NlW@1b4rJ{eJ+fEFXnOr)> zwK93@L1Bj!;TU?hWnHLO0QEAbZ>vkMEv*x|#`Ha0Gp@3y?>~^S-I1N9?+jtKl`zjK zNT*ctaXw6`4S)5vOy6CJ^gJ3onZb8BGq}DB4sy@nBPE;E41P2DoX8Bmt06nv(M^cL zl>2nBZSJclx1ms+GXSNV!h0P4B1^0aLJ!Ijblso7VDJCrqzwI_dBG}bvMhUU^1&?r zMfA;j>wlLo-`GK}Ba z9hy}>PTc202NjRe7N)|xtC^!Dt9qmr@b{QFw7qhI_ynFbdU zMQkFN1YKo@MqTD|PdA$;E1b=B1jcsUbq__v$HpLBoO0I{Rp+draSz9C?`!y=AlgH3 zEpO3x!NQB^s$lNEfN>?NdUR<)y*-Fh6E$d=+WDRQ9;)x##J4wXCgZ2^U5+?z?nJf;x%AP~J)?wo+{4t7;F3IJ1gt@O# z72~QR9(=+B{L7QYck!%}Ko2dcg`T@Di(a)iccEM!#z*5!-{H5J{Z|CjUAPAGS*et=w zqRkx8L$b`jdoSH#`7bw;L;^+CqB<5voGRA7c45B?(u!8BieJ$iQXBLo`^5dYrkb!) zUq%Oh#`AQHG5Rv7GT{YrL+*1YKjwm!ELrt7o_)KAmiR8kMx()Tc4I zeU?v^wy*tT+F03W@t?D6;%YSN;-6-#_i$UoQ%OBJU@QD0y>iWGUqdL!$)3u2qD=sP zxrCH)_zTU@LM5^2?mb~PDyvd<|IWKKKLly&<6|fy^|W#o@~!v6pf`z(<8;b z$dCDEv+63*%a@5X!XHmDL#v(6yki4oe3{9Ul|4O=urTXDpCNR$3%<+nlSShT_Z(*W zhz_HeUK9gI+{)*_wu)IM#Z0$~LB-CCCGw=NGvyz?KE z$Ee|Pmv5LotPn`?kV}qxm&@Bx z{?yZD^0bJjSR9lGsz|aL)RYB*W!9IEsV~)I;=H;Z%ctsbNmxHsF23S7XHdF*$Z;*B zbMSL?55iW;=C;JXl`mVYr-$Y~U-}%k%lQ2RzhB|^kNn=v@ALfrnBN!p{Vl(uPnY*g z`3>;BWRuVRi~RnP-n^yfCQ^sL{g1~|}k z`gKahBL42^rskK<6%K$CnTll;>2sY2WefvG33Dd?hDd~fzSbOy{U%5{xd*-`i_d0O zYhO$j#8*?R<8N~<_R3l{pdI<)X3W@RF|+zlqylP~W>nyh9LJYYfuC>6$->P(cYFCB zHfH%&ct^j3;Qe-xD%1#@*NSJQI54<+R5&%o&fL6W&H#sN`l+&kq+xbdVODfFL;Sqo z5m#Z^pJ)(c`A*?ZqtE^PnBV%VB}*X0R9?-LXBVt>#D@&0xqM_keD|SbLIn9c|05ZF z-O6a=vnr#%^J9jrj4WxfO`g2JCY24~gHY&RYp39PW=>V*WD%>>1i>N*UB(~5BQmvV zY!E2`+sTBcCck+rWKulVEUNIBm=4sY+Y-%qu7sHrHx%Pr+o)!;cq?R$==pB}lk8`a z_&2Rr8+fLmp-22|_O6#g1@lQ|#Jt%V`^l7zy|~O=%I<88T#qq#qynGX^SOHe#%H`Q zSakgFFa3=7V?N{k_+!6U{!A`gd&6aC0WGp(m%La6xy-GK2%BXXp{i*VeIhda(zQao z{5oJ1=33K8J^$vd-BlV3>)7yWvNU# zFkb%O+m*+z}6INj6je!eB3^%h>LSk^gPpYFL9eF#pK_*`T;= z=c=5n5hdc&)7*XsZ%BBjlQ74faD_@3dxHekPJ)b_eqkk8qVkqEDB^cPyJYc%7MV%& zPw(b49q)%btq;eFFX+&SfiYhhBpBAa82;((SYITILnJ1z?dnbE#+0a>tj0V>^U}?H zeWjf2wpHI8(qFA6e%auxEA`-7dJsC$%t(2YcS+xoK%VUK4Y2|U2b9^#%J?KX7F^C8 zI#4+Kl|*hxe-nv=D-rrFq_?10KM&YChpz%RA{VRp)r^M6kkedU3r^#wmVb5TX5@z` zYlSQ#HWX_iHa5J%^LZ2!EM+ zQT&kfC9oSY(mtf+h}#j{B~QpNX65&R>ia%22JHOSzNqrssa_Nhapm`Sz;(J_&XwhF zBLvZ8oneLf(S;CGgb>vEj%SeGD}ScwF~y<>E(+#kOdN~Modi1-;DbGAs?5fB?-k<% zce6x`^w;zqT|3qM_Ue9zxQzND)#zx|0^NoBa5Y8I*DWAj{(-X;Y# zVrcaF!Zu7g%Q(G78r?_gVe~mg+J5gcH7W9Ru-u*w9aTMMnP22spR<;kJNYq4=mDbnIoS?$jPRc;B(f`wHaJ1?|lW*A?#MXHI# z(}2j*mJ;(Hm~T0-oCxWCtp}qhbx33T_4E*4d*W+lpU7#CdYh&d<^`*Tjt#4!z0l}J zampd~i8vA&W3m}gL7|V|%C!#`46EoA^THReHl(HK+B?P7r-;9QZ^$Zt+&_X$2U zIGbN~^w&(c`YyF}vkB{6uvxM;E?cW|iDkK|?p>guWb1NKQiPEL1?@@h z#ZSn0sypse<{~_aCzp}*K^n5lk zuD{qa6CE{*o*6|64sV|^fDeer$eibwts>ye@g)|c|J+VLBj3uM%2b(sC`@oPCb-l$ z_#upk1J{@}RLTzNtmGcY{nlkgFHhBLsM0@Q4{?Qn%kdf3^f{38$};nXImzTp6+$xE z$o&ImYE9qIA~y!yZBEodkRSfa>W;5H(On_FR3Tr|bf<(mcL~>IlyFIA366)Ew=9ZW zp0{EyHR&3wSoll%yDDLn3RXNz{P^SxWDv|Yl`EU65c1~JsuIFg-uW`j9S$lp&tiYb zBB5fL=3^#_vT>L}oh-hW0RTfn(skw$YK`!jU8-e8L)OkcRs+7YK8F5M^ei){i7T`| z33^^tX5RKdik_^^#z>Cr5Mez8JzEkt**T6%f;+$BjQsX9+*0`&#pnG} zx@MiZLA*i*{7;nW%%(}hiaPgHZ;#KkpB`X$k&p$-smT<7TwCW>QLTTV7Kq9*v371) z-xrBbU1*P2k9oDKpc=2E6{3`E6l-WY74yz)CLPJAL`?rCiK>Y#Q@N>f zmHE@0BRG+Uut}_JXUUQ3n_6=LvVcJ^VaDj7T84&q{v1AC6fwroUGGFM6y>7oPktp+ z@%Ag^{Ad{nW1tqjX1T&^iHo{AdZ{m2t*YvJJThV0%T!IRrhnWl6z*^ek1bS(bwKlQ z`C-`>klEhzK2q&fLG4~K@6GD2!N9OE>-J}$hBR3usxwGuWkn~*Mg@#8JEM%B>l8=T znkC|ltv>)qRhOA3-=E?rO!}WLm->BdjZj4)Cc-JoYuc(aw^QYImYB!}y7*Q0YCxhD z_aXXxZ^b?qN7|Fa<$JY;4|stW?k8 zH64ms{>J`=&y*%qBI}qbNw>?sSHIkM$bI}76^;p(mw@_mTg0yoCu@b9r<%{BIz_C> zWOajDkf3zx@N|X9jF>@9JepM4(436XEvL$(uN1*|LL0USd{IbMaSSTqT=NyJ>F>G8;(xr1F6fb! ziCq53`2>FY5%5>xzU;054m;3l77X*7BAiCOC#an*6De@vgYC3Z!rT0qot-Pm&B{j*QL_CRZJESLgY+*8KTN(nNbc{~npy_=x#@ z=3mnDZvv+;QMOq%^P6jCNQWEal*Fr&Qp?N&r&fM5LPpX*iy#{PL*IOjzTw=6v`f8P zdK^71v;tg$Q4OV2vtXe!;IsiT}Z+GrQT9^~$ zF06-&D%Ca!CCS*XQSA|WmRL1jS&ZC&Hk;6(GFkk3%3(;U@bUZ`WWh;`E39U7MTZOU zKlC26ka#FazZq2+KV)?rj2)Gwa8zk5UG^>MP9@t&v-o=~)_wS% zMa!7XJ497lrYuJ6n@J^GV@`mii{yDl;?&f0RoW!>Vc06s_2#CiloO!&8fkin0c6VPGyaJASe#&D z3x%e2*B_QI((S4_e!C>;Q__OZCV`q_oQ&MUO(qQ?O%GIf*aedv`i# zutS~RBE@>YBsEPIU-l9sN44bLY5&l4QFS1`)S}}HyrT9NHO$g!s`WMlpW@sJYnUGH zgzAzd7)3^fI{vH6;UJw3i_yJ$IDlA490f(PUuj#6Y?k1k9EuwMQkQ zW$aOjP+(S7zGnljJo8()77s&p0SxLV5?`cVL_g3ZIwj^6PId4Hq(tGj#nN;tRbqaDN{R7` zW=t`QMOh~D2eE&xOdR$$rD}rfs^-DofsX`N8@I|ZQ4$v~R+$>gEI0+}tLjNRDC|3< z6gLPP=x+Unbn+l6vnKJL@Z!nBcXgt!SG(?hyHxR=)Z+J~wcGimTy`PEw@zlS=1*vX zUGXw=qG4B@N-4!%pWm)6$TGjTJ6-SiPP^XB1|lt~$tx*|%e%sbmub>~3cSm6$O!L@oJVsjj>%G3WCVWO0Pbl29Wfsb%l0DdUY-Yw9tg~>if=Ni0+QGuZ8hE#QJ1irZ#f=l@L@L?rmT} zR?>ZUe~<2)!#*dMMrrP4C#_K(_JT^2KNypM1@!dGezaLSY<8-{fJqykO?6m_-C+wB zTOC$nUj4^(hkf`%Cm+VWk~l9oZLctUnTpaIL?)`{eIK_a4+vp2?W8 zR;TZN7ZMr8*YVb3z{v_8h`wW;c`BpKmRmdS6ggWwjNX$sXYxiFfYzD)w5e3YPq$vOq!)NX>eJSlzz^y*7w%*D!X^B zm9_vvQetuw#Fgg{SKnU8N$-YM8PE^ieZ$G62!W)$C|Queu%AM<-Z zwQL*~Boy5e?h(4U`b?JBzj_*xYvsE24ymrx0u4*8R2Y!5!tdzB9R0UM7pssiFvn7F zhLuunyL-|aKBq%P`U&^0C##@OBSe`5%^-KD!+cXxmwd zm9CHdRRSiEBb`}TZE-&RJ)b&`9Ba&SRY`Vv%PIu}i^Hml>qL9jUT}Mg2gPrDf(R4a ze?$V9e4-XZ&U!?AJ=d88LxW28YPPlBy_)^a?^3H-e0HT!28rc8vZpyco>TgEM$$nU z;B_)y)F4Y1U!?NbERzAltFc5bSgSFnqQs~3YH<%n3jS#x^S4xfk?0FX0UkzCIn~k{ zdr(T%c}{$HnM_KQ?Xd(sP?0itV`D^+H`1kq-eXM?BouSR_$vQula-D5h(`N|y)Sca zsF61}ObEW*rfT*Y?a=fqfWkdU)kx>OWN1FY<2@fi7S>T>$^u0C5!nBL7?a%fyQV~i_tp29bAM=AlxFnM zINV*#NjHi{Cbm`c?U(h~^(v(~fn=KU5>w`<{-(@AT6{F5->ms&4u}m+Tx|BYo)uZo ziemKOn4-k#)_3N=k7)vqU$z#1+*{fs(XqkJI6tQn$Rsj7kmkHesncwx4r~JV0iO7N0;Rus1OZ`bXs0 zfc55Y6{m|TDUNmtB2s}`A&_@)kRF4i7>tF8>&?L`(++&77ZNoAQ&@Z^*(c{PKwRQdUH2qSKY}QPf0@O}jjCPKTEEoz2pj z_$DPIG`sbp;42UKaca-i8alEGjQOnPJ!igp9+Ny$q(-gc0RT10;tzi#1A82l2y|#t z(FPOBh>U=IqdZ!^N-KiH&^okuZz;S+{?tmVv8%peMkXr|AqY$Q#yRh*;ocH*-V$Y3 z1e9-Oq7w-uv?p6>skE9=n}OY=iE6w`fBN~HZ>T7VgVvuiCE?^xm1tT-tmjrXvS&8c zVNUkLMtY*xa)`7375WLHt}%1rJt4lNfUk8weWcEycdn(s#vQl6y6GxMjHU$6vHNEW zQq`k1JXn$yWpylrdA<2NnQ`L}771|}>G22Y3K`WZV!B1q_DAYclMkhw*!eIj@&_~1 zkg#u;cm8t>2S?sU^p7 zpYh_zFU8?bW?B5w2~IADNT~UCEc0!S%(rsp11@r$CBFm1@BTfQ;==kMX-Qb+(CZjE zoR#69e4OmICr+x?zu~Da|6;i5h=dfud3daT#x}thzAdq@XvQ4RSiNwY=JUq#@hM77 z9&60r#)+-oSWkkOG2eTm`y)C#jkkrH4wJzOO}|3J2;*ytRyq8r9uX^~DfVgNY?6%C z^C;kuCiDL0XkP-OZi)6*iR1zMA$)_PS4m9qsSe)4V8g5zAFLUiT;_Nt7*~4Cd#v@% zI#avJ<~H1hlEoK5mvHi`GIEM>E`%a@0Y`{!8*5yP_#-~vscw7{KTR1>eXB)YTiutz zg`Je3o$!VmR53LICczt;KDvnBx>E1o81eOu6|lWQ-;(fO>ASX(eOMD4JA{4-PsDd} zlo?Rbq8$00s|?}E*0686Oegb&CE-c_AIPKFr(u1gxl`siRKVcBIMVM*BzOMf68bvgz&0$GJ892oPJAC-W`oc|DyH;h3Rz*m4aW;h*(xS-Iu%3u81ju-L5;Zs zN^yNPGNs95*2v0*F{oJ;1sInT_^9b1LH@*I#m=u}@iRYXOty|GQ%Tg5u{^Qd*^rU4 zT}%LtGvq2JwI5_MNr_uEUm!H^vf|a*A+uPWwo`*56)bCevN-uOQ5l^o!F?mfG#kA} z^frR;N57zkLkf=yoJHj?aJEUo*|upr!uSNJA*Sg=Z756B3Qn<`-$1pM-Z`Y7>+oX= z&jx%e96IWtKuw7|DJwBrIS0>)DV+ht%>zI#UF=rvORE9dlTPdfNCth?Yruxs)N2Q^k zptjb4C8^P>BHNnin&%dama}!V>YLWltUl&m@#3wtI9dD=uasM74I1<-NxSkF(v65) z=|}0_IxwI8Zk5UhS>6S_QK{5?&!Qv$-8fS@NF3%7NTR=K8Z;%fntW(+=hdY09}mZ` zDN6g-1Oze@9-08(QhFOR>Co=btIkT2><1TSPvO{%rZI?MD)_n!*uHiRdqJTwXw*a(EH& zm%*|<&v!xW%!m=n3j=r#n{#L>2ob>tfVx7a(>KQHkx!A6R#fR&VdYjaWIanQ6IE9k zkxx6qzMiq(_0&^_de7*7aT6_nTWdNb`xUG8o?!qJg10x9A~QtbMtsTWV6-^!VOU?4 zEME2#+4?}cT=I{D9^U3iiO8o&#-?aL_Mc)bP8ta})glX$iMW-)o#w6I_36-zR$L8Ws71ms1 z&K#c-=uDLp=pXUwTLpvtAJ*OkKFaF)|4$%+fW#*v!H6hPQ4w5HQ49v{3?wj-AVFMk zB^FJwZm1cJWs6SG3=gBU)mFP{TidFwR%->ctptz|L#mt>&uJD^E`Jw_uPBWJ@=e*&z+HR?!)kwX1_CC@`*2;qI1glQ@H<;oZE9gZH4H5 z5pqVZhWEcA?+a%J+;*^pi09y-AyeX@yNP5KjeSYF{(D)j4+6b%Vcf&R@mLwS^(M zLD0V@_6lS>sy)=3v0VEr%}#j8P2THT4>I}eko%N;SsPR%X;z=nVKAX7BjZvdG{mOI zeLXs(#M&T~crSdag#|owhP;DJ>eLqhxzvB&=|At$lg?&JFR_Ujd-6ZNM{9&qQE-D5 z-aw7;W8TUY5dhFll!;i9b{T|;YIy7sFi2uyzk-Sg z+qKe&8K?FJahJ(4 zLV-pEVq?KPN1u&KSL?le2C&Fk_USS_4EUyuBczQPlQdu~^&X+Ll!)kFvZM_jZvLfu zFm1qyQyq>z=?{x~n=}yWKr|5SS1yAkzZU({J4SA0k`O%);HW*=b?x2f!d1it&B}bTwa@&t_XP%OG_rn>qPk8d~*X8D2@aDPj2* zc?Td!Ae7;=ZUphLN5$tZ(xS6Lw<=WrR(%SP&;|=B zXf|-^YRp*ipPL2kMz2ypYAC=RFb+yH$3P~6{x^6Zn*M(c?>7+(@9lyA65j6e;FP^o z6G#-+%hFh%4c1xS-*WTs`e)yq`*OpFM%r_u`Ahgf!4%{euy^_6h&>=$ceJ+TUrTsr zUuRkV4|tKskp2`IIPS~QA9Fy>&41)CeRIl78m6P34_}D0y^7}b4WpaJ^)3q!bDm^* z+`iPP*H}LDZzgG{Ugqm%ZxZ)z+FsUBaMFN^hTef?xrwzbx@64>|5c?Gg=RggLr&#+ zW1Z$ZG!|mTU)%^{ppSEa!Mj;nWTP$h175rubj6DIrU|J;t8Cc#%&-RuL*psoFAJ{9 zG7~ycJnv^_fi>QG&DV zoV>PR9txfeP+I+j^O6Z$INp&Fe-H6M(rBWa4R)%TS5OKYs1Ha+hj;azoDqhoYKSqJ z${?!cdoU6+@`en~8B_***;}mmwgFP4fyH0{6fDMy*EMPI^Zk(hzA72Nz9}hkT+HI zVRv}!eg*z51Ly8m??n&+PA0Q2^Qte)Y)+Op=cGM!b%D3}$GJHV>i|u*)w6}a=>}nA zYs10X`FJf9h*-41`yF|NsN~sQ#FcHqlAPmOcSBo>JA*GW|Vite^FjP(uD3b1%)E*V*Rq4YxU#ehqLf$VEN0B$O}rn zk{UyeHjumQym)`UQq4<_54qg#=lw=G7PU&I3V|hg5As}NkB%ipbpfIQFHDXkHoHM) z>qzehg=sUh$V_cE{|P3)Y8V_A9BkoYNw9lT=23;pXX|I~S4-); zMt_X*qtbnwy=S2OQsi+L8A{CdZDGBgbgqY= z{{}gH**4DrdX5G3dj`;Q0ebdA185x~mF~0bx2xQzyw6>k6iB}zRUUE*cAf!^p_Hsi zBcca-8&dbx`T{3}+@F~_a`of6kbdt?K`t>rT$gkoy|i-STl|cZWm4-gS{t~~q9f6? zfH_zkT#-X2Lue5gK65L1XLZT0L8SLt?{0PCqQpWjmw48@<|tWvf`|#sv4?XzdqD;O z9}+HTe39^O6xgSaT`XFWi0^B(iHscajUP;svZnHZ}7dcAkhzy zX3r$r?~jc1HCIciW`&D%$&EyNX(G4a0n02cuhM^~hQnl(N5&y{{yS z=_3vPDRIrsbph?Sp-(!>0E@$U<;R(mtlfndfd(z_wYKG8o+Xm!=JX!$w?cGbrY$;cnq`Um}LK3Vg59D}Ux9gCMKb~dLxQFm94b!e49_M_m&YqJHnEJ68Q!D`>R2(C-MGUf zf4EsBl_sz>pI&4wmA55|b6i;3ZX8rLKkAE^WkNMW!00?AHI{lO00U!O@{LX22n&$0 zF0|3h#_tIr!=L!}46?*9niRN`6zzW1w52zCVur1B*;+x3wS+thWE(Gm(aiGj8_*6( zPZpJs=o{TZtB`iP8T)26&ML>aE=)_;A$Nh)CfpbyLuKI3J`auhEVOD@AIZmWMR=W| zZ{}`BdyoN@N0E2AgpDq(ieu+siYR$Fp|piQ!xx2?8lj~rajikep1}MUv;^^d z2AJyew-9jvWB4kHDpOH95~@4NNiHF#;*#{ltnz68W3Q{kE-0<$Wa{H>K- zvZqQe_AA-jRC1QBr1MJfw_6Qy*nvGaqxYU_=#^E&zfUpE=p10`d!H|1H1brS+v#0V zl^L4P8T5-SNXX2Zu~H8QBo;o@@aso6vy7kw^?piukh2aiW~%A%ZdpVO({!PED!-Ow zF2qi{7Nv$-BedC-05EEpW9+%K1XssqGtrH~e3|!4S|On!TsNXf>4+zkRI_qPo1{tM z%aT|RH+ne+QCmVVzxf7^HKI0isJb@gEWq+V$oBhL!Mzc`a`VSj!4NR&Z|q*Wv`)g{ zl(Mw2-oRL9Ej2Kw_PYqX0jBmO^h>N#XmnT>&Elkuf!QxgKR?sIx=vd>5J*!#mu-z> z?9Kk`iq@$jtQFeAZ0SrX{+5wj>yq4}=qI-%@7{j_U*>~*4*oq}!XK|gy$3sS5RNt! zye=?650>TIu4ZE-?QUZc%tdQRXg9c2v$D4gJ@Rwz4HzpW8ffS+i&jv1sNogAzEL9v zUh(2_cF*pe$BRbFnGubc4sVKiCP$3DkB?3(&loIP<~iE3YDXUS0gIknFv>6|)o6R7 zICu>JH`4VqFU8%!NJ+F1hB`OV0B*%f|1;w;t9;|FDXaWrrFSpEf~z_Th`30OQb)Rc z3a^f|VhbalCh>IVGm@AmtI50{>r8aLX{K|wMtzoU6R4_{s#*_j(2A(Wx}(T!Nu=ISZKf5P!r<}%?Sd>Sj|oujH( zy_Z+h+e?XbbwCFFGiqNv8Mb>LLU60y*G*zB{P9$Gcw|=UG9z9%3o5e2fb0#<0LI+S zh$Ihd5=M&A{QFYpI`0i@-QcrUXQf%I-`^-S9v+HbSRjm5_x{G%gSx)z&Ub_`(;hR- z9A9!KeOQKm+F2$K`Te+WyoLLZa4o$Wfi#I0zMnaZ)8i8@*l*?_hG?lSXbbk!2*-dh z*q;|2jSiN!1@~f1t_nq`F#vSzd5&6lN2~|e#k7i(|Axni>F zGOZw<=iE;cwfOn1!YSo^R@FBdFgSKTh1k$tp;4xX*be0u3%l1ul zwCvS_El?|J3*;Rih7x#`{A%G1*b}76CzrAEYp2izNAKwBIpBFp2xSKsk&a1L%Tgm~ zY$ZdTWqUcxiYULJWnT)X3YCv7@0`v&{aw_`r9@w@(4ebysUS`hBR1)$8r!J|h-JAMDM09kT`xO<7 zHd&I@T`=>4tcjrZLI$$z?UPnnA@}N1M6Fy|#_hGa^n29gMBNA*vbvjoTlj>K8+kPY(BBzKw8J9m+`3Rb zwdlFV%R}y^rJ;%!=g*?RP~urFCG0`YWb=+QpHCnK^Nuz1-M}vowrTiHqZ#IgRg`3wCW-50hZ(AvRvJcVt-?EB7stT4hH0e>A$8RKw5js?Qlzc( zk6LQ#L)et6kCExuceSYxd2=VHB1-20lw5Jq8y%*Q^3I!*NXmGc@nx|VVEHOlwZQpLsJ@b!?-3 z3##n8?atz`gSE%3K>{Ud(gp?#l$fPk%}o@Knq7B$=25tiM{tD}DDU=5%n7fkJ&8tw>c5`f?Ws24#KMyMe)}0Kpe6Y6}d?fH8Bx5f4XH*^*gCxA^*K zZMX7j)9v3Aeh=Z=nQZ)iUie?*Hv?9|AHk6k`p;CGy2ID4KWKmdR9fZtPZ15Quc+-m ze?Z7tiP74CRm7kZP9^jUwfk+>jMikf@0)BFnQS6v%IlufQ|GqSo6g;89))l72(GAt z_4Jm{GM)P-A*s%tevR#1hJPtNJYr2fSB#mL%;u?olYn%CAD=@#!Jkg=7{L1(z+-u< zdPq!7nm_FWvgQx{osB;RND58BLwvgb1z$8BZO4E1d>f(hJuuvTJp9)AZ^lE9`}LH5 z(z3p2obwwNH*2d4hCNLJ)| zhSsb)%e@+RQW^D+^6RhF=5YkpW-#*H5QeN${&41K&kd8ChU!;XxMvU@pn|ti=|D9b zsB{D->z{$gNTLGTj|-mJf@hB4X$%^8aBr1D$j`5}2&of5)c|DOxEYwrYcSMVO793P zXt0$~N$>ymX9A?KX&}U1%2xit@Y9I zgEM#THok=TqGWtYc6{#W_4UzEas>b%<~w<)T<{fiYyS)`B*=t!O9s3>&*!A?2=O+L z-y?eQ_MD7~%&q`t&8Sp|j_cl`A&oq3mU^7Q5|zn9mKoI#1KCnze^Y^P7I+GYUK{^(6OZEdGb{NzD}mpe5M&?E6B_U@e#Dg z@+K76@;<)u|E9d3aKKye{p$ayyhD$$|OL&vBM78GaA>>!J5$ zBK~5z?MeW+EG}l<+F0XqBjyX$h`-NZ1NUD5&kSgkgSjRZ$KtS?U%(#d0V|;2e*sGi zUb@`&pIKB*{{@T)SWFsfKnOs)_qR}H|Cx2PM~bl9cyX3xj+c9Y)2sLEGvno;^msuK z+NKH_Gwt4ltP1xyVD_NjppB@Gd|LPAWvTIEnm9DGYXW{N1K#FI|1HWh_!-O38s$41 z=k(}G3QGItu?t^b97GhdiY%Wn2)K2OE60>kH~YncyCPlvoS*K-+iMP| z_Gc0tZ#7piO$e}o4~%|}5AM={Gx~)_YfbbQE%sqKq+i^_m)extiW!n%@Cy@$3_}7- z$rl{vCfQ1bCdWvU_US1)2FK66x6xn`zk&Qp_zi9e7Pka5Hlltelb(2FmL@%0 z?JPDb*&oI0cY{C3@kmREb}n<1oCrKg??|DK>aRERb0}5;#+?5$Tmu zj-|Y5JdYp-evSiu3=_#ygXdqc##tWhuYnI4kTcscNN!kuWB&EV z-{TZSkh=s-VSU9GPV+k}k?D+M``F=qszY1Q(((Fun-65^)uYzx#A~v@p&7Zz({n3F ztq%9q1uV~nKSF&Hc7o2zr*Ix%ZcR8Q(N^zP^{-E?p^AA+0F_>=Rj)zY0MlH1l?ET% zvCh{s@vHvmhF3p2HQv+seRsA-0G2bRqhHcj#;6urEGalnq@aBNhH*ktnYq6Xd^Eor z-rsrBNfcjOr{heo!mn1n!7Od!&ii*3Bi16VVD_iKLQ)?|m@=O}{pnGjT{ZgSnptmg zX=gB2l^b+9fc^0l_uq@wFSs;~-)eX0r|MeWjLFS}xIU3t%U7Fwe+I=Ut6wUEk;9!Q z5iPEs*()dDEK4kEU6IS^cdEJ;Pk@H3frh+7s)pX&-Hon=8`tMOfK6^+lL|59tc_kL z_R7`ne=1u>Ae7W)WVh2Jn;b=<4YGKa$~L5rW^<}}N6s#`pjz6V8qg&962LRv+)Qgo}#{e{fFns>4BFC0n!e^M^*S=e{FzJ0e#e?gG%ycF#K6&stUS z(fn?u4)vo@`f!!CJU}d&qCKN*fwLs(W@u%(eMof?(4e*Z);XUw@Qd#)aZOLzoVTmt{>-FUi@ znk*(HB{ew^qedYpWpyxw;$38OsvVUQD7SkHZDwq}3g11;WFAcB zb8O}xn9LvenTOlVm!va~H7%LkSf9HPJO3C)AFx-HV-XiU7);UM|H5WGAN8E$I&^ zR=D*{1Jf(E+)f_B728a?|MCvBg}zUqkHZQ|f@_$Bt0rmP+ z1JoM?`bPx5KF>f@pIGP3A%8tLJuJbww;EV$3tD9hQhC7@Ur>+=at?Edt4V*!Ua}fDT~Jk_#D#T6x6!fEw^4(Fx3kb_}JyGkviauO-P`7C+^g>nM8Sr>Yr{ zRou7UGf!nmiO*K;a$?Itml>yTWRBA+J5GmMGz~x9py@F)5DMFP_~Z1l8iS^%2uY!- zFB1lZlsEJJ6hF4XJDm?^arLOD{#$-*ZIT}wD}HPWqpE5k^H>8*v$)zv-nX-6Yf`M4 zL80YuwTk}eVVd2BhdawW!+=Wr87zf=js}MkI-(^wGJ4~^LQeF?`|RTZIel-nY9`AHZQjd zR_kPJw_0j@!zOKbxHNcDYr|2_vg8(RTUATtz>)Qd@gq`MgNaquiPe>hP3G{^)w5Q$ zRThoR$y`bO^;P_+Sx?1=mZp3wxcMJ8vNIjc>4;_{xp0=>fP;f`MzY+7VhF9B<)2C) z%EjW#VCz=5aF+=T6np)Nr9jakp1f(t(G7by?HJY2Z{5V91t6$%AJN*xIzIdHSsz_M zJ2!e;ASb$)-2Iw{p4QXWbRgT(6WEr1r-yB6YDaqgzD8;Kz;sT{-mGqZc;C)LtS1Hm z92&I+`klPraxVjIee_Bou%a2A-CuCNJxHse#6j8;4$_wH>14XapTECyR!*a!U@3zF z($Nbpw7{@Y?46pnmoCU}+P+}H(E7v#_0VKePAFYGAeU5cCsV=RZdAOpeEJCI$yJfo zS41kyB3)-Yw|osWTum1IHEQ5Hon_5^8)xO`xJzyc@CfzpNW5kEUy+$Ik(u+>_&3j` zzqwGBA)Ee2R+;q;>CgJk%l_8fe;zYC&YUmWN|ZYR5lXr=#M4>15vON;bNVFf8^u)C zcTu-*ZuiNIujlLuqV=ciqtn6M2Je2%dYxqxMuuW@M>1f}+tYv<&wx4QTL(-jf{Brd z;>Kx=n21Kq3Tru(ZW@|~EKrvI?tA$;FJ6?N^B%twc%Q{@I=^Cmhw=Ll;rTox{I2Eq z6Mm2K%PGw5RoFYPcVWiA{K7tkeKWpydo3vJw_8|dK>xi4*io4NYsX{LSfJk8FaUB0 z0kVU_gCk8u={Yk*k~z%&(3iIx3JP^9Aa9cRF|Z5la9Xzx`pnkv?T`~Nyx|FF8&QYm z3RKYuLm1qC>aX5PCfhrm3<4RwaVhC*Tvypx{#RFzomRSFdX4)^(jG8aUJY5SbO*Hu zBRlqO7{=d$4g2#qH@si9YwD?vtm#!>6|L;Ot23`Ym}vEj(<^yft1E(~^On`P5!F#W zwzh7;v>NxhWch(c=HSzn?f}Z)ZpzR$E1=o!6sb@r}LtoBRd zjhuL_3)Ib9p*7yjwrq7A_9d6&%1iPQE|tQTWb6-0om-Eh|AlCTSo84hj%`z*CU)4> zKbKj@POYBl{dUN`kgff=iX5l;17@L{SCMFsbPaKC(M|TDJA>|$=7)cfpHtoYnZ#Q# zau=LxmhoR(cJnWW-TV|%>)l&v_{Il)Ms6*9csxQ8%K6t9`*0J5}L^38rDcR0MfGf~}5op_ua2+B`q9d46m1S)`lQz%K{5=1H zdynHhNqHvNJWFhzUzt4nD$in@=NEpSKPk_pHjiWTEVX%lWAf~$JZITFcl&v+SDq7W zo>wOsY}{`1{NCg_KzRR(s=E;k7nTR(lRr zo+oUcWP4tP&5z$@^L)35dX7+@o3rcrqw-v0^X%0_dqyeG={AoU&kVe4mFGJ)&&v}H z4(_x#(EJImI97QMvU&cf2=aVad3K8a#R`9C^O$iQE7Uv^1ylT=ex{Sj zlp%_@bUh@5sFO%iCe2s&~VJQG{^h&3Jrk+m(J3#SW^={0GxKSy32$I zE9UAt+UOm8IxBm0Cht_=Hfe_0)O1_ud;qFOKi3wVkyAN#S^=7!X|t=`)eFW{yU$H= zpZ3o)1p_S0Itf}89Rvo0n24{+t6_2N;sd<^FO?O+f_X1ynnfg=_pSDt$lR*~)oxrj z&Vfnf?fZH4(~R^z3N5bT8Oh87yIR z%|8SeYXSCuG-+B%FfwjwPJ;tO2R~OIrNxZD_j(+Ez{>uku?Td`5jvdZgKEiv1bo^M zj%YQa(Tt7H#kRV-qPlec{uBx0SUaTJ4VIJqX>pm+P+4bQRdhyK&<&I!Q>+~lY2Bq% z$49zKoLhd(c&gzZlBL?+aW#%^+p9Tkvt~~* zBi01l0%LLkr?X8}@1Bqnlo{yCdw_V{7i;R5esNWP&SU&K_;vC7gkPNB2;j=e?UVY~ zt52WadFCs>Pp{0*R)0(WXZ9Cvu*~S>@%}rQjvi=kXy4%dg3Z4>p{!zE7^Aw~d1~cH zKEeGC5>1qe33l_R&Q6IYN(ph6Up+*9VoKau4 zmP+^IpC8K7|Byt{DaFzLHCA!t1N#Z9mr4ykpcH;$RO8ril-5VD9fD%4tul8Plo)|S zqWJ7dG8$hpTtG^^Fs#Ok7t{Cdc~Jl;DYK9<(N=LSBzpDh6m{k ztFv-C%JfiUXkFfBt!#d?zhcL2l7?T@s+_5zH~Srb|G1B~Jli?5&=_)WY9V`D@Equ0 za87;215JE7F$_NlFl!nX3(1Yp?AVS(QlINA*WnJF+IcroX=Gs;8=?Klm5i@+CzS;w zUA>%UU4R>m1rm|2y`5Wr%ZJ?^eRHk9J0evd$DB^GrTl>;KC+ImMfVB67uR-=ltoVS z&AinwRoaFHe!2v#2?0gnc;{t!#Uou_!}m;z^ZgXB+Z1`EsJ1BvGz3hFll&BawKYY504nYXoiu;-X z)>+cQAUC~jxTe5jY)H)^44-?Nxklz5sgI@if|~jK#`@0>ud(0BaK-6&p8rgK58^vH zfXw*Re6&hz^|#v(iwsuN!!wKtUfhyM$o>40Y8En^j%qdU#QNys_a7VLgi0-OU@Xxp>;R5gFF4p z(yJ!RH0zriRT>r4qfJjTt)6E5n*$^PG!`M7Q(}BF7b6Z%6tz|5!uDK_b({{`am$Od za>@vyu~ulD5pu(&5)0JDZb&#!p8p-GXKp#kaMUZlYdGrlJc26@h30x2k7sW{E`0Ci zuO@hk`%F?uPk|#SrqnxE8&_8NIt>Pjr=sp4WHcb;`=|6>g4QyksKH+5Yg~fTzi=6+ zmm;VYL%Pm*vBespp@7?~+(|<_K~abIpAV5OO&S{M8t5##pN+26mgYVgKa-K8#ik-` zn=oE9kpqOu1U{?0Tamv_7MbTXOJ7Z0k*<7ak!Xk#=Tmx#^k(BNhTYbYpk*<9k z_K$QOz(qo_;*U=e>)YX-NxS01dH{?|d!g26BTQT}yoq=3?+0)Y{bB41ywR(+!%N)cei*io>zHRh~VTdv-*Dw!%0RA z$j|d3{{>`vX)4djJ9j1GXS0ju^6jugvh)39_P!hIs6;aLO56!Y77p#Bt8a^E`iT%J zBsy`P)Zx8|>QvOMh}et#*zaW3^!zu}^f9=OboF)?y$WhlNM{Onc)in&6r#uZg?t2Q zjV}|KPj#>zHbW?Z1rtL@41y|U8l*GV{6Q#IIh+Z>5{jmzCN_z_C_b`6L*MU`P>Jyk zkZ#=fxh+#LIDRYC#&=G(J$)Gf*fxGfHznKno*z4f*fcgj{hBuRGi@w1!{rS>+2Lx% zHX!ca3M<;~@a|CA3x^hPWdm^BV!!q^WvuqgcpLH^|1)K{ci*eOtjim{ou66A&$K!A zG2Qd9pW|V2bk0|SW3q}Lkt|;A{F9$JqQtfC&s28B^9vP~EN8Z&uxi`r-Rf8OKAk00 zl#=QRzku;dr6F{`a80G(At33G7k|t>-!t8VJ!z7TeTEZ@k=ScH&G!IP$o&_Vh2utH zXLvN15GU54;qkw8G?jDJs64J)>O8p_p}|dKb)s!kg+0->7x9aU4!_-bo;az1_YxgN zx77>}c^mXv={(t+V+a60K&>%pKKJC_@C<2QH+q+F$%3Du{|-NIz)Ni3mB8~|pW|AS z-tX9&ee3a*XOi~78Aom`>E@KIvrOke*l`@#bVFW_>B`6Ond^BRWQJR^U!Hv~hzs6hbLA4`TwnP9Eu1yr_VN zSweE1HzkS8+1_});wnhfb(fj0a%Xn=2kM1Q#;8^qKI*LjZ)|$u0x&T&y)xTt=<<7| z&byS?_y%Yi=CF^SsP3FQ@^Pxq`Qi^@zuK4RI6vbCz53PuB~|Tz-p{T!oLTLRbhSG% zT`&dJd7twdKf_cz9j&IppjY^bz}?_IhH@K3^=)8)?2cQ`TjaF$rgwhQ%A3+3W=XQs z95V7ud{^ZH4Pqw94?i#}H=N**@ll=SD1|@DJezkY>$m z@9J+%Go3}!tH%c$-fe?-N)mjpyYpO4oqm27bkCdKy2A&-%pF{^C9M@18;Uh#yZ1XXT{+ zTpi;qd653b_746P~NTrT`|c=!LCun!4qTG$&Y4VZn|kGYMQ>=w;` z(;&j|h6N3WsWqjvrfrgDXLgH3DC68AoAXzqI8Q{2Lhfy?gk})hbvf$x_~m}>;S$>F zEE+C=@i*>+Q2-d-OD`f@+a!!fP#?sPwhfx$r{4lE7T@0#nQt3(mLKyZF#w@C&^9R_ zxcHx34VX7TwmX0dr~3-x>!3MJ3n{Tr(?U)Y2vG<5<<_Um%_@@|J(j6(0LY17%Nyl< zA~Fn;4ie-T+F~{_DW6qfNYlbTjCfKE@N4=8RxExpDMVVN5-Ok6$60igiXs1%47f^n z=Fni|>)eJxRmd#_rRSI4N?tlEzROUg;3;P1ON99Vbn9C!cB=0} zh^GMgvvOypVegTW*0%y@djFA@)@Ki`L*6h$3ofrOd{b6o>vGHrFsVslV8pz7Uj*gd3X4E4^dtnetX7SPEHIwe>uDfv{JD;(k)?`e(TD z4?efmc&n8vdOgzu>mGAXUL_*4%2NE<`hC_VV~o)}6rMU+&)C6(l?Nxo z*a_XZs)auEia&wxu4Y5OR9}7dg$?|a=%4LDNZeKtXHtHBgr?_<`|e4l3SZN28+_1q1@0;*cAl6PoN7|mdbIYs zT+nI8766!0nlKy6Mz?Yh7i|X?mkBN_+uqB$880L`=&TqxVs+{IItleh)bLr#AUi#W zGvBps6K3QDwWUG6E(ZBdwlJnpw`+83vb>s#xD#0?6p`XOQXmDdI__OrVvj%@dhL*w zn&HzF_k(wdn~RkGRGn8uEdd`pZ=g;j-uk5w#?GSoK=B{3;tuU_V}&`$2)tRX61GpS z;}EhJ#0zgII=2qMFs^+!JW=KL5>zN1hwudb$0_WSw+Ty?<53*$hP{%EqP{#XT~u{q zZPVNtEM)t!b@(7O`sEA$paDtWGExV-Pt|vdWV1krY=dMObZUR2Sy(^g(&r8vYZMOnse|*B&4I z8|orp)#mAsvLs(nm5)x0om3kAo@_t&yrqi)=LIftJo?5 z=LUz1bafonXoJ_i8P1}25Qq9De+3&WIGsf?0^{rXV^5jKijUG>GFEsd4=?A*^bmUk z-{Q$37XK9)P{rUCL4B1WeMf(hdEVUmorjb*_Hf=Dw|kp{ERG}F8I#glbG_xbRuW@%n{jzezZARXl@t{MQ-On{;ZocRMf9+QeT|=%!C;H zOglam{4s}G&F&4<@4nT8im6#PamLor4{tTm8R2~QnBImXFMq)@*ivhm?e~)#WlB9M zx8-InV1^679a=KQ=)Uj@ViAajrfBh+&R+JgX&{Sl?(P(%hM@)js72M>BAwNZ}5J^EM$Yk(7i>iHU6Agy3L^JzI2oP zg^^}3@2z#dD03`ve8-G$U$ApQ){NF%D$Tq<+jsEm=Kp+B-{g6UCaSMG?m14CE}h{1CQ z+-N9R@wyYc2eAzXU;AAtg}Q11MQ4`R%vv+v&0Fmo5-(Ax42dHT|4dS%4GB|IV|_64 zkKs*3<}SFLp?~)wF!|C>K}U|rXM^f21Gdg-!N20CR&SFMYy9}otgl1vpdDV0k}W2# z3Yqw!9L!=3>M9r*#@?BbPZ_e zXT+y75WTpSPZt=O=43w1`aa&gU<5GMyrAbdmLaj?qv89LP+zTTbdBR7pd{~#9TqmA z22)Gkh4ja-CO7?H+7oYJ(XMgNEFHamO2z8=&z}|>uo`=zpqq=#<_3^h z@dP5dg1|>aVvQM5-bJZoQOYodHSv<{MDAu(Hco2yrTlw&_l`~GV5FKd;!_2VZA!oJ zxixN8X<`lTKh@S&hM$3L&}z5Wo^aMDiL*Z8e&Ebmas^ouxVYe=2vf+sHSu!^lE!pm z_u`*p0&^d@Ek2x=Sk+lXXN31ktT#nHN_19HFURjOIf`FBJcX=aqRpGp%SUj$$;PkD zjNj;$r{e2u{O{87-T_2v$kI8C`y}!-{Bwx`d+rZ=d(l-$yul#zal zo7a^n?kMe*6ThFShGl04Q#_ zB7+XX6%?;LFpa5UWM}7P716w`OFRv!IEGZ4N!5u=d@xtEvmzBF+MC7|m13}iEH$Hm zHxg1)Eg7yZl|k6vhiei0rEyyB-zk%Fw|gOeG=}9A|2RMAKYVKD;Z9es}&9nG-*~Pxix-aXmd7$(zNP`X4>$dy!HgW+;AI)SO`* z@LHq^w4>=~_o!ti^z&W2lD+)LRMOW8#0N~p-NL~9G4)G>kL0okU86A?5JU72baj{k zWQE;FnDO0Va?Qgh9JO+v=`hAN9Du29_-n!}yo*jHvoa@z7REo2;Ya*MIg24N>@WM* zZT`VqUt00~*UgSSW`q^z>O-zFq7NFjAy&L&uv#9|bo6T2>wyf<2AK?F395`Xn9N+z`MrqDbb^bhuoMc8{XA8szMKx8#LaJ(G~ql zQPu8%;k$DpYsHBeZxeG;5)Q^qMn|fJCB{!eX%p1d} z;Eh_W=__zuh&{r4W6_)_z6&Wr{3&>C%vOy5lO0x85xd$*FH*7)JOagPa{GFRl?omN zUs!sy>tL+z^T15HB@gq5)u8zcmeJV61jfRPS{o0x`d!ZV?mzC>hJDAK-{6cprlEj~ zg>rBBu(LPIcQrgZW4p{7A*D5Uhzo~r%zfRH|~wp;P#-uiz~@wPh!ZZVX{d-e|UP%#(O}o?JbId2%;`!X&84d2(sD zd9oJZm?t~g44b1&f;;ck?j9}t^wih$z&BZ-c{tgSGD!&7$ zJEK*)i%c26d4aieBVn35qm5`s)8;+)O$a!CI+AfZ_D}veol@uRcaVnZd?rnmoin}> zeYN|IOz7*4|DI?T5@P%+bel-{o0Mm7m!7(W)j-`5_cvDb3 z`al|rA03zph3S;zv&uc(FL!^+wWH7gD2$E(%8c{1^7qsY-Vxph&l`AsAh+@*AfEwC zsUgTkAQN-p$IA2e_lfj>It!HQ52Omk&dN;9YAV!t$G0%?>FQmpVdVumCR1+x6#Wuu0|o!KUK52y7zqgE_eKf$`c!v7 zJW+hlKy9oxX%t-n0@;$YJDND@vQeE@>@U2j$dn2y!-_vKZfKa4UCVB zdtgx5!3xxxk9|p+&I72Z$kxzjZ*I)k#QoOFw3W!j8o$A=ZLDjXNy8?e!{%J}MAfq> zI$6NZeS8q@Kz-?+6ygPnw~X(g`?8O$U}D|qgpWl^+&+U6Utz3=W<71Yy&Z>S-XW%p zEx4yiChei!uVZ9m+Ks*tuy;e?kMfB)!qjx{b_pOf96EA|1j&%<4Bxyypj8g=xnh74vJ57 zo*Zx-Hrl=5Ebcylr4BDi#jg}{v5ER{Z{`Q5N}l&h&1f;x#q?SZ-}F^AMiFMl^-%LQ zx9zE=hXQ+NUmpP|y`Mrp^Arn)v&7z)>91aP<-5>W`><19`otgdbKbx2zyB4GUcUi< zv_IuNoL_4FOy4!jhV#acwjg5GU3BJlKaMWmer!q$&`Ol(J=g4b+LwPOF-2Vn`F;ST ziiFkHxc3eZ@nKHNDW=ws386R$x^J+#FGnB7gdFr|Mp<+s9@UVkmj|0ZU^V*3@EIfz ze7P<++<(!>4Sf>NR!7zrxa%Ts_6mx>OaXB9oiuR#S6p*ZYQC8*XL==z{2e(WtZkaeJ@fTwr= zq@9V!3p7lw$gB%Z1~jAH`#H-5+W}kCn==2IeV36+^edk@D4aJMTm6S~DF1Q16VeQE{=?Ay4(~Tbxm@S-Wy9d61%q=V%U)=E+EH`bpKvl7C3L*9H!!& zJaL^S_-KOF;k_$c5aF1h2cRj^`OmQxQ(>aR&95X+w6C))|GT`jOya&WNhBsd4Ug;9 zo%}V^nW=phS?NMza8F6kRR{I(&f2T zlID2601$r{V|ON&rsWIDTo!5kCMP^fNmz)U^+HM#*^sNzFJcTx0dLOpy(} zvf49&va{RMOYPaInnT_l6sPu_W&wGLPiZ^n*mnG-SF#68`!P5 z={E|Q-4`#JFb%fE4c^;(OTf{+IXm(Ylbmdj{A{B58@`ND5PKl+<@>%i^x%Fnn(A|-~1Tz{wpz#F}wX@gJxFj_*SBQ5rcq9Ng!T-pa+y|okAlquMjld_>`8dlz8aE3>9~v+xk|b1qoT=Jz#T} zXiJF0Opwr)!jy6P{fyl?t1T_-$O{FRd&@2Bv-vV$(6n8waFVF`DWY2ZyJl=6fJ(QvE@;? zAy4~FN#14jaw*lq&L~G`e~mHwE-Qi!2WuHL_5{4KHmVyM*~><&kd#yvV0wp7Q2yYx zB3f0VKZAoURmJ?wlDL;%ygP7>qjD=kx=ZtnMaG^`5x$~7r{QERp>uK?POud}+dl&xxVKQR z!HG914^!wK)@3cA{yKV+&2(pGrh{dbWZNR!p&6ptGnDrX!wo0%nzhv!2|{~)w0C%$ z%(~CoDqvHK>&RcI)()?dgPL0VXDg;8*fgId7VD!9ue#JMvH8fTGS=8fDNJi@A=yZ* zMYbL*ZL`Q;zzZX3lNd}bu<%fs3+(m={CBKpi(F~f*8%Kl6RYt3S+VY#Pu(4r?rO8X zE*btXh)EP5+#BDa&ExbTI``|H%&=#oko#aXJ@edI=6~oRU@~6-!>G6 zk;(%72Jha%r-;hFsV=Eim;8nUzf0x%zAi=f?IH_0p7GvMY-D3D3{b#d&}zMhuwBkv zl+68%g^~BoDqHR)j4}t;u&)s#WhH8nz|9dNi#% z%TUhb+B-D0_Fnj?dfaxei1~!he8c|Q(SHKV)cHGDBv-`kGBwlGT{wq__q%_aWy&Z< z5WE*(tH397#B4q5>4JBIs#n~K5P8>Zio9~dP;F+Ug*!sb{yolq^L{zhww*FljQS91* zw)j0I<@^T^Z`$WcrtJ%TUbE|)ET&Dmkwozz3Jg9ermZ^iOrn0N_%)+7N%CvVTk&fO z>yckWl8f;^KJ0o4vTbR8O*srPd;Du+48PWJmgU#ZVj@icN?>EPB(Tr2V1Hk5{`@na zUuz@cB89Bj`HkV%Y>6Aai)oFOZE5{FUcBF&5DeZ(vp9thwcOiUkS{^yTJ0#A@B@59&9%_I zrQ-D*%$W^e4hMo+Cc|3Sg4?@YuiG)q3RVlClduGx)nK zO9?dN=EjLpLCy@UgeFj}_uYT6EJ+gKEdQix(Z^2nC+b!6FRAaUHg~<$3@;%~<#6L} zzryp~lCSOE4x?V}<_!5L6QPbvle={0X zaX{L9I?Zo0qTRqZl~FiXUK8zbsm;7#s}g(|FVjAkWb!uta~J+dB3+4wsY|WWktA^8 zCi1dgV%N~b1l`Hs#3uCel@!q>do1qAWO3oYGD!YfYRicbsw$31)qtqg)X!o`y6BOhH?vC3J3 z9*Kyx&hoXvinY#7rF??XHxNcw4#y)umvQQfkWFCrb0=o(1eW5gzrNy?`THS_Yq$RT z)#H`i2@vxg_W%3~b$Qr!`C$g0!ZAF&w?0mG`H6hmE}w5Ujvd~6x0o)s%`14x3`2Z} z_o_Kg!F**= z>nwcK`nlTZ-9W)j3ufdrjB!>@oL$isKIRGdbMUj#dlJanCeFZ9{FNnb%|`#2t8F3C z2Eh2KfYSV`(>#V}(?3iCChHKs>b0FD9RkTASy}cYQe_YPiy^TM$JM(R4)O8n?R?0L zE3cSHIJV{wf#iuIADS|tiEqgaIY=RGgs8w(%B$7(F#?(voP$2ad(ba$El+WP|0JqD zdf|}xkCe$-c_FSJmJGj-3cSw|IW5KN!Q9j_5xezJIerSa4q!9FWdREYB{ozi+LM?? z!Ln*V__$Am!ua^(% z5FgZ4;wg9UP3%x#hGzO*`MSxp(OdhV@Ye3deq`GzdmYKAPFFqm5y_%4u|#r8_ADdB zo2bqDfOF=^G;#|gdn>${hqrvOX8soRuXWxXJC*LjI)*`R{KtZc63^;ZVzQ7^Y#|F( z$Tb;-)MgffuU1pYJ{D&Dzkh+7^wY{KR?VATF>&0&S;ljfoktUEL(xI)PZR^Jdej`r zbEIIggi^$jCpf#9dW!T7iyloux@=kK=WlfE?eNINLZh7H(?YiQ|%J0TE@| zA@zir^;U2;M2-4YG>w_L-(+B>kv_>TZ-hjfK61abMVzQ2&dn&IJhKSSHDYJHw~X6| zglG93{Efs&i~?GF8ocpDB;t9S1^wabhmC(S)1}6>Bd>;dZ!y+`8Vav{jo}H3e)>O| zir8PiYGa=yc6U4v^6~6cy|`x-A0`wh`HOEwb>}65^n?uXG}4a5g&AzcxjeinEopp? z5=j0?>l{T}l(^C+zC?*jGZOFGkxrbNW_Lfr!f(k_{9#5J7ndzxVBJz~^!{qFu+jVZ z17HA&z&s;gh%Dd)-qofuFtvcV8t(K;%fJ20XmZlW@3@SnAz9{yewh<(nc+t|4~ML( z$b)=&DIc5)^*i%ek}Echqq>dWK7OWZX+LjQKf7HVZ=}(~thlpQ!p%{)q%qVlv(a$1 z+qX05{PwmodZ5IM&;%wKs(>leh|X&1rv=bDN$UIkRcj_oL=C=Rf;uA7-&wSPo`>9Z zmFO^D2%SXUc7vZpM=0VIM9NFT|A{1W7Yu~)huCd`eNa&wIY_IfOq2zLCL#BpNM2~& ziAjJJpgDKiKKx95c>c|5PM0AqCjmZ|9)`4Zeplt}!gip>ZBNch6{SHSr+18)9O2`D zi~_cIr3+L$!(rXP)q2$>6;nASJ^$E{JIS1o4&gsn_SpDA&Q1D3_HJ8j1+Q4IUo|AI zZkIdH<67gNOS%ubQkhL!e zJe#dzH;1epUcH|bf2?i?ii_(@ya|7>WCiMo|2TbcPVzLKXdgKhK8b0CKNp)Q%+-^V z=1};v++uYU$1Kf(OK!ugEWdt+vq+c1DfKp_>xQ9ujQ~ak6lp)NApN&6A zEd4d6p+A35bedo0n-i6AgUJC?q7Y~0RJwkW4x7Vg%X1Li%2W+!@hWEx zf?NkgiJWAPnopX&TWk6w@p_sL>hNaa8mRLq|KlYP)j13ll;9uAE>0a@kQ7kTnK&YN zHQ?OQW(Svia>h|kcuGb>z;PBe30bu3Yb$waxK`kXukbqc&4BDp z-3-Vgwz-;g-lI>Nsa0c=p^NMAR{1Hn@+9SP3VTptvErA$Hj<1_c?jZ{7p5V8?DI6l zyP>2{!^NijGgQ_^e)%_;^1}gjC6va_>`Fz#Rg6^16aAD^ZAx~dFy4kxOe*n)*p%Uy zOn)@*%V8|$Rb#SUd(loqg~}Z1KmMPj=v9g{Bl1O+XHH;9wiRNLkgaL0m6t_uuw#sF zGS8-n2G_W+$y-gGwzsuxkiqpmbXMK> z5SFU@PMcu&y5D@;)V=I6_jZdqu!D_Fv zWv=c&m^*vy^nwK_Y&xpluZWbiO(jhTppL^LxH3Af4_}=2YUBEYdAVJDaQy)<71Imm zy=;Vf8@!>M9b+=GD)zP^p(w5%Z0o7$96slGKl{YY<#L>J&PK8YqlyT2=%=b}a$TZ$ zPCQrM`7VPTdX%Fp3vCPReZQT18BOd4uN8*^mF071IL*UYo+``D!6|2v%>R=|reY_r zezR9kr=_0A2;R5bX{q)KO^YlaGa>iIL~TJQy3mgsbT90gWQWdN`MIGp3wZ=rOhO9p z{qhw^O{{o6A($j+Uv|<5-&hA-2irV9w0T^Ur&@Vt+dNT4kf9$LD$C~$Zwyz-2;mhh zVW{z)f0-JW*xbJ|xu=pF=Gr^L7I4N#s?^wHSG_Na*Ws=E7$(4s3!(UNzI=H)xA+L-f>$~F4Jh;LZKIxoBLk{Oe z%=T^WhlsST2K%K>z%Y~r0%|P5etMlSzNNF5Jn(PuCfy)635XLps-N6iaNej5H$`Y6 zw?aYvqlZvCRb_Rm(gQ?{%kK>M;<|e$<6C;s-Tiejz60Jqq|{!5Lh#hp+vfY$`(6@= zwm8rF@Gf;+&-cCbc%DLaCu)ATd%s3%1yWj#)xsKe zNl1;Y6^I!`Eu(#=zJREE>L3yL*=}pOK($!g3Jh6V8`x>ocK2STYLBq(+JZ`)OKeQ9 z;QB-(>wK^cj?;C+Wyjg{q)@DHxnNC#w0k@(Tc9-2?k`Nx$Hjp_dX*CWv-eko+_9iX z%9}$pl1Ce2#0u}x2D@qqoHD>Eow+8ojyl!2REQ5iy1da0nysO+HSl~?O*h3PGxcji z6p0pdsRsKU4nFD(EZrjgBIFvlv0}PiDn_XW!(*85&l^Unc#y_ctne@%!4({3$nj2k z$xxU>2{EF}c5lnu-{9`1vwHNaV)XL=E>yTvOA-Gk)>p8H(uN`3-m`U43S;S6d`PVJ zUVCI`g0u+I6YB{^Cil9m#Dzwt7O0UqfE`Bf6ToJd{pJR_@BuFArMXwreJZ2 z8f+wp5v&F#=nN!qMkW@ERIEyA)24W}E@ej1vP_y#6OPkzTl=fM*S6Z*+wIzFm&;-; zCV(M`tZt~SxYYK9aRF>0LCg7lpXZ!evH*J9`~UsMdDrKC_UCzfT&Ar^SjTzK z3jXS>vo`ahP@cT|stmI0b1Ex!+CO?gti#62SNT@3KHzu%g@80q|7FZ(2X>lV3w z!@aJ@Yy_T5%<-+yK{Ryyu|J4Svs(b`Wds7<6fR{afgCKOcGxQ$ma>W2xgpoZE#Z&S zQO7@hpEMIC2z{Hq5TKM^4A)-8KGAgpoE766uH$>i9p4S^`0nDzjy;n#z9sTxB;%7R z0$+X4)o2W(P6m7%@FCCZsTx*5a=~5{vYhagWu?o{3|srcq#^)-^XxzTg@F;2vx&?O zTf%|b(xrdm9rSuqEh0LaQhNygo8Z-&veJ0fIY#p>6c-DT+R94p ziXWoG>&8Z8G-EbpCER7O=Dg1r<%vj@4~$)znC?I@82COB9-6;O`zcGHq)|$B>E;JL zOJM90W`8xt=g_*>-Pxb3{V)DJKlYJ5S+oBy^5on5-gl6+lMg0Lpx^C6yxMnmY^<0J zL8f9(^u~QE9x`1q78q1Dq2G9`>{Idc#72eBj641penO|7cd!45ABtEbOGDP&_njx* zhn+lBqgIu@{eDrfF>8*0)hlYVk~IvICPi0qzMOHUllmhHrq^aN1C<6dYcuahx;85% z-AA&>{p2=2kqli!RQ}52jlk8m6#{RmvDHbLRULh!SA)liUL~I&JG9j-l&o4ru}Z4D zY~tgdYk|Lg4JUMPT!$n}46e(`%9Z$-yEJgAt>(QR889RCbpyD_K`A&3@NBLU`P)@?<{A zBFm9jVTeQo{RC>UhjBG~sRUgq`V6yGbRm$#s+?GXJ+Br6%8J6A=ot|dXc6OK`Q&Qq z#~l^#2yHo)SBX)=RjBCLKR18+ycasU7iS4=CQL;`9RGZpju7H3@*`fA+u-e$9ADct zhz2}*!92Lr}@<;Y- z;ARdll$;0}oDs1a#)JcJE?t3UnOS*4=7}JePnB?jJQLH-<4S&a5ih~W>FnudYC$0upxz)&n zbTx6RQCpK1Hk~Jh{K#Yt%u-~tQ||d?vTsu79o^=R^93{ToU3zN4#o9RsaIo^g%JKk zxGxTkWBKHO$8fpHsSnf53Y)aI5{~ujG^L4bU}ny&!3`d*mQPzC(G;j|Ms*jg_c$ELHFkZ&KFF9rPNlUZKyPI zcw=sKnj93x7WE-#Jz6Crps`AO$G#lAygPi+AuvPT_LsOd6EkR>m(qD%h6Mz-7d-OU z-gu&tuJy$e_UqSi#+Fo9C=Ar|e~^jNE_(HN<2RjgKilogu-*`u@|9bcpCSD&wO&}g z-i9G`{3|JAHYI(rccd$&jIKPh=duCJYVZAA>T8RiV>*b?CVAB0M#7trBA?owWZ|W(>|mCB_jD7M5LQ#=8()Ke)^| zV0(IQ;CgLle0DR)>YzS+1p(~7O&mueP0?dnl)?b;_x5Hk6BYV@OXOGkC8dM{v~OAZ+hBJCyBcJ>j)O+Yzaz^c|h7M zv7f*9nA2P8Me8-N#BH{++*vR+VEZEP>)Lt!c=pBK{rDKzG;Ecat!TT~%qeV{Qy8+$ zVXfw{aAFQviXQm~=+S_RfRnUuTBo{ML=&@1?1kSHW^!N zYoW2`^1>Fg(7If@MREYQTg$)If1(ELR(ioAa=O`wFb?Tca%00dp>x=j>BC}qkN7w{ zuh&NKzBo>K3iC<2*35-$-2wFniwk7ViLl2Gcg=TwC zUh8apW>qsZV24ukr<>nU)wJly)03w8!us>iL1k`UV7lhZbSW#d)BXVzNgj!GYFb0> z$5mj|#)HS%px~25!Y^2bSP4|;@Y*|rB!GY90cAj=pOPOWOzJKD8THz$#-fKw_}}f! zcVF1EoH;pYC2>K+y`Bh~l(p z0NUlY=<#sUjMeEikP37PS}PRi8}_+6AvzHYbt2M9$>1DVDWBQiou?hn-XAY?gbLwL z+e_@jTSY7#8^$1G&7pjWSwCYKq)Zr^#b$5)QC>veS+GycspTWVK%3*A2K~kGeYzvB zs01B^TwO_BBfNUPtU2P90m-Nj)8|Ptv*`NTqF-`C!{T@-M(RUk$~M6hUWe#RgwE8d z^*dXiMQd|Q>gwCFyYtIk+MQCjJ2jT{r7$dIdi4mqMg|gH90Kj>wXh1mL317AzWhVu zveyjky8U7x~#V@)tQ5|PZ zE|>kj|1b1~AL9F7`FtAR6fdoesTHr+5=DUpe}5a;nT+bBxlCLuYE>O9%$?{HZslCx z*7MBP8&Ig;P!epp;WXgJXs+SY)*HqM@6G`MRx0b)olYW@4;yOxcT0REOk$^5u_LAL zHP#Gj$-mHaI@q1>q8KE-c}Y zT|Rxqw7ybk2EI}fJw;Uf!2DpdX+~jT*JddUhEo+J1Y00Mpg^>MrmI{OjbBp_qT39B zlx;M@X9nIj?*5g0iGA)|HW-F3ZrEF;s{3;M6GT9e;8D~OX8T)tkh8<4HPWm&8Xch` z(+p0)egI4lrktitV({~wy{W1qPtyVdU$VdTA zqE(LW;uo(ph0Mmy05NDQn-t z+6#G23|qSn5S66 z&ol#vVxv(;a;pqQRhA7%=E>TI{?h&vYsM;|SQ1g0&ID0Q>LP6kWsLtw=mA_S!>-MDDzn~7) zcF3v)?CE9VGxT)3BnT+we<1t_)5@$?E<4BTFZ|SYlSDJtTuQ!+Ql-!#PoflA`kL9a zh2BmK2i}kUSQhG2|&=7q8kHOo(LHKz2APg3)%N|m&!V2>Sj}L}#C50S(*1B? zZ&*MADC>z>hn8JHJd>>dRr~16uze{2;6U4LzyM+V`A4LWiR;GZnt?wq-NT<4N{Ska z%d5mF?P(zs47n+In@<6Lb&ru@zVsPeaLlrOmuzxK8XN|S4MU}z^ z6F#L%*31D_f~JUX@j^BAO+lF||h;e#c7!lh~J zcrxV_>B;<$5iC)vo;%cYs7{zM><9;imzRMMLS}qjjn=ezs|;pI+P*9MDMX#xX&ABy zOot4Il0o|$c%aH);6vcncr@Q$Dv#8*wsa!cM~$`aHxmUygZ8x)kdm^Be767?+{97S zlE-d)SV%Lqm)ifW)ttTx?V~Td!rr( z{@bz#SRdW5uY$d;1p|!<3T3b3Fic^iNCHMI<#YHkXop2(Km|E=IV(xrWnJKJi{Af6Z_! zlh`^Jt3czMamJ^BP6P{kGz-v;uipt4#G4a`(XWhw?>3qxpQUNJIXBG2U2M7SR*2n( z_&JwpJw2~u#`$5h)q6vR~t^$9M;riJ!-xZIo8 z%ks!HBKwkVF?}r0mKE?)vhGke{jC%<$yIkB!-?Pu1kzXu@aIm!S(Q?o{Ricg6@9wL0M7YLFA{(~=RzEm(hOMFA2-7MXZ~ro zUF{i;$!r6VW&;?gT(_^||0|w32I0@;>P3Nf+6`@D0jgX1l6hayxEJnwMxn&}W53$^ z2i5Ssra#3=enRVnQrX>)sEN6YCy&<4RH4hb_wn}~m){jmI^$fI(VVz_B%Y)sTP8~B zR}d~l7P6+7s61p%2g!y|I7}~X36*A5@?p#Oo}DcH1oTH(d*tc0B2$6?1*$7$QI-g> zLQt-f)y0UiaE*8>8O@s*EBsGo_|e}_zw3=>?|uBa@cQlE)U$X?cz02FUCQ9alcl1P zS`r3A$m`X!_~927GP>nQ>PGjFC_c(vXq_QA%>ByVMLv5p#Rqwj zhLf9qFRnxB>N0@qU73w{7RnqfP4WMYp~AM`A0S=4FZF6!(v-6ymVHF?)A3&C%o(Cj zm%iO=yMT{FVE^!eJbNuv33r? zl;y{oT1d6GvuzHk%I#L5A!3Eu%c9~G;vC5f120R30mJy7HznNIBa4yQHcQE6)fU$M z0uf>qTivm4HS>snjfe-Y@_ zk$0exqs{jBAaa{r8}nXs-Rx_=R`uKyU%DuKgiLQ6VH0qWN3ypsV})>y2_LZ$^D(=n ztkTHs-!xggiZ*lIkXLtijJWaOdEuruCCDr>DCUpX5D_Y0ZLV(@!6_mtYxXzQrLgI}-f+s=Q<$tSvj~ZR?b~ipa2%@)mU;!eWL_t=B!h*Xw-j-ZHPcUUP63 zJn4E#bW@h)%{u+HeKflArP!y$8=5%BbT+749kzJ}YD(&y7u(Dg}6Jign@? z;PhI}ybTqd#DLKnw+VG{jiIOCEmdQ!aQvssY~XY}O=Uj&uaCOTNF9^+gx1A9F5_)R zpx+ADRBYFX5X+FQZp8(Z*$ zN?cije$C#ZW9VgfO;xG*zqe2xG_BjlnAQy?8Kh?B-BxJkoo6;46C9-|&OGvGCDdsY zXXYI=^Y){vNTWDWRCtI`vec(goSAn3r#1VNtaNyNYPUqtYoJ|CV>|Q6TN%WLg2#_@ z&D*kl)H1bAP>&!wGY^YZ3fIAy{10{vwf4q!RO8{gw*=P-yYm5dj9Gz*v{SmB!L_L! z^Y|XwG3~#VMOF-_xK0xR%C^ZSL*9C%*mgxW_SFPc*=H*yPU9IS>;LztrXRuC1(0V^ zh_>noL?5MA3ePBFV%Ca;Li}vEaA}_iI{v$V$2Zh;RBX^za;Bkv<3AW!+~JAaeo_ug!!S?Ic641EOKX6f8? z49*ewOzAj}H$Nh8;`&u6+Gl$tGzh!6iCx_^)~JY8*)q7FRb9$P?kq?>Y<8%{Ko$S;Z1??K|P-x~=$z3eL)nq+P`=LfN-^A25eiTV3+;cu47e`$SW zoi&?LcZ4A@%4X(g*xW}hKfE78*aq*)yGI6_M91t#yh(9ChxdoZx6R0@8R5|2(lvqu zAbX;oW}-3}AbU2%pCMxH9-!~FL@w697}&M!vlYn5OUp_)fkNy*b=Kvz3a@p-3(xSTWwCwsgV13e6e2(t6b8H zLvZs4rVJ5GMZphuz?6t%6BK(z;pK^|#yfa|la5QZfA@I2G43$n z1FFZA;aYd>Ba8k{7Px}w1<2mNdrGjn{~7%$euJOTx;F%aeh%+0UL@rO=(9;qZ$p^@ z6I1-}Jgc4jC#xhdB38U=PX5#@^J4`eYjd(-Y+KV_2S^%)$+^H|BDaUu`0T%akwXw9 z*2_Njuy!VlozU8A-}EmMHx|1*B|nDNNg>r@ZMPriBUrZc@%xX|x8ZC(TF%@0C@%d$ zWYU&=0}u)ab}ik{;Ow)trsxKlu7$tWHtgjQnKpNR(DUQ>F0K%;$XArt)o(}S3*~LE z33M&{RH$NmjkR0yy^+*P;RB6Z=*XL)yk~mD2VTD(c)Tg#@eBuTWP?X=eD{o;rG*@- zv)>o{P0E~>%E>Qo0#D!;5nP4HD;5HTo}t{c*@LcmCOh?=0=h>}vyC*RY$=K813PBo z6+7)o*D={jNC)eSj*Q*SCWE;PP_3762Yb?)NHf=ib5YpvU03W zK|!;h=OsSkz#yvb{k1D!S{~K?gEAqtf1U^(*&oW=(|dkogL@OSUnXcz$k~`ZLGJ!J zgL|0Y>VbpS8_*I&ztUT*jURt1#}U;Vsfr`>6#;f)6s03PFA(IJSzNRqS-Uq6j%am>dme@VPmh#}L3nZ%VjbPP8PO@0x6v-hM zsZz4Ra^NRY-8oTTWB~>D6f%hsIb3sVO$&7YXiXJK{?tT<-&nb`O+OsNw{KL^vZCcb8$qvhgpt{1e;7lvf6El zRBRbIXVx&v7E4(z2o{3M$Pr}kr4F`9HDP>@1mxfr*lhaFYVa3@Vir-)LcvhVnyMi& zl4UeAAlY@H@<^a9Y)o&9(7`dLj4v+~WIN&NR8!~>);_{XVpdLhz-3jY^QCI?6uisy zxt6g~NxOhz^MHGXYp`lc>^iBO^UF=CC? z=E3sc{9_o`m2Dy8+Z&x3rj~#RaX?jIcKOoHde4>dz4n%&eV16YR7;hwEA>oS+Ho|> zB`u*6enzx}M(}e=OXw7SMz(}TqJ2hFMF@;Fy_8>oY7kej`^wWpwkl8K%G3L)u9rOh z?`pL}o__5oS@Lv&kSN6R(`%%56{(-(y@=EotG zN3xWFM4m>*T#94TO=CV?Kvb!#U|C1P19RGt4GF2{jz%ewXx-E^hdXP=2 z%>j=f!F$}#AREv8oC+H^OTE3=M2}vi=F^kE1~Etd<*!274ItS4Kh2iE{=l6(RFa7@Rb6P=4FB7l}QVUgHfX@({{eG`1RliHZQ?C2P#OHX#|<7gObG zau2cTPr!rB2Uho+(J~|U*0#j%w!?yV(W4?*UhZl!*X09n+m!h z4Z$(ak^T?l{VMo4Yihvj#Be#$3MC9m-{dd~>oOp|#2zbC3^TFqC;XC9{7)WA*pcpn z0)&7;*UIv&ImyOo{nn#L{2X62TPI0SoPF@eGLpsU=<$aE5?Zm3G_5H0MXbG&Bl{C$ zE>Gm28s-Q{F`CROl_#dt8OeJA9Q)^`;nBTs1-GOhWp_u%@42Ei-C4r; z4#rMhUn<*amkiT_yzDYL&&r;mcmeiOboa)}f7dC$i8F)og_S0!To9{wKm3k-h{;Cq z1G)O_{i5ckm@(?qR zI9M(qBuUd6D;WB9@rDh{m9$ahw(+{##+&?v*3FbQy1u1t?9a4uw+f!PUevNeGG;FE zju4kdb<-Wg9{WmRjC^K!VCbk{7EnQWzOLyuyAW=}gA|>=$FVIBW7-xu66ZwwFb;w)Bu`jPDTjX#OE%{ze{eJrp*^cOpyblP3=u3)=a( zcq$)HZaZXLQ&7%TgKU6X%t7^XuJV?rmrxs2Z6)Q0WI@o)Q{ zOaxtb{JZYwGGSKAN+|z&J=XVaJj~jmGQV#8r=;FFXimANbom4sHDA4_=Z{{&tPh)F zFBa5_8fy!@^CmMelk^h8plIca3kEjWX#F14Pg~JBD2HaIe5Q0c?(H(YIPY1XkOnTa zPw^V)a2uGV4QLrJwp8hktIraRO7RqGbNuH#plLYBb?Wc@hUX*=B@i7`j(yJ$L6a06 zPSMuWySK2**reAo4Ur$X(;)hE3cSTD$NxMJfoG{}saKc81qsp1v4dV+8>JRzt<*aG zC;F9-uUyhqO6`3V@>0@`M^kgX{ZO0Z$WduvkDd0b@D-jpGDY4|UY;teDcD9h*39}S zJ^W$G+;Jm;CGDyf3>|lX?CjvVzGU4`D^2AFF%K06}iUJ14sg(cA`M-?+ zPYbrl#?-MvrX>cmzmjWXA3Cb*l@aQ)Qu_%Q&x#I!~o#N`vTS(3y@ii1ZX9P;#l*?J>SN2)Ohv{7LH2TE1P7Ke8 zuCEE;Sf{}~L*(!*1-A?Jq@~G+ojK*q^r@z`xKI*%ehSZFIt@p#?efY%{1eoBA@6llui*sDpDCs3r@v>xn|BN(&2|NF%Ge1ZrZPqNOiQEX22 zAx^V*z<-wO_~f^6$1~O(LJ!H=*S9Z_!3V`(+>BUnc=DKf(hkcPKmGF*f1N5xkqnLe z(xEzYDw7O3To`gNIc*c9{i4Hx+M5dlw-kQ)adB=1S_ES%XQy4laon5le()mpxGk7w z9`W_x{Cp!b>hV8`f-gP}YKNF`@~CghorSm0k^w+tbj9EC_(371!^)-qBi;VnkA6>< zN_%<$D%12tVPJXTm+5?(HzDV*{f8e&Dfp=rvF$o=Mjzm&KV4&4cW*56gGQ%o9oRr*RfuUXM(TLlc!jKC*gCxEuImMh3h+dQ( zKdA7+OAlU1B>|{;@Dc!CSQ!9H0&Zkx+!4xv)V_=YM*4T0d<6ulI*(QgSPS{G&tN{X z@)i6Zpc@b$ewxoRi3qN^UuIEp#(?+`drjk;uSg>h1~2i|{bdK_fmQIa^^gWv+}gzx z+kw48;l6N)DBQ(+!kTt}yHF4MhhDylZ!k-Z_^wNJM1E0pp70-Q@d9M*Ct-x2lCnPg z!CYx%uh~vQAFKFUsYpTqq4TKO%q<1AJduC#X&r00iegSEp7(pQWAB|r8bG+TXV4N)G6f=}?oJqM)VyJ~izw>RF0*j~XY?tJ(S z{V*a?I)D0(@ETm&m!I~&vwkEsJN^r3ceO~7G8>|N{JPGrH(!mLU*T~tY0}d-sO>~$ zIU9qyOyTK;=_x$@8ewE*<9Jg@ny($SE`*yYoa0U5@9uV|aE^U9Nn_k8WZKH)V|UuV zD|(781;cqF|Ln5>1bRz#cT?S@HuiJx*h|?XYJa~PRtP2XFq~IpnC89k5(Do3e&aE% z)Bo*p>A_2}$)Z2mM&DGBq2ody{Gyff8??`$=M>4Hz5IKpe~$0s)8_IQ@n|ItLvsfW zW(X+y%lpj7hX2!VKK|=#srk5r@DX=D;?we_y4Z!R{yB`v@qhapzMS7)$JN+@HKtF@u<2u}K#K{NchrJ-9ln#%;gL)c6;! zrAB+r$3z4w{=sipnuPxq9y23d{DjusBr}cSV3XvbPx#y9bHNXt_N(6%MrNB`FKd`e ze=7@-l2=|7afPV!Vo`3TSa2{HOf5pWNESF1Me~9!lOCO@Gd1{MeL0U_pbc(EukQE{ zuhxwVene|<3Fjf+F-fk@7gH7zU@7>6h}>-aO1f;$PHd?e>Hwe+$|Fev-(QkO5Z$Fk zm$E74Ri3j?gx^2Z|5UeDpyxz zN$th4n@|h^W~FhC|KoJXg9!M8R~&y?s)zU^e@n`t3{On^*Y}I%OZg{7Q;VoH!J?w7vP`hx{EJrD&8=~qi9>W{(WQx z$NwhncI2N)l}{wElUIBx_E}?1k(l-(Bfa%{0`8V(E|zA-)>Qmi6D*`_`LS0^@+0!R z;^hYHgT23JV?o?ym$B7uDhmobW`Z4hDe=>*@5y%`d_Ld6%Gzr_pW%V7c(3_vSle$t zujbJ|aX#+{rT*jd`6;iKf9`yy^1pz8+5c($>+QWXmJMK1`W;9&71 zVc@3XB@aHb@hNV~E@R4q!i!QC9hjovls&39I7TEtXZ9yqEAL(kzWq@BeSOvc`tu~c{wMUO=}nyA+Xd_fD+`H16GREhtS*z8N=)1H8}_D))r{si zFvzowJj2wO%53>1*DOOWjzhnMQ#e9?4-TrhTJ*iBTuKtt_f=ni$Nc!wYol}HN3V}w z5kI;#8jc^Wj@HDFUK+hDezYPwHGXtj^yBfPfoNs?=)`D6{OASIbK^&^i=GufIw5+R zgU3u8@;A9PejzBT#I&l(2*5Op0oJ?s`rm8T@sDqOGpLeCLDfUBOP+N}p8yCt?5;0y zYpfa3yeE1{h9YrN;#4NVaSQeLv9c*T~+&49Lnz7+Y$N!raWo->yP;!Z<_ezl8h4NRsckw_@@V_PR@Eb-mks@;HiP8Kp z7ar!^#?f}AF6;j7yJ>-~UoL4Q6OZX*v!WZLgs1OK;rG!>AChD!xYsR&JYMm%;C~lC z6nXp>NC8Ydo!W`qjTLaTO)|eD=&;DYb-znYd*N3ea(?&6Mstyr%)D!tcT5E&j}u)K zIIa>G7z}X~w0B7Sej+MQru|!XQKIqTLDkbIZOe1#vd~M6LBb5P5-26@VKDL;Cy@T0^gJJHi{(Uz!3VK#0yYv0C*TxZMHGSu zQDUl;R|uKseVyON%>txpwM`m^)!(wzB@EHeN?%>f^a9P-$WE=QzAjeKk#|AzRAa*d z0jeigD!>)VbqCiid8=ly=9}QJpOLMiEH$`IJb8sEh#!MswDess)FrPGKTmge$46GP zFJpNfIoGYi@5*VzkKk=eWce!gv%ia~)p!J2-s)Rl&f?iE_b}X)hbWqXPP=7r%JWnd zGQC|-b^KZWtBl@MTa?th_Tj90E3@lmKVD5;o%Wl6q3hM^*3D3by-E8Hy83zQx>4#v zF)WH~LS`5<}GApQ5^^Ql#r@2JEe{ z-mk^UHGMBpdBHI${heur3Q0Pby2W2q?hE_eXF~j>zR<6HUH1Y_X&}nF(D&%jo}mB_59{%Qg9pQXS0yTywE^!ZG6&M$S@q^aY~b4I^)bE`X> z%Ahj)eRoLLw`nKcd+2MT;7S)N$e+^6TumR=8X88Jqhx(KZj@uD0=^&NeoyztbY0TB z_NSiuu(c|`q!d++O7SVchO!{m3sIc=?5u#RAX`lFn`fn}yJG2PeoQ$dv) z*LHuIcwa{#%wjQ%aNM$3yXKkF`IZl>` zZXjKXKZk5v&nZc&K#1>A@}mOcHa_d9sV>clf5o{VDp|IKkV?-F{gTXB_Rmw9u^5l= zqi{7YrJcOBxBev`fe;0ZaSTW}Sc_v{X|KAhf@}Uu^Jy7t3g&XvGK+jZLXp3E_ItuZ zl#;c?ml@53%xG2y(a>Q~5jyM5|LwfR?qwaQMMH6x<6pi)ramV+%JDDe()y!S7{VdF zqzelI1#6*i!@B;J>lW;naN#6cSm`WZY^?RqXMDn6&bBT>wR9N0zOI?_XD(mX*rUEu z33jlu8oh>}_Q%Fwc6iqKwU9eqhkn`6dbI=FSw}|Z`*h5Y%F;XjN5~`~eQ{w>sJp@> z2^s_zO(E^_3u(XNYy3jmxy66=I>}GPh8puT-B3dq0&2Tc_zA7^1L3x@ST|IOykJ9B zHoh;B!w|4e>(oTfV6EER5t-i?)X4BR?hqV$@+Ir`hV9TAQ=9FnIw1=DB zCra!e;p~{s!w3KManS0M`stv=CkpMA@|rDb(s5Cq_%GHdd@$EC1)pwQRtl4OXamjG zk5AH3Y?9keg7)SJ6Ei=XhNmZo3-AjmZW`ZQh|v|~Qu{y}xm5C`hBJdou?fmo zm-9@(t&f$>=YhxwbNF4y(kr?$rFqiT5Qb(1LFMGX`>J%&Rh-rV{jyQu%R0$Zr#f=G z4eps8R_wMo!`G#3x|I~H7o<9Y|C+#`V+(5%7hS9kh3hqft+zG$7mf6wp3EFJX?ZvV zT%3m^6`ijAF>DPZ0A*ugxXFgKyu4fZxt(^fS+M5cBS^I6A?t7zNIg15wg6rlKZF2j zS2^KcHPbJ!}XiB>}gx>7Ksa<%hPWrFp1@m;?a&OW@xYzrVRR~JpACO?kdPY3Pe@4rKZr@ryQu5~v z+h;cl{G%Ho#nL-6oZGmU)Fke-341O}W5S-xQfaCReq}?;D#->GV37@+kTq)DEYK7h zAiJEa4@>Dodf0Q#KTd7TN0-TN6TBWfOZTQ-7MIG4KkF4qZOiXcup^J-4@xDizg2Ld zj9dKK3NFyA8HHBC`CQ>p0Al|bR}HN*IPXe#gU7dHyB99q@kf`4x&;TXgx^O=4y#oQ z(chM)w|;Mx?g{w2gJfoUw4C*G`Mc#zMHYW|y3EYL{N1kqlmWn_gvZ#20lo}3_rMC7 z0qwYFt?a`edH$H+r-uIim`ijJJMB+XOQz0<)~Rw$_KbK)!R(XodSfqck@f52XMM8w zearW~|Iptj3SRhuPM6Cm2}Ar*xWuU6-Jew>VtFj2+C9wA=wo`E#ZGS#EhG z#Hkx&1$>em9Gcn|%WWCqefvhgZ>0wLenLYN6`bqp57+t{I22$IUcJ8LkcLp8Etc27 z95%J*N~zesKDGQ4zITtukvQm5k#9u?TPlcfH_YmV)uqextCue?S~ZD(y>8hP&NCULOsFC+} z%QV)w)mN8E?MI0`QNF*aD;K_xBLvR!^t<_^VUdS%ZphA1OMXd9WV8<+B@);o{%z>& zL?h;QUH@j)KeKQB4Tm0vRZ2H-w$Kw}ZT?-;jkUw3Q-8zs*8J%Wt&zKOy%t{U-@^ZP z{hHqb_7OBjo;v1<#Ijq#NgeE&m0OMG-*b&iqR~v)BPu+f=!kx%I18F36U%Z{^#>wj z4F?qyz|+zEQuHF`6IZFNfZIn`Pu&|EL^u+#wkjmM4v2e`L*ipt$u@>s#xN19KHB_J z4D*HbJ$tO0iK@XGBYs5Tg6l)t4o*Vb@)oXt-L=2s#1Q2>#rz^A+ZlCD(o@q4{;6({#($)+m?cMg&w}_ z(!`wM62V~<)yLwP-3>P zHZj+ioRF$$g!kn}_sb{AutilvLKY94HY!V8?sG`ou_=G@o*L_gT5F3`TtqaMv$1Oy zJdE%@sLFI;xWb6<7v?dILc1=#T0;Ln_81lrjJMI zeYDsctZ@&j7j{AR%uVY*bNcC(Or5dzs@hx*E|1nUG!Z~`X+zV}+MIvVENUa(G**7_ zX-s1*-Q!!M)rWoY=q2m}ws7c?+~|aqJX~XJC<>wE2<2^U-g;+IZEj~M{_2XF7O`rE zjd!PF26ojh?j{U_`&(wxlQ&?~K=s-&oVa&S*QfG0rhmuTwa) zvpoDS`Y+EP7`+Yg)?1_VmHiE^D`M6i|G(WL`yJM}bug@6fsmO?6cI(wQT+~5&6ZnL zzf&q+C6%JNNA{^)l>=^h#Z~1fGxQn31t~pON^zq6S)canw0*~K-z+Ut)gfcVVAS`~ zix?bOtW=dipZuRUnqCd$DLrfFAH=^QR)wRCuJmQ2-DyhCGPw5)z5jstxAXY;_t!W6 zqxkm><%h;-e;THYh~*12l;(im>IEVK4ig^o z>cc?ne`>!9rerMbWK2GmIT>rZ$oW5`B!5Z4sbAEJm zQ%^qj~+0nVHnq)V@noF*NlIF=l2EqgP{7&$#IPrk?uf+@_w- zN3Uq=`9d_@)YA~HY3li6^s=U&8=_O2dTx$>ys77wXk}B+tWehl)DxEX^N#o4wWmye$+JlpiY`uUE>)+(Z{)HKgw=RF z286l%5%?pgdYrKm3zKMbq6ICvPy*PrP`qkfY@|FI)R8;Reb)6INz>e?{VuJpKfDf>|F2J#Pl=D zeym^FTAB@6?GW;DM)M(FH+9z=&0Tu&d87Fiz4!u0#_2_a(Y!-1zGyT*p%*t8&5!BD z%|UZxaa#OZ>=NKLT&R)UcCyn zTSvAerUufyM&`eTeCbYG7aUq@y4!X&bq_J_eiECJ6f97JEt9*?TMw_mvjY^ME3ugr z+tkz@mIay@Q%A(}I&#Au(|s3rOwYZDWEJtL^VWS{Fb}?PO&jy;up7{gt{?Hp*+l=k zaC_9j#imi0=?jzeU!bu7XpD`QPI2~RTSx99t%W6@M@{sQ%5teFxxhi?+m^hVnF*6{ zp7KLGa&M3V&c-BJFk~=Oc{wkJ>m4tHEmOUhW$w$<ORJMEu&AL8+-X85eMDq1~t?^RW zOHGcy`3rJdotsD_(CvmD{aX5rvQBy^$|JAOS)=;%&6!c9X3G7ArGi2Zk53s#;swQn zM$N`73Z8y{^GV*{I`Dg`{Y+!ckT^JVR2(#D#6h7&aj<7Wyk}OwlftC@L@j?q^qe>- z_l!80_0%}{l+TyQ=kw)r;8H-T-$7$;f5*n}bS{ed<98mrIA+A}{Cjxd&M^gU`P1T4 z>SLpgHCK``;il+tN~>@y1xKh>nZ-*-IYqBp7J&&od= z8%9_gy_Y*eEhT&>FYOfk&_AR3C>y(vA{YrOgqlHv5Lt_lRF992uAUghR=1@^?9{6U zBR%bpUp78A4psN3S2IFPrKBkFe-mnk6+K$4?Nd}t>fOc~K8TK7O&yFQtB$whmraZ< z;x$hx+%khB#ii&Nm%pIhe&xqQe-i%v-@xE2Y5}*|v0k%;S7*1_yTga19bx1dgIe&j zRWCXvz@tvd`!Xe>?0T1rh*cCpdQw>lTdgl7%is@f5sRM~%+UY>8$xs2xdRtn8#C)j zE|_4y_go(vD+jWCL=G__D&ANrZp`ti3yhVkxR6g;isbuMLs)H`z_)WBYPsBJtZA=n z9l8mi{z4qSZ($rJ@!B}d->2d|pN(EAXf7gHZ4Lka2^Omod^R}_<2X^!+j;Sx zP_#@Ceg`)Ze2_a7>h*K_r3P_|At}*4ze5y2XVXHC2Fe6 z?MKv35u$cmgEWafl9&|;cH*(JO6i1Dp^o+2?AcU_whQlxuyt6pk0WVStbd&pj3mC$ zExrnETzmRF@y}ShQ1t<`)%oM+?#<}b``M@+@znp8K90R(Y#8qNzos`v^Y`FijSW{& zqQmju=9TEWTb|KjqYlaFe1;h;{G1n1%DDX6z(?TTIC`S_qx{Z~MERRjHl%9C zF_PM}Cr4M`6f4%7dtt@f^OA!?>b^)f1*>@QfTKjEdQlYJlPkyUmZHdV zna|a!sA20QI7Utrca=w0&G!r#gM7BlQ19>cW? z0uT-C^_i4%Lf=5$<7%$;vBR$Jr*ufYpKu)-hkkEe`?H4_)PJJyA47CH(fjI? z^zW*Z)PL3S>UYL9@+w76#hw=%4&hLC#@LX1WG^1R93~FkXMM&MWS5nXM89xN_V>=X z-M#KXbs~goWJ0u9WB@fwajt+0D!q(NQeUs~!==1yW;)IXAG*B9si7AZbHrBqe`>G( zrrtZ_9%M?>^OCxd`4LW!?;20?-q&}oq>ilbU(IfJfco1{^8Ue-y#Lur-nX9Q{b%Q& zc>JN0yg%zC?|sL4&w(5Wud=DO(8E5PLiS_&{ZCHv-k^mOegDqYCw{;4B<~*{;63_& z;>n39@RaVv#Cb}828AKm%TDQT0?fx2wpm!?lsATIX`95c8j1uPc~;e+*htN-LgoT! z#A7rG=dm;GlfBPn1m!!SKJsM>mK5Ipm-{o}!}ce8{f^8#@%%r3lJ{#*^8Py~c|ZCj z@87xV#QocHlJ`G2$@{xc^8PaezK?M7=N;dU)kuO<5 zSosJI!p2(%9Dj(*z=7DfRkPsFMV4d%pEEWL=C#Otl@;w-wdrNW0g)eh)qmfo2BG#p zY1d84q4^zCRCi76rj!cgz^IV5@lMZX1*ellzg%_SJF153# zwnr!FAm5p*NbBP&ue|2olp7mxx31$xhHpFm>0DOWu~XB-H=P~Kz%QvP1_zNGMkH&Y zI~_6;G}*V`sWE5&X4^kg;~r@J1QN5SSJw|ej*LCk;7-=Bfn9PzLlY}_p0Sn{T=hvg zp-ES81(&Sgc@5UATrx-T|0e9@ZL!_P+QAh0pR8h60MQ|9Ko!#>!m1OmYuNj*FI=YEd3V z*2Ku>IlM{!PW(s4@y;PNmL(4@-a4JCzsZT+AD=otHZp#fFDF*K4vtzlYw43nKi*ZQ z!=^>Oi^fP4U7HluyeiuJ4RR~J|8KcyT~cB;?Zi83exb3ZE@zb}!@?*}5W@!1`5Dr8 zB9xf#k6tMtQ-_w<@ek*kurtgvPar%bpWryfqGgxL?rFX0{Zf|e{abmJ{6+lIoap7e zbe5mRBJj|X*UnBp;#DjWUzRgIHYkoGW%L}`5BIwnJdid-t1LlRugGuX<~Dv7Y|>FD z_e#k=Z!!b-uKK8@@UQX0-dVrRQm4$o@3;WHiW?H)U)WT}N3U$^zARea5J2X09nd!d zP3^h%Lx2PNZB_oT2|e`FFy&OtDHs~GOv`{}L@J#)bK`by3xaVPHzChu8$tlme@eOxDxbP2uNwzlXCoxCkpH&Jta3S{p4 zPaZJDL`n1^hMulpAr<%GW_!Jtd_V)ecjXnTI2)sAq++86h@|{sTzeZygGMUUK7-hnz_SQiM|H=5awoVRo zAzV2>bfio2aO;t5yEt$vudSw{v!*qiPwmwByqKh_*`E1kp!1G%__&#-MDHe^A^Fsw zPjf*{0bfm#+y5-;ac#X9PQia7)8FVV{rjpN%;f)ECkNiS{d<-3#QS&bMcY zuFsWZS=0VPJvi?GE0N@z5Y4bSRUHxi&8=qZs4}rTA|YXXlt)X%0ttesL6e2?FV2*h ziBV;$FqH=_Jix7A9#aOA-|U{k%T1N=yK=rD1i2LdtLRwhpJ|CQT1Fx2;pvXJfVCFI zY=BT>6kY81L(TJ8_S)_HTOs?VZ)P zKO4!dE+tPoB|XxmJ#2{-e24G_W)pz{S|g+B(AL;ndce@}rf8u4m|6Wv#mlNp9Tss~ zG(cGn)%LL~fECy8vQv!672A5ptL4F2w{XG)=nmO@Bddygh>LqOYQgk+^=j`L^0~ui zX^U!#evjd*PhHe^%dr=IG2pAG*ahNZx@&ptRL8I9a+;OJ?o-cGn}wiwvjaDWua5KCCdYJ;;s z1LKzme;ZK2ZgSchT8psyfG04#0D)hr)fQu#0UU6+4yt=H`}9OYxN zSJrgaTKTKm?Lr=yaz@O)(2-rdNzaw%A3pOR^ACR#E_mvUe#$`^59=ojcbf}S(56z` zlq@EQCG6^p4(G(97r?AQnZz%>?1T8D1Bm3gR`4PAa*6I;kch`yn*w{MI%0413k|Vq?3TmwOWPS}tis3Ow zH$v8~TI+fFxCnmFY42JJn1$=#TbD1`XiEooj(OxSKr&mm(|&EHK=J`$C9B*bnRgw$ ztFv|x$zeTBxGCA&T#l2nrS`b()KhPBuEc#*?D3-yxvc1sH&WF%QN`rL$hdqHln|G% z9}yAP+FMugS1-R#$jUEEL@GJ-ZL571#R=5x$jO;qKkD3Y-m~$kMaIfF4WL{pirz&k zVfS1!^kkRHh8ro)4HB4fVPd9FDMp}u`5B2hPKMu-bh2PzK)<8L=aj-|-qFE_saBSxK$(cAxM5x0*2%%BVx zt;pB7HLZYaJyFw%J-SvN*-RcUT$h_kW+Ik~&daYZijDD3g%ec1-SH2hPjWs8R%X?( z!T{pff$3#?*7#I%5T_+%2MRF0?e>>vNh9uRV|VA6j7^1R(_1(g9SPU7*zOx+rDSlG zbjqA547mwgJM1dHiw zTCH@~yY7u7UHTlBIN_}b;0T$OwZ`LHjmP)cKD;E!^k#5+d?j?q6?#s@>q^anw#LjO zerq21g1EU?BBHN>+ujo!HTkUuj+R69G)E}1B(A=G6VF<4e%%`VlUNV-HB31#`l88s z0skT~siIK^bC~v@B|e|`WxV<|uk2^#L1Nnf;d;HSHH21DVn8dC=NfB;W{R41Y{TjX zJgaXX#NXw&7dKcTRd9(|^A}_7l;EVpb=DIN!0PO5c_Y7!^UUP)v*4#10^PB}K^3xd z8?5QBGD5y5yGLx4N=l*DsxZt^gEfV!Vw`VAx6xOWn-wpR4+X8SD^3kn^~F0gqqU@1(>B;K7bb80sx%9DVVEX5-ud((8$A8{bq1Ult4NdQX zRi)NzHxd4$zv-HFk4*&Yq+?D|z>#jQc=iqMid4yp1nu7*VgYb_Vwx@$nl#0wNx?O_ z(TUmn-PkZSXl&S#w^O2}g1N_n@xQFVGZ{5;@K}ck_Ae`SI=@=*ljI_>YmoW{f80o; zhZnKd;Y?GLrKyRTrq02i@B`JqJ>b=U!2Z(=>Q79ws9HgXWSQryI{srDN`WL}ZNOMd z-sbnJbhZi`0>`3x4IJbmQkMuSbXb@{*MPuO=me%Y>lFPiuz$^h@U9O*mk)vMC{3jNXY=a}NX zEdSzR`BMI^5IR$8zc6OtPkXgDIxM1c$`{Fg_M^x7Ce_|YLX=Mzf9|y76j%OE?Q{Qt zJ@TJz-}`6RcRYWXu6?if<5^`tXUg}sZ+6}C#)m6^*#PAgKMQVloff6NY}_r~Te#03Ag1UHzq>vT-X#G)s>mjrP(PT`%>c+&R(LPfi@GLf2Gk%Dwb zh!ia-TIwp^N2-w7tkT)b%%3M;j(J$%rJAaht2oxsk9)~n28DvxOG zGOm1?(Lwjg2tY;;V2a0em8i z{E?Q$x-TEZ5Xgsm(#i-WxEMT7*LhB7E-Q+Cw6|1KK}Q+!r6vdcrTh+rK_*?1qRhVXjqtOsow%WOy0Wxy z!noOA2g(MPaSn&;k7Z<>`_(m9WgK`XNuP8TUy6(q(Hi>6IHvTYmyDAo-Yg0&2wlJ6 zT2MNv6gH>sQn*Qe1v3|P-OlN`{Y;x$Oym{JM;w|X2)#6QC{QIH>Uwn1K}kcU_@0W! z)h22=&h;uza_Y@}eXUqVPd_a?NuG$ee&Z7Yco`1L#N!`}UhK-39|PXV_fe*92$NY8 zW6et|+Ylzvul^CI1LVb5c+U~;6Us6uA0l&8O0;X*T-oQmAI`HD%?ySuC{d5J3-bJ? z08pCW(++2tJ~wZGk}uK7EOeQ`XNJoNRGNGRAQT__Bb@TalldZFE@XNuKZ7Lcx>HOL z;EL0VjOQF3Pd#wtj%Oxz{(VTv4ChrDPNek6YqUt$;=K;xe$!wkVD3_#g{vEnEif4{ z5dtb-(K=)kqhTo2!oMxc;kP!i&}W?Y+p=90s7)-&&8DB|FUa=XM#t%qB>5e#5ooCl zzK5*$Dth7pB0YXc31fpk_dXyH>fW+;9$TG!cblu9m-y}miSPcb#CKmO@!eNTeD^0M zzPnE1yUAaDb99EpcV8;;-BTpK`yz?&zChx;$4h+oIEn8rmH6(l65st1iSH(@)FzF! zHa6r*gRyVp@EWn+Ysr;J^uem>ayHfEZHYgY8qT&FoEN`FMz>dk0+YTf=q*% zpcF_v*y2VF$glhS9vu>nYacLd?FyL`MmtM+O1R7hHhMPJHlMDOBudQntt&V-|$*weg%#LqcmlfZ}jv%%{s&r8mtV>Z^ zSbQFPxYbRQ+KU+Ra~Erq=eS?V(*eGEP`)})C-iOBHaU6l#$zFM?2Z{=~od^Z9PHU#{`iX z*s|=upqG%!Ox)mfeM?&)N`0bU)Edp>^x_Lf^J#iP-1+(%dJ!|4$LIy|>V{qri#|v%!bbDo=_RqSM)P0wqCR#eL!aeT-$Yd49nn+V0fNIL z?=!@s`W4~s;+00sQEX_4bG>gen*THV{tlygw-#I+t4dXN0hLvREeJ7T&P-ZrxXxCq zYvp01`2ju%>@Xq+t+%`G)sN>&DAHnM<=41#TUq%PF2mMv`QE+|=}#&{hnIDZc;ZgN z)wbC$Phv6Ok$Q0+$O#-XB1fnfEliF(o?OQBcg7<##Ze-VLuuh6xQF-(f__Kx^Y?IU zSe?7Tq>oPyuC>l}zn!!El+a^*M+3Eqo63A$Z*ZMi++ORx6cxLi{eE%gJ&TW8&hdw7 z+r!wh{54>$8nBh(Zh--Db?fz2t+mvD^gZfllKHpy2i?fP*fV_F0PGODWvQnX+o0( zX-ia+AaRofk%FIvk5YV%AE6+5>`y{X+N227q2#v{0@^DM zzgTGKhVx|v-7e8ARWiBF{!eN_%15y(lyY#7;{=|t4lFyR&U#9XrO#q9ar`UBF{>!T zN{Q=pL+>wT)08rpA$x`k{tTy85^!9 zZt@QPFTP{Gq-_4|$5bAO78x6`SRZT6OP)=V|K#b_<70(e@?>KsM^s-X*O$q40c=?7 zP|y3Bykd~VpQ^?KM`<$uw_-hq@Ch>+WXPI%KXvb_2{iD->wn7Mk`(mr5L7Vv7VVf8 zM{0pK?&cc(POJiLY;;tb9+?gw_;?okTPn2_JY=dx(Itm|?PC}z`Hu4qL4czBxZboz zTSai$S2gy?;9x-$)ALoW^gPEX+n<9itXZ`yS!rx&3v@M@LB>4TMoaA{?xI ziA)iJveWZr(m8$E$*bj*fcuadrBnDL50-?_Wf&cmzH(23kv)x7(qxTMD}E07d^e>q z_NRztgm79hRyN7HX$8@*NISPjDt3h1dvY;=F8`MZyAv!cD3;t5;d)#o+t?M7+OdB3 z<%#@UIwx}!^4c2;FA*3N9Xw6CALB4x^87V*(57~9UuYHn7y~$`*W#OToLAn$GR;{F z>G9YK|8CL_;Frw&3V&F=T;}=rT+~<$q%9hC`3?00x;E6O> zfn1H3t8uBePt_`r(?he5GqSBXNUvikg3kt9r)FzV#U>WWyA;!e3M(5q0>`z5iD#sihHnZ}0M zKF8m3Hc_|T^JABY;w{N^{3$j;UZ3T@zQKEqU*4u%sNlNf@X%xMVmSm4YwxU%;Ht^B zlMa7ZHg9?8zkQ)2?=u5sHCDIgpcj1tiPfWXg2tM+14<443o)8|c@m?Pn9`Jh# zt_pfMtZU~95}LK z9Dia%0lO_G5K_LvFA(y8499qQ2ak`Kc?XlL1yU>*QqC}syqx6peRm6x_ zW3?|CFvTh_Hv&yiUhIBHZ(lt6ohQ_O=Lx;v`Qd8xJ3oki=W4s(`LI$&F&2Fxivq6)P?~?L1aH&;6g1ECIqFpK!jRU z62kC*TvhF{v?PEl_^S)Ww^drFkE^jC&axf`$1S!WPPQHnmZG_MfKHt55@|ir+6WMD zh7ZCL$g6oc`XOEo$2S%5>KomrQO(z5(TPxJj4>aMq~MDRuXfHt2MH(0(S7a=`^sZ6AX>L2ckbp1NSo0OUA90rce#D5_-iII#v6@;Oy_MO;#jxIw&B)H; z-Dc~BiUT8Jt=EEkaV;`M=f=qw@aLtXV^ZG0*bjjMoz=02^y8Kbh5bON9@m$|T2Iik zcj5`y=gDn24=WVmIT4Z67+y!%+!2eSVRFpMHcV-$i!8?a_2v+!OmAZ2>;m?86jR!u zd4$t9*`GMw##V#U)T4yT1&*E37EFLPWM~v+6$V}(R9^0=NF9T>s=a{o9dzG&COi zTTs-L!?4O72-^8|yz!XYheyy45n!X>@pJfM35KDujM*o3plNKj_0hyw#u}taWbh%r zn1@@8=o?PI;Hz?c84Hud!CRO5F-_|9!Q=SMF1{hqN2tl$FvCYS;mM^(speRkNp^yh zU=C#kZHuWPwOZPL4{xSxj&L1PC0&QKh^|Abrt6Su={lsPbRAMLU57M>u0yJz>yYy4 zI;6Swbx37=4U(=6tfFfJ2iD-)z-wzeuJ2%SdXG; zBgnjh`fXS>-L?TOhYvNI?xPYj{K0SIvD$oL*5B#v&=0%uDi7MmEo}YC)W#pc4l9z3 zB^XBURpf-COFN47hdDXhYBH6Yiuu>Q$5F9CLzLHW&K#V2rLAJ5^SxF&IEssj5Tj@_ z@o6@gQ5fyq6^V^yj5(G*y#m8&tXu3PGen1DkUq;fT88lYld!*{>_NMN2{Yc4wu|5n zw8G?UYO~1)xK#>Y?w}fc{_(tqRq_(g4b`}6@%H>SHn%UWFcx4z0W;b2yWze~7#%9x z63QtG+)x^d6lLQz@v@dsq{rKs7o*NnGOUh=dK&6|sBojZ;paTJ;b`Z;+BB91#i*St z^Ktz66+ElLv%`J(vs!xg7~78!t^ru5C7isyCwj8SzmpV{Ha)u%_VPKNe7qrJa|KxQ6f|3=!zoysxORbwv`R6KO>g zm0zTjvKIZXJqp450=x0uBJA13GJMD}h`}zXMmgteeE)#?bxRSZX(MV3CIOglZ={kh zh9+39qeWcY|AyK@yYesEt zrDYy|@CjP3qtZ@BY~_{hEqoLKuPB^{YQrOWLR3NebTpFwIy(bE-3<_l&cdth&d>x7 z2mTi80$hwrvZ>Gq)dJ%@;59$tC&tBn^R&}U1;dYI^Of75C{y7|?4@D~IpP4$nQ_Bl z_i>hma0Lx~HRnAq{B|#%TkDO~$iy)L_Dgl= zXwmVW0k+S0Zhp+I5=H7$NAVlNR{Bso z_`};zW7o$Q>a;cF42);s({VkY!rIst`iMsM3pjj&>DCJT1}7gk9qv|p99{y)iJvjo zwBz#~4P(R*@z^f;5?`eQ!Qgrw_1LNBHqA%&*y}cprco_ksvM zcSZx6g2+$Q1w=2^1~fVN4DH+3cOLw1Yv;(jgWtyOD}8v6MFT}@xN(0T4G{4L)Q)sy z3>5bKFLd;DCIh7+%==%cjV|jJi{d?tvMp@E@km$MTLlrkU4nyMk!|>tTrA@zlBR~^ zH&P8h2^EU`Oop{{!`vgS0{Wo(kNo8vT-4eX<>LHTJD=mjcE+lGJvc zT^=dND9O-@ceQCe|0Krq_WRmxp=jShFpQU^Vyp1S_#gBIPJDR~;#4*$eHb>#E*qEE z;kh*i%pHu^YF^}9U=Mb~X_d3ISosM(D}NC^F1NH_7pJAQZWySuv<&oa2k6uh1~@o> zwv3yAfdXUyLUAdmKZt!9B&_GkLA=WIQ>4g}g2*dkxS(W>HFl>Wfo%GqtMfWHgQoo;{#*U{9@$;6IWp;)bjlVK$pCvBeDY`xUNu6tzeJ5e zwBJO0ogmcE@8i*3FsbW9H8p@@mdWP|fzW3E3jpYcKU5-;ek;)t(j&En|NoHPjJfD3$s& z=P3ZsI^w~k0EfA(0fNgVarXsbtj^A)B2(Xtub#PIXW;q8juH4sFZ@fsSK3^h0O#kc zgZ#w;FyUpW8RBSl3B7z9>E|cY;k;4gylp5AvIz9$E_tB`F9N|z5afMBzxPLV@wIlv z39*KLuj5ZRcVr0_k33xcN#hyp%h0QnX!ZNT6Y%iKI1^J$j)$k8`Kc2~;ecYc5~s1> zAl?q_Y%)DseKN)t*f+tM5Y#UHyi_`U;jS5V65EWp{{*@NFpDpZP+HI_lpx(fXUeL1 zoXo;{k=2Qc3#aKMc?tWP+S|yej+79p(v?t4Cd1S;X=*mi&*tUk9h~38TnR>ggFy@S z)aCkH&nLx|*Uh=NhGVc0<3y-h-kmJu<7r+|T$5_WLb4CzN6adyH$ZayiwDCVI+sU< z6l+?Mt=J)EPkjD!jGZSe4v&-;KZR(d#WRiw=LYBy61O-YEQY^=WHGk=GiiR8(B5rm z6>0A=GZ%;9XqcD(<U|^N?Ls@vA9GOX2LW(3)#s#U(K_!-C@RP#jn znuTc5#O#`5m9MM7F3Z=IBpLN@zXFyeC|}P()K$JNJ#3Y)f#|Vi`FaBPW-UKsXit%!b-21-`szVf6Zly^K%Albmixd-&^_lN>@8S7myR9#l_q;KluKG{Je-a{=B*TpXKMNbl4~| ze)u^uKktP?nV%D+=}vxjq$F^o&xg_fS$;O6eLdRzjBoF68VGyi+WSraM0wSms6UYNKQ=%n$U0cg#7TeFw)1D4?0&N-vT4H&d`N(!D_D1FhZItE zJnws8R2I_8HtqU3)_U;^K6*_F{n2X}Wby$Sdbd#Ymr@NMq|7Jz2!n=n(R_U z;|6#Vu7Zp4HV~Vh7mIzEf|nV1fC^=vz6cUoVgGG zwtmyIm=U8u@fE`2z4QoQBOFX4u7o38kNDr4$m8$#$tgU39ye6KBUJPF3q}0Xc>FIT z{&x}CioCJX7M0Tq~54+Y=ZWifppp zsR=8i{9+u>nul>^b)e^2)jN8gwG^L&&-}WetQmumA20k7t;(Y>E#1+Bt|NUky=`xb zkG_^0Eltn8b=-hCJ;KJnT{5Ro?P_E&zSH3B&Oh)q2rcMOq{D}_K*EJ zx~}ck&OK?kkNdyqOTAnu|GA%In0w=+Emhn8)$@zjzUg^scSOAzo`$!J+d}oPz~OZ5 z16jM0?vE^pY-+^;`Ax0GLd6}=!xGemP-J><@uYdJZiz5Mys&4bkK2>iGbRlBS_nS% zED3HZjA8;(5V;&LyBJeY%(J^227+;2ntxu!qj0X8mRF za`_a_*n5;qcYDC~V@xsaM{3AuY+akezO3L8phVm2)K(i}@%L5vpGwZZ=a8cR7H@vc z?;iuJ72O-~cZ2_hGtnb7_?tmqej_$89sN^m;BowS&Cm4r9R54Ve-G!s$MN6&`R{b) z`AGivt5`3N4Q%1RU*f-4bm)L5m+;>q{`)la>-g>iMr*NwY5aFO|9u?)-HZQT$A3S~ ze|JOc9UIt-|L($n2l(&%`0xAq@4tLc@jk?VujRk@@ZazA-&@4c=m(uU+P#hC@+$Gs4$v(C&qIduw3k8yRk^gdz7yD^2;HH zH$+jHCLU@%fmMUY>1zT6(558j;xr=RtIL?I#Rk4{n5^2!f3N4iAK<@l=f9Wp-_`gn z$_Jl!rZ!_HivAg?q8S);9g5+uaT;MX;aTf)v7$2j7<_gLs~~r9?qxQ2By}l&GG9JH zrv%9w3PrZ?>lnmo*S?VFODX7iP>wmbKc6PSn12Sb?dRjz@4ZIH}JT~xlUd}O_E=C7C53OP~TE(UE$VfrtQuNB-@K@jV z7Dgv_F2D!HBinFtk=+kZ=0z^Y?V!^;`wr!P@9T`~voMf~1XGI2!kvHEQHZ_L zvMq(Dzm2a#Uli$;9*Rs%kAzY}ohGGxpWCTtO^=>W=60&z)fT#^u+x^p5nJ(0L8`bBykiU-_UI3PtH2M!iQzRryt;^V##>c8rM zmH6tVJqreZOzb685Z-TPt;pdSIM{%pggDWFzO1kz6v%4`LRmO{s#J#WjelBDb_f|& zU$O-<|HL`P53!+7d1~oS4-MXF>CTO9Z~c;%L1=FX+OtW!HCTxaJpTtO7kj>=Qb*;2 z_mUJ}s3DYM^`%+(#yLN|iaPn@h>seIcQh1#oSXCU^(SC}mm7O8)Cuq0VnaOkG7>bP z^>aHqqzLJ&;mNYzB^7z~4B8`9r%CwW7b*Zsd@N%w{oUX%&g9Kw0THZ~_@fg$w4NTS zKi$XX)2oQl)YTyDI64)Mdt&JCF8r!to>ds9igd{Gy|i~>LrH+{0}%TQBQRFpg_lus z{?T(~HY|wvb5H9+pAcPjI@z-&FY;A`e<&VRgd&{>X7L83IHfh6zBhgHGprNY{8Srl z?Y4z_KGyF}wBfa%;bb)5U5faZAzXi`V@=OzPJt*p0_*nv5sJ|u+z2R^apgCdjNn{2 zeYEs2c`fhiu^>^ec_&)`U5EiIr|r178-NCujcDmMh~E&G5Nll&#`F@H3KM2 zKKs|#_yea-V;JVA_UD>XIF{NK0nTy=EQL8oYW;;dZ8d+!JBx*p&sy*1d-xe(jb;27 z*WurB2KW01APwXHj^BAXU-ex185w!Y_(u@l`w#p*qM3j5ss1qbLpLLA`zeC8KDVc$ zU)i3T4+Zd)Upi_kf;pROdI&$gi+N4!1PsQOp;RDOD?m2;2ZIN`^Rab+b2itm0K-JV zK>Z>64IXdy`@uzoDcVHhQy{x+Ril0^?adFDV067k9DXWg;CBhU^wXjvAclTfi{e?3M2hSA)+EE$DuUA zl^c5(`-}eiSf|?2w$_)yjBew#(_fE#K<_osGMNAHnZm|&i_NmNwF^>(?T^j=FEijp z{D__w4#WXy+Ule8Fu1Msi*b(~IvZDCy*Gj`1g(5I3KGUM z{C9}|9**BMW8$@i^rEpoaaydBFp?*MtAUkb9d5EjxUfYi5^P!C$nDi9lW;IIxR z;zb#nCuBn&3TTZP5Dp^bp&;Ndyf5S5(x2)Da;FgTC=^)2ry+U?-q@y(-?g4br@y%Y z4gNb}KnSnd@?d>48X^Cw^eE!LmPmuYwI7A7TcQ2?p?kCcB|Plf+1I?;{~Z3JQUrl( zdXYdL9d+~9%D<~|FXw)gG5^_+<2UND6)4OjUW;YS$8&gK>KSz4tpb7i@m;`#!YBA< zfDi2kT(g0q;%f!VN#@2)WbDhafm?td&3Tkx6nG2wh9rpJcvux!qlU-a&IXEV;O6qeGDUbs-DxXVS+ zLSJthkMxiHqDNuQJBzzTa#K3JRG8DU7@u&%TRksk=I6Y=csIqMtufH}iLQl@rtTi| zBPKPkvH2+2Nb6}ugRx`ULQm*>1(f`rk>G$A*(pD?)bHxRcgo~Qs~TR74V=w?7xLc| z@Ed7^ZYuEUq5AQE8h~Wfc8O&~uoJ9|BFJ~*d;@a*>f=xX>dOb<4l(1M~=0=V@mnnPjPXfX8fJis}oy);(aQ9!47`r@(mcW+_=H{Nd&;4!UKPw-Y= zA-1rdrWzc>B&6{49igX3$mj}l{#l5jU13ANeaCk375wln{$luxq6$)n%SY3fKv8U9 zi2okTf4>16Fuulbd+MY7p^We9_v6dXbjv!*Oc8GAL_O_YIJl*#=lSne6h`_Eq#fC! zoOhR;FemrM-M+S>=xSeEESCFfCwf}jRv3XSY{5)-Q#$(oAioEY-nxnwg<1c`RJd^F*jiXwg`iogp68WYi@-q$ck!TBC!*X;MCH>JIgkN{^PpXLu zUKC_Abr3nE;vu#ZYZKn-D`EYU$Tu0~!)&<`FJUuJ(TtC?Z-P-i#Fn3AmYoG;mJhNCI;hJRWwQ3USlaR| zpD4dc@Qq3ECKDdr!qY!rGeJpzw3+bhJaO1R!K5E}e-keB_j%Zzh47N|qu5gvU}@|O-e$n5ystChB)`glN0C31|7sg9v(T|W3i+W%Jd>pv@obj% ziFf*)%?k?B~Xf{)hv-ElajRn6}R+V9{2bAGj#X_ZeeFQ`8| z<8b~ev(<+dM)FIrJdDvU?0N~jBi_N7_aFOX*h-S6g!4}&flTUjBUj)c(ML5{Sb3d& z{S~dNzs^>Jp9?lg!A$jgwmAKkuF8jTx+sXluyi-!)KKWf-JN0?7h+&a3#Su<_!r{3 zrXb0jpjD6} z(m`_%+~0;F9QV`yeeQHP`lD2S3QhGTn{CvM)6y3$%4W;|q}t|Ae+g?Z@h-oSq7n?_ zamZ}gmuS|kM$55J;JsrR$C~g=cG-1m9B%nycAEje@kN?Cv3~^Ht!7h~zwyPV3BTKM zgMvrN*{qMAL^m#yIQa`Ji3xBdai|H;WLIBnCozG1G5f0lmr4BgJUfY$P4-WmKAGeP zXWLQQy!1x`{$_GfFUJHA{%FxWHguL-tE!JSt-bw8@&{)c=x{Q_9%d6v`lGzA^oe8f$ZzU%4sJ2| zBgnQH@oKith-b1@M!cF;8SzXu*@Q>=cy6%HqLx=0-=ls9*qJxk#WD`R@x@|V;oh^^ z?Fr#Fg}(^%aVY!nMq8QY**Jb-Uqn}|=;Px_uJRT~WgIT;%hT1^F)RGY&&EC8<%{ZP z)$hIggJ{uGHra?*v!Mo@>hHlrZr`Z(q5R{5Y`ZJrY0&W&Z#Rp6t|=2 z4YD=3d{oz_wSVBK^VSCqAy#6*$zK!VVsPxG@o;|=F6IkIR%=Gu@{yHPT}6_Pum3Xz zkSa0e*zCyI{Gq5QPu;s|Q*Il#^x>212 zqO&MC;!1N2m+>gL@W&)ic{#uGz#}WEItzaxeH3U%`m)*K6g7QHe86^U7@4HtBEHM- z)AC1}Z4-qb>04#g$J^g4brw|~^WS^jktw(wZ+glLyt@Y;Sy`w0hXUlEO!nb1UjE5s z8#T=BAJV~c;!L)EvbzE34v}Y}-8s|G@w2j5y}EMjZWF z7u6Ro`$heFL}yX$%VI-K`bBxV(oKMzp z+npscX9dyqRhjgmEKWA!0k-XT?#LAVvi;Ll#Nn--)#N($J+hL93wHZK0jeKaY=b7C z=mXZIVQ&BM@kgIHlRf=|`hS-pedtfN8F8$SOfc0S)DI($^`Vmu^1OV~e2CrM$7WOf zA#gcgsX57J@k*~K@0UBebpN3TM`U64;hm~al=#qorwwq&qTtc>R6bX0;!b|^zG2(x z2Kgeaf9t|9P|**3f&q{4_<0gebl4nu8!ur${MJsQgVXq-J#MhB;9`CESw~j)AC+Cx ze1z8Xmg~Z<^kuS{MjY$k2AsxE6YzS4J_2*>r?rv(2Au4laH6+7t!Jj4=Ehy?VbSSW zKtn{Yj5$oluX|%(@xH#*er*2}ig{iM;1`{~IawjY61 z6d67J4&)GKn7HdQ7EZ@AfA3+3V>mH{Ek|WF&0RkFlbE$MLfNB~A2Q4LfqT5Gtq;N4 z{e#Hb&xExX3Twk;+tkh6TA2C^3V!=8H`RZ#WtOt%#v_)$9RKt6qYStI99-1D6t;n` zL(tNYSRdw#ym7cMsPe1&2oJE!R-5ol)=i7gEuYN>8Szjot*;8K$Tl2oVd^zc% z@%+wnRa;&9?`lT-8H$K)%sUTi-KK4prjIvvgMO`+c?XY74^a(b7ipTb@{YA`;t8@R za}J9o>(=*N3!th#vA%lvfNGgrAMup1IhMZF27SE0Nnu+@t3FZUgI(J+%;m45kVyY3 zkM!TQM);H5%JMX;ZFh?GbG{yrRbi~>OQ9*zmy0@ z@4U^OSk*qvPmMUz-)xG1DO+xo&tfyZ@koBPz1~z!>rEvrD~?<3UnoC@n(Ptt_tpk? zk`4L0^94itguc~D^+onY{Ga^GkGW5KgMT~za8B!R`~Op%UyDxXfslTay=Y$#-s(=g zn%^OIaHAo;Sf5;HlrLthjChz;8S!FPWsE<>CYx|MKh>j9(kI%J)?3^z@XY^ewlR)b z(FcETeBSJ@OyPc(`Kfs!dcnLfBt$SBZ zmB;+#I}<*SwHxJeKE@b7`oEPX`>WYxqyBkpxe-VH8FBP~&zk(dl+85Shx|_>-`^;Y z^sg|bAN$)z9Od7Lqx^3$q))Vu*TtzY)VIlT%xZZ-{Wryj_9@LMkN)yDgS{9#&ots# zA9}_lzm%=2H~Blns*>Q7li^9?PczCFvoxbT+W)5w=@aJxAGt|OqLM#R8h^8hj%%5X zfWnXVbGa!#%1`CU5bvJdB}jO|m94ZYRiucAOK zBlR`ce87pH&lld?V#3k?xb^GviKzd_8hr+#>PP&vhNYxl_do6ho$ifCu>aP)S#zG| zAHvrd@er#s;51%Y{-is7iv40gJ*Q49Z|3qe)TpnH%4h2h|AxMu|81}j<*zADnPPv@ z2;@KBAoB8mw2dD|dgoP@MU@{O%Xm`*HUCGW=~%UjW!#~$sPe;*{~G9$4|Dk`8jB(y zC7k7~)Lfw8ML3l|L=$%D&*J*OdBr1rQNr0rD?Iftjb;3|Cami(LixSRgu}k535Wja z23(9MAGuw#&#r%2{0zQ-$}(C1veZ#M43$5szc4=jyQU00DvtiagbRBHU$2#4MP7_= zyX&}RheZ{B%$M6AH`}w*gbRCCFEiV7osL^}SX8&C$`l{ki^(Qjj{o)e6#a7if1PHp zWrsx-e#E!)F@t~P{7=`X%2WIQvRe2NiH%3{2Z;G?T7AU;n%|GTgXbKLVnDToLi=pJ*L&XPviy#rk-F zZP0j}_(?vk!7Z=Hk39f!?lTKbe=OslFUpm?Z<}4qo>un9t=EOvMNzp{`a&Wh-JWeo zt=8N-`AzGtp&MVUqceO@4YAo&`I1=1d(EyA;NVeS-eMUWG!_S^6_Ry>ZN)N08jkow z6#p@&*=#DF#adqQ?(ePm$9l$(^CvghisRzPepoEy=NCNFhZ?~5FSagMbvX7#i%yMY zJnxow=XZ&f#($w`&_2=^cWVXE(FcFwJwmrW2gi9V+`sFMlfNenQf;LqJMzFSTka0n zY$~3`N;Vq&P4U0E(c~}IbiJ)OvA?56d9h!l>2U1h{w{LMd-}T`Lt*mw^IrarM%Po> zdqf{HtMQ=)eC2u1^hV`)X@kb1$`{8nR%)P@A9TKMr#{$l;fO!qARlG0KbA38V{ygr z*Fcwk%=b<4M|W`jA3mqLK-G`Et3PX?OF!02>b=W@Xirnvz8bXybo2{6oozMZz1dnL z9$>d=I84-j?D!)2A$xr{B+e6t6G+6^!@!(H>>uuh6oPX!BtT=Afzf^wG;y4`t^79FcOzFk>MVK&r+i}lIwaV%ODu**Y&_O4~2 z+dE46iaY-vVrxwNs6ROW6~|$UKOD!c#1DPg-;U$3^>I8c34U;aJB5n8SZ{k>XHjtU z2+i(;fJJl`RX)h7bWoSadBe#@9Or+HIL@mj!4F<(NI%-UZAKjXpWe87{u}QT z)cM5w1h;~+s~s`bAK3qP09i_Pe1Z|j{#O#beZDEZS!|~fNB?KUvsqITe0dUlrV$UY z2}V4d^-qGgS0+in5l8xscqVHy;&}hl8;`7f2t`8-kx*VJfKifI!@AHBQ_BPP_Z=WX zdBOP>15V@Tw*N{#9^E=m^_dgD7{8>mwMM)*yUmCP*nAC_$*_qUUzi1r_KNe6Cu{mN zc|LwVJl88dB;T8TXvDGpq~W%qasIc)ceiQ$sy#t&&wL}^n_XnY18k5t&eGQ<&) zy!;{T+iJuy|1;v4|7p0lzi50pGmh8sS5)-pIXa7iqdfQ5K}4qG?GA>CohPe%@!qd$VheIL_;O;M_l}J?AIt`zB~V8rAmW7)PbsUd;c?RiC(V%>Ruz z^52Lf|25n*{}YVw!wDoE`{+FF&^QhqPxHp(;wxsm%2ZDXOI3Yn|Km7R9PPgm$Na|| zSH}x@pRP{iALcL@X);QDVth7OgWc{`$~PUWZ=dZ>p{*~nvISAv{SO7G|G|E+k8O6u zY<|p7OW68{P4%S&^&y4b<_Np$8{z3}z7a?JXT;I|dEn6^ydQgyXMMr=80Q;GJ^jJ) zZAKjPKO>I$j}gcDHX~lbCMUs%Cc)DTIITxh{_HDJeW2wp&!4SE9QEIbqyBs0^1hL^ zFBr~~7s>lZ#D2{=7!~n*I`aPNwO`}d&*%SI+*CPK9Os=5scF}7>JM7};$6OJ{b0fz z&+?UEJ+p3>J2urGim${FAK^g*PW{936i<6lo-x1p%=>+CnxF2R>9$by7ur7qPWG+& zv$wwpU!Fw1B#C^`jPvq*vKEJ9KjH`8n;rhb?IX%}>qq4evz7tl!y<#EDW-serp?*1nH zt~KZz(M2{>7rAGHYPD6Gq(8jgFFEB?6h-^}5?uYn-!CcnlWgT?J|HqD@%tsBJg2Zh zm#cMF^_M8`-S(*EUBNZ`2mDX$zagRh4G&2BoBn9DpP#?rRd()Wb`li(EW9_n5XDCp zvjlh+JJU&`gUk6scSkqnii2CP`e2#q%xCP(Q}8I|FMD&1R>b4*M=rH(*Y(Tzulvxp zUB{L9|N3;I_)$K?dgPk@{C%=<-t9THx9Lgbo1U^GCgbpFBfC-?hi71;~x3sOoGhsGp$brL*mz-j+?W{&E6w|}Zxx^XTTDOqRY`E6Nm|;xD?tYmK))u3_e}-t9G?Pk7^zmGf{M#Gap1 zfad2VpzO?Ewpum+mlD=}s#e8SyqfUVDW3Qa-oB;Vy7lx%qg?+Z$9v*+B!8u+JUlvC z2Q`02qujnpp8BbMG|2-$*i$o3(=XT758R^_0o6Z^WdG~OX(|*P`d1nB%ln}sCi%%m zc^>~HlYE*%p8EfT_nYcRn6=%lC0_RrAI*1n z-e^i6ov-A5^ba?=*`P`MIQfb8z~bNR@N4}8bq(VDXeNpV?mxX-b`nqgPU|Er<)Etm zOjZXzkN!H6pJktq$)fWyOIi40t!i?ujy@XyEx**=pQ$+B7xTs=`2jn=0L3?t?V4oN$~zj@b(r{`tkmEp}T}B`OnucO4xmmxX1iT zbyDk-7(WjAtyW|leR6!>U60bit@)SORvO?DtHV$Ezj2bc{c^ssT4zz^i`jA=)Z2%< z)}T>AF|THKueDvE%Hw>oL0--$`oyuQ?o<7T`BU3(H0Npd(D-WGMc(O+@T-2R*}jKu zV_oui|Ir{%`l}4M(4Wn2)AZZzF@N8N&WPi_YuwL~k4wAL#qHT$?~tu>f258U%hXqK zRDWXqXPS3=6_xkt>nw_Vl+Pa@DR8^U%im(G_WD3=5b5ZPM8h;5yQ0yZC0_c_9%jWc zEBb(^8*o0})Q!>dPmV47=q#%KFkAm?x3LN?-iL|mEIj}CdKfYc_pKCF$1<{4@Nyr< zz0Ulv-;*QgTJ%^(KLO$7`|;v0%!#^+zi?VVR*#SS&^NijoE^(p17Kxy&%2uO=}>%W z=53&xCl*2%Zl3b@{oshWKdM-{twrmfg}tAw(vlQsFATU9ieWhnSkC|A_9}Mt*6k?J z>?r*(p&eExtN!EVYxU_K<%Q0FO}N+4-bNWx&bnWyl}|@M?FY2q<1H`0x6;QH|3-s6 z>2EUN)X;4_O-r1s{M}0Sua0A}T*axA9@1A8$E@IDK0GOo#X}$O@8g&qeT284>TN&m zKkmHP)V|bF`kswrG1#-p7$5G_FydM4;MJbvqeyl3UQRheoggz#ijkj8E}C#6+z! zz|)RA;X92u&I8|Ns4r-5*WBhEKaF4O3^>)7jhnsoQ+qx08qe|+cOEs&(&JL2r8jyj zpZ}k)xy1HIWF<}S?ezu{H>HMoBC`-A5ixQXP zEuY2u>!7Yb%HO5yqer3Whktj*4jIY^{BOd=S-fX+6Z;G4=kxW|9X->J{7bI?@+A6m zO#0i~4e1l(2D#{w9NdD&K2vNmBlk;3Wo}+S>`b@ml`NvnqXb7A611 z_rs2y=aqh{|2nAY=lcytJjj}o;LD9T&c7LOy1z1bv&p|<7D$5olHj{mdh3sh@$Rz| zJpG67d+DIdf7NVx5`3l+$NhFj9OwUxIL<$f*Nk`BSHgChaIxR;tj?m8FO;7q9dzkO z`VBaZUnalrZ6EDNSDmfSwV+;UU#b4}H|h_v_Hictv|pUUK8)j`c&r~Kz2c(EK96Wa zAQk8R!6a{-k531C;wbNFItU{a{b&z{#@VXk)hsOu-gJh+pLeyOJ;XSF9y?~W&F1JQ zU|o*MpYymsZ;Ruxd`Z;NN9S*5#_=k+XuorG76+$qH>GX&wnz3)N5*O_io85Oa`Jt4 zVm14y{n@AsyK$c0`!pW+cut%@`F_w(Vmx==%rYF<$=WI5%;w!{f|16WmAo z!dZwE=S4EG-VqE%dj+xJ(V3qa$+%IDtOv;iSZ7A;^s4J6B+u7NqP?b&3fwbLC*}9u zps$%Y=|TUC`S=Z}63%XU+<70EMe}i~?DtZceVb_8OJ{~ z9$00>MSnhMr#7H>iZcJp)@Sbr;XW9?AB5fi3*FYK{gwRQ>))=^hK#m8Yd)0oTP+C= zPWD&p!mvoe`FKmTkDu5{Q1LBjG1w4Yg@Z@sef@WM#*h8~Yc=Ct@pJue>MU{kFZb3T zWR1FTBK-?>mN@-Ke&cCB)_-;3MEX;8mN@;ZP5N7|N$Q`!=`3;jb4>cHb>T$z7wIf< z`afzk_$OT#PNe_9QZ0#b`mZzTU#|-%(jV1X;`H}1=@09|iS!TAS>p6R`)h-L+OF0T zpGg0kI!m1X%T4+lb>T$%7wRl=`j4zK*q@~fC(@s)v&89NZPMRzRZ{=_O=pSIpJUQr ztqUizzes0^)BjP_;Gc9|IFbGXwOSJ6^j~Mvzg`zkq(7>&#Od#2(jV4^UHbWY+Yp^a z)z9%~Z};+#*!VqAQD8;t@X+z z`rlk)_RrtEaH&5hiT;IN^3whyFI?*X=r*%|QoZD*{sW6W(kJy_mqh>HyyT_+s248v z_er9^$V*=8AL4~e{m(X-{d3?~9_g3*-}J(z{>zi-k9x^V{R_QtssG5WX8VVD$xHpI zUbxi1I*I-_tIhuTn-?zi=Ood;&`Vz0j}3Bl%Ob9Q`{)+4e^R~VrTznp%=)iOqW^DR z@=|})3zz=slSF@!E{`ri`*Hk%bsRof7^|I8a&W$X_D9WG(B$B>4s`HzH?FNW@WqKO zRhS&xHfT7G8GI0niDL$G&BM_z&SS?ix-C+teDV0cDi0j%dlU4*qHh26YQA1}+0AMi zbo-FTSjMH5K3^kG%Fhecr0_K9{89gQ@AMSmRK|GCSj`^1e~J083D087^}(YiFV6p9 z{coiyJ@{TZbco~(jZcniKRW7v*E2no{}c4es@ucMSBPEfu^x%{iE;n&LN)UsultkE zk48F#BH@%!107l_2}ST1Uc_yf9>~Llzc5Ol0h%7b;zKce`>$5QrZ2GbN!J(kf9y(+ zj$84iA4PP-AF`tR;z~O@m;F)yN3Qsk@>?_y>0jVVzlvi;;kzrn@VNVEOJW&4-QINh zlNaJ_#ab7hpgtz{&r#*aA8`;(6tq|7+YZw8{cq;SaciyUE^(s!H}ZqZbCp(iP#pF4 z4((-#ZTOv9ztsFfdA?%4SAOwz^R%PTf2~RX&uELZ^<*V}e%2tC@u9||;Qan$4NO?y zu)f@#zt|JY_zK*-%yh0q25Rw=Z|FzQPZY{JoP4P1{A#d+klZ@Y&iVxWQU5;-^#lG) zb=&Ktht5Bz)v0+w5vVxsyD+c!v37kh>6S-+V@#(S_TuIk74Nzo+h#m2!gzCeAcaHWsy=jR2*ADC|kM?3o4bS0|h)z6#o zNd8h~`)DS&0GX)#xb?aFa~;?9rTs7K+gPr*1!Sfkf3oq$y8rSnPt<;=t#{*Y{heMm z`7`Q&)rBkNRh;E&^-z_nyX7^U_dkQQF|C8+JYFp0lQLKN zb#T%@GpT)N810*U6!y{h(y8-K{M^3r-u7XBgSIM`ak9pu%G3VOZ141Oc~&%AYtmGC z+%LMx-WZW}#9cm4dsojNH~udd?&v4~Rz0AmJyH3duwB=$%O70kme+8e|6{cR=-?v% z-R+lylYK*z+E-b7KBu!Z9BByu>U2Bl#o6;Y;ktjimRp zFmrp#&8?0PqH?^nR%24_MgLT*fyhu5hdmWWoNepnou70*Z~5yc9Al4ElYZa_X1V;K zm)A&sHolaKlM(d6)Xdyx(tSQ3=1kd`Gi74Vl*Ja}q>dP)pE`>-&boZmzss{fO>Dm| zpEUj}nymQ$IP>3(pW6E-jQO3mCQ*GmW~X<33$mF>~>nw_W^nH_0E>k0S$!Bx>9?)16`RMwNWd9vT zoE=HinjBT0@1G6!wh!Y|9dy~30{d92*ZvPbU-Pr(T$g+?Pv1)#i)!CJ9Dm%1vp(MG zqY}mjKj@i$jz4mp5f|lao$X`WzPR$-Y?tR9Hn&p0Q67kc<#@*@HK3cEU~91Bv*i0Y zcNxK*YI%%iaerMqRV`AAJj&|?!~CD#H}7x4qddQlc9 z5oeRSd!~<J<)KUFj~jkd!5Dcue>k+ z5gjL=sXq!o{Fio?cDNXfn*ZvqulCh+g{PLX6&tj9~^sDK^_x*af<5zLKAJE%`E>G=Gh9>O7ale59r}gTM$D7iJ`;olk#~T1*yo~#) zf*3Dj@*9ZbR{X*F<$YE`y3eYZwWYYNSNOq!``_X?RR7?99wQ!NtBg3(*UN2ztv^xv z;kBHz!s)~HXsU-SNDQePi$eKejs*v0K3CqBa09p{bP_op;dx3;H3O(iOV{Nd^K zy8rYXn3>@I)5&s|Zf22ihV?EBskH60?@Pk_>U3X{c-y95qpL#M{K(G`YxjGnhtj*# zfJg4yg@htY=dmBk?Zm43(Owzk>HX2=Mm(F%G~kiD=sY@)|LR2XTlR@#@6#L>D=Rp) z?M>{zE3R-k$mS2p^Yp6&8i#_zzsu7#P|Yu1pJp0xJAEbW?y`jb#dy&mPc2$|Pjmb` z4Y(bDke!$)ehU}f*0-~56BGLv8CA?0FVYt8b$^Kdr_Yz#E8C7gss9Ye$vgE)>?h`D zMv7Akqp5i%eqZj><1m8=MKeYZ?f{Y+1YxVQapgl~OJ3yl!l-`&o@_Xt>g&i0k6K}C7&tkt$F6wFR6ZGz%MS9N{de0Ghvq|qnp%*M;5QOe^gDl-UE)cr6T`P4fV@*4K zarYJA_VbSJ+|%ki?qlpA?_;F(@Di3~;zxPI{ikspcKpEczHPPVeHg%(vY|#ildbFE zwpi7V{TU;U@4p#w^pDOA^0N9M@iP?jdf4dU|K-%Jlk&`k7|1HzMEv71!u= zo|Uw|3;SCaC$=BuDaf|zpv#^BYg*yn&r{P=%w|4h#wQr@YE14^*hMQ<9jbo1|L|7I zgEQ-G77b_pHCbx-R2=UI-*3wQOjdQb3CH)|Ot@HI%4yd!LWw`x8?6-U2y`^hNmhD)9Z(8L9#$ezZ4Dx^hjPM(9<~dFqek7mCV^@k}ArWFJ{ubc?IP zsPW;xg}Y4t#QWezd#c&NpVYLt@-w=I%EF|Zv?$d018kj19_4+N5y$+zoQ{SEI$D-xHAT}Z+zrP0_%Z*%49rE9c zspITIi@wo}2?KeDd?5(yHcaV|^YKJ<$bULdbefBA*E(c(e>{V$?IzTY7HWf}_U~C- zEn?0^5dHBN11!}csrpn)b;AC5<+kDtACTjj;Joa0yaJc-B{?8Gl z!i67I9_JH{INAdvj_*GjajgHu;c@wQ{2a=^iV2i|S5(W)`~DKjylmm+4saw!Q{6yV z(K$-yrPv<+V!X(_h~;5>i`1Qe_jAqX3C%y8&Ncf;^S_2#`A6{~|1JS2ipH%{`)Esh z;{2nmvh$Aulz)89`89TENf8?iue|hTyi*FPFT$pY1Qdmg^0VxCI@1 zt@Voy)8p(>&mRQo$iWFu9f}o3F7I6snRG~8xJuuk;c-P?9ybSljCCi6iqnaO6n3(QJm$->jJs4>mw$>#9{R6Qc^v)ne%)vFA&81o8x+eJ zu8J%E7w4liP|qLH{!IE-%K}@!^}RY;(!}@jS`ZhlY6jTYI}-bg)-&7xr72V6v-EX# z^c{h|FxzL)x6YtXU!SGjuDUpGr98UUJBdG>m_M>F;;+QCyna`EgTDlST=6pHocOW* z6B6gaSa)5Cg7fb?j8)1GX;AU(SVq1H$M>JV@$@He;Z`NVs|+~JmzsXECn9m_qZTx4 zjQ9Eq;b}(wxbN#WwXA6AkDG6aj@SmF_#$=1j7yQ$67evC!x$b(nv^6vID#BO^` z_9e4MCul@n{PO!~e|cQW40g-7`O&?gW?g0RA34_*2j^GTtEc0z;T613Iv+CDVs+TQ zc;5Z&61yqqC0@saN$@}t+-JnYY}Y7L{3Wb8 z3BJaN&AMf>lZ6eI|J^RvxUYQ2ifb%_F`2L-qUMYbN{A z0~qCzexp3nZ;+?`#44kFnE4Fy^8CR^`?V_Uq+jCC>Nqc?$}fc<{#X^qtm3fOh~s>w z5ue9~8u1dAX2fwmuT@K|tGwZR6(R5S1!_lznsIAA?G`5*C;gBI&gW-yy>VJmV0UkJ z7c1N2sy_PO317cE(a~o!%0C=_TK}F9r`W-%|7;oNUEXPbqy1I4VX8dtzc%7{zvKdM z|5AOLjMFyW?IFIWY}Rl2;}}PcYF~-v|1WXKNJitvx&Kd$i^g`V!%ypbO^%`j{>J*Z z5y$?Y377XH>nv*g_}-ol!Uh!&uy$rAG~Pa;|D!k-JFWDGqfhqd-|0mp4u3BR{@*&T zMW)9G{|3)7l}DU_NP_#4;Jb!;>!#6liwFmv*3kH2C zAB}^&>kIX-2ha2F{|FBo<-;t?fXnaCU4B4wnPR`V&%PBeq$o|2f@3XZn+|IJ;^(6b zINfKRmLz>u51agl^q*z+Use)4Jqg~HY1ZG81Ye&7Z}i6F=HGaGJ?t(GYW?H$ACtbY z-F}5>eYu#mTpX{@H6O+rqx^mnE<%>yPkJp=PHA_Z>6#pC`A_-VKGhwAQ+}xYHbR3^ zxLoofR&B(Q|4HyHBaZWn`DXjt&M@28V#G1O`Kwufy8);Bh}u8bDyQZj!gm^Qntx;& z>?8RmqkNFH4K$@6B&RY%M0rn}^7Qstb*Vq8hGa3^{10o zjt?cg?IMiVqNxoQ-YD^iza!wtmRNIx|Im4U-^$l&PkSarZoi#4Fs8zV=3Cf}PaKN1 zb{x?hYVf}gE@-;J(sTj%gh7ZeJG41(K%gB-iunHwMxl7MP<-Yy{M5<_QrwU?0L``3 z{w}u2r1p72`!9s{?7i$XOS_%kQn0Y}*Kyh*n)+IIncimbAzT2ty-!FPnf~dN{@^Rz zKb4l%jl?hfb1vZlfnRFj)ee3l;XZ+re&j{w-mrs{+gM`(_wN`B&vNh&2;bF!(=yT2 zvn)K_!8dUEyEuN5g}0q*+ehAGfvr5fT`j!D!S5oxgl5pZ+FYsAj_>%&^&

    1lg?{dd(3`h^q$OJ37a}P4b;{2&-fcQ0K$} zq{{+W3ppUa#uJ4xpqESsIp|!s2P(e-xHLoqZd>~NAUSsvlkttWpg+OHXlI(h5w^*+ zl{p9lnN_Poq$dj*N0L;d7)M5qEIswB?ZN_In>4vA7DUD;_}YzTp$!9PG79lROs{F@ zmclVNm-@d*5`I|SJ^Vgd{izoJliz0C`;9Jwva@b0oB%e$MZR4D`kVwCzP)&dzUt*+ zC3(<6N99Cp#Q=~-I(`7LEg(fy>-S|IbDHY1q73O?PQ%Sl2T&cCeW$y^bipmeq$`44 z#Z<2U{z;7rb5Y?Th_{L07T*Bkc?5|%G#)u*8}Zu$O%0qJzs_Ah$jDK(_YZ*V$?0e) z->L2iy(y62Jq)Z_?ac=TMZ!(IHj=o7sszUigT}Pb9fT}ekA&udyJS9gwRxg|6dQxH z1~3M7Q_H@C3|sH*J$jHZU31+8r=0eN1-h4@h4Mm99e^iFCCAG5!A5sedg49$qk=t~ z9h74sFB`uK2xAWUt084H7s8+Ehe)$e#Nu54vOJWl`ZjIL$=#ah+HTReQy)Zw8f0L$ zW}vT#FY+d~Sy&64<17@ZkKwb=%|heFl~L<@H}Kg4TVkyjkgp-*Nx5iFYUG(!%S$P+ z*6iWuxn8a7C^crcZ}8{4_|EV6SoYi|?pEmps=JjXS=?Xc`Lc%?syOVU%SkaQ>b9JrGH|IbIdM%hR{LiAhiaH>UdCzdQ^M|VbBh3 zI2JGa1>!Y3`;>Z-YKiVd?-TdFI?xw7hzyw>*w#;ht3w}SoI6tTy7Io@lxr4-xV*-@P*!K6m2s~ z8L!4XlwPTMQ0r6jl7`k3#8b4lYJHC8;so=s+CpPTX}CGS^z>PR@;k%QMG#Hi zW0R&JEq>78ptX&MmKQ{~K*5FIdyy>zC_}VWk5jbvy}CS`ipqvCeC@V!2ym}QLn|&X zKpR?fzSilJ__!D`+ zheK!s1P4>TtXX`yo9e+;#(MTX#GbydSUwL-Wx}~!*sqx$e-3*=AJ=qQ_C172vPBWF zP@GE6#1%36KTik_nH)jsA5UAS<+f={mj*67Si4JMC_YcZ*_T&~OL?H=W4Q z<>zze_2&Hmg?^Q4+JX7a5+LBtCA$YJzcg+tF|+@g2m9#I?!g{XY7!=e7|K4Un>}zJ z+7*fJeGdk%;quU^f$ao$8+5g`n-bMfWuk*rx6;~O8tzh0TxosRx>l%kmEWgy*~`2v z$Vtvn+K@^#$gZ|Vp;>IO2GT@0PBvaIzGChUFx@E@H$xOr{m#x`CWs0HG;Y)qY1CN8 z64%ww4Y6)JYKcQnW}PQA?a&jbHpVO%waa{ZKYg4M#%LClg8W!cjF4zfk_GWxtc>KY z#zr7`JyLcAB$Q!f#D$j>7e|oz7xkv7-QmMaA86*gXue)ZvBTsUlz=*2ATF_igTZZC zT4+KCrxUn^7Kcmb;8<+KyR!fqPcW}h~fM>#x0 zB;6V!NE+!7-HphIx;-*I8T594PinoU4ig%nRY zIXxYC9C%2eQ{2F0l(jeI`3~G9-0AV8cEGsYqhEarf{x5NP%q_y^iQdLwta3;qo3*a z)(J#M?07PQqi8fuR6+!kw;I43dq>>yde}YBVY22r$Oyb~8?%)#0n(I1-TGv9T>chZ z`)l)3bDGJk$Yo=(NKZjH&XMbN2(R|NeE2vu4uR$*@-x)rr924UN@vM>29CEDg$jmh zG?p`4xBa&6;V%<0H}?6|x_4ftnS_X#)bGwIIgaQLApnU-h)Ze=RHvDf%&$QVC<7ju zC=M#+rPgqNIyM7PsnuTtUE9@(t5-UZt`fw*fn?Amry=UP2^hgmBoaZ#w;LpDi5-ZJ z_+7#oOrjLSY6Hr9_en)MvR3K&pnF8j$AbPu^Y5qK;kFN~x?)EnYruy-{wk|zgr0=! zOk7Lf6dX300IhVti(r^nNxR0=d!Ngix|O*TgaWgd^M1axLZ#meOT;;~>f^|o1Inf= zZ=!WyC#%IgE`FS$$hS~iUWVg|G8Ym(%hg>9)S_YJI5GRa1Q9ca1|%j_^b9!ACD0Y{ zxgEXFFk3d0)_2JqOCL5NM60=uUG|mh+YfFThj?Gq ze1cZA?FoepxpOv%h6z-~RB~dt0VG-~{g7WG!rZjIm%s`G_$v_^+mR`jg-^`K9c z-uiIvY`zf~J)-2U?T*@(uj>ZVC(loBl|X*fX|leWNCB$&-i^@-(Tj35`^4=#fkTcn zqGnyH48@Cub8;@Nu1u}w59|w#ekp`rs8in9)l4WTfT9vi=C%dZvdZ%`b4lT+y4g%X ziGira(0WYEMNnbMFKknVTWm9G=o{g35cKAu~R z9C6&3N^z45!>KeFFDg_Q3|xEs(o8;pB7q8hR7Y}#^PTT27kWazz5=Lw3XBr(GIb{y zbb!J{3#G|BT8$W5DkxC)9=m#;;(X;GCT|I>2FPqXT@$wfqz-YeZafjvpM#D`h`xcK za^)Z>v)hi=uA;L~oFLofx@)lFkZ$wvWIrA9f9Nr0EcTGe-9Yagyffq{nxNBXvy!Vu4zR%1dKj zmP^ck+2#CZvNdsdhZQEd(JfC*-qAzt$G)0H2q`Oo=n!ZN_4YI7ET$KIHWWMA7+1eV ztOc;@C!~w%&Ee!F=zSEFAAlZ9D$?@@B=S6HWFf>f4zLtV;gvTSUa}zCKA$+vsBaFe zQM$d{fC$Z|c{n0RFTJ`2g7Z_cW5NZ)__VeU6~2g=y4HYwq%QsnS9j3T2xh%3@rW2V z3;!t~GWPnOqRaraw1R*J9dZZChkv|VJ%|v!ma%RY#w!uqdINSg4P7k)6vSkYF*u$2__3mlI&y^- zB7vOtMeyCjge4Q3jJ(Un$QJ?yof6`+-74R`^ z2vu0J@UA9ULMB{2yts*j4sDI}v`)71bOrpx z?#MB-x?YB>**0WBx(Wdv5@qw8_unnH1s6&0q!FIwHpl%g&TG_H)`m*(e?Myy4 zWA^Qri%oZ2g~1BtsD8ULJNW~Ozar`%(n9JuS(;HW9rlD9$rAgI??=V?wi5Hy^Op0l z#)dCPzhGsb)C~vEbN~f52u1Z&rRHo$pV~gwkw)5yz9a6u7A3)v?VsL=tJP6)zk1xc<5ef=BkRbBX9Opj|t)v*+`*56We1nTL*b z_NzYn+dk!*g`DMVJ_e!nkt)cO9Mt@jvr~U&V4D?a%G*SnEwqo~KNA>+RhZ_)tv;nO zN5(RG?;ktQL?tRjX;*1Ypct-hZ{bG4mxgAkYwVy!Z$Apnp^plp*9$^fqHVUB^brXp zX5Y4XXE!2=d8YX5jk7x-O)JL~%u4mIc`+E;lklJNw*h@eA;iNzLj%)6XMkFc!{ygE zujHT3T%iILGKN;F|2hl?IR`%J3I(>xxBlXCDU@vi-Xb3~E=XM6Giqo5joA5ljeh;0 zB}(Bv_#rbj@8i$9#i~7jGE+Q61>G3K_)l+$D}#1rL5_4RUa@Y{x~;@v-u$Jd36xu$ zBGwws;&8+>_6fn@{N z2Yb#ZlmGE2|KcXY%V)Xa=y^@}6aL~y{GFG-xcd_1(~Avmr=J>cJoa^Y;drTnY$13A zy89S-jqmJ9+xqjT-OlyF!+5=&4ufXHXM53R-C~>y+(l7NHy_=Z^-kH??JxAT&*4{e zd|DNZr}N=U(WI}l5Rtz_A!x(r7}7fb1Ag9_Qc(Rtv^-`xWC`gL|jBmh(fpACg2GdC9Nzz&xV`b|CuMu@Yif}xSoY&voxgV z9D?&#(Fw)}ny^q57Lwz)Ky#F9CaY!G@AyjT_-tetZhbN%X1B(ue>xgJf9SvX;L3Mf z2?g=Tv(G1Gt9*GY&;vpv{$0Cg1HZF3f74Ubf?!46>Oai>lilE7yiM_=AHM4}wmm8E z?Xv5?ehU8m;@@{!x215#nQh*(c!E6d+X$st&wOJpDN$+L4uy-B?d0HWS-=`zKI$Pi^no4-v6B>dN$*zxu{A zh3*x(oNhW&aHcLP&M^;{S=M-B>xE`e)1lC`s62pe@_4V?G*S> z_Q1)P6%ATbPWkri-)q6mBQ(3NxhyjNBk*jE?I0Gf;IS3pY3$?bwOjWOeK0V%ErrrQ z8Qh=u)=#eZfShp;GXt%_+}qDH`hNGlYSX~GxpldXeVcFb2Tt*?(jS=Z_uwVyWtpIH z;lxSRPV=>J{@=cxkJ^r_k7YfaJM&XgQe0yj0(nnjczFhsG?pNnxRz&e#5w~LNlw&T1Q}xD7=Fk@mx0~K@yS*LS z=7-LJf-G#z9aCRJh@dtKql{nnTH)+|6iIwKzq`db?U!AHK6DJVL{b+VdVhBI&$o^! zR6>RDdb2yr@BVYLD1qk)hXb=0UU!9o0?Qr<8 zZvIS)x=Wi}sI71m_&R54Ui@?TP0D*v7>n=^s!hdL`6l|=Gaiyga1Lj2wouEFuF~R<; zY%&2z120b0n5ta?-x{lf)fhexm9(yyf?4O`o}-@!r5}q%a9u`0Pw>?=9$U0w75-Wf zM4#;i$9dMw{#Mw0BmUnWm0!O7AKbIw-iIegwt!Fjme2gnZ~x2eVkP9ePP%pf=&$%} z>IxCNAONY@C0DIEGxs?@D~HG0GE*LA4)0<+a$z*JPdA4m^CBB->=7}Mx!m9_(Xu*& zwV5&)NE=I?B8^9$Qyg9ObIZH`M&%&+IQ3BC96jJ0{4 z=P$l>?78{^%Ep*#?YOBfg2e?*J0^^#8oCNmsV|m9g6QMMa}UZlHBFaHA@(YzyT7T) zlBE77PFBuybYbDVw~GO72N6Ez|2*^Qxq)|9oO$(Vog|q-eZCE zx|I%6|Gul)oeFVS?zYP--1>pX+(s537bu8nq6Q!UU#gZ;Kx(jS38kRvC}tXNOt zH1EvxXigvRSFyLe%xW( z8|h;%_ysGqq#Z+HN8U;I;M(3&wIYV_joMVh4F>C-a76gB_0igRCdvp9 zNU7DZZ@tdXT;dmc!x+M0b*cT=fqu8XQsZ=Ary8I4KrT>VzxHw+Iiv3ttx)IXy8_no z>s-Ff)cK6f5J8lhjDh`Ue%A@m8VI5?Do?ftYvy1l?&$lU8~~!@8tu7&Z=uYG5uvFt z%Im*oj0&7v=IP%Dy)ev(v-yk^?UnN#{O(_TJ_vpn{@KXS z%F%yn{h-I}e-GE6I=%mUxc>68{r`Qq&YOMau_pESTU=>=!WqAFssZbK*9ll>ZCz%q z5v^H`-+7lEwV6K?S>6ok(f{!so}GJQMHwf&g+7Or_VOKvbr^njSj@^V=ZZIWr1K>` z{;W+&lh{?P1r?y{SIV?mLpP3IMzbI!SL$*GO@>c#za4yOb8bzupbs;mD&QQ;Ag@a! zPmH62Dr`KZsfK1BnMs0Bvotd)_*%UNM2ApVCjP(rb6Ye3-M9?dmF3B(d{Mg33Vp#@ zt0IK(eI2CyVLX}yEXeZIoqcPPi2Dq~;oAd#Zk&F9x1gg>s8!McUJ;k)+ zCC-J7oIYy&BXicj-rwZ9|9zQw#fUd43k%&+lik*N z9mFWYLMI0W=`{;)atV*x*WmSKSk|BY$oEZ=nh}e%$Ui6q{V6;?I|y)qVUPA7JWGGY zN){M^V8mvNTweo^e{aM7?DD}!^Wj4<>G2Ey7m64EyIKFyq5cP>`TuXT_9*`!_P#o- z%5`~ri=cvqh@t|5f`pWUfTS3-fOHFrARyf!;ZiZ^MjGixy2GHQOBzXO>4tA!5X61h z$Gy+_UEg2lpM9;3ti^htXP%jR?wMz9Wf-amH>8(fSjaJ3G@3TC-2+>U6mU{#AYhqgM+96f%cz zOIqS{Rn(s2w%2vK@k^Ibs!Xu@Ja1E5&+)jTkFRy@-^;W6FQlK!E&6zZCZA@2kzf;d zV54#8bW*fh1pH_c;u^u=fvIkA7x9#-r=*k*jHeqRSH87zIxoF~U!I_Cwb(z|Kz{{! z|ARZh>IM7bnV*s0J03}zFZAiNvNU{^eK(Z_cKD9Z(#oZl^6J}<_8-1buE7w1cKkE^ z_i7>^{N7z~sR4^nrKNt`;lH)T-y^i(V@P}xH9YkDU;ULh|L3mme~$_}^~* z&j@!lqW_((U6YO26LjE^7Hf6TWV`vQ|TH>{WiNDkT1!Cqo?Q{s?2z) zF{CI%eMGz4Ll1a*Znng@43s-5@5@)ZPEQ*PC5kfkq!JK{r2WTo}3Us{(1Q0F`)HUD|E{U@fsxrcqyTxjDf#Z{kw3;uo| zsQ$t#wjUz~VWTm)PyF|vwEdBP@dA2708HtQ{(ni}?I3}dyd&}df%pF3X8ng)eA_aE z|F>EH-Rb*3I$3v!Q6Rn&2bToldL9ohR;rfZk4BHbIMIk_JA?D>+sKIhUN%-%w~vC1 zWf5pw&%)(|uF%|%B$#RHlQgZ6a$X>)d%{&)MFlROw5;}Aj~@~QBqz21py7RqOOwxn z zt+DZVrx-OG;MST-yClp%g}K)8K~IitxY9ymEZnjD9Q6^xPvR9d$opNcqe52Crgk0* zd@khgw!n0Z;h7>Nx2F%GD0lddOG>D7)7o$U+wLUPbvz0fPOCv`dp*eQZ}@f}gxE@X zd-?KjqwV%t`cGe=c%a8y=T_zA{|Y5+ZT-IwdH;K?f4@Gz--7?`*59+Vf3uSRe~s`3 zlYxuMwilfut^rj1Qo~o}85&SswM}i^8ecD=F=ALcX-@T7M=lI-K4-)u&Sx|?C=j=~val}sN-YWdyO%T_yaU1)U$JZ?f=P^s?ttTr@LGJohw2LxlJdnydXZj0!4ijcZlo1Vk^BI*Uk^A*0y*69NJPIyyRF$eC`N zf3_rE&%CwdNl)~t3*GZ;Pl{s^2GW#EB=Y)%g5`b=^u4U8kRnsA%f?%&!vW;UagEU8 zk3sJ!V-?T#tztgnRpo5%n`d6YkzT~5D77c#wmufGj;S-XcwNh~si!Sd@vIYW7yGR; zf}IY(<^k#{d!pbvn{e&8O?*aKkk28bLCY#G?0tGzJ#0@hvww7hV^MZ5#kkzdP~VII z%6}kF&iKT`XOxw&>$Y%B7~Jg=3_h^VDTDXvk1GP}rpX7YxbNy7Gti6dW&48&PZ5W> z<~-{B3a92V)uKy7>%u4Bw^&dKqtauryV9{97Tvcy*@gATiLKougWXHC`|kg)%rcv# z#<|eNdRX$;3n!@b6R)&5VY=+SHd?y0cQR_!OpTY?DE%o) zenZiHC5Wrm`l#H*I(I(Dx{_rZL&ADSOi0oEdehkY&TY73v}t&cgC@c1#z=u0&kDHz zkY-~&i0OXt+S3MSeaSbzlsfsIX8bYS%JQ^Vy5Xr~w=Z>OJdr67>RE6jR_r}$5veBYgJ7_hNiEPYM%RN+0NtxMvkBv9ky zI$6g17}56aQV+effEIZCi1ne*!HOI8mHRY=goI^w0=psHO?E;EkD_$~Cbcb5dPE;7 zViy0ZzVrZ&SH#}o9T%X1{Ww9R8-8%%L{iWozmw`4*=6MMOFDw zGtDOv1(Adx!-TrIPb zZP&=-lz0Tu4w77YaPNo-^?$bOyS+;Y_mx7Mt3KP5j`xzo?RHmZw&bxZ`UezuVC-#M zbkW~ajmdD9xW2+3l6dpi9{;UG*LI-6y}_juaN~K)Zkl@yxZq&OoCDB@k?R4pWRCx( z)%|>X>TUR|UZVJ~{uT8BSabWm3wydXBPF$?YYCu_Gg{^ebFi1%a}W8v=a3v7H@2Amo=Xbo$tL|4SsOOOCm~BL;Z$`D_Nt|HM!Ky6{|YG5VS)jTUHr zj8xhAiG|YOn^}(?XrP1TU6qpCK^pumGyUZWc5cn7+i+_3iFbY3`NJQ7Ir$veFH?{5 zNfwBWZ2Pa%bMLYTyS(q$$NG6Be&Jfww@l2Anm9w)HB@(OmroKvp8EKK29lcE=4QMx zR$yG3!hIizqqaVjm=`T!!~mCC9HDJc5eIR{=7VRMR&mQEUiSD!>s_&;his#MkMT~t zhVlnW#Ja}9&?2OXMSC*-_{GS|Pt1`hh93g~h*QuK66x{J9RE>iFt~UL6p?%w9v1gW zgSZTgZS6BU5zzsFD!)7qv zU^v>H4%leFblW#{U#jluHihan3o74~**R0PRNlOWbY6mGd2ZnHgPvLZSYyBfXL9n( zCk#KRWevUL(ng(2Gi?+6%YEEwEL)57ufI%u5G{PP?Xr8Ai><8B-XAVi`5pTCW|If) zPPuL2n77ErOJP#4$-YON#k)~q!LzU>>7DJb79nV`DNWML|93XA!>zAV5ob6}|Ld2A zpHyQ8>_yi^c>BF2wEt&+umxNTc}~)0hFv}}9tVP>WLKEdvEWyUxkJ@2LC|CApKUVqW@Q6k&BoJ+;$&{u-Y!4s7wsen1D~wYEV*H z{Vusq=k5t|TyD^2=?S5oF*pi{U^83=zM!K79>@w9AnZ*@)0h zC{NLP0t~DJ1nJ`&U$%AD|Lwy3T#`F7sM7f3k0yqPhsVYoZ>;Eggx-mvqqeu*WfLeo zAhg?JWfV32a1wQtHv|}G3E~cK?GaZKu(GN&=Qk(j#N%#a*8Y~prKC?8vjg!2N$QjF z+RHGO&SpfuOQ2ONy+y4)LP(J55iTMv40Hax8Bc+%G6=C$T*Pnl2N5G=ej=jk=cZHb z$DF81j_CY8=;?%^_=qAh%)5-##P{5}mVD@TCfC&S;AUVlalZEHrU#-ues?Igmc{ox zR_M3v= z(7Qs&NBnH#*94GXV;pQ$c08Z|>_V5XzWvFrrl>Qvn_ht;nd^9BqQRv9yV9ieIVr3| z+WpFZd4XR8_4+t@1T&)DGNa~bKwt(Ec%F=zKq=sH?wN;K$c9a_4~wV<3vz&6ED9}++O;jjgYoYRUX=c)ZJ& z`Ln@M2oH`jFg6a4gvY@Q=0^%>d&#Q#z!+t17#|m-&!^FxYd$TdXxs??Unz}-3x zLdW#18gY37w#)$svLB@j2kH2(TOPzwuh0^N6sYNWntD}Jj?18=h=_>WGq&vE(b3WI z@hagX42w^VslBjTrqsDtvn|O zpL08I)yWwHLBIx?xv^6t$fQz`cqQ3CkLDQrj}^FlX|c1?NqSBCI%sFx+uHCKGfCQn z>-X24+wBYboB$jKrGg|WEF%y4*H<_`T2VDCQ*SLh>Qb5S( zyGfVd(Fo#du4uz>tDxRV$!7259Qmy;wyx5h8Bifbbj549G zCX~xE)Y_rvfH7u^z_X*i#MLbAV z_~@&kk6O<-O@oX8CimC7A)5kPB;zo)m6t&O6Ua2+()oIi<$+QfqHzF|XyfBJnWSaz z*@Dy?ZL+cSxY&+6NMO1fK6K0~-9B+4-5ttmu#^FtONq+;Q4_1IFjwEqJ%R(!6?9X@ zuTV9g&-WzLJkZBoIGDlcdJ#|;(@1W)noa<$i)?MfQ55xog_U$NEQG6S@|S+bXt!|0 z%koh%bdbu2YT|AhRB$QUUQ;X2%D;7RC@^n>@-#?XG0sMQ&Ii2~O*ljeWVheE<0=gT znFE+NFJ)4SBS@`}D9-48JPfK4k5uQz)K>x~EC)e>%`xmNjQNymNpd~E0=l96vf)Bo zcD>tC*mie2UZmbt2ECxM@p0k1cH?7XW5dICT5Rz_uQ$tre>&->r~zW_7wpWGMUehM z(M$Vx{d2g2#CKcs``iTG80kQuXg`4-SF&slwogv|VW>G8g2qGmBjDj(Vcg|KMe!WV zv9xAH8)=Bio;Ld%1jh_SZGkG*i@8-j6y{=Tz+g|hw#T4k1KKg(s-8@{B$it~am!ck zctULA8Vg8XL8)hR5+;kwi#+fG@s^BI27=l09Ht7`Hp8Kn>z7^JUXAYsXoz$kYzK}#2!#ddV z_T!cn+TsB7aOZ2f%r0j^5^!@|c}TJ&;y-)4<<9JSER!kpVAS)>+#DGLKIj>UB`9XX z5L%GZ$*u$`9RWY&0A+J-8rG05L$a~=m>kG|=!D#g-+|ICz-OOn4uP$^ z-M`tKlYHC!uT1b>#_c&f|33BLdb>&czDH=^I z4)nt>wl?QWHN_1NoRrtP45B;_nqd->l6k-9xtnY2%tAUKW{W5nBs2Rf!A$Q{RMA6; z$be;-gUgUN4+C|HK*JzABG7$irhOPE+c}uKV5iH5 zi92Sg6Zu8<;KrVm+C!esY?t1d>;H0_Yb>>YGE$a%tDGHE0xRrVf#>5!Y+@jeX-b!C z>+8Y18r$0BedQlMd}wNF+Se{y6V24lx!UD;GA`q*IjhSbC;`;WV1_~BnLk-Zuq+yl znGVypYD_=Xa3Zucj2BIv+H|{`#sO^gkU~U-1|owFOjn;^P0@uISU)t{rmsbsD-7e$ z)NV-Uq?d-eZK!Ittl{e4s4IVAV0gBtb@p$b z)}Ma#QZO38_ER-$?o}ii!mwAcW6=>F&vGC|(g^;S2ZlEmDr1@nUzM3E))s`j2W|9m zCwINqgrdem)JR}%fv{RSXaQ@(c>FRo#}DmUhU+5*Yg8S|tBKZij8q`}J)b|HXH~pU;U4^Pl;M4fIO1dWA+iJ3A>pt@E&8g)b4%e3HP#K83rdV1B=CNARy7 z96SWH6H|c1OCOwX6dc9F-@frh^uL$-f$!mWO9P&aWVhRXevWwW;Mbe&twQYP^j|y| z)Gkp7`HR)|_|+7B97Lx0FAfILHBv(&2jS)}U=R0wj93uOdl99lE2roml){i*dVu(0 z5fTe9+FMFNzX*2qQuM^=s6XQ)Db(Sp4*@?h$xT=^Em#@W8tO(K!h-wqUgml3` zkNYgDhqZPF^WYa;wc{}8s<^CXU(TnD!%t;YFni>G@>yJafp$E@Ww<@?mg^n>-c^M! zT=Hh}Sb$JfWoTmVpg{$U|H!zES?&uc?sEjQWUiWq-XVWelCfeY8z91rZVQu|h(QYIG7ZK0Ssd%^T*8fgU5n z;m)?U#~>mU0hW#j236)xt_#u~lJjJq(*u=$FkBA=gp3xxzC^S~;Ba2;c}0S>B*16W zY5e06UMNeJKm7koZ9NF{H~>cihk+29#+4`c>@77Y9B&J_;!jC35ZocK00Gz95OMzY{EoIqeb zoc!5@l$_Reu7E>8mm>o{{a^4s>OGEni{Xd&a>4rcWS7F!52oMUC9=U6RnIGg{5=rD zaIG^K>&!uK#sNbRR}D|m<*fjVPbmOV8s^ULouKwt!RmkM-VIqH$4XEMoqD6<^m?Tm z1dFQ6$b)iW)bLS4)>NM5nS`sP^j0N@5P5W?yv=#3KSS1TLFpG;PNv)BKyp15eKjBS zI9ay4YLhQBFyGwm>-%1S3QY>>toyNzs|RlcSZn#C$w+oeKw!YtgA6W(Ge| z*rW1tg!?7)({f8t&`K%-7m)%-BAjZ3&IZoBtL!pJ3HyP58Hh43!K!?o|MCo!n;_x$ z(`DE>xrf4I(;4Q8=uV#KGQpu}U2GRlN;$dlkS=&Yfa&6D=b5t{t=vjPT=4cZyKj`n zqkt2Mzan~*xEXDuzRo*0R(J6{c!qw&E)+b#E{=&yqsvi)UEJ5(x*M@!lVD(A7w-|f z0A7>~YH79Sb@Dd3Ffa!p5|KdmMSy=oos@~AV?=9TiL96EgQS{bx42*=oW0wm59c@= zi=-{v_=D944cUsSehg8+3)FI+XzJ`hKl>GtA4bA;7hOSQ04c5qivjCVck3`J_uwHT z9m4gF(&f|l*SJr9U!*aZi4XY2^z6tk)l_5J4`}2)gE8duJCeCe#As{p4Y*{CH)gA` z9NXkk%U-v1Un$DCp6O2@mAb$q1Bur=LE0?R*VTx^(Z?ffq<)N8K;?{sa*9&u_0^mv z5Q*dSSF~BSkVEu-U0*x~?J3BYqg&UeD(Da;#Mp7M9c2oxJzJEI+u!mZK>74227v9c zCa_k4b`-?(`~WXCnseP2bsAj61;CFlItKV0k2-fLILmm&H1tKTB04>7_q5;SA3i(h zqauDGq3{VOxz@PEEKl$?h$qYLqFcbXH2jIpyU(g$Zu=t3=Lghk?2u{h%g^+4Ud91zicGF0si?%n#4*OWjJ%uF8+0N!TTmONNz=wa zbo8n7n-_qX=?33!wveDqaJE{*xe<*_htmwL8X8SFXbI#2`_QML6zSLX#fJseiniBV zzQl%Ev!YFqxB}Km*2(El;;kqY_NmOl=X)>9Tj50Eo)VJ{M>+t19IIbmToF`;eI5GT z@RG>dY)P`>Oemb1wAXM8IT(23hEqECOo~E4#x&_L)0f~lcSJ1+q9;{s>W;1di;qU) zBiBcyh^cQTrk+W)e>>p9b?HsMYkYK+I&E}|@tWqivuo1a$^(^ybWGKIc<1++-n*&$ zb+-q>R<|t#IOB1M!RT8J*fVuYoZ_3S`uE579n78wy%>Q=H0O_q3@rG>-kj*57hM?Z zO&R&Kh-98C_en~>Mo8Nf{{u1w8b;*F|Ds`JFL^i1*TRPUsH-+DUa#c8}Z5R5HeKc)59&vhorLG902!!e#FG{vEi2q1C8r{yO)1H}J9xWcpIsKV|zrPs*GE!!0 zaX_THPu2@JQ{Tx74GoQ31?A=c#MH8mgQ=OGW4O1=Q5M33#D6G^RSQ*EbJF47fn9zD zo|z)Juu)oR6~yOA4?^zy@#UfD5&3hF*>i&YQC}g&A$%sS$N&?8<_7Ujs1Ot^R_ay( z%K&1oOXE@*zd(W-C)A!R(kFG6DSj1un$yQ)0G#u4Jc^sD@-yb=1 z*e+#cG^N@asSu73vKaIwm7JCLPm`xa9ABRx_!7&a3ay)5XgZO9ab@PvE3TzHcfLF; z(9&#V`3!rg&@}aA?~zliMkpe6QzN5KDA3`quFK=L9*dGSs^u2+M6_aA_n1nYwON_$ zTARyY2EqCn(OD0~<3Qf!o#yc*=g=pzUwrS*1Y&oaUPQVccFd09dVJt>Ajk4dy$GFr z1!OYCPK5GOveg3+Di9^|Gc*$*mhk+{g+bQ-Go`ltm?_<+aoHtq~*- zV*|_60&`B#HsgJm20`pWdRm9IzL)oKmkB1Aok)=wgukp>Q#C?)orRm5Y3JwWicMd` zj+P9meSC4)UZHd7%rCX#-|ZmE;ozr=@D#Pm7*+&bUYatL?2zm$hnmZ9*Vg1e@dj_`H3_-dQekNofw@n!f?*|4y~E30>(+Z)d`R+U zpJN`k1~Bm{`#jLw(;)w<1*+7wHY;NfR($%DFZAXEpSC_lw@&Z#1$uVz?1h-7#a z!Qv>EwwM?h-MT8wIur)kg8I3S$ENv3)H;ltY1kTjt5xtQ_L#23>&PChU61h7v4Db7 z2`Qw-gh7j6q>;dk%eR`-6m&|Rehm*RHDjBO7si}$LT ztxlW(Sb2yY=2LxTlQ>-2@AoOKhCLdlgE~VizIJLY5h{7YuMWU3ctL#l!-QZ z_ZwxTzgyr(s5P5_c|<_)o>^XaJ3Qcg5CQurgn226etd zQ#0*@ouli2+8-+J;3w0V68X_GYS6hpyBOzQ*$P9Pzd04puFG3D2KIJ%B%`-aS{H)r z?RnHGIJVw6#G`>+NzO-N2#d^9QekdQEDqKj($E-LVhn2Zn?%{S1gvf z;)6-X!cg!B%s7N3b~zLI@@XG+ZI;^*_X7=5vE$l0{ZQVX>jI7NaL)D7>NV=l3T>VB z%3+%GcTdh(SOhX@%hge{+svf|aetTzi&(qCI;oJ0vII_ww1nq=vIUMFZ+<#lDk<#@ zBsgVTY7L$!Clc635|R0tp?Y^k*H{j*RC2$)axU@@xy-lkD-qw3B!&|LjD)1A(3?wd zDKRtMud!1*;@A+%GPOg-b=t=G&Du%-aP@evB?BhYdv@c z3zN<^1U7GFvoey&#-H^huf(NP+2Ln|d*97Ye*Z_~XJ5t{KCdSXQrY1~0b7&?z&t_tvjN4O~kdRjtQ!?Oo( zd5x`|DKRCn0upR>EI!2=e3S!v$xslqOkTDc#U%It9!j(tRW;gHhJv$u%d(&f*4eW` zg67lV0pzGO`&h9JeT9_&G(@CimKxhk4OYNHc;A{R$6t{gH*VG0x)5&w$^nrbkIxkx zUKUyF6G8etM&dIf29XN4KP+fjY$qTg`$Q3EW}I>!I>M=M($|JQKJr#6o4kMwbTk}O zo7+y-e}{1pNP9)P8#v|!-~BWOsYUY9haDI}92O234T~P=UfFR(qn+P{ECs{({qGVAVK@Z(p z4_zQQPq3?yRI1xZ?pUsNI&l&BC@AEt8-{yI0W5JJ4$@>@?Si9!>iTQyG2|3Szx)F- zwEd<4vswwIgsM7~5>k^BkTQUg(5LfxEl&;lnqG^ZpD+Pt`3B{FQ(S>rnm12=X6tq& z*qCvM*7RBvKC?I=Wz`i|Wt+n%^Vfh_u)_~8w>~dA1qECrf-y10I19jChsC60x)KyW8vak96yfU;ch zD_HbPUW(8yt`t^S0VZx%XoBS+A&cB>D7!TQgLa~)6HL0 zBOOHo8XnZc%a7nikdY!Rjne9{^sH$za1&&XBzuj;&U$&;2w75sxnZT9)vt75aHxwRj>5N^>%xZzf_olp7}xfIF}7G<0SkBI0Bp zDoDmAzfJm7q`9P`ZK$%zqrXYRF-3HniRhVn47@cXLC-;heYAT_6@mb7b|n&U)ZHzy z`h?_Zqjm>pZC0CYI3TsDP{X(BGXucn4*-+DA`II`!5qNC1;6kQNNNGr&y(H{!IHJ* zglwq|5ZQq+K}nS*-{$)>qEA+L8u7pMeX*tfWHr7p*$a|&*)FRO=DwF2TZ1=mxR#e0 z0QK&JHZ!3_2!>VV<@Sga@{^L1RWa=O^jiN2>0!5eGcZ1(i-NXbNK)d)3f#kKtHbrB=If%AX`b+UOjv40r2yxWf`9ttV*HIY5|aijVtD%(t-T^^y# z_%Cp*n}wc-)+tMnA_u%oHMDQCt_;wySAs@dE<~AIcSn;|Dh5tJMnL#N#q*m-IZ_KI zT=tvqm zObGx(fWkg*ba;IUCmbb24Lw`!T!pLR$lI4^TajdpVtMYyk=B`y8LgVJN)0Pn-A@mm z?~u85iQYS0{AJ1Q^Q@Ds`T^qE;2eQs?GWoN^-vT@RrDg#&M&Jy@3RcyQr`HJ(fJAJ zoSjx&GCIRyF#}DqQfd8M(mXl=faL&g)N#3f3;@_!u)aD$l~}zFt>u(DGj1Q< zKOnWZ4f;s*l{`SCEOIgHNGRT|Vo}9mN9XnOkcgd&Y-3(cXJ&OixV%6c`$p!$Dd)B} zq#w~SMK|*D2z80d%TOoQi&JhT(hofeaZH<3j~*^R6UO|JMfgb=Syd3y{+yasM&{?S zsy#}y_WdQ_hrlc2iP&9hC%Rf2yE-#59gjy8=mD zCDicX7WD2ppntfsee!m`s5yeBs;hx8Wusq`jA=(9pP_hk+C~{$sqU^+OQG6|{Tlcg zNfYIMz1nX4u7zTtQ&!-{LXc4%KMAiBHCtFgr6Y6a)jIQPP=e1F;k+w9k?6Ct33=yV z+vx*Q>m{95CA^a1&O|mBNZ*nwt6%pO(5>^}wwR!(R2>o&dV)cfJ-6?^>%Twmi6o|)QVOP|i zq>_sC#Fm%8BSuiwua>2f~Tj*9uNO?qF=JD zWNiqy>eK}R50^)I5RMeNUvcl_3QWGRexuG=-&@dU)nQPE*x3V|0aG}i<+xbjO2YOM!eY$Lmq(`BE=tBo zP%37pj@EYq!F`N`r<6#zl!ytAz)fo!YhWj|+Q(|`YEC0xZ{s`u&HsFVTR2o7S+G3L z#u$t%rPGz4cQ^ia%*90c3}NF^0pg-$aWS!m17eaw7el#ucw!u|!X%oYnME|;(%jQk z`RfN;3-KO^)jV|XsSC1}U^w|Ht2ni_l|_IaRC%B0=f>Is=8PDSK6fxIsF)Z6cYmB* znB96M(~o@L?F_gw!rBz?9@FmA*}b;9+?=5J$k=_@3=+DO9*f!GXgLixS5_!h2j$XNQ{GHQ)&K@0~y=lh&w8!~>K6}uCQ=V!4$Kt>O#PG8< z8)KFhrtoZl*=u0n++Y-^2dhVJmd$ZPBA*(l@6bQQB_NG&UP9>Hmq_nSz!G9Q(wY{V zB^cq_(PN}w72&^5dA364P_|vuZ#p>CSW@ep5$m)5> zLL>4s+-F`pIyOE&81fRB;+%w#A_|L5cU@~Uti?egWz&{pHxkseLZM^LW8r<%>Ehs2Hv}f-=KI($uXnLGev#m2X&lW)%{H${w zni?Ely{cibP>8y7F0|WMrA%vaR$|oycyV#vGy0wvCBynM1ny?)ojVY#7TY$@UlS+G zAlmq{HOnxv)9B2IQe?Vzo4_nbte=FUZfk0^wcSXMx`pf&%DAQ^6<*_UI0tj%9kISV z#F7}WvvF=y71i2?UTZiF*7AjUcvC5BGq@d(1hlNZV@#$(SvCpmg z^(3peCVBt>6kXK^iec(zrmK+_d}tdVYm+L|ST}hZ-o+!XS9VxUZu8>FeI9@Id8v2jrMXKx>zio==9?9LyBEhueHYjB!Lx-h@ zN2?&CSw8Y?bF+!NZ@_NT>Rt7qLi1T44Wz2?3HjTb@gqF^#io5jgQ_I0^*jkGkemnc z0tXECMALh@xCCQ|5zHyAP2p(>I3A6D5jS=4Bz30Ikej9j9v@*W$K$yu!wHB(sJ;7R zBI<*$Kig-~nRLMWu6DdwxByQQ&8h+wQw;Y+XI@*9^PA5>*KA(QwWMmet1#0G^NaXj z{VF%m6fZ9}tvLJI-d;QjoyY1*=y#t^1{M}sy3)>kt7Vh1)*(WLhFfjPsue^wD{onw zVFT4`Lt=dF_oNvc919ZMI0neWp)L}OFpE8tFJODre4%V+tWCOFFizJ?gOS_F} zwH*xu>kuKUMD+t)Zz|~onWXzmwP1|jgkI0`f><&yZ*#nS^S9nqq-g}--2BredZ}q> zk|*r_u5WqO*n*bd6n(}qIc{x<>>e%88(`TiEV75O@$qBtQ@PNOh%L&Al#BN{;xo@U z922zFF*kkdmaOD)yPBeqW|>7X%Yb`U{}9gc+9?Br9j4V{vT~C1uDVxS`3u^ky!KO0&!aSk+bYkS6EHQ6~g$AX_O}%7OOIA zNmgxo3p$n6P+`xFKgdJ29ci>$X@YW^zT*6)vidoJe)7T6%pE zS`kz2E|dmcE9gw^fe^%Jb)4hc{Z9u%aWe@#ll5=DI8>Vxz0gweI|O#bK0x> z1U)F;G86W4+n)?zGp#BY9Hdpu{$>?+(Wi3-3O}lrk;dgxiFf0b9CA&@ zd>NnOc3VT~X|`4(SFQ5Q3Bj5OA^bd_oTS+f_})R1e8Owe_kG+Z13q`~8yNred)II- zX=+wDSHb~LA5hA-T!bO=Wg;EJCV+DzAwp(NYlRD!UAd$wSy9C=M#fg35_d$5YQ6<_b-btW)?Q{68Wb2SmQ@C0W;s&5jzznO`>(YQ-{jG)9`iY2uKzY>j8E~DB zCoc0G`JndxDTZSXJXNZzzE=5kaDKoW_Kx|9uC8xn)owI$FniWdSK{tn0lq~o{uqa2 zaSB;t)iTTMr>h;3GpFt>LWO;pWA=+#pU2JO0~QbOZ$1n?PB+Ir9{N7RyHC*CS#+yT z9Q#M{`ZvE^$c)C*p9l^)N9pg%6PnP&4+^f|S-K2dgzd|hu@UHSS%Fd^^E<$>_y+<4b`3I#lCfT$C3dsP>S7-z0HC{A zLdR>Px0JyCadCP$&~04oh(Fsl)RvK$ z|Iv|seh4E|)tkipGcfw5Gvdjo9#4`+C7AV<9y=kZ2aEw6{lRx@Jr36xM*fUFKWuhriO<=2P}z}n0{k1VxTE5K1#pT||7pDosaD#Dco7}|+gmhzM=n6aXtsYY) z;xXm`A{G^|9(MQjjqxV_>`kZSPA1gwjtMg?y=t^2WxB6SrIH-R4q=h3LA5izZ05~A zWR*5uR>1*iZEAcIlRx4{uvDS6c3;bm!D`8fnfU@ePgPWO^RgG`xK`1F!^Thv=<9o6 z^#x%4h|ueBg-nlBsUV=!+rM?EQg*;`3?qt>P7LfMt>Q;PO5c>}&xVmXn-|bG^W}?- z3U+J@q$VTx8pPGM4b!s;=Oh2xh4+}kI6`r5aWe6 z^s089Ggq8mzh<#n%k{XH&I$Z*h0*hHLGNL!vzFhl=M7m?&)Q!DLxg6Ak;q)=2()nj zBECnKH?&w5fRsXWJb-5S_$1nf5blGKjHM1s>cR*0$jQh6???#Ac-|048JB7ZrE0gO z^VgafCrEU8ooSEJ2zt`WIwyNj?diq>z<(B^pNw9+VmNTyYAS8qdggZ0{h|hICaE>3 zC&7`bUw&{IxxcGwdV2OWhefL2-g4)0o@xQli>G}6$#+It+2K;&H`3D*(C>>3vTiLc z03w9vg<92tQgOe@8azV6j!b=(X6b8yUSWGBg8-SUrY4Hb4mb8IJ|Ct;X_b;D9++{k zQ!SvF{dBQxX?CRIL0ssPj))t?G3OQc5aEWsl=~B}C6vE~*4b}_uQt8scL_jKfp)cB zgJ`(jb1am5&u=5we-D=a*1vKIA2@}Pw*Sy6WrZ{(WmT*4XR&y%v+Clfudc5CWqp}% zbcveMUhVTcosR4Tk;_hyxEex|3yGa~c?Uug&W5fo%|3=?b@osaFPhlLB`61vKyfKC zXf~r@m4=k`R`oZ1IXP&3sFxt4r4=r+r~zP+r_pdfEH{u?Gc2B_UsU;`L06YnK)BER0tcCKa=dT;I>Gs5o=LeLx04xeREKb`5pr z<=mZ?OJFnm-VLZLGZ3k`&TwBze^FQM$ty8isNSEbkTr!k9xDkg_8Y@&>}AZiV)9}G zarn}7@}hfyL5Ti>HM-KEaodw@!)IzEJ`?7rxV^T;D6=x~+wu_G5AomZ9r#Q(D1PKy zuCO6n|YFlj)Q|UgULb@8$d1+*qQg(20&;`q(qiMtGMxZe!Z0i zeabkmH*cbx=itT$1NG+))}vw%D=B)zUs&|KChv0!+vin&>Uw{JL(*qqi$xvI zc+B#EY@y|Vlb*h=C~I>>g zIL<$oQWd}!d&FS;#bJDj6v$ryL5T`I-shBNJjx=XX1o1Z%2az=ii@Mjs3o5SG&OjY ze@JGlg5lMTEBz&EEsX=v0Vw4|a3o!;h2DC_tT!ZCqz)M7N2H2ZRQJAZO?#B{jre7s z#(m&p#BAERne{KFpTRnM)SD(w!5`=O%P@*Lpj;H&GcBVZaKjfOXRKk?X{V59WwBBV zVmD77ecgYbfF%{68f8?%66D7>P0YlEN`fV0rFg^wFT?eGeiHNU!aXhP{1>3F67YMX zFHUI&w+(LNylq`h+00A?yFvN0$iQ%mqdg__&ztUAHFVGUdK~Y-)tkTeIg^E; z#1fY~>U%=jD9Vj*(I-_74?)JK4)UR_(BSSqD= zgu7THrxSbm-N|U=({BrC%@5U=j9kAeiiu0B00`5yntj?~#P_~f>FtX~u_^aeR3t}F zox;e39P^>4TapEQ661vn+yRXMk~Y&B%C9tyU2$Ozx9Sh-O|)lCgVT;Fzy&?#!;(U6 z`*^eo+p64OpWF6wUwFALs(0mE$p<;x=Xvy*-=Qm{c_o z9BHDllA$bTSNnX5!+ll;i@7?0jrsif53_fUcCKcQe-vFzhP|P&KO$yq1(43?$oXZS zAuEMziUJ~wQy!G!WR#Tr0T(bbCF9USmGjtX$^#z^N1-RT)x&tAD0(;-9=^Ts0g|UN zg@ZWb@%DQ=u!jt9#n{r2CzFA9(ldkinV#fl=^zB|Gbi*G(H3kkq{A@iKk-gU;IhW% zk5-hP*i0<1pUKYN+tlpov`(aAwQ6%XYwy+@Hc(R`{+_`7d7`}pzx;GJK-Fz?kXj-0 z4&A->clSQpouFEqpk2?Hjl8zXU#>lZ5eBEb(`MZ&G9cv*a8mBB;aBDmlHuqD43FO4 z-dP7WeF(~-At7O{$%h`zTr>LS(r_Wmaxs}LEziMF;|vQOdbhXjVVdm;prYDwJ~j zs)M>h??EDJ4GAT1xLuk0B$qGigM(vyL>9h|yEO}-se#w!sSaGKl*u-V4kVqsp$v?& zGu$wNz@_L<)xRF5le5YAM4}q*xZf}vu7Q{kUJ8y|wKnW&darONY_E8L=|pF|_c4VO zHAhxb6w!%puNDFA0Dz){W$wHM7(Y__4fjQW5k~tRE)36F0`d#rml0U2vUn~9I=hhy zM^f$8;pqtW{4$YTy1W@TmDw@4-2oRR-q%yTc=__-(#I5q8Muox+z?$4hR8t}w3d$( z1v!pHiAm&Ax`L=h`N#b188CbTBFsk76IZ?5nCHyhG6>)ry!$X6YE40-zyAhoY}4|$ zqmfdbW_>?$vl;O%fczRcyqbE~CO;kLJneHGTkj(Fz!gE9ZS=5xbMUb&bY6=mh{EQ zO44&}ecI1+{1?M~m-B*3qj3tV4JN^6F0;{6klAT-^!Ga%x#C79OK0r99iZ!5g%h**ujb-#ZGue=MdDxP)e zFS}t!iAQm#@aipw{pjgB9dh9UC(PBn6Hy*QrdHgmIWz(L51;AFE-+37=8cCLoX@ot zoz7g@e9{;ZfG0#|-Fq4(P!j!t%({V^<;=WTfy2g~{Zx@o0yU6(iDVqF7un>6k!R65 z&$UO#j~cyx$AkSag{}j9j6{pNF8QY`Izvmfi;IdxpS5+yej5GPXpK_FI}kW;{64>~ z{n~H*0}JgX<6(*DTX9Nr(AQV%=Tj^;SuTx{8D8#*E8do4ny4=tJ-`m#HGquHm?!#) zxyi42bOZG$Rx5uPpzKLXXDDGZcw$a*#W>6Y7e|P`SnmK=b0Z@nVyeXAC!l@N)o$=& z=u;?Fo~T$@oaz^^ba)EIrx>*MI$pa_S@>IpKGvd?HUn300DTcw+yc8FcM~YEWGuak z=23vcF$EI%(n(6_>ud7`{XAj$eoCLc_o^*jFXp0J`O)r1Is|V~@3wha)(;euk^Tb?%E5UP1*`R?*U*#G38i);r zKrF}mU2q96g*}~pwn3c7#&`T+sWe4>6_hnRggpICmXY*ALsU~Qb~TkCr%dN|j_HKd zVuE5$@uyEw5%yd$8oP^*k&0-R8|MUlVdwRWJuBf(tKK{d5 zwBvsJl7!sBYab=}qvOVn8))6MxjDAV3t3LHv*S7MWq;!ic7_SAcbIW^^DI8SIyNQ$ z5Ghc}W#|xUWmKS!$r6Pv%;3%1?n>h^YN87p|vNoH6WMDB^?L{ z#i5wg;ufh^>Fe||*$q;A@4-r-;Mw7dV=<9m#m6D|%lP_%Z{3a@yn_*TgE8n{(Il1o0350rOgn@WrnHWo+U`1VYAmpYG^7uZ6}Tt~b- zv!96H&|(@_lu~tI*>+jx=VSf{uh3r?*1WxW{P^*sXW1#PyR_41Y($lA31v8NUinYqQWn&|~I>Yw4XlA(am#wT@KHW0*!*?nxEN#E%mB znM%t(adC}wqq{-;RGzWB`NKJ?#ODt}u_htPJ7GjZbo9WUoaGA=@tXE;y(7NZ;qY5E zCylU1R981;Ck9tgPTn_rR_L)NSjaB%u<;bJGuLw3Sd->Ba4=Ch4)eE%BkDUxwv=V# z#%q?IE1M<{JM`V8XxX;06PQWO3to$}3;YMO;#2kXX3lV`G+tx4GxF$KP@|%&215;_ z;3oAn!^#}n{@94GOSfcV|3e@uDs4kGaW~}`8BmjQuUzuHcY(wI7*o&R+dr-U4}Uwb z(E_NsG55Cn70q9%4q|c?>(c9i-KpH!M`pMAzTG>mIFIBS2gEO!PHZG4by=u~)`@VS zKro2_*Kro~7WhK>MU?c>Y??(devQEMY9zPqMWXr2)}`O@f#c|i*>v#Xy7ybWE?@3# z%6x}brRZ|S=Xbb)YaW!6QYZtwyT*W4%8A!@2(eBiQ_xw}?laMH)o~_qk!yC!G!L5PcS$$FlBQ-VhG-tIEvIz4aosG~Li#-$9=M!-ig(@+%=Nw1wS1=y>F0qldMAx^_n&MHb-O~ zDADdDuVM{tB5w9L--#eTV*t|*kpZFMBg?qHI#Ko27H8#mof$KUH8(gMP}Q>H_JT|y z>5;wjxAOm7wtu?me+GME3*4I5?sA$OfoC0Y0A>G>kwrgfJ}3*MnYU>%fSuNhd-LQj z>WjY0#K))7r*cqb*8_P3a*==Aq1rgA7nNK+aKs4+lP$;~e;>FSDC^q}>L3Gnmp(#1u73d~7Dhp<{nx^GXy?Nk#zd{Cj#vK( zzM!!68_$57?_$sPcTFYbl&H!To~8^rQuDSYH#4;7Mf3MtvpOs`c%m~-@C5)flsb+$ zoe1~*1THkKep12-U=jdp1gyG>_c#nsbnOeIe1*fRyBQrN`ZLx9^IoKU_w+BN!DrzmsA2ZaCdiq z9=yDNb;EY)45Fo@6TAKNP;-oT=MK<1LauzlrU$mSj|kt9Owt%UYxkn;4T;G%osVQX zKe&wlg)RNjgA!5sdlxdKP1kSSh}wlC*m-i)RPZiv*G-3RDECP3)GuBA;O)shr(Ur} zOpbv|zp3Vda6&%EO+OOT$pdzSjduljJTgt2q8Pc&q(%3K#E7-z*p7-QTFRJ9$KRCd zM+Ht&f9hzO;T`YIg_R>IhVX@DM5%bMxA?w&BRU3(i>CqaRSWe>j^-uk#P-!23Eo;Z z7X}`8aYKc^xWZ?0PxsgkquRzMcWZ`=gF-P2Ui@g6++DfMgQt`21u|ZB!Y&S2mm$!088rHis6(JKia+L z7=%tAD{$5%nK`HwG})@_=)&&h;Q>YR>tQ#Sheu|kwgvE1_}yA8&xN_=LCr@fNp9zh zYb4E&?w2-ioV)V>A8GCU`Z(`kDl8{}$0qP{d|GW74l$&`)Au?dPq&$!b`bVEbr#ep z9`MJksR?__B>LjfPM@731yf&X1Ve%$AoSX&$u7XiQ zRG?QMK6Wg`qlFwr7?pG*l^Uhez=L;A*O&lq^ax$#xHzyLgxJWOgJh5K4RKMze_@5J zHE*q%yU*hWZl6B(Xg_dN2|oeeS)#+l`aSmdMb15K9ekj-j3xbw`?B@JP;grR2^E_i z$FeL?9svL7*&Uf+m;qnkhQ5szjuK>gbsJZ2Q;r6f=@^b=reC&$p4zbf{vFEzs3MgW zOI1y*beyy~wY(mM4X3W^>guv!0Y*{hV+DVo-4w~4+giqD2JAa5jlv>HGxvzRwEhGf zegFDzDRv$W7n5d+r8GBq7R+qB%kFT3=+3!X*%fh%jPHwz7^%F|n}h z+u_GXR(rQRm-YnOtO6I?Z2QOeRZ!W(?J(Uve)q7aQ5JuO+5MyA=I zJLJx8{+RD zS$F=bhexUOsOfrb4+E8WwZjE3ftifGYfCa1nIIH4&@DM4gLspU*YLIh>nyn6@21+q z(NR*^>!%@0S1!BbVQ5g0FW!qhOPcIFwfO!4g&T3_TqV*;FAeAwOeqrqf4=AY4F6tF>1U&<~RLw^XYo++~6h zDDq62!2J|w8Jabu9B31hax4|UaCMfxlyc!Gl66U&M>KQe*~`O4wfXfFRd1Th8Ab~n zVCvrY?0lq2N4inXHog>62}{!%ACJ3+_SabsC@bY3K>eR9{|vuNpRym@moVWzK}|Bv z7kk7bZ0G?V=7$fo?J}TrecUAD=(lTCqG<*+=a-4Z~`XLQmm{ z0^oOm_vOpCgwJF+=h*gMc)|v1S!h76+mmX!uE}PK)mv@{7q3(+12u1;CAZFFFUA&gE_T;EP-yUIx48zizM6m5z?Cu}gH*OE9Z|$~?wcI!?Zm*_x>-vkd zt)gy1vm+wFQD;tUtJ>>HnR2fZ=U}7@)VPk$&d}MkBs*&c0h9Wdy-fP~Rj*!o>Pg6M z{cK7fdn`NU`klbPNRV~aB(Wsz7>Rm1ta5n$)(66R#nd$;ygT_FCJBbrJx(WTJ75m< zNO}{(Q6}5f;4Q5mdES!Q7UO`voTzcsN_+Fy2&Za*E!4pAVq(q``~t@P@BZnd;)XL1 z*;DFwePM@Ok#kOfR~KG|HYp!CQA^1*=(Yd;?Jc zjBI`bWu~ob!u1CLMMzi1;gCoVsA-r!zCga5miJ}Frm=lY+qOxI8lwz$L}|zMTEG@a zd-__MJ&~SjrJj^6Dj#ghw3FF=GUSHb)hkzGG;=d_4TSVy_S{vDQ_kJ2t0+Y=&3?s= zO}DaFXY+HL@n5@kvnw9(uaJmhwqPS@L{akLiFQM;?p{s4>FZoQqn4q)4JkmY3(6|! z_YDjy*>Zy+7I2_-XY@dmo}S?wSJ%U?Q>dgN0s_SQZmI^9(ujgq!Ky5*nqxT3n`WN< zVSN~wN1#8(L|uJYKlqklP8obUNwZaPf5jY+GX}>>cuj;$2E}yJ=gs~JsyY@wco0i?>};#T&^wVPH-uXD41Po{OQ_aRPF}vPz~wX6 zVfJJA=XEu?@gJtf--#MkZ;?+r;3By&#ypV?Wsb$Cv~q0TqN1(%DJyH&nlrRgc#xe*R`e*Qn*$Cs!BOvhBz%w}0?K z2KMtI!$G?5~BCq{HI@e=P*8J0{os;`57R7bDl%$b>1Lw zK!`}=dc4A&$KkUhY#X zhu&6mOIdG-u54^y*E+tn^BFCPA`>(7qpYO(JtHLw&#c4sjv!^hV3E#kf&ATWmRK7{ zff(2A-SML`M>^o^HtpGSjFEZMrn@MTDqa%e;E=7*NT#1bDQgzjN;f5S@bF1V@qT~M zqV?}R=|Q67b-szCa|e&NrzdZ(7rmg4{!<4y!#+b$!ofd{57Hv7tgQ4Z?5^20w6@(D zPz$;hBIS5VC@kohc)x@Id%$|UBYDiGe7)QKknClu|ED?ZLejid6L0T@kF3HXXeDV0 z3wIuFhK2~y+8mpg62eY)Q&(44lZr*Z?wDP1>EW$e{79FOw&$Z;5UeI4yP=RyLuO`X z#chOLX5`kBQoCg^%7O~Qn_aiBdfuDSTo&+_S^nLos)fRXOktfx-gU-JL^T6e!YJg+ z5n3VTAQE$tjjXvQd#G-|pHgIV#^HArm+rodj*Xy!(D&Tdd$@FA%vFw>k+&#r#&he)6NHwz?gDMN2VN#{LXkqFN{fgASNzx-f`+8Tqv zIjaGQMPF~?9!VaXP3b=FI>JW(-P$)18c;eaw)gmq(`xIc()&W3+QTS>1ysQ$L;4Ai17qD}0Hl zVbgkA+MvS6F4)tKw&pYYhMtma!aLs_VZ_0^v8Qex8z+$v3p`DWql~D|9cfPjGIZ_b*UcDbGDoGYQI0N%hn?Up)pU!2ADPk+s8Q^C>Q|=zSd+gZS@1&NA>#qK- zVFq>`ymfTh(xt(oF3#BcN8;697cN20 zc=K_GR!H&I{FN5XNBY3A^1GIf$hZJpa@#dq?KO!i9Uc&38hiV!WN&}ZTF^4QpP4kI z+&w!^KYrEM!I76T^lMsjpVVHySm04PID;7<`*sS$3!D_QEKYn%r~3pVqh#!b+}?MUcaTI7qo+_Z@YDW~ zjku%A8n4*{vIvp1N9PyUMIU~0icw<|&(SLAc{rhGZc-VMXjnkoU2yQ+r*nKoZl84k z&KYH({KA8sg5(by;g@~!{htqA$hz#z3MM+1j?xvoAoM~L=3qhQsuw)>V&!%wiHv*A z#pe?W50huj(L}S6g(b!L3fLT=Rp}xkm{jn(S>?U^8JPN3GAAV^JopOGRQ<%A>N7}p zdgYj8UDCTP#k=x6mB^M*Fk3!=s_mJRUHp6r5=q<2M>FEwZnti&8=o?GU|?@=U%UAF z3Tgi>mLEC}gfH9VvU+P_(p~>Nkw$_PRUo+LF5>k*hLn|=CJnD9Ha~BBPvo{$T>6dA zc7eoyQ}pqu4gKVoy3brkOZ(bPMysB3hQR3As?>lFR~vYK=(ZDg4ewt@9rVq7Q12vp zG-gdXPPbEA^Pa#;`~InKwpT$Q*vDrla-dVvF~&1DsUj&(A3Yk>cVqP7y0(agcNf@! z^{jzBBuQJep}#4@TBYZ~nQ>>pCBs708)iskVLHK4I+m<+fX{3BdC%GrRQ^F%s$pw} z(g&iYx9hz-$d=NK3^XW->Vvq|5%HV#ynjcmUHDzD#2aVLsfnq>wRLT(KA*moxLjLE zCq9*$u|Gch?PNV{BRef@iMYhzW26oKX)i~%$W^%#u` zZXMLo(E%N>S{bV&8M8DhXk|Ro8C0^4L;)33?2EJNE_Gb2Q$Ss;qY}Dc>htmfHSWz< zT$4yAdg@etJ>yW96a4pu84GFC5&JHC^)>g~a%2c%B1KlD%8FQQHeB zdhPGO+E~ZZ($YNd!=;wZCEsqfI7f`8ZPiI95>HoGArj~5s#Kv&$$4_u_pSc*m7B>L zDOuT25vQD-{jf1-M*HptWh|G&B%gOflG|jTn{%KbyGqfwX{MnSqyxx9Kmu=6=g@N` z(50LmirE@78SYbcWr1OW*-=^x9Niy!oauS?FAK+5C19OI&q-ha>FHp)k6$dy5-B(n zN}`0(aujr_FI7C!8?MT$G{69QH38W(qG7aP@3xvu)p)hNqo((y`Q?$=yjl8mWX_H* zBqb5MjRXtZj?$IxLkS1CALXqO>bVhvK}6U zU(E22zSW-TzWoQChKm}ZFF{=@E|#iEbFsihg7DG~l*1W4EieJAR<87Y8&VN2`ng`$ z8!*Mzs<8F8S=c|=V|P8qF`MS*;qYTGrlx{&qI3&2r!4*@fO9|lA+jYz!1}%`>(9o@ zP!Vw)(@xSOtuxVQ-d4w~BFhT6M8R*~It|546+WKjkzNi6r#d=1E>STKX&0Ocd7D6X zaB*xOX~{n8AKBXV``e*{hh3MvSqZem;1?dJ=7NfMcXxGl0k;hivNHoz z3~tBi#zDk7#`X7Kh#*AKn+^%zr`11pFwIdl8@@Ne$R$oGzw?M^~ z#Q940-XETd$)@`V2;goRk-i7j;J~$kNT5;XQ}Xrms6&uAEGxGi@6U}|kg2_c(NFTn z$MM4_St%i*eT~*}qeZY&+sZ#N@$!xUS*#ezId$q3(8OavFR-OiC^$JHYXAN?;=>y| zw1?CrH5^MfyoDFxkt@M5LQxZCs}o%+sR*37+wp+_Lki5>L;`NMqcKpCcN&_*HGbK2 zO-8bsO7}YwopyQ5IqKg(gMa+CmHh1NrygigSU8#iR}Ou1eh*Pm2Gp^OkFO?19N2E? zn}d^~cwWo>G}Kjcg9@i2#0#f8n2E z^?m3loK#0x`Z9RvDWRl>5;M_eZMVB>uJs{B9+75)!SmvVZO5;AY-n(FUp60a{kn`k z3?xLt?=T!mn+@O((Sow<)MD5V87Zk~rF&k}IiC@1kB}4H0btzNOsB5{CCJXt9`#^` z-8MUY*YlycwPPb#g8V3lkD^~|8d?P41|)H3ubcR4_Q3aK%cnoQGlPMI&@1Jf=*d+S2_H|(^NT{z^bE^S6S53KxbONs^E zh%R{W`8AUOhe0dnveBd}F`OD;F|BnNM$>@Wdfny)uUT__uivSv4@Zl<87oHO>3gZA zjQfJFuCW_@a`^vs`9FW({9SKf7~A*$r}OG|Z1om&-=JmL%97+5?Y9fw4lgk*``(VI zlTA@@yZqs+nAVb_qI4rHERmC*=udZ9hB>43D^}d8I5u`S91PE}>k}x;N)QpI#OA6f zXb&JG)ptBXX|v=$pDo9;|KJIKa*WC~uZ4d4fgqCtQbPJHikoxynnd8Nd<<-jl1wi| zlum5^<0f-vM#K2vg$ty7H88tqpw{M_;UX%<$n{YOkCb=Dej*UCu&qbadO@umc|o*$ zCTa?yK7K6mST;)LtZZy?VMJ!@Va>iI4|i7bzq>OrpQQ>aY{dl&nnmVpF*Pd*?kvZ$ z^U~Ge<;$1bTKbC*Eh%O+&ygUY6rs+SbB4z101Qp`hi=DdZvT>bk_Na|*OeobP-w9#Z`)q{z4-M$fUp*u zN_J&)KFr^polh$BhrLbxp65%z_6%f6$13?w)QhU?ug+rsA)Br>zX$j4sHF4uefxPT zXfix5p3>xDs;eH|M*7Jk_u0RV$9{N}VlOGMR)#mj=zbe1h>^b!Q#edb>WVP)uj4DS z$^LYPdPvK|gmV#r+dcqijqlW}{d_Y5P&;q|R_FPNagV)11)(Nke)I#PN?1No!k-QGZMeNPQ|%vv5rqdcTmjuM5B6>*vn@lYcC0?8f=eZ+l$ zk@S5~&NHgUHFjl>|D`p1SWW^IJrT@TkjXdQ|F|cRZf=*id&5J0C{oca|LyHe9KrF( zAJyX(B0BhfDj5B;GM}llaM9@es6GauX6j4YF(YiTz343Piq0sS?P@O}aJ4Ze2$?T2 zi4B=HVqSD%lx1aQp>KVttw7uM{t4=O<38FwyHuOg$tC|K(I9v!R+^WK>jQWe-;*I? zzrWvswVJ4NG@IKJlf-LA0PXYF3Nio_~{5o2|EtIG@X1-`FDCf=c z@-=p*|9bV_kN}EKJsC|BEPw0me2cqU4 z`qgQ}0Kg^h3%C3dFO1hG(|-hMKLbMc#_5Fof^qUP7{${b9lQZ&ady%s^ixW0>2X zn&QHu*TUA%e|uJmxL9imq0x+dvhOK~ab)#7q@&P7k(+uBSZq;ouk6+*!ibjus>G|O zzClG7+2;whTe(eIrCZ@I`@lK^dM9#{vS?vJRHE>Ci$cxw{}z%EduT>7OegJ5$sjEw zT+i`2|=85$TU&;zfQeokzv-O^fA}3EnF6Fo9yZ8Vs=o=Q1o0L+BqZW6iSSkJC zZe8DzMacyIy=XZV6(g8l?C`A}(gsuUB;6 zOIOjUsq(@+Yw`ytxPXLz1z;vwnscW}FNvugZ(j6AKf&aaK!WVJ`N5gYoc*wws7NXE z>^ujm1+74b+(NS%e~>Rk9LG17psfN9E)kc5^a?;`K!HounOJ*J)Bs>QLj6uyI*th-igtgYek8%u_9Cr&-Hk8=JJcOd1-RvG*+%O7g;BA(LU_qG$qW zM2K<%WMZO`12s|fTJWt}29L3`{z!BBqJQf#NC$R^EjOD=TETytqZW>_d+tr|XqdG9*W460 z`c?PQ0Lu8Jr+!T~^|uQry+y!2CVR6Pe(NIV$$tfe2uEAG?wdaxMlniqFmHO`CHr(B z-BecMq*P;@%C`%%B|rcfIfN$90%-p^ZthQh39dx~;>u?V;28>Aw7Xt^Q5$7vOiODt zYj6=UguZ?l0)yhxx9Wld^+)!w9|^hohal=#BmhV|SmA0_w-;qH+&C}z_1Eo04*sF) zqHg1FFMUD*L4e3py)6aQJK6Oo3L^tq=hpP66N^7ai^S8SqPXW5FJ4@}o_jyvUz>eC z@7HTS1T>~vJ04maF}R_!G;dv(&JoWb$ySt|>xUN`FsmhYY$fspri9Jo^8zqGJ|{qad2A!)*HWjCGQCJLsQA4VCcZ31<2b4_h@Dkc-(vDF z0#Fs66Qbfa&$wN(%yG+nbg|+kTt=K1ez$L2ziE}6&}rC49h4Bkv+Gam?OL!Dg@XeH z7r_R3uzuYK5CDkgaN2SBlC=Sr@q*4^>#lT2 zriw0IeeC~RJ5zjuaHTtU-AwgHIyIO|^Wsm?%KgxXU}eBnL`11Tc!H8)cl62QqyT1# zZgk5Xgz#il)HP|~io2lG7Q6KkL{4_>a(ouCiHk?XPp4UG(@W4j*xGuZ=yG8lU&wQ?uRJWHOILmqM%P(ESiF=h_>vih4 zy=(2_xYtQ)zdO@%a5&DHdNS+&3?mUtyZp22n&!j=m^%wcbp!#pg7M|GDbjs8-O1`= zv^nKi`LqKCM1Jy$XG5Xa#d{1(HO}zfo(fIPiUMXPkjMueUSu%q4%!6~HEH~8G;GC+ z6`*ES@rZaMbaFB)cKxl%`SCb@yYXyxvE@U}qdk3J|6t-K0WKRqxW)0?z1hJ0@XX@@ z1$`OOl}n;`Z*}e}SN_xCDWJX#S+|e=ubQCvcerf95^!5M>_Bke)2Rh?VlmYQ6INKK zQ+mV{0Neu|ZU>w7D7b)?JVg{129~lYyXn7}2Fe2fCl4fAVq6dk5kRkLC93HZ|Cx_#>|8hsZ8(=>iOW}2OEd7)?xtXxBe==T*kS3 zP7C1J<2MAu;}Z#&@K5jk?qiwiNKd0C4}8`36G|v(?mL2%EzADH-^vYPg4R);*9g)+ zG#iqx-z-q$;YN(r`|9rV>l-CK^ZHLZI!>Y-gwkzgfB??>LjxX977HRaY0J4Pg&k!&Uy0ud?lOV-Lf?>n7mrbEj%^&u%Ag9~tvmr13^uid6&kT@=|#|G z#h>F){bDi*robK(uDsR;Oa%eTL*z+MwSN5v7wGDotOzshg2nfhZR2uLxBTnE7m29f zobX@$t82s;LE1UrUK)f3lXUv8s52h_*)7y2qCFM`BGbe57F%4@+X#IoPR-qd zf(_jMqN*rQsDU&-6{#psG|4!AMr86`a7O9*DJno}weQmdE=jZwC*2+X;2mZ4@n~z4BF+zx`zP4`E*K+m3&nw%*+d|Znj$6NRwtjOaKtuI}ll37HgG4%$ z{$QTiV`Sg9?m6{`?xNbC3eP+~u4OzV%tp3nOMtImRmagkTF-3u_oyAl^)+$(p*VR) z*{SJm7gC}j-ghSzW0h4Cj@BIL+3uwc^bE}JfbHts5r>NJKn!R({jQgmY=;C7i@;3B zAyon&a|6T`V!Aml7F0CPpksq*c?4ABwGkO4AjYQT$qe0!c!RU)Q61YUhXX7^eEoN< zCEfZ&^V85hu*ea_`*n0e$fdr(r9hdgEyFapQ{DFz)V45$u5-laDl7XCiM#k8@8qXn zh>1~1RSq7H&K<05#04Ij9Ph7_cRq2D|CU2VHd+@E=(B zx{XkEZwK!TWm{~`(*s*CW^{Mns@Ss8ZUi$gZ9v(Gpv*6uvXF&@g$<@?Ljt0~@)Aqx z>!G3#3KHwL9?3VXWZ7C1df0uSc<~bt19v*ze;xiPxY9QBA6p&uHIRck39>TP`t0A+ z05S+Za>9-CCG~rmsww@xw;Ienm3d>3v2p?f>uTdai4L^bg(%mw>}t1DU(H3kaK(Nx z>ZfWtPkrky^JP3;cR=Q_+U3I=xr|ooZs#(pR@2=t%aue%9iXDM)I;ay(@PS`PtUDc zz4x$>PUQLLv*ksf@;>HfE1f=Vck*;;s*8_x{&=%e7*Fo_Zh?=XMSe=V+g<<4sMoow zm;RWd35s+W)?*6=QvnP=N`CKi^(Im=#c&0k)#5kNNkA!mo;| zCR!Sb=-GT?8WMAdCZDd7w|UnptaLuFC{C~F<51LZhot{9`M^z)W{F@{e}BKRvGFQD z6GOx3xVWW^2|Kz4gQ0&)45skWUZ`$6+#e(GeZ>Brl)T&NxN+`ww|Kcu<#g<#yZH5+x8#eZxAdr)f6&$~q)#3hw<`0Q;{P7d4W5f- z3`S)EfFPzEfo6fQb{g2lUoCL+M4krkTeeXYlXhCbMby|?MzkC-tJ-!vg~zr7pqL%H z+CUyYW)x$=gzjbeZOXw`n^@ zyl$3DmtFY2N8Osqq(2voTWcTUcDA;x zb-U3bf8(8GzeYx@RfFtDR)q!GUj(L2ztY zgX7hYIYx1Z2h24})*3V$HMMm_(|+5p(I?$#+Oz}SPF<+UtE<(L!JPN2F{az+7W5I> z(P>6Gvhcu#b7v`GRdmJ_eZOf-|J~|}#Ecn^(U~popO%9~0o!#V3f^<+m4xRfO5aHR zU=Q44@mHT)Gh0iYuQaulwra1J5I{JVprd>Js!418qeTpES$Xg5ps}`b zZ8q({o9w3$N+L;bxgS$LafWq15jAI9+t=sEYhq5+eA%wI70L8!VyZ*aIe%knvX|P2 z@3dp*h&zHQIk7E{OXsK3{xp})O>wOnA{Lx?lmf$U^`fx=W6SwPfAfj+7W?}PX>l>i zp;z^bQ@?)Z#g#rP_Y_hl=SPr!|0)F$l2*3u;Sad0GFJPz=5mZ)ll@^mf3*1AbVk4lWeT@>@;u<=^mJ*g*51Yt99IU9|+_QrH)UYMH`Bsy2CP z?D*&P5B%;Qi+pyM3m9FY?;OBAU8*@9n7MWG>#gn3#k7a{GN%$mX7y z8}~{JZB>b2{n)QJFP2IgJ1A1*7(6<>CHEPef_~=SyF9O9n~6?%3<6>g-5N;#(|75u z{Ay-ok}i~9hM}pKjQh5wr6nKEsJtDx82HUi1TYhvT{u_K_`7J-0>%^Tc|c$Nfb%=H zL!ToiK53fMsJUaHXfE7Wo?q19{l<_4fidnaGlv{Z9yi3{Y8v?HHz{a+F+ke?qXF_8 zS6wqEa)R$&diq)=9B>^Yx~tzdfP#hF=Fd-J+Ie=)?TFhuNor1q>pr;Xzz`svgs-~W zVYcDxGns#j4@u2f+f=Pav8qzjxT#B%Gu4Z4=QDacoJK%;_!051KS+DvIu&+?%z)gtHYA%iwU1}+YC3FMUQ6es88C5R&+Z!f=lCYU6vKb-I0o=N<8wE4Ta#-s z56w@jG3Sy0v8R8&m!Is4DT~F^6_V9;b#=A1n?xKiNW#<9A4hPUhT~FYnsU6em}%O#2%< z60NrI-Krx4wMW$sld`sRQl#$vFSq@>C*to4!?@`_dDPd7v4XK?W7~Y~Hy^8<<~mN! z?s$eHaUBsJi8rkK-RJ$6<&fSFN3pVDN!8bfs}8$Ua;}s5pUdmEZ3WCufJvG@jWYK2 zx#7NVvCH>WnR}N1@+V>?Z7^`=9UmZ$E)^MPl@1b$?$UEiLUn=4rapJ3g0hP7WM8=?qf;agv?8BQW#b2sm-2 z)Q9KBweb!B5FjO&JOpQrSY7}nsNz5FS(pp~$eyI~If%e9`K?8pfxwQP}B-13hV zpkPIQLGq|CgM!S6mX7X8im{QA?^XH#Y88JSwtbXI!SwS3^9LOE*ZnKEj%9lCKUe-5 z)4QcmTezsuH0k+%-63M@$q~XoJsD6gIsV+zg=o55Y+7?EFn0Q)bBi!6yEQw z9MM?XJu#)>bw(LRN5d=WRdbJti*@Fa;3KJpt7&+R zp=!R8fc2b@_~!=vmp?hWk$R=$rL?fue@$PJ+m}<3lau2Ukjv+Eq#_$F#d5jzE@&eq zCSRSA^7*o9c(*EiXvhp+y`^kX;?$2Z`204GRwhn-U-?nh4`ev;`PnOe$eZTh^*`38O!a!P2I)Nbw9y%3Y@riNUwUDHn-2y=ofpaj|%n||v&=m@J zx9>%sKZ510=`EHmJJK1r7)cJSvS`^so9_0L`D0RfICUXrGYjcark9CiJ-faoHUH(A z|9mFhq*pG<&HyyxM;@_CFbhM$KWF;Z-fBzt+#tOBkUIciqP~^NYjJsj8~2(eF6*G8 z#EqmJYLj6Y2&`V*otP9ir zxrT;^+#sQu-u=FiHdp$F`gXa_pD&fz_^YLFOScxs`s&m4sr;=y_YH2JS4I2MJ>RDO z=JR0e=ef{swft>EfumLyJ^3-{M|lHMMZg26F`3YY3S0jLZm(7^QQrl-_gHl`Yt%Rp zgn&1D%3|rs@`nSGSfe=N~LU)R@{gA-8sLurC3+n?i`G5o%@cL zG$cdeP5WAIecQKgr7N}!&qUHU3+CHA^J1VgZa_gptqXb?pE00GXD`x;QdOoK7gNu! z)p>Jws|6ZP!BBR2&XJjiwES^*7B8E6da6}RQe#5qdm|c)mZUc=nWyKTAaTWMci>c; zsM}ty*{)DkU%&2#s*;l5F+CRF_-*qG;eQ?A4|n5srMZVpEk&W+O=gh8X6kf+Sti2Q91w}lu9jLbi8eJ*n{JfBntKeguhB0Yr?|5e6 zbI+L*GGj_FrkB;Uhg?{G(MZGGX0S$qGh)WJMSkj>O^f_<#Z8a6CudAQcx4S`-byTF zJMyG|n}_a$!`DjL5lA;Fv9o;lb@g+Zr-+ZTn9bGrLc>FBZL=V2pW3g)-%IbeaJR+|H8gl|Bwk znjIB)72CQrYv|_i&@DQ*eM_>2*6vdY|Meo=_=z-j`G@86JL>!G{Io>B{^76w<@Rc_ zuJ-a2c6bdh2B02>;J}Lq4Xd3+=^W^!+V*H^S1||jrzsYj3qo$^9XSC@o2CXsjl`8z z!HXnusyoYg&e_dxBv$@do@N?gH0^6Uc@PLTITi-E^sH6?E-U#(~s0vP^pBn9BufV%S84Uxg zGdPBLrmo-^2!=m<_U!(P@q&p@psZvzndO>ecyvibAO%q@Tg-TtS}sJ?Wfoa^6ByF1 z+S4|JjeJ#Gvx{z`Xw-i-d}qvi?%vvjrYP;{3z|#LTt6ckc$6mRvrMOl!Ahy%i#*c{ z3GNF|D2tq{*hO;ezDc=_pUzpfR7^P?cy)wXa$()f@3IyDPyhz{nfkxRZu2(q-@dpl z1++r)j<``V;xmbjclPK!y{yN|y2X1_yB3flh#L{D>ugPFw|7F*PG~5rGo#7uOkd2s z+CL^&6_f^vDr`X+rA=Fkdn34vz7<4{>x0e(XL#JUlhk(OB4-vHX zeT0ER{D(+}h@!+;lR4Gfa&e=9uC0~(Pz3eDlIVH~p6t9P;PuRUE99kc2|X^4MJ`3Q z1~!_fRZ1|=Oj;H(WOjN9oiy^>s?|HobB#SrlSzrDe84~u=Vue1Bb!|+pB-)D)WK)_ zAK8j7Qe;u7oB5uR{IES&@4LM@uZeDQx%Rz~0pS$~kF1%0bfr=coix{*-iVn`*jUMc zDP%O(1~(hd0}t~@9MN%f#&}Y!=>z=_EW8JQ^afu}D!k;Defx4!>PlZqxQc_M(kd}Tj;)mgO(#nBZq9fQ4J3VF`N_vQ zYwEPlm?Glb^YI0b^^1Il$z=}FAYx5bJG|jOW~em1B4X9jgNgD&gK63jCy!~!j41oN zn{cRc+A~I84Vh1_fR8!dul+d(?)C+^SB>(G!#GG(yV_kBZ?I<6dhUBy08L~6-vj%zsFTj9i&H6+Q$9bjFMR9t=D z-VcsIcIBymIH4_eZe!i~3qJlc9{i8);Py)r=EZjFSoCgEHe`x3Uq3IjdgX_G-dYqh z5c_3>Y=_$8W@AY(vyfeWmG2D4bFporj_uEM0#O%$7f@R#b>|b9bic{AmH$XS= zcoctTv(<^z`&AV^`>#nNMkY-Me`v_5K*-8xE)@w>tJaf&b?%u`e53Xm}sH?e_f%nP^Dd zPV+($!U>a@U{QB&py`UplUn*S1Ig->^1{l~D|D?fBU)w%J1bjsveNtL4JRQkv-`pW z_n+*us;TE)Sp#Ci#o51f8zTf4J&7`qeyG_yYaMduWDK54RM2JW=%er=+cnzG30+e& zPn_cN*&L@n#2&Oq^}G!BQJ~(_e}vF$HY1+6Xd2!9J;eBr8|d3p+u|?A*VB%U7aLF8 z_wA1`X!xe?BL&b`?YCz^4F~R&gXV?u@`D`WV?Alvcfb{O>Am9)%?L{bM3Cbrsug%p z0-%h6wUPjp^}yXG+*)`hN|T_#po%-9w)5!nrEMr6_+BRpZKtd??E0$T5@k)>I?ZvY zcDo>R#Oc(jPhTas>kg8z5^KMU1a_Mjrj%+Tz|S9M`D}g62Omd5>5NMK@n5r`XCfjN zg2P*jop^33XK!7Sc1n}sYuM3iM^<(38xP<8x0Dwi@0%re*MHq~ouLu~e4ROrV#BuD zD^gX;G_l`Mkom`>?dDWi6;^SP55fkls=k+5Rz=tGdI}tI|EgB_Zu$IuS`OU- z_t$c@T9_H0eX?9&q_2j(QU32~NK9T_@x=M=h8z@_ohPfpB|71QM8`0d&XCpr$tAg4 zJ5Rt=dljy#c~3s$vub*6!Nh^$QU>Gq*a7d5^j99gGv)22l4fOP_xQ4YP||I6m)b_7 z2c~>xm0D8CZg9xS-KuBPpN`krijJC4HF8LK1jL0q}s;eCsKxBBIiZDw_eEqJ_OPMBjF_lFCs->|%l-yV`}6TKY33^IZ6obxcmQiIzZyOAi4 zo~m^^(D#-_mLh9N0Ji$q{d)T`X$RF3b`ysi-%1bvPurs~M1l_4EQC9$@f|=<8x6vp zQg|(*54sUWc_@9U2aOIkjl&G6-&jPs;!oDAW;3;wh5IJ=ye8(U(_2{adLN6{30lYj z+o)E?b`&;SSr!1Cf27w?gaBg5TrUz5m4d<^09IPC^a4rgtB+F5{CI9#!UENn!qA z^hg?QDPl4H5Tkh~XaumUBCV4nx2m{W<8tnh!K0E7`7DR1DDSR89QK^$$J+XrRfsl0 zNtFGJOM=(`oRYs>M8XL9C3K(B(@i}5i;8}ay~XxhhiuWxhX_&q#tr7Whaj~2+}*3I z+sPJwmK!WE$kil(5DmHxZ~hM2J!ER$*&6=%eHtNk!?PlOTCx(IxZHP zD@O`GhQ6~IYIZAUVB}T(+t(kCjY(=&julL`yxS}rG}36Cbl`k4X*2UX zqY=X`?jyJ1`;(71_W3Z2>yT$5XdF~~zHgk7PL40vb$dvI6N-MJ@VV+^56J9j)g7%{ zD$cE1P(71Jwqbc|eQdA9-JVc-I`s7)< zGrVz=@N6&umLOg;v)PY4eNX<$=lmJO9<4~k=fQauc& zHy+S$a1I43ib&ac?BtaQB;(KHPs14@zvMo29$XrC1DE7Qs+zVnHw&h_)pjcJ4IHu$ z%Ekvv(B&cNe=TGu04!ktPQE$^sYoJ~3CEvTroOuI#C-que#eQZrn$wrip5d4pl1PH zC~>O6u0S1&lVgR&@!RG99PwkQq0ed@o!``8Vr2nX8Z}w=&trJM?#TIca>?o=$I;mU z<0{M03HGTA6nKk@B8nKsrT3Fv!IWn49Rt7T8Gr5rw}A*`b2TzBr;_Ldfko@~(f|klI7G_Lxt66vyhE&uQl=q9}?V2O6>L za}}e8A?w!nnF^J-(F%wO=j1^BooKjgW%0mY_8N*-B!jW0k7^Ii-|C-VC@2tI1Y(5C zDfcA}q_3U@(+y08iw2u!sK>j%|CH8px`_jhPObBOBqHLUP40?s6iZPYQy6@znIEP!vD`fn>s1*L<`=?yFVnl+u3eR z+~G5>Z3a^^`QQ*jOz3)DAybNDmLJFL0KygY-g!^WrZ=_+@<|bo^k7@GIyWdp=)QL>EWst?sk)yo?)ArI(36JH%cI2tk{u+WQycVxzR ztvan>Pu8X3&XcF=bKkGZXT=$!qD;E*zVIP@r#yf0T?Hg}f#Ly~*Dt1)YA?7U&qRc; zG$HkO=-=_`7;K75oN{B?F}~)Y;>AB@cQqT4-Hi^U2h8JIe-5=MIH^TqoI!>%pgoDv zKFs8!i1m5+44nwF@u^gEyWW>43J_lcA0`k_JSXf|Yp6sdzZL=f#5mI{i9gnkq8by$ zs3-hErg0r7tH=_;csf+9**E<17wmF60kr!pU@w4VmQRp6cl7nt?=mB*s_6IaMI6s~PzoGX?-q~H+%{0Wuzre2+Bmk#a^^*ozGi;!@QR>l0}MMb_ z4L<;6n} z8APs~eoW35>9ygNRyewx?h@1QPO-OM_v zl*V&Y9$ncKTmVGYUEcPqow{vA_UbH`Rn1Ygf8a#lE!Vu?5o0IQVcbtB8|xm7yC)kw zR?41~X5}w)?=yC>7F5ax45iW-I)E_Vd2};>gX#4|>goa21!Xo- zzrKv~MEy-!wR_HVr2RKPdT_SLSVt`8b{&3l1)XX0m_=5xx|m+HlApD!7AgI zJ)S*)L}yRYGVz6}C98`0F!#MdYLJgTU@&~E=1@S99)Usban8M8hCTYF%w{lf2Qby| zsrlY4jSiQBy2Gm4q;9S0DLdVw>;V>y{^ipy_3zvL=MN7kqF+~NjK00?IFE6mi6q{4 zY0)b<;A8xR7N5uMK1>Z5f{mV3avMhWA+|1eyL-z5nTLCl&|+dCcj7vl!_u${MYZtU z!8M+xyEe{xyf)fV2dzr`AOSWv zM*<(tD{wUgvQ_*BHtNggI!0Y{+hG!k>3VXz@jmTVtk<+`=2iv4yC{Do~*&Gy=w=&lq+J9 z{8yYjh31cw4biosK88$Jd=aErc+Ayp1xTja5{>6kL!akLe-}rdnXqphMNTso!aNa% z3@2KVS|JGDtWBPFA^G%S%`3J#xyPvTt>5QjO{>gzUHG?McjsREOTB z3LIuWQ*D$IwDLzK^>qbrvK6NG-O}9gy9NApku#ShBH2VB{Fn%D)?JF|(>8yzwMA;a z8?-r;A=gW9Yk+jnWy4{fjLurQ3|Od9bmro^H3oH(eK|AXu6;Vjmpx;lF*e9autTnK zyN`6DeTWm?X~Fpdm7I&`m4HKPB2hY%;L?~>nswo;82RV3^YvlvO%_l;aC)iuvMkP# zFC&O<9-1~4d-?7^ZB0v{|Jboo8! z`khZ6Uh``4ov`M<%%mVKJ&LZLe&i>HC&xHdABTo&SMu2I1QoawqeRTJbGIRHC|AhL zpaky1m|8UiSTNs46v)w#m!kMyl`R{N(sS91pN8DXr@hLXvI=>NLD@vu&JB5w#vW3h z5&AXeq8(vv?V~@mBmDA0#P?H4$aQ|SWXb9WB69n3e|wn`FlVgNnV8R1FnT5?C&t*; zJmkU5MxKhC^E3XI@b1uEyLao|oU5$0>M@$TBTr{vUE$U!`iXI~w$#3PO|k;-*Dl_z zh{@@1u{!+b?2U8(zW5>V<%YPX)ur2Brb>IH=eJ{;~!8%irld_+zeI^q4{P~MXg z90K#gTw$4WGt<#Xq3i&{_WmgS! ze=Wv-x8-u_?%+70uasUb4|PmM+}PAqnWsCKkkymu=+j8qigvZ^{B7j5sL%1) zRIoxf1iS4v*OA3;mpto>^g{d4rW^n}sEu0dmQ<8zP=5l^BM?JlW%vCSn@%%?p5lsp#}S!6i8##jxCJWRfQ& zl}(ivztQ_(qt8~TifpmjrOEbwQI&s4r`nLuv#84^N@sDUelEa%O%1cTd#1#i?xgr( z6z`u`;rnm#&URfPEMU%lYx^qeVrxIMQs;O^w1W?CROfq}Yrbj+EA3bgMK6LR(Ng?O0O~(Mg?yfxWMSuFczZcD3TDLC>4^(QBZpz0l zi;do)z8;9OZrTw24*ftY_dfFTrWN*Gn0kvpYk6VLBu2Di&}@e$|K1>^=Uy^5oMzH6 zG4!cQ`{YBhi2AQ+7k3ksfN@!Nld7jP7dg{+1GTz3H9|kgeOr{?z-qALY>ATcz4!oO z3&Yc+`&J|gt%)%{*phmL-+nPPS4+C&LNa|8$|1VM@1hg3X0$XR_&U&okKm-P?L4eP8*n1(+PLqT zx4XBR4+CS%I83{ACT=5>k_^NpfNjqcn1&VgDlWEbIX6Hf`t4!SF zI%qtkk@uN=T+Bd%JEFyU^Lo;8iTSsOHtsdUOl5*|M7}^jA^mlDtYy(Uv^azRxJ(tR zctdSRIM24t)Ett3}Ju_4ujlE!jo=D$vL$hkx1nEd+`Sois z$N|Spf8RC@R{Qk_Ax&RIK?p@S^aSsf^$`vPa#+FYc><}nw9k=h0LqR#Y~R|BbH)|) z>>n?_TOO@i_drMM8mC%PKiDK84Dve>@JX!ET@u4HqdHoI_WXK)e!R=0_9i(mwqY() zvD%ilu#f{6^Ia~rXhGK%t)On*n|WK^YCY3<>pnZChpf3vRE=MNlT>IpxrQX|VyMEN zIK7=o^68U}OGV(;cY>=1bb@|ViU8>L6v&6*xwzcm@q29_8%P*rq(%qYmu&N77Xxnb z;DyX}j3d0ivJDjy2?z>KkK!+L`l3&`bZ_7Qvc4COvAPf6uPK}87+Ul&`k||-+R%m* z%n!e`di=D5<#j=>%;k#w*5>+~!2IoQ6?d4M(WgrglExQuYNoq(rPMht+t~n|cM7t# zkn8ogN#hln)+N*hw{B)$E$KDy^H}oCKh}Ba_{c(Scdfr0n+ZW9T0XilFObPX0 zbgpWUWOKl=qqPBuiH>z_22*}WB+d$??((yZ*B|t95(-j_S9ax`g4N_8=ntZWRvX@l zgd0H_Z}oOJTP7{qKKVqNWGI2&^9;4VV=Jx2Nkl~>c;bajNe|Vl2ToQ)U4GQq*~LK- z9INN*C>bPVF3cu)*M;cMtH1u+>Ypd8PcoQaznT1U(v#Y7isAo%KZ!8zW-1-G^VE&sHwcW;zv9)o@fyjFXE>$@A* zi_`Dnc)Z?}Mabd@PBBshwa?)@%PwL*UJ_-yNFO#$F-ONR{b~!tai`!CcPTvDdXBOE1ROtgR3c|@xyX`g#s*T8!YFzRNfu8?hm#^jw`B+~^1^q1U6*4RHo zKxf-M*W&*5<-t?{TUfg{91c9pct5dA`IYG5FTIf84>oi+TS%`_)_eBvU-yq6>~k5Y z1NshQJlPq8ld&_`GF{4`EV>mW0_702nTH;4DIs4P8>|_Y93d}h5XjdST?JA?07#a~l zFK=TdiR}EgP&Rf!JX%)+uhCamQ^AI?behQ%=Y|e>O9`TD+X9KeW~f+UpRDY8Thz_c^odK7rpl|r?{du5A^lVf(R-ogkf~jb~_Yw2zYtETn8;(my+-*zJ_#K%DMRf66(HDonBXvYS6n%Hc_xyLsiTD+C zdBoj$GJ{r;!0qc{D-aihV{c7q<`}#=XgvnTPR|oF#*sQni6B+k$m6}_3 z@guwph-@e11=yDkBidO1X$7@nBJ`}8|#BKc)w5JrJBDtb)4c{<8 z&ccVeFq%sCf7#Dj{8gd3X#8y)-?WfmZ^CeS-J2`iECXBR`gQAaQ3{SiFR`RutnrL3 zj?sx1iAE*MKJV2eawUM8FX=AdBt(ThD*v7jC5j#vVNhs9&S zrIEYM)pAd2^dcUn(-E|)uV0@1%v4ddi-KY0P~{0bne@4Vsi~rxdwHrVDnCnQ>ej{% zsT#EBKiYp*dham^H#b)=y;Pmk;OE$$+HPw*b>V4OOIhq0s|^nA5}WuV6Yf-GGUrV$ zuBp2GeN*5=Hg}=u*s*^(TR;7<=0fTR(IJo*#=@(Eh*+*V7HplrLZ}}&s;l`H;M*Ou z6GPmNXwW{GC5J9?A{L8_fSfh>DgGt4_Q+VdL(17){uRe~7tUP4ucw%;vJpD5o|EI6 zs?+X)?`aGuUg!QqmQN;w(p;HqnINodGp_SFsBn?%sw?CI{4<8=VQE2X2$}pGLrL>i zK!e>VDdl_^H?L*4Yq=7jIaW+`${&zR6kCSwg1Ce4hH5>Yt`mi)CE5+{=0~nj-~TRc zIOl^>cHxTDKtcX7^DXVrkx|c=gmrc1lWim!%IC3tyV7l@ zmWa*O$-HrRm3l-9D7C4hc;C>sF*1$z2 zDr)|G{XNI*QF1~`<8xy3?3!0Xm{@b@F@MQLTA?sx?hm%zZT_nonKh7`;;Q@yEulLl zxVwA)+Q9F>?ZEhg*aEh(qLjgkc0e}mNQ?)yy{m^?$rxkykJP;0hdX% zl+ZdgfEzK@YrBMtdld1oAVp`o=J4w?+UqUe0<#4$Fpx7^P$FKlPlPA{E)_ z(|VT;1a~?lfAiZWnf4g&96cbW*IlSvFM|w=s-;#=?VOJkq?g`8UHhe1++;eXwbnqQ z6M88J&%y`WoVu-3AhPp^p%JKWp+VV%=vTleZHM<%ASxL_&4UYdP6>@b`KpRgSjGdK zK@Y&|)2v?FOWm-WM)ui_zWbVPJIuOSB>A9;$o#Px38O3R#&k1pIUxZHCz0*a-X&GC zo}S);G1nau2fE#3$1tRL-aa;kv?#&S#KMUz$B4&zmD+Xl(vBOnzi+13t!!H6C$0{} zklq!C=C;E3L&J$f(-?o4;h#&6q)(TE-_6bK*yE4yQl;+dZr=F4C0u+ZGK{7L3d-M) z(+=VkQ;t?MXgFv7)HW12OhMd2unhiDr%`aD$myPvtE|KVSv{XIYwdhkwDlnM-E%N@ z33PO(;1;%_K{5%?!I1koGa=bkbQOSiB?KQMDJtwF`k=gZ#x zY;|H^I{Qo0V}e-}t@PW^V zlAvHl)(y)ZIQ6X@L8$-aBsR`c(a8wLyY=4foomkW?0xQMabU&5u$A_D=cd9dN{?)d z(%djjv8CuJ-aiqM;o=gY8DHoTeab4Q&c*$?;MQA6gdZ-sJek7L-)+>t@~TQxp@3JPT|maQ(+F^jhd!?&_P3jakgXg-4xp0DGCr@KK5sUiuBm-_4df}6*3w@a>KWvK zBN@kAvHtpqiZ{i_!Vf>p{PteIL*031Ve9MY`ZzJq@wgQ3kpos@m1ALt=LUu6`0@l*2 zMN(GD22byU`BrFVP)c~bcefFyL^rl%9eRN-$E}aj(-TO^pvco-q`mjN_$I0YQ-&}M zxjn}G@;C+4mluM@+;-c4G=6tpcC-h z812dB@cAuTDRCCkjp$u-!(oD%UGL{@a}H8_uPvwRak*Ai;XTq$VJReYI~ofh68641 zQ3r{z3F*<*AHk*%R{AqZ3&9pk&Z+Dde!7cSDuFP}Ox+llvvN=P_KH(h>-Z&au!sj1 zQ@)+7cDG3`j?c0F{H&it_^0$R`swx1B@poHv%kmWfBBG4zboIaOm;Vl02R?YpAlLV`&kMNrM$;r$t*{Ey2_y=_8otWP&|jv}>>r-N{Qh z578OW$Jk3pMVIfQHl!mZn^8zuv^i z@D>^;%ue3^XF}-v-`T`L$Ez~+DPYR=O)6-$Su4)S^Lgw&OArOp4a=pVa4w5UGm4bo z1PqGIM-F-pN6%^ZtNN$P#PdRb9PKArt5w3$L8}S_z$e?Ut=#snuMgsx>lcp*q^J%a zUPeI~OfhLF#s5XTCawj5MR{=Y@QP`-sw^yH4C&1;{)eNOt8^5-8_^G7^cRWIQ-mY| zA!2+y@;&z6Gx+Nt+Bnn($xa;f)4F3s`bY$&OE)l`GCZ45ZGOdX;ah9Ce6&O#4b|H< zwLE2}!JSck zu>5FNT`%mVZdRD`cm*I{m>Dv z6atid5QWW(t|#y00JvNo90y;k3c9>#S7;yP6=(DL%QCLgGV-on3?ubArelxaEe1_1GX%tO0?PXN#0m2^dA;|sf`M_`eGFFCi+_lqlDF7XbL5q$Gx+A-2%ad+Cc^j4;jtjDis?>EZ{BpsEAvzZA3 zN9OAM@T;i6OD921`(YLzXSt>s=HV)Y$~X&D0=1VAYS+EX-xT{sNT0A|gFR@9A*dS* z%@9;LE8W;~>ptR_Qb~rV60_FoNqoFO$;P6iKt*}(k4M74+A8VK($rNf^6KQBeo9&= z9{3aok55_V+~=JspNgumDcM4Mnk60kT>C+-O?z+rS)ll1!{TanUm~oTbyY3|2M^wU zMY~M%Fz902K(PYEzaDG?0s=sY28p`~ugBgx$T?I}tjwM?fv$}O)FZX#>21kZF7M=o zxhhgIB8q_KJZa;7x*KK25M|O(dJST(2{aCdDB#s0fP}zz1iQNml>3#%TrL7~o`ftf z+``ZO)!OjK!+pCi>2x27TLv$Xn>}BD;kCo*Y0k3*oh?nzZBRY;zJHERgbu|`4s3&a zU6E#=YcG36RA-9x3w}Mof7y@E+4bB{V_(X&67Bo#`27RN!K1hsQ0lvJcS9Z62B%=Y zw^b%kR{`^UP8w#d>Yn-3n2P`v_E|oNB@tJGVpF-q z{0Qf-M>g;wWkp=c^Zyc{=-AiTyIY+jQFk`8S@C{y?_+}dHNOFvAB&(ei9R~mhNg`e zLEVWWpqMo%H(Asy^O7n69ZDYWz2(>bN4LrI;;i=W7n1?xjpT=ZP3hd!LI*Ql^Fozn z3{ETvn}=JG<2x(W2z5=s6%PdBUtj&hCGfWxaJ2fq5&rx^G4(m>LJ^<{ABE7GgWBCm zY7N&0X7lo6%M25bbyOig&szOH0?kaXKdj=BCdTDNf(msFa=+IpF9CwLf`+y0h|W52 z+v^_S7aZh_~}L6q#O>w3V#ry3p$#aC9gMlMG4OF(VF(p@NEe^7;&W|6^ED=m=FrTFT1G0wVD?b9ps=&A43 zOu3>qgyi#n=d11S!c{oyV5QZKWeXRLZMckSnm2J2L_n-y3P2EOUrVKr=p7(+u!_BA&37Opi zXBi%+oy{m-WWRdulRorrre%*Qalee~GgIQulX>&b>ITCimB(p|o<3as&ES@tS(Uk- zy*lH$71fQ??xr7$_kB4z!~@a2BE9mgCKYGK!tcNQ%Ln^8bX)2#uSw@<`-ipL5*_=P z)c?{|m4F9_eT7*p}(Uwmh}Hxx+`rY5p?5#+T_S!7snUpt7p50{AI0 zHgNUHezC%%YLO1p|N1nm><6jZl>Y;S`Tu!7@-(%yYf4K>93Xaf$K%X#wya}a0;@Z7iY2rZ9dg|_D6|7YS94DOBDP3MsfmrXu08pow_CW1ko7OHpEH@f2^nm*#FTzx_VpaSEl(_a>owz$=UhXdpC6m_N!erQ zvd5iyRNu<@OQK1Q>HV?lN zOznl=mDHNt{6%+!%=Bd9o^I3q~^LtZXchvam{4VYNIX#mxzeHP)TInA~zh zm>&!Uk4@{|FEMwL`P|S7Z6!1Azor-+IgT={e@iJ`etZX&#H_ijOtLqkrRWS@Szp<{ z8(=b}mv!AO!$%RVrRd5u_h8{A)&+~A&aXZ$xt(%B#)a&SJrA#avKf2-UPt?mN%QOV zX`h@5l0Sgk(4Q*xk9B2h*#^fppYt|pn1VDhZD~vt0#yv<@*@BB7<^mL;sZzDh04Zt zJoswZ|C_fSSWYQH)7974_xA1EVmIZ32XEcH=^$ZAW5M2`XGJr#3A?G4f@&&t)9Lz* zVKqQgEFTy??<4!*g^#m;U4E z{FH0C@3((lTFX7GXT=yNh@YB@65sU9NXB2}EIQ7MtjIFD#4=;XO9yFOAB<{u`Fv-s zqAnkb9bbxn%aI4Jfke&H26u`gT1}D{Cq`?)AOXMSQLN zsi~DmP7N*Ndh(Ce9#~ARvH!!9lL|EVos8(>pbc@Pr8Q7Knw*WXo-R}SDmrPy{+>ZG zvVm^u1drpC*s@%{f#{v`~r3_;&K_0+e+DEVSD> zG<=rvIO{a&E`wT{1BBNsy-8uHE-tHuRdF2 z*?3(#xUKS-S}G{mb>T)Wna9201hRg{nC)NyCH|4Wtkq?3V&?_^X*JAwaY;&V{zlD~ zX|d$rzUWVz`TI9?=b;EHH9vdyEatuGr9WV*3X)MbXx3O;(yu3Zy)71EF%FOijrw@eo!mV2`Sd?mWjw-QXRlOrML&?}HV0gZxyDxf{ z!)+P@{}i%*TETx`cQ-aVJ>%Q> z&#hab+za+(kqaaJY^yS7xSmyO0uOu^w^6#Z*_=S6yTP{aswZF>Q-Jt8WWPKVyCwGQ z3!dm@)4-I*WDMjRNxjhg$NTzsoANi$9r%VK?!gN+#ghIu&W{-1626cg@3uq0yk1J)N;F;omnz{yZ&##(#9%pO4D^bB2-yW zIAu0n*v`Pn$jF!&UbDxT#{2A<$g>i~cL{WF>DlC5YhK~4Hpp)J{G!-TVYQA$MI}%3 zF=ucT|CF9cWARUa)&K3OJ|8`CHL3#+r$f@u2AwjztZ-2(r;yW;BgyREyMVv!IjCI1 zM+YIdW45fd&kjORf_t5-H^t|5lJCK}xS(-da9Tqg`(L@p>g~uAARn_Lg0SD|ek} zLXw;|JZ`taXs^xc)+BjWd0#9itA@px?F}CLZ;$x>OKj!Fe6FiJziABl>EXZSeLp|+ zuPd&5#$Jl1t9T%Ne%a02x9`*PkIqv?3+4qnb`K8UV-L08_>y6?JFl2SU)CLy$Gt!H zz>I|CiA2EvkK#;7^a|lUMv477fR2xg#%Z{q?VW%8BRYj=K7ETCk+1W9PPq z0TIJX8mCItRO_>wsvex177XaJ^=C|_a7W!P_)UF3M`o4&RLY}VrYb5&ud;+#Scdi3 zZI?;;U^g|f!n{1_WxR6ONHUaKu)2-T={7_XOr&_{D%B$nKGXxZivDnO(C?^Fo!$$) z>L&tH`59+3|`+3-+eA34{KaUSkyPQ*b86(skX@E%C~s&tYBJkPx48 z3$r3sRNkY*M=c=*SNFnO37ZOCz1G|N7$&V3Rg|2go|Ux#+48D&M{D-kE$kR;W#v_& zf84F(R^c%1wJ|Qi_H4L2bNW&sdljXqtE6_G;yG-yGsnp^-2h%$EY|dEm|69&e%~VB zKkVSZK3&wBo^0?-Ji~kTWytQci`rXUi{Xv>Dk>`HcQ=_H2ru;Muo5Jr&>IAIy=r`{ZvBb>H7AJUDpu z>ElQ%0z_@D0)j!}K18f+mOs?m{FpeHrNL-kLal>i-+TNu6mQC-Pe{(_=MXOTwTAkd z^p6uuxS&TCX4}$w9C!e;vU-|{qV*uW{l>)+`JK;}eh@_NxoqXNY&-CJD|t0{6*FMV z27bUEJ|i?{o3y)2vt#UO>|*Wdn7O>4`0n9y;pJECc6sG0T3itMc7>wQ86HYfRbOE` zEjs^t9KOGspFT7?kinZ06IJrEJp7gNZxWw9dls#4+|oC6d?gfMkSA`6HYbLNMDxE( z`|(pGSdZy~`UkN(%45g=K5?fFNfyRW-r3R)a{VkOYA(#_6d83Yr`EoBM(=K`vqD_rjW~?ud$Eg-rEop!OxsWnk#L*B)vQp^I z+&U{0qGBX(=8(p3BHRaN8X@AnE8ei0*y*Oi%uT|5i=x_Q2AU~|GU)f*Dw{kv5#&0I zzSL@vI1x#kd_Dl-^68Z%d?_c0Rtn+J8@h ze!N89b?)JDD@tl5bPe$~u8t+W`wmH+N6-Uc zSYYWSf7KcR5q?gMu+7G_-bG@bv8P|88OeC_txq>93eJQWyEDvd&GylPnZ>rpKkLM5 zRQ6F&GI1ZRiF#WIJ}Rp3g|5e4o3!2KYF{U<{J3=7*W=pXyzb=k|Ld|+tqz=NLC@U? zW^1c%VIX`%%FfXSU2TB}LCun`s_xP98k;45TZ*cG(s!ma&*?h_BWo6`Dnj(eAb*1$C(jIL7skBs5= zPQ3YM4^vmr98y-*%|E`=q#o|Q+y8uM0?j%cfjamBa9oZlxyVj_285i zv}YJ%N4>2%g;R(EQCclh3Gz>bJjdnM(&uk}vn9l~BtfA8%?{1zK%c|wd@Q8-@A)Eh z+}FcpR;+7hs)D7>Q;qYPR z1qlP_m%6lpRnF^+P0FG+ezv|6{tX-)^-eplt+YWrWj<55ouIkIYQjMs7G^NW)t($5 z={01bz1D?so@O9q*7og($?2r&O^1iXs}oN&;4VqK71ZJoKx|{@jh2=U7w)u|KD;8g zkE%}lWx&qF_lc%$g&*N$eWY*dv* zC6OU~zdiuMBoOwy3tHqtYik7413r+hX?rKJ90-1-K38&WYY`Ic^HQ0OJI44$Sl(~d ztSPt}8!_$TV^RuSYkhDD?1l1Nxo_lt`IkTM0`Zlzaeay${%J14|MJqmT%W-x_0xki zjl=Hv_A*sH9Z$JKHn`$$InIM&&DIbmv3k%Lfo>hTsjR-+bu-LBpfEs&7w>FcOjOVo zbq-5*=Ce~TN`i?~Ss3H|gAWhi+)@mMu>7%&AMWQ4LrpT8tDNd#%kD`{I;G$3wY+cT zSYI5(G%PmRd##^da-e6%-!s?t5%+xfeO}+SGaq87DO_zdREZ%n43}1yksFV2@=|f_ z&G|kU{rrT4_yYDrkB`0p-tZKmoBhTd38f}^s$}$cI9uz^EPu?da9>VV>#tMG*@F_k4#p^f{php;HsuEjA&$ zyM#D>G#9N(VbaaHWE%TTiVTVT1d)ErVZ<1s)sjV`|4kZ8)mB8=w ze!w|cuHI+U&%P9K$>Y7!!5Uf}hgtl^tLK|V(670)Ckt~wtyg>LIZis`UsSCicEdPD ziYhk3z9nc zmDTS67&C<%N&87gkAa$&&R2X4#hMhWpbynZ>Vn+Ff&eiwiun$;lKg{V>k&P~{U|%e zDw|@uXRRKaG!MA(s{LqeE&*yXG#3^&N6@dK>n>TN}UD;Gg*j!YpYPmgOGU3 ztxiLR4?CT;9wq#Cy;n4z;QuCt>`z6s%3`*&E|#GptR=1;;)S)EQO}0>zc_1}k<*%qR)DAZ@Q=iI+CBc?eyj0;c-XyV+Uf@n zqHt!s&{II?wCZNTJD=PKCkZ&`JTh^1?=M{xNNB%)C%b9*FElz z9pO)kPEDG8+^6F`PGT}Lr*K!2sj4(O_h@afav}|`o8v3FN(f@*>_6Mr_zVVwka&8A zw2+#kS3oKzNgD_y+dhA5K5{ z9-HIWFoHdp<+F9=lDA_!+3(sSC6}rV`Zz&+WcaDD0$~wAVYN4n+2&@YYJ9>8mhSxh z3BE_cykdf)Bq>mA2MZXYweOj_JrJUp$ zgs*#nyhVaopCd0n24CsRI`PYp7e(QkB17JWNHv&+z9y}3)huK}XJEfqrfMn+o6KPU z*6qk=NC!~A^-8Td%{C1P5tEp^w0Z;=Udg1r>zjo*HmeZxq#2ZE4o+~Wn24RMDVX5r zon|{H9zDCbF81~Dkke`ZZKF-gLw;=7wUl#0L)Gh3O@f}{jhCKa3Su`8n>+pvX(HyF zMr5_di8Z0*-hGCfi_350F^SbrtD7M%O{^AGG7r144+$3i#kG0{P9ek?H@E=ZLbq&> z2k*1`v=>fU(3Ub56!>o4tbTrs*vucidGltkC`&4=6Pc|(1|dRDZO|CWWpP^W@%}=q zvp&B;?8Yy-^Cri)m1sk9`;4v@O9sk~9p*!I8+>_IYh{9sSl5lF^3(t=QsRKjZX;VL)nJdn$%D zryt7O-Z2(;>FqSpxA>~L6myJqX1rhb<7NNLKKPg4V(=7CEi2AT&OC(584i9hkQmR6 zFY{QpSKw5+dsDI{N^E-N4&aBY-&y9vY0+U}iPs9aMb_+_H(X#4B^9$WUus6zMhW(q z3G4zMjnrpJMHJl`22q7%Faa@?+;ltx4x30QyW8Y)lC9OhRN=IuUm3H%>|f6zw1k&U zu(u{3FyY6^>_6XGUvBRtFebnh|IbI_l=P}7{WgP^4<-#gkXe(&2n7>nnw-mYStKj^ zz^uJ=U9)>WMHP%9cB!V+xz&aDXx+D?ly`6< za)0vo2D@+B-zs5>{1{V4<)2WmUzh2>T{M9`loDLkUj-r$l|BnZWW9Lr^>p#+TbwD{ zv7{4Ufy|Bq%#w`wh5vTKm?uQ850$T+YZ@fYYk5aXssJ}P$XWtoX}##=QAOOm5gcBM zjbYwiq>4dWH}hnSAq-}*9lD!+jO3UFx_QqIeU_b2Z!NyG0?Pj4Q|W=5!ruwhbtdxJ z2QLDQZqV8}rV=8jnw=W(*8`1rsTyK}I@4~{3*s|vm2R$?c6h$6)PG%;9UJKM+8oZ! zH%pLotEIcEXN=5+=kTr3>S2_YX8}W(S{tHgf3RW@W{5`ct5qjkoxRB#-W;4q;v<_) zmzg|4Du>i}xAi3)pbVe0ODr&>8ngJ^_&Pb}8sC3Z0$(>~gL72R(P455f>kWn5u7k{ zofr)J>}|+$N@~I7p&VbiHA}d$XzUemULn-!*`@%&V6$-U3#iS4Q0e%wWI%PyRxOja zye2hZyNu+tb)ha+!nKj)()xd;ThBkYqi)~@)aZdDu{~E%W0c+UxYc{?Ok{LA5YQ@}cZ(#VBSQ$}D- zQ(D_Bf^E`l4ZVc&QUiVweSCT8|H}%<-=!cN1B5DmNh1i11U}p`r!IaPQ};c@D9vu% zE1MGD>`(s`o^a1HMklq#Ee@=9=L&dvrbBFF!i!#GCVp#EH{pnKV=SP6!a%iyi$-eEVh-)Vv?`i!o z%vfMl%1aWHKHSn-!bn7l2np8U)saEwwhd=+**;vpe*MbuN5rzEZu2{WwA9f*hrQAU z(HuH45Yu0ahJ_>6r<#XR6?jzxWoXWyO3R%WBw;nYE6Mha^R+weg1gLg_}gkr)D->y zvUh|UW?8UunkiP>G_OMinT;jMY;=E_t1BL8NdySLW3zvKL!kDo7v2#$YckAg`nQhi zfddQYoF={YqNs!GYKF#7|HPD4)TtGW|0D0MTEiP=G~c4k(ay={T~y3X{K zRBCfG+#urdWR}FIP3j`y&G#Qp!HBJ*qG1*1E&U@9doEyQoa32B3dN9P9><=}4f)F= zF-q08=3~{@SuhPy1%mhmfW594&tF0S$w zFgR@GM9jw6BJwWrw6SqBrP_;O)+f?<2k9 znNi~xeIerlT)dZ}nIIbh6WaWBRwWr(iggXj}(^ zYYB(&rQni*#1wLM5YGl@TyBgYYlp8|d(PhO$H7F>#l5#Uodf(`2!zzHY37BS<23Yz zy&>dZLhNi{{34zCU9<|^O<7zVF>4GI=j|;K1ZtI`$>;RkA_ng#wC7*H^Aah18g`mg zjAJucHhR+4qImy8vGcoSZ>xY#k*?MZYK%|Zmp;Fr)q|f;43sv=rp-kx;}XW67;&M) zaQ^~8Ezxmz~Vb)+S_QX^|Rkr@gqHxPo}g^Kmi1cAT$OW0V-Q zV0y`-M_?(gpfl48zUf~r`a8Y?*-|@id^^_D5~4yy3ca=xC0k@8&I*JPmI_U3hAp6N ze+ctE=7$Py&7G6CI$@P%3C#%GqXQ}`BWY7(X#|0u0^h^;&k6&nr?_0xW~R(NqgO&Z|EOqnYz(aeE04gjCV+_ zUhfb!#B(IRqr`yBP+OHUg|0NZ)MS3kp4xuN5-m*kduW-`0kN?}3xp?`pIA1-Jd;pm zNvG>a0NG{w^ln*RVK+6=;MGm*-6$l1Zd;|=_ z+v)m9PaHB0kB@Y@N&vM+iA!|80?m*KIFU!{DMF`5KNf}1dz}&>&^b~s0_5~wnZ!t! zAvxjBGa#5UZz#P&9VatIQwy$%U;Tgx19vx4`{CuAMbmj~d$07^pMYMYKBujoa4r+_ z7!v#L29i)m3d|V7P%nfLmz#hi#6Ey`}PX0aXNw*+fVe3ze{ky1TD^Tgds%v3^bT5Q3AzKz;mLsjR zj4qiD)|z9f{rvn4;(#l1!-de=PA<3b^7e>%=3YvI7usL~%&2>%oPd<9zy<28Dd6*h z3@O~Dx~X_I98TW7yd>$qg~5oph8*j2uCES~3@@~Prenp*aDl9 zSkLs{!T>TN_=B_N3%(R1hSvv@(9Wqx2fpF_J4+`*G#u%^{`>6jyf_!to^-)piL&8q zv+wi9iD(FZ3+wj23wyfFw#F@I{o^nWnZ$EFGKNu4{1VTjDA=Q!ky@$Frw5e8{QQjp8*`vc+9I^c`Vjq`Sr~=8DOZt^ zV78bwp?lLwf_L5|y4jupI!dB_U_Hz+3I2h(n?5ywux6Vf@SPqqzy1ntam6ZHs>?6g zcpi*gx@Ie()FrRaBbuT*ss5Vyd_h(7N@rO;#7lv2-f`C4<_$}%w?yz{Tu+9h?cutA z%{X`c{Y!I-g8IzPgh9zv+^mi#fj@~4G8lo}`?E0qSlghT2u40j`kRay}B9y;6 zjflwXy4(7*(2^%wi~wo@#}JvAXa z-CWv=?>nN+=307|UC{GUT#q3yLTbY!9${9gEd#%gh^}i|O~o@Kz7aPc@sK%uuS`qA z=mjkOC{51V0I#|CqeDC2Cn|>Mvv-uAa&6(b%~);39VPd&McZbXp)TaQ zKW;+JgQ!qnTQPk@`U*2BG6$LKeeP5?{VAy1zhcPV1>}twXte+nw>lmQQ7xGnL7&6n zLlkLbcE!iS#M2Gw%2grF2!hP$2@PYsfP`NxWmw{wHKNqu+~atl78$a6@w^wv zmuJ#0Us`ZCi;QR>$|g(EveXmI0Y1|V+P>E&kE^|{2qDce_q4X2EP&r}kQ&^sgXrQW zF3%-21VJSd#F{HKX`*9jM=hJo(yx4Sl3s4!y|5{aOIkN`{<B`JUcUscbb>fv}^?HYp+UAW@nd1 zX{3>gk&RfKTE;qV@oc-wyh6;@`q@^rZUKKqHfEIY*ARHL`irW-s)+Vb`QhP3>oCAE zwVI*|t;?>X_b))xu$I^Rr+xQNWZ1rH!Em!+8Y8R1KUpikvjTN8w9q02j5o4@T;~b* zec5La#WDY$2P*C@2++j7h;{T*@LPa+#D;->q;NSbZ_MW!L$q}_U^u1QhDWBwTmR8+ zF$UhCdUN&wwWc6T-eSrr;&oiWckCG^3j#hrK|J6hA|ErZEV~__qUV1stZ)M7@E~EJ zEt_sCI~L5qU?h#ERU{|gP^qp2lLSe(ZZeheez;NA{oC)$$98IObK7}dTn_yKKE_)+ z#$+#Ryu8;r#$UT-I*D9JXRl4O_5IU7F3HbHyop9Fo<&ZESG`l{H2VkD=;J$}9oUZ0 z_L*3_L{ZhNeHj|Qo7ro54vbwtZ;ltkE`@&}qLF;6qY4voY+tf(F)K-||wr#orxl0X_FPP$<^m~&6vo;~~ zjzjkq4)_63d4!Wqe4!}^wrulVC|a2W36M8`UXW4pd?vn+KzEgaVYgXGV|nv^m$cBg?t$*(IZ!cDSZD6Rn)P60xHWf155=5u!P3efeww?MKM{u{{Ph=LgWWva9v+B2> zf<_131V0RuP%$BIz)K9e-|Z9IhRUPj%+*moqYvZoY?#ePAguko(ifmv(uDAg%tm;* zR$uHMz;!=5jqgn)iyZMruiGacpDcgsg%}#ncVXuJ#c5HK+3UC<_Wp&WvooU zwz2fp>3_m_hr=M@gPMs?bbSsgeR)w~|J@m=i>~PGbYoiNjfo?d*(7axko9M5cHT0f zd;F4=-Jfe<@hlvMDMS6p16~S3(Xz0%}tKgOr=6X;>hSI zrFrqV2DOv&nVuHxX6#QnsJr;sfQ&Av@ca8sVJHLPKwC`yrPvjP*b(D z#8CGM5?kw1?H*&pLzj(n3HS_j?!?utteh&=&p8_8%Y=(N)T57QB5zu%7pnaJe(jBs z;ne*-SL$cZW_T>lRr7Z9YAE41RSbn><)kvRcZ?N%TJ>Y;zis^N%i=lsOJ9oj?rT2a zuL$xi%{G@u&Wzj=W#z%xi(j)*)3?h4W0hJVdCRgS_G5bbH8h9B&9oPRNR zi>7tW*33T8<9It)@+POsh&{L7A*b-bIy2;Um+H%2aM|1xJXLNC9Uv#n#(gKrX)At6 z+Mf4eu4|i~@%?M^X|D_NDy9Fm~LeRcc{PFdhZAp6usbW5gH;4IN$wV5R2xIyO@<6jd{(Lfv)thf*cB8L&^n}x} z?~%Xge{zTXXI8+==Kg}B>f%fqBti$R^@0)yL9I4;^$(K-Fzo7zrkB(~?Bd0)7jP-G z0t3D5&9anVuNVZ?$^xlgB!0}{EK(X<=2I{=zSI z-;S4Tx8hpD>lFAUwoyhJZG+>|Drw4?!6E&cg6oS4-tff?s%o{E$Ddm?l&lu~tm~-F zC#w+|{~z1k{sM#UL3fL$&_6`*A3sTs%3aFPeF37tDH3sa;8nkrJh~IhDH94fT7&7j%Y$|unX-LzZqw51 zrmr(T`T6d3=bu4Z81(1+%>9+1qaqztGk6{ynYI+uzcNGAb3_a)7^bcOWIz~3)gYBv zZ6YcYxv|peLNyse(ig-%h>n8={O>LzH!fsJ*=gPuiBBYeGjSDDGH*O8j#3A$^bPs! z{+mwhWN=z#9dd5Cr>@uaUtX>>g|oNSSw2(D>JEHBxv+cL`~OGWmq1g!z3<;#N;C*5 zmC~R@BtwemG@xV*l_``Eg-9x)(>#a9|7WXoIBvJT z^;`e7?p^D|an5J&{qA>s-sin}R}MH^p!TH;8;-6yI#RN*(9pZ@)J%2LfNjicnOV!w z-u`N&mcpe2?#+T zY9NAIh6pH=!_`^GVA3(TyD}yI-Er!BOzv1pcm}U^6v^kUo${5q;FNQd>IP?72P;*1 zY9`M@`92GF44KT=lNdjadWTIg&2asK?tc?Bdi&=~F@~1{-M+o@@Xb4Q5#VL)ec8xQ zaE3{Fq?t75<09>kgip0=axUt9d*eu!^4!I3j~`-gZOU(Jin4RO{-TDBw|J@Nujr|tkS&6#5lix;EQ zZ|@SWs;`3mdL_;IL_ z{Ef)SQ7ql}C$Cv(o+x$l=C*QgtG+vnXf&#+_T>qmvGj*R)H1&j%6GZm=f%-N^Pg%O zy{=Ob0HIXe37u=;*SaF_)MRNzxjf+YKxq-KYe*zE{UUIk~^Cq~q4wn$`T?EOr-p%tbqW zzHoL3&O(J^`t>j;hJ384Dl#%d;Y|h_YV{OV?n)P=rHL~WPL}%&aG`Ksmg5nn7fW}+QQ9fVOhR?(5u+yN z1Bn+nSGF~P%p}Ptb~Pve>4Qv`J8CLJe7^L(Tabm#ygSK@UYn4k(MSVw zY{G}CJ5v9BQj}#QcSdGhgTG!_)(Y^86LRI-i*H@NGiLfybWs9yslZ3(7!b*Qy+v^&p#nm(d+*N7vs4BaX6l z>$}dF-OivqCG}u9K8{GIc>zh<*n#5&kBQw3UK@-JTTt!~fI^b4{Sx#P?LG=6dZmdmOUA&-Pxg8X@$=W7?Y0C1kltE=Ws$S+PUwp1F!k;e zDg#kNOR5!3d`~Fy(tltZDDLdxDFLt9P4_Z`F+wEwJ7Yv2?*I52^TEPLS-=i6kbSMG z{NDwlUosBQvqk-__tjX}Ri&?xs7nNC)JpNfB;+{ck&Nogc`7R_ht%vk2$ebOGZ|5M zz5`Y=0ZFvC7MSu-5@R(%ii_C2stY6vd=iOBblg&IWfy6yn%-WnIscwVUn~;*d6(LJ zkF0TmtT-|DO}u5yedil~9z~#aDl!Zwc4A}Ngbycr#{Ko}>JH^ce?3e=11h|72$1#h zPLkN=yr6Agm3~|^1yA0GnT;8F?=UD(xtfTeY1;#9(!4JueOH&pF(k=LF;GvCbkAa% z-H{sHI5L|vb031V?L7#1#@2e!T|_Aw#$lUvC+7ymm!yb>RYOro(fB zdCXs3zbgABpejU4@Y=aEjNB!wMgsM-`Ul6-|0_XLDj8g`!-+UqGq`+-yE$i$iVp|i zHB)7FS|ph(C}faS6y~iwxQTX`2P?+PNtTPqOP|M3xt^ti(nbwxiNM?wHvHpv<#ne z5L5W>P~k7abvungyM~~lxd-(^UjRCmaGkK4^EurktcuKFKZkOu0ca$VcO&N5IlcdG z4t@c@0Y>h%`!-FCW!R^c^~f6|#W5haC#)HBybwMix%THyjIHA2&AMjtUIIr30af=b#0T5AwuP5)tHNtx2t;IB94+;ojMaC>+< z{;vE)>_%`nWJ)2X)SA)lnGB?~Rp=!K&vghRN}0+|CfUe$5)Tcx{e(EV;kK-Mh+V)%v#ZjF=C$~>$4-m7sMFtY;&>!cY%n(!dxF52wv zd4>{=LE=LxXYB(CB*1-?KA(Umg07#;CkKp~ue8lnsrm_{_+)NB;(~<8VatWa>RgDt zq2~zT01A{Fs^|VYb`7zahI8W9Zo`1TsJ<)(RguLHG`~FfDm?gi>qfV=pv78|D*EEI zmF$=Jedyc&ZD;mVc$iUa@ri!~#BOS9K+~Z&`cg=Sw!C7WEzwbnGr%hCM(j zqir$yQFNW>s6{3{SGDem9G!gg*}KIh39B3H(V?A!5uFECx6f+<1xB{6f0EAzg!nap z*!!5z2>o|EXkkZXx{<;sPg{&q5*f~LT5D;`qysPl!O&Nl4ewueN?SeO23#Jx z$=B3*YJB*N3$rEzK!IsM4M=K(4s5g!+wvz3AFOT>z7cp@Tu0aDXv~$}S2^A#;S-2C zx2-CC|3N>RfRom4MpJG8;h2a>GAI|2a4>rHiZq+SS-W@Q(E4y{IjXeKg~n*B8JqgP z1T7}%h^Unl`>j2Ns7^+xqlen|`B8gGXE9-q!)=uXf&Qk}jXL z-O&`ypvQOiyDQ&ba3|qjvZSV4Gh)XW6rjd?CW6*DPzh3Ol#yL|BPOS}zJB20Azjah zNv=0A^d3IUYBwR&`>dN7Z=>DTfOZ!$ zAw|8IFov`NyO}%M{IGo}%V(snBHUJpYk42btiV+7-mbh}1E+?VcS{8(w~f4uf{D3} z6B(UIa8RGkw>RfXrAw9SjW)0Dx#(6}gf3ihSW9$PWi~mMC+)dvh8Y!1Jp^^hCkMo* z8dyOPs?U!s8|(7nAc0)V%!1z1^Cx8ZWz6dNC>RD@e;``vBm zjM03`P|QR;-P_D}k10hxPLW|N`&Zuo;25++L?dpWtx` z502$Rv59aaHywgsJQY-A$KUMod-tpn&Ze3825U@=<192n{wsBsL*^V{uLAK8{4!Fuq{3~YM8RG z14YlJ$ZPHvUvl*7w23az7#Qd^Y3ZyRK_uHJFNKyR)qstC5M7?~{5omhXi!~^>dWuG zR=?%E^!25-$@xR~sIaXF-eXdFB=O&u@qJ-}VpPmdG5_E`6{+5I3$$2`*>cNIy0^Ed zSK?KDJ)j4xK3;W{*w-nSROh^RXH{Noa!6lu`Cz^C#TcEoVmfT-ENkIPOROjZ?1=SV zQv#W}T-Y&o>XLZ#yud;})i;hWOpdM$TbOgipb7xlY3N-8{IhC;3=%eEI4WVuMZtNj z7MVx{yow!M8;s?Y!>GEg5w)bn8vvUi0e#F5e9bcJkksV6Aj9ilI5*HzAdWp*(~1PVJ3ND6Z>+JT-(P3m|-)*ULniK z%Jd1--OPG9-gjPT+g54use3q+Ou%;&@^#gz$oJGXy}YpaXH0T8%ktxgP&>NXQ!6{5 zST$fvH9j)27&$wk6&V^){dy~UMqfZ_)jjVD^Rs>lC|HE7@0oooM8}<2^x&G!(P>vl zX9SRzk_U61Ta{Q9gliH!Be{>=2G$6dP@QwBq>gBWj&1I#+RF-z%NF#IGz*oG4Q*E~ z2V>HqC(EzWH2&&F-|lf4f^abrA^4tx7?+lFL6_KUQvvG+1kq7&vZ2S~MFP_iFfpw> zhpfU2+Woo`nne2?q>K2?!j9Z(GFN`OXuxuCIjLQo7G6frq!`KFe`41LY`L#NaOE|d z5wE~dBwtvh|Co>7F0P zD9j3V3wB{5{A8(U!gyQS+g;I{3{1h=!nhT;;5Z`>KzfaUrMplU4a_8I_rwYgwiQ==5n>x`@NA#HV+C_T)wW}S_9MB$9EujP<~hYFK|7wR zjJ6m$f!Hx4e~Hm-%fR1SC)pIq1j+*KiZKtNT?x!lxf3_s%I?!=)k-u?>Y;6TXCtvd z1YnF1C>Ty0fiVzl5HiTxagd-M%tOBZ%5(2DHl2I$5qbbwb_J##?wwY+Lpcx=h{fC9 zKAGv9P5gPx3SM1}medCXIzl@xPC&Kjab24O8EF6<_sK=U@Mndg(RCBj*>`NT%M!@( zmAYo<4*=jc3|_ptg6utnGy%r(itojZZ&)T6M8ToTC+soOsH6%hosK+8iGq>ltVKnC zI%}`Wd0F-9`USySn3FsItNxE9`k!}7{?NU6c1FlvmKx2J_=)W7cS|sYwK&biq_Eam zb_1zX&uxZJ5Cnk8;)_PK)qpLIezF?z5OOT`p~wMhfG>bQ1#BN{iJpFk^5>MD`Mvkc z1C;c20!RGao0~j}Q(@dnjr3v6RZ+LcTvgN^uW)Miy6A1(!fbQd{@HPCVPTlC+78PC z-}G6W!n5j>Z?0ntxw}@?)OpPDP5u#8$4<_jabmN^$|H}vKh^5)NwjaQw##(>w7B!Z zw0Es3wS2C5^2Id0miRogIXp{)LqlJqyDtgs@}bJbEYW)U`sAjE*Ewo0lI?jyoPE(4 z0)_+KOS3wA6?)8O=`F3;19+?sB?VaHhVE7#}{CT~YVe~d+E+2h5I%Z8%|%|eypv_AgLX^)-lp{--Y*QAy3@nAGk^@kZ<3g}El&2A6eZ)Eslz!Q zs~*U6n;}-&L7x#5Y3q?1%)RP@XO-{sPWMDCY~44>qoyB^>J~l3|>P9>^^A zeE}k|FGA4Q*&G<2vTNslB0oE)f~x_7<7AQRvtVXA%iSiV!^L(0;xie2R1-BmHJTh2 zKd_sR^gbtcj<5Q=iYrvNc|;4m(f2NVL0-hr@>+y(SnM3u)V*(bGL7A(F8s}1_tccv zH`_#u+{PYLcN-1?o4YPUt*KjRlirB|))Eo;X+30SwEQNG_=)QOk2L}tRYj-5J)N*m zRJvet>Y@054iIGMlz3!3FrjE{PSrsISsLX6zQ^STCbobz5dePbDl4n{rM<07Q-MjE z=K84?Ktz&-xc3+4zydGCCk3L&=f5zqEubLMc##}g2Bs(U6WtleTXZxT| zgJtHmH7E=j?ONO<9=iejM~m*mG)=lm;rlE-dDP^E^W2WlpD6Q~wFVXib)l|wG%0qI z#u*&Hzl~x>d~enKo+>c=7o!<>H)?1EUai@SAvC0(NG;6zO6=RiH{* zh%G{Zqp-@&YZ9=}PEJnTD|>k}G*36(d@lNYI>=|4$~&iz>${Z97uNpW)V(&NPPW`B zHKk`4L(acI4~9sOT|a2BJpRm{(!B@xf77VXpOAAi!W6oF_d-GnN!`Zm9AVDdwo65_ z5^=bD-r-E@HTfsE3}*maobShQN@YbkPwv|P7w7FJ0@24*>6 zO1v!SnJEA%UYkd6yGKVZ3Ok3HN;MZW13vm5bZw7ZC86|)g_w4&#(vG$*Wz4qL;s$g3Tj$H$7;rPM)?k-L>x6 zYftV6MitFPd%aJlk05`EOMHA?8!mCgIX#9f!s)40ua8qQR?}tFhOpUm+xBm}zRH6# z{F;qYyJlHkIFGqJJFp%NNZaofU;Ak_%3fOKr5GEYF^8}jHk;^=VwOPm@Z>tt`n?Gp7wxN z?jgzMQg^JAdzw?z1e^1LXUaR@WgR}paAhNe^F!}E*8SGp@e|uH^yX1#b38PI1=vA4 z=~p2S)4jY@H3~7~5zgeYQP(bcIUd#rE`Cnglt@31a-f;puK)(-kff{FRdsUy5nTih zqj%Ku3oQrRXS}V+M@?-WMkT$wXLje&_cJOn0FC;j$M^++&U%Ko2F z%{U%z!-nnI!d>N$k|V&9R=(}xv6%ZsS@aZ>SyvdWjRL||OkRk;JkF*ff8P9K-f1at zE~cVE-ugLV8oqZ0kR$fyb^6;K@GrC{q-N7rdeoymX{x+4EJ*8?#L&Q-`>KVzJ85I5 z>1?4z>8)Ot)b$zM(%2p_=7fyV9qhu7)#nzm>oc6L_hqr(1&T9E676+QdFP%}2ZCg(Rw`sR7ddvtlvjUj-`}3zW!%&) z`oyyAU9yptNj*d5@hq7GuzI@_10rFljrf5q2`;Nd+k=nz7Uh&jwj-ZC;sZc-mNeX* zN*bJsBk`{e^u@56PvD5wsJkFBTLuihK-r84OF{~DbS~Pz#_TA*i(D=lm==^mIxWy6 zp&%Lqw11|O;(A$>1W8tSUoC{H1#F_5N}<`;rnGu$sU0thbd$LjKqP_$uz))6Sm|H<7g6efKUw89emlL!M`bxugl%GZ=S!v7zPg8W@2AExC#~oZ&hS^EhP#Rd z(mVKjHe}Xk@{D>Gd6=?nbR#kcg_|Z=0XTLEPHFz9^0D0KN0fICty?HD%z6ftKb+fVDr2VlA&8(w>#!Qo6@?}$|6&*0~mEt^RX$$m&6Y{zqYwaPz zg%%SYV|RQgU8$>H_p=xYBGRN8 zr0gMn=O}mI!m{Mn&t)G!HW7p}jRXR%n?nprk)APhPlb;{=!Sa*_kIFsQ%h{##%a6I zrNI(pIh&uu*&}4>$Ze*$f}9*5$~{ge(`FvZ=_$~B`s^+764*ve=yLJA$0fr!(TCE^ zB$6>!>SqQs|FAYgoy{c}E?V^R<;&;KiG_Ne`|RC~0$(D4f7 zmR_yWd{qMvd>aVpFJ5_E2?akHvk6d_oFLzQE%o!Yb80ekC511yp)k}ID86Lc$&Ra`1y>%E z@baVE{Pu1^xe@l9j0&!WQ10aA{QEH8e{<3Y+-vGe7D6-HNT0k&O2 zGThT&p=P3TZ1RFd4M=^WdB?T|qN-HQZHAV$S*5hVlV?a?;O07*#jSbUg?UHU(Y77w(-Sup8p(Wy4j0zaH{8;N<)ao~) zEpJ~^29G{6gUeX{?(2F8)*goOIB;oTFU3^%oBJm+w0DKv` zgy))MfyPuoyPX0}28I%oX08^J%OD|w_IcTejm{+d18_eyDGeQ=Jj3Ch)q|UC%EE0M z-d;w|2%cqMAk^5X(uH{?VKNq~UJD;AkW`44G-cP!9+QU}1L zlU65z#O~w1?&U$iZ!+u$>KZpj?WX0B{EEvNa8C=}sR1^^TST8{3z_`A7`?qVcix3X zJsbx9UO=FaKzKpTLa;#lPz)G>B0!??{@G+lJcSS{q$kl5d#}!e9v0=VLaw{Ak2M>h0@ z)mzwiH!1Bb@66~b(5#cFNj8eu!1tiDJKViJmZ3l3kEMW4Fl&1Y&*tTtt@3beCoF3d z0&43pL{ZmcUq<*1JMXG}USuz=(HYk(8B89eMGRowq^nvWeIjHZyU7brFt3rNtE|6ZdZ#m)(S>dB?8BJKcTcx7~fp;uc)6j%E*6 z($?cRwKUbWHx;Zzt_V{S=Q*c9X(H((yVUC8>FLmojY$Wl5?dg+f{*65QS0-{wE*&6AoUSHbmlG8*=rI-K)^z2V;i*W5zQ zL{fDVZFoHn&HY80;@}72h16%KwyW1g*N1j`bss|EbasSC7a;hG!YePIZc6R#x#g|= zDjkME9;yTt12EHBfwL8WlrlsUp%JCPa$+3&d!!KkK3qWKZyU%ew^TnmkHm$0t{CXY zkj32&!;>{7)IE{RM#R|37DAnN*%%o~@XkCy8{D0QHh_%Xkr(RP4^OBCd6Pyn+q2U^ zAmI0=>|Cj^RW6ozHgD~uPs;i($+UC(gzDOBPTkObP)7cdeWJoDI(1rMed^7+7@Ovu zg?#T)3fojlEL;3y-Lz>-bRXL|v_;YZMJVF18+7#+%5tWjyE~WXJG`82)sgekx&GsZ zVg@kdeV>|Do}@Q|e}ZdLejzS*gxedA|%1jKalWNo6K8+(=0Vs+uxDM$WC{oJpy zsd}v3T81}xIu|zj0&B1fUVCUOGISLv3!QQrH^*iS6=YmF7bNDmdZUuGSgtV6?_iM# z9PP^FCf-%&@$gLVK6Gj_J&YaT$dE6%vs*@nwDyNYaI#d?@v=FK4oF8-af6K~zKNtE+}peYlnWwc+|F!!r#Q@{~$l&*M_0fP%9K02YO2)Z1nxY?RN&tUP|h zEz>Nt=Cww<5Q1ckalU-xi^IY_7e_tI(-B4CS-If;ZsL7_S;dfhpp#PCD&M7J8!ZG-pnxy|lUsBnD}A>d}hpUBkba3P{{e zp#Pt6Ey5`5n=WnFvbJxVgC{aoPjg!8v{=3D6g)|9PN=!u=Z^+G=wDPx_BS#zG9j(i zb_uqR_NiNZAKpA^Ra&0IXwOJ-ci)&Uvxbx{OE|;+<8g;r02jMSC7cm zbBW$nadztPgWrBP_#FDx<)9a*mr=oo>44J6lMF{VB*^$mCR2)CZ>B7}_V~PWMVKq6 z!D-58mVK`0vNWIj<6BGvLq5Krk=j?;5Y!2Nm6AHX$3^>Q=X0xywLwQMPdQdtt`4*3 z>rge{7~v2RSskZmjbdE?GWl2Ij-4xro$&QRf@_F{%Q;?uXW8=>znISFZLeXC3UXbV0gnlZ`mH&_1Dzxs{1J%AG$|#OO3u&-SZzF3pZ;L_SM@6| zG_0tPu01aNd}x3!hvj!!s+?IYI{4(Mo@j*&XklJFb@7CG~AG}`e zfjQkbn66H1Qqp}Co5+ARUx*?Ct>%;)y^0+mUvxY6qxYOvgW7+3VZs$U`@z3wSn4zZ z8*3#xN$UGJ$|It~_Z*nw@xOc(-QiP;u)_`wA#|Mz)b}Hi=5bo=xOwomrq$qE%ahKG zquZGNGyjzrPiF_;F?cCnwS?}23f5qU+s?+#A1tZ-)o^RCELrBxFtXrB+ex>^Z(9^h z{HW#Q=;yoM5~`$yMw*ply7ZtA^TS|Sr&dM9!9S;a;-C7}Dv$kjc7rOp8b&zQ!lH`C z!5{YLu50gj4Z}uPk(G4cV5*M|F3wFYVJJfUF%ce4MEEp|Io)3Jk0#f31&=>Nnent8 zahL-6AN(#@=R+@xws-3k`s3iAUrC#(&NxV$vH-F)A=Gr#U?KZCE!h88pdAPoU9ax! zM7NixXX8{a&z+phP=a0+gG%dFHIMthTRhV+%$1-QY-$oT@RE^qhx~ODGQK!YPZA77 zv$JT2z9ZR#smxslMU*TdOtgE9x`BS?OcS>LW&Pv}%x{fJHJ_SlV`XIpPr59cv8UF zXRD7|wx?dQDl+V5O4+g1JIv_R94F7J_|LoU#YOWmYz0Mx^pYNqkYZ33jNAXCpG3?P zLtsP=>OOuzXnq<0;~3;*V`7ktxUnp^-iQ7#!+k+Dp%Z$WaZJgYFBy~+9B--2|49O+ zId%Os!{~R{Y#ub#yEc`kkBz-1b$Nf2jri6aUwf3Bvb8(|4c|!1CD?K@U1lqQJ9M+I zq`A|}a?8FwOuFsPVm$^4Hhc0``x7L{bmcm7HJeOE(CF za-Xdr?(-)wK^H{XjoxEhIr_rFqk~d~?|4v0FYDML^Lr0LRbeYd*AkG32oB58a3Y6N zlggxcNjkZ`z!PrM)^Mx`)eucinSYeTLfq51N^%wH&Vr~5gbsEnTtCsVPcVqLO_?J} zX0m^bY7>+u?G@uBRfP;^9b`&L&6*|Gmk1J9 z*WA17OhsSz!Bgn;8(LgrVceRG1%Z?^OsicyPAplgdNjdCbx(cD?&mG~jdho{t=VF0Hi-*NBq=AEtN{w^eRz-F=*tib~JW|h!+>tA*t|09l1+WCYb1Df)e#4~>s zp@5tGWy)G;FmL1QF$09`gKLjRT}dDlgYE*rr7u%c2p#fb<{!664?WY!Y%tJ7zI}u? z+Q+Dei968d89eWG=V#a5^}uZWhi=u`(Yp4zFP+crIq@|5WLFr$0tRbSBV1i|DnzAN zGNq}M!c?WX(mN_V=Y-#Ty+tH-yxoN_bX$EY4L~2*clJcpZ}vTg^TXIMD*-=uhiI<~ z_%M9x7ql2f`Nu!uPiE=~+_TqS>(sd{8!7JV%Yx;J1_aQJF~1bi*{$Q8sO|dNZ$;RrE)YItFw%mGzT z8h*3A65BNu0O#raQPVn^RyItW>66`Pcujh{2Ceca9W$ z@a`Bs=qd-QgrIb$8NbcHNT>|Y93^~yUZBgZQg;Q6=N$F#5se>;gYKeyJWW0cu7iT; z7W&#s-*fTr>B}14wi5MbcdB(-uGRll`4lFX8&<)l=XVrDR!dvaL|&f}8!*56XV!Ir z^8+p0PqwV3q_o2!v4)3S-_)V5uX0LigpGHEVMa4(jbDOe>D~b}l;@*hz9RZDzfe4( zzyWV?7lsDaht1Xjy$=~ANXAr0RvA}d3~*M34Dqei~237Ijv2(8D$ z2A9H~J?@!W_)$AXztq{v-0#SFs2E8f*}v)J{W9BaPno;B|LB##;unA-a6+hd;sVUJ zBS5Zup8?7zV+t)Y5272@5EU4LEkW5-IUX4n8ONv03i zs<$!dc1{wlnAn#5ZsKCVEuqey0REcMBR8UuMM$sJZuOeGE|-`#4|D^7_!?op-7?n# zHz8}%VTm~uT_AQa`tS}QcoddW0AX_mT5j^fZG!C|je4q$2&*Ng*mHk$tjMmm^EPtX zL)*Nt^BuUPq-8Vz8YID(@R{(|XaY~!!q2s1UgdTK=5zNiTJrS%p!!LIx?3=7h1AO* z@1CXds}3V2tnYfO{rB~5@4MbFpIdaH7HL7p03A z5tQDR%uzKs^s^e_$L9WGKy=160BZmMyYI_3)>n949_fU*gZ)Evd_ zGY2V-pLcBgZUnW31w1D*AEK9PYV-)14cHyZXhh*RhFL1CAyd(C(@lKjKZ>=+Hb_uD$Xg zF0*7kP?jgwSGeyDMEmK1x)L<@kntAH`r9l`wO2Y}z6eWDuAlVHU?s*I>_&p9MJ2!N zD*wCTr3U^(|rucG$`4?Ag~{{XuGu|6Y2n<4;- zUms#FO6UIl@Jqk%d;bA2zIYfyo-F(Gqw~UW=*n$l$wVF~?TZ)2%1o$~2U3_V)3dA; zC}PsU(f$z}R!e~2ZJx?7hmxNc2I}07STuY6{$uq&s0AnDGcCV|#GSy-yxM(kjyn1} z33WL~?LjouUJt%oLnpor*MjcU^nfK0$I!Z5x{h$87zo3SVoA{+6xku*u z_3PjZz;&|nEs<(e02BuE2&U#%0Oe0wPt90KUnZV5S0I{wxMzXeqdjC?nLGh1Hk)}j zqmTIY(Iz_;=>UX!@)&e^hqrRDTKhjrY(9dAm$)xcFLxi2F3DGkD;{T&m8(UW1#MdtM7V=y*O_3@|ptVc_f|b2a^a^a=g`QftP+i$9z|Xx%zMyL99r z8HS9Cl;n!2y=`@U8k-wq5$2RfE+GR`?#@VdqKUp`9OyZV|AToi&n@Op(JX_v;5T=0 zKgEHC+!!7*3!U`6<-3hQjC@Qwv>n|@1VTC9%5?t5qo3qXeV89@o-1y-jZxq^a(Y~!dXq-F0{WSzpX|6P;+JGOY2yTt?+e04_I50eGY{^Z$cZm70J_ zMG_l<;6_i6;<6m+=wSm^%soALxZ}80t4Ha^SQesR^j;rt8Zhv@z5xf(T`+#MiW6 zs9)~NKWR((Pb*MkV;5qq>$!HqD{-GlDP|U2EZ&lz@D~mEdkh_{sIFWqD?48bG6S-n zlmZ7ghEkKZC~jBvk#+yWIS*bZ-68XGPL#IxpHwE$!Blde)k zxy#hQfiB+t1A(KjGG%n*oh$a>G6gf3(xOZ-FncVn@yUNbdl53tZ1MrpHsw&Y>@sV% z2cl6C-2iTRFY)N`MJG2gvf%%r2yvi0N)6-GIGC97&L4d+U_-gbn+pZt?OQe?!JrXjx$`DQV-NwMs)KXN(w!kW4NWD85+}G# zLDx5?l(P*H2_Ai1OUprui`*uw7`dS4RV5LJo(^0E5lNzflNIwxm1M)cOe z=h!Ir9`r;xU`N5QHI4iVXTsvR2o5K@eYM2iSM)Sge8#k_{Ht_8jW6=JN(F*C6w%dh zsQ@HzM>oD{l>t9ydl>wBcWLR#wRQ%f%|uceV7@p&|EpHQSpI*WKe9CUo1MjIUONm9D32_f!;kIaMV1Y% z=4x>E8g$JAbTke+fvJ!%DU()U=!hwhX4!_@TG~yrLN>{m--HQV$h|z){HIOuZOcYD zSRfGJNGps>^j`#)3enD!O0kJ$+Rog6hoXhoBo!EllOnM`l3UkmizORdYoG7zb@_B9IMuI))J-Z6js;I|xZeVK zIz;goGd62x{@Lt>y9Rr=zjqSMxA-{x2s4*W^@D0%D>^8%)rWx(7{uu05?mHsICW zq9V2op!OS=zx&YKd;|2DDRt}!dN6>odWqXR5KZdgP|pjmp9(TZ5IUNqm}3s8x8W|`_S+}!g3=9ZC8qLg3+EG8!v66$$hW$R zgZOs6c!fUpB_Kd1d|w%=elAyAQj|_Tu1Y!Om$*qS5PgN4H_>B(x00mwcHSL*U9GS| zXmLV=iNe0CLH>PhMps?`;`?QYjRVg_anpxna5qKeTo8KAzo(~=#iIBorcz07C1 zQ2)-983Glj@rky6bmQ8qr))M+Z>1`Ywya9|zwL;mc=wYAytUh}HbA|tx{?OtfVvXl zF?(QTV>1njD)0gXTyYQ@@J3?1k;i)Iuyf~1?`9hgOJBD;tY4g-(>sPOEs~=BeY$Tu zbGkqNFPif0#;4Dt<=XI*5Mqww^UQ7F?^xp)dymM zlojavlCz9YOtiUwIr7rq<|3&AuO`w#`zXrHdTZ^*Joqgygi)LN>hMRz@adGg*IC!j zpQuyiUbjO@C&8~RrIBIy1;2(PL8SyT(W4#*#328nIp|Y0bbf2jeCWH@+82!Anys7= zebr)H9)_c&`}1G^()=VixzaY)Wp0D@;At5wP|KTh1cP-Ntf7ACOLK&R&Y_dT6U1bfu|2Q@+u-D%5cUrOIV+GHX4+Yj)o_rZH{?du*A>k8O zXmCqyirld|6y%g?ldEU6W>|!{O<3YMbOT1%-l4_7hbsF{QHdF*#y} zr)XzD)Q;30pHOfMC<_R<(CXA1Zk`*sxOPdZc`RS%C*Hv)MKK{PEGk0V{%lb*o#aTS z?BNj@EC|M4NPOn4t$6t#d-Q8N%dZBT_c}W=n7q__4D^(A#xMA?J5Hj|N@bQFDTa(`?lg8B3#X7+*_!XsY&y70+w|eBq^8IV%P| z2(W#G*6r#=Rfb>u+L;ad^EV=pnDVOUgYx&xtSkAE;bC6Q^Lx}b!Cg$qEf^%&RU^V* z9@_Qf*ud|9iYs|VVG13{;p=ML=4jOKlrcG?cm28Fm6B(&1^xRhcxHd+9Zmt^`|CD8 zw%OKf7C+1XSCc2yaArV*{sD}Mqot+#aoo0IPM!A)nCmOXrJvriV4V&ci-WQ=Yo_QY zbr>jQ=qk9JJjX6OT`YnkUs18{TA_vH%Z6Q!PHlUWi?2Sws_m~`nJZo4Z9Mb zSR9Hdy`N`1#AE>~f7Btyez4!O0l|xyE)I8mp%R|R7h!W$F>bh{#m>&w;#$J8d#5Pb z8ho=I^UTK&R?~k`kFyuZ1gQDkUU#i6tnt&wF;e}iM|oCd%8iv-kD>}+v`p4d;uRfv zON%SCY_qgI zT88~Ii@u;gx)E~&uBOPez_5V*OIt0>y{3~ zCJ#1N164%-h7b9I<4oE%D_bRI5$(YrOBv7NrM*CK0i){v)ngj)JUSe#Qt>M$o>B}g zvp%ZWE_HiFXi1Rzp#ZfxL5J@N#pqp+TNhaWi6llY ze)aahym&N&!*aW{U(Vj-@-kb<_O(Kx$2|=Uzy^x(Y~lqRDhug9lt1z!EecwEtnij8 z33{^Dmw(f!Al(90W)@4+FB zuh<5pMeoYX|LFzJAPW>XnZ~fddt_p6J9jZZ!)E`&av1Oa2P3$0y~3|t=~c&M$<_l&hq3c?L`A8!3W8*VTU9~pjk=yfdNW z+JI|5c;A10w*`YxAgX?Rfsettu_Ksr%E$6p4&StnH4>=T~2*%-|-b^xY7qRO3UF&9y>H$w}}*Ca+K4XtU7o;zC-u z$*0Ubp>o~pCG1OTkL*2!2~X#%+i^6aH2S571LK7>L-CcCt!4c8pM8e3c&Kp?;kWw+%LaIW zQQ==FufQ0T40W@AR+Ki4hfaN-ebR66ABcGXfY%!Q_7C6xM}m+`-e|MD!P`dNilM7= zqjl{6aKR&b9BLQ~8`m+|25wSIJ0Ot0>(|xh;JueRfvVul3@k*TtW=bd0+ zcA)?BUzgxjs5b@_{(Me!>gJ14Qc;J%yesx)X!!fR^Ycl@ zjz;HE`55fE9XM5F9qllx;J3cPO*9H5wkQeQ(2Eh0R9srZJ3ur!67l{27!9i$j4!F; z3U`nR;BdIUu0Er@;T@l4{{woiN^>?me)hb{wSTImV|Pm!aH{=kZH%JLB)l&2#T^GL z);%39)tIq+TNU*`8GyhYOkqj;wso8mDW7X3A2xU&{!5@K?^w{h@$`zV@n0>nrym?;W)mS#zjxCR zPcL3kG2P0x&&tWxwTWGAt_tupT~K?bVpGkQwTUN0QqS2*(9`VhVau*g@QL}aNBEIK z8hC%dh>`^N2B7((izAEb%j9mCG$@9Si?GYHUE{@|ML2wpzz#gqkeV~p=L`^}UlLLc z4O}yJ--#s*_C-Y-*X+2RFGB|Wmp-52&4Nh~^TXzBCz ztl2p?+GMaLPg{im&?9{dneF|1Uoqg%HO65_h0m@sp%eEnyHLZmLEPxdZ+e>y4@+rd zSw6av!!-1XMO?|naW119>W+#mAG{QK(wi`|8Ww?)3q?J5?hJW~S;qGIO2xPj#FTh5q_w zOz;uz;c58gq~L&qVGu=rF*$0CRnbDf91X|B#Fe)`fBMKHCBd-4$O3X#1`6H$!)ZV& zC+j6kTW{kBv5b^2Vj9L-Y3k05zhGR$kAH&9s%4Dcje6ZLw>VqR7_j`CuHE(bgZ5)e z)Lj6eX+(}tVv#*XtJM{|ILz7BPwEKi<#VF}PF_Vf4e@*Qnt`(BwsPa_3E6+v- zWF37{7MOBIrvCyd^CJ_;yn52+lYKVnLA<0t1I@&L*iot=>B6(TviL%UrIL^gQz4JW zP2;^Csy*_&2ko~t%YXXE1I=jq_uI5?7Ww6v#eJ&(D0<~Wo_}^zw)AGi0j50RpMsRG zuM^feD4VzYT&j9af_2SFlWeXG+1bW-)x9eY%(2LB$ndIIkl_EV{dR*ke{AiclLsbJ z5Yr$zedx)zpoSX4x?9Z9Q$@vmTHlJU*cbYo|5Zg_I1btAYv&$!%vfFHn3C5vj$dO8 zbt2o!Tp_NkiaDEY-4~Znw6vYmDtoQT6G6^Iqu>_TsRCBE)4-RW+F90=sWjQ{$eX5f z=N-q)uHtLCC-_dRLu6~!kMsd>iKd9cc zIH6)rDb7?$!Az&QA|`s|YbPr5cgd3ho2k_zHX{Y1mbP70A8mhP!N9v`yp}1DOHKLd z5@yRXnxBAh>4OiM634%pcx@dxGCC3^r-b`dP|VGZPkuEYV7w3M<9++?&&cCXRmgQu z9yf7bWvA>5^R+>!UDzj;S%q!9iL7A6^GbJE_s`C0OPEja6aRo`lRZ+*MTL<_G3#d4 zMq6*|$Wba3`ttacY^JQvYd2QJ1aW2hTm4fzSIoP1({lz}$)M`{ufu=5Fpi=mmRUv3fS*2J#PJ53|>i=p1PFth(A*Nei zSoS%Qqr4COFSJivn7d>TJi4@&e;iwTPtCcHFZ^eEpyD7k>C~>ovgA9<W0S z=`h%~IFeJ}#hyQgnOe#Wn|IMYM;BGH^+Wr;3)n9;-W2Nf7ppNiDW^_NSTZ5{4<=^+ zW?p{1L1Tw(L0O~w$n)_l`kpdvE+dEzvKqVzmvP;^i}x;^hf^7SHtvu0&{6j=7d*c( zLg5?JEu9=tA2KhQ<2LWry?_(=QY{myxeS)}SGDTbgQo(^P;VM$KSXsFS+Lz|8!l+G znXV(*e&zBz{lfCQFL<~$^v#z|nl{AUKc)y#wOA{Xf0g7?#;-d!)W!a>;r^GsnW#nC z9}TF!4O+EgX4q0*nwH>JA{bUJY0Bbi1!Sk zPlnhux~23<&FmS$vAHWJst!@l4lck_y=0shE)|A4G8eEh{N~L5%eD>v?0?1725=4D3o8KL4A{u#2_4uzI6lTIlnmNkyKQBeq1Cqx-eFT znuYhOJ-5GD440;9`TFHjpR400?J*EM@uDU1^g)+zX7&>EtumwYw8gJ3m1eInj)FEm z=)CXS2@77J#P-?>>7E{EBi-kWrF+Nb|EjeYBk);yZmq2&vTU|$nZIWK)Y6?(JL4G4 z&_rfis)^rF>b{;x5igPP>x;9Y(Y}Z!* z>T&$*L%o3tP#g2mYY6?QVGF;LJ&?b|?~j|4k*v_M!&VN(N++0r4Hi%jZctai249Wx z{bNnj6l-ko8^!I@huGl52 z{BaS^Hh`mh;Y!+YI(z$McYo+y-T3>$|F;`AA38Vsn`Ew>4}I~``>&yxAe{E7y`6DP z725cPxah{AHfD$uqFVr+lBCRK!5l%`7k@9ksQKuxZQmFz#jJ=}2Ml8z-ptB@qFn7E zQj~im*av}400dV5_Me8pLb8QsR-&tA_Iak`8vGsIr^NP6=kkfCjB!}1cYR%7GPEqG zh@cI5EgMyqcpaXt(vxy#MH2h=6-$RP@iL#Ss2Fucc5rjm#I^iKYt1BF)fUYq>e&pVMei6KF(aDe0#nAx2yO+9l?Mbh)nCn zShX)T#gU0p@%q=FU#gL&ucq{eUKx;ysP@IT?q}m*%!f>%Fe?3@`3cnyzJOL^SFs%9 zyQ!;TG!~6iu;z40Fc`2Ek{%!D43&s{e}5gc%WypaS>@EENM zj%G4*{+NpwegO7S=V8di@6XYHh;E2zU+s3u6%9dZlDk_Zq85tUb-%E&0Q2wBN`qLNKkQ6#c5vk5&}Eu%za7g-tEdo+wP%bq2h$lko? zXWgRreXHAF*A<@cINviq=bX<$tkY7D@=vxQ$A#RgAK`r2-?`N;#_vls#?md-e0;QVM7I*I*1n7=yC zaXPr5@ZyrB>fdAWM&J6b{X(c^E#$|`H)`2ZY6Rka`4+q;8G?_Bvk1L+K^Dp1vYR-M zQ1FEJ(v^|^?Gze!*}M)D=Lk4+}tD z%?7IdwKGTQ!}DR5jy3#AIA2%`d4VAE_&ZTdN!oYK1J~WcKQRFRsv{Z{jRv{}_!)uj zm@28qJaoPl2BF#({zJX%kSQ`s`7^%8e)j7yJr^MZxrxy|$5dO7O9834uU7nAuM)!# zkhd*ee|sh4-_!Y5ziSi0iw_J;(OGp$XTd3LIh7G*9lbF)PVOMav?aZSvRizyUk5ZG znTj9(iD&eG8#Z~|8T+0(pyXBNFL)Z4esg5x>8#*%t2x5=bK2b%W6}=cN^tBGrM4^G zCVUM@OVSOS*cqP}BMboi-H#N`1(&^M6zmsAsKp-&I=3L4s2M=B*zO-C_*GxiIqx+d zm(@_?C_*vj$v@l(wGhtK3!g;y2qJvO!oYR7-F*rfst&v&)a*SmvJL|KdroscU zmqLLhI=pLClxob|(C5BWy)Uqm7L(~t^knrsxh8X>Kcf9JyGNDdR7wM|{jndKFL|L+ zfDje*jZhc#BIJ{9yC@QXsUXleJH{#fndgatS_N5$uh%pv27ITT$ca7yDTj#Xx z{u;(%uxnTk0~=B^&|@~{Fcy zI<~{HeQ7GAVAwK|fAB?$i1_e=bHPmKy^@O)=q5ZHAF*%K3|>v@ zF3M|Jez$$56YIX`hU=Oaa&>5ZZE=~2mCp`!PdK}o1buBTbd~l266B9j&g5qLN@j~QPeZkx{(?N zF*_~KcZ@qea_a2>AwaZ&sB*`!5nJt$V-IJjEzpur*ol0(BYUGWKBN6f#A0(pz8|IB zSF7mwk5K2S`NG&g{G2U>#c8T_o~jnG4W<~>v@{iR=E7qSyev)x%Rj?089TdV<5Ti6 zbZH``N(d1Vb;aa)1}{_2Dfk{2cP4yIXJ~L!boJ_KRM8wJ?YGp%s1BvN7dXXrU2AO3 zJw30q*Txt`rRR)McsZuzvC#g%MFEF?fMt-@3%io_JY8l>ZQ{7kp z2?-TlXpk;AR1tQz$=D1+(*sj!1&1{EP+ZRlx0yidwP<%lxhYLbQ;oMvMqH7lvg+s> zjnh~>+-f*6mt!*4cfhR}(c=5^zqyuCt!Yyl9kd~@$w`*+#lXOf;mMvJ)}E2zMoxSi z8UKLFJF}3Q4)#h>`t3LNb4_etQBjfCD>!n2_zF;ahS!gSrp>h2vUAh{D~b*MhM4=DUx7x;ZQcrmqSZW5x$m3hbaowXCQBW)~n_%;uGRj7?;=YDU4OZ2x>FqVX<( zXV_&DaYb@R}%ebMv7e0Vu#s;O>faV#@U=ZDA$&zm4<% z_H+x?CH+`z=e7w0E!$L1`(%P7TOOC|SR1@QSbpB8TlnV|1NO9hodUHC^)8;pnB%)QrG8jF;C%upiMuB^s5hL4)T!s%l9Bu&-c^ZrHVQtJkPBX9Bz!C#Ha_($}$*{$VvNoq7Eoy$SdXSC{ z7%H#M2Uhv^F~5wV)+|nLC%2d$L3*XvbD3W}1FaiV`N8szEKepnNhc&jW!Z8Uqp)q) zA?kpkp)~5z+hP~ZE4WK2&CAn`TI_==G_fAQg~^SpU&kobv9J`+w^xU?t-QS=L_&oq5A}t{36J z1)pk%LNM8GP%3FK-i2AIV+nbzV5Pz{;ufb`MqYY37>=-46~mheP#xw@w5<>U;;ut` z1ilp_vTdonED(cXMg?}JLMKH%(fYLsQik`e&fskftpT!Eu`tl3UZA|vR9VyF!27BQ zidVG zpjaLZ)vQOkbl9!A^xVbzY!l=>ye40wPdqO429eo~d-Z$>1SY*PMy#t+^>d~0zde$F zpBDN)rsU(JUqhO%X$O_+ul#&i5GG7n5k~x1`{mAk1(`5vMNv0=w@G&Yb8Y<*El` zdo@K&#`bH}?Oa_{VJ@hHo~vXrA1hkUUfd2~FQ@||M()r4urDv%K8ydjF99rox(VKC zxP?}iY6)Ij^#wX{U4*fwn{-3F^1**_nC*VdXn(i9Jyl=fx+KD|G-g_E<-ZW`AnY{X z(lHl>us!A&wWMn=kB3a>LXSJWeUH&d!SYg~&D$dnsjonHR)E3c6Q> zzPS8stlKkQ+xz|fYrB9Pe_%$D&^^b29wYgK+i;}RAiC7Y4|JkK(;xES@&ETeei?uI zK2-rZIQ5yrDk;Borj_4kx?QM6HSYfr21OQ$Ua@lKXY1*8H%Y6B)$=LZ)2}sW%x8uFnbleYT2zV}G|{o%r;plY8u{3B@Q!fsBzpD;(7DzLbBa!A^-dd}~+s0un*HJVzM2 zgajvTDY~+W!cFI4oRFmY*hA~wF9&=)n3U}LA*!c}DW3*5XSkeW(yvqN>dDTO?T3x5 znDeGW1<+=DO~y(Y`dQWlln(CpklEz+9NR&8Jp!iNpv?Z&$H#!QvE*_Hozn368O1Do0dWhH5-#mB2VK5w?O4bZ%Snfu(*~ zCy5Xnpmt^eykJFBb0D0AKJLx4oi+4 zJ?5uQ?mH7Dya;5&b06fAZNrH=Mm?=>j5s+t&b+1;8j$xIpbkA;WD8#Tq!(HBM{rL+ z-!ylq)lhTCMgCm5T-frNSKx2=gZB~P#xWv+7%Y2$PDxu`9 zrzI8Wr&A&x%H!Vs|JBN`Ac1n?=%o*m5d5of9BKo_vRn+oT}sI03zhsa-#C>-p>KuT z5Dv^$%3l(di)P?iKQA_E%^VE|GdkNF8agmSMKxYnl}jCYLSZs_aMI48@YCz1QO#El zQ%$_M|~vgpUBF}s-3BIiIF`p zg&$!sII*W%6j|;p&P0Rh1cTSp@pA2~T5xQ&LI_#csK0ED<+mAus994-K)9doNe-M~} zeaER-_93NPf6wp8!iH`hU%W7X>`Vk9R>>Ps0;b+#7yvf*C`zFFDx3X<=)^o2YF69h z;~vg64v>lUKui<^zbL~|=Q2#L;_r{}u@ij81CKnkiy5MHS=fRVcpY-;H)>LL zp2ba7jX(3O#yCS^aW`V6Xk^jX0mmKUSy%w1bmz#o%)Y1h5QG9lxed@id$+j6{1bdE zxn*B`LKnIo(l@;~iX6_*Ejv^ew?kmX93Vis{5C@#jftoGq%Gxr#E!D>vt4+#-VFAK_s`^72p%$IeA2<|azDe&W9YMTXqP20 z8#J6840@h<37x1@n0gKD^c*Cw>f_ZE1P>i}F2Odrua-(c&EN(T9w%>Gz`FIp09pSxpotgv1m~>92Nae<26apmsi5E(#-ftt!5xG`V4Zc2K!iZH z0Fu1z$y%2b`VLN}?fXIqa*%Iz9jaaqN@_BU%@5w=*cAuqlv!+=Ep#Y<^ghG5FvHJ? z8ze%&7t=5pQ<+SC6o?0w7pG;^B6aMXEiwIxptcsYVQU);Cu&MSi3^z5!>NsjIN zo*ouV1*lIpjm>4yP`q6B^w7@lm+(jDzvfZ+XB8rpe}Nqf3~3(wazA0mgdC(?g1Jap zOLK!h{CqH)^DzrqdimR)ia-UD3`h%BcNsoQSBQN4sz3anY4ecrAkChBwz@Xq7WVNs zJZF@GS4#w;s9&0@=|kEr}z*^ znlrO|4%=qr7@X6L<>~D0Qo|67F;;oP0 z6zZjx&=#9*J60p!+UZ~O2Ai|ws>Sy0wR(GNH9NzoE>>oqDLHkU|0wM(=ly31=;h^a z-@a_^G+EQpYF?1-5SUXxHz=Gs5Fb135Za-TF?cRxFzeq>|4n;z`wR`!SqGTh>{dLN zB0Qf0VJ%Coze^o5rUM4;z2ywxw;uNA(7LN;cR@YVR8wydSmpN_#29ej#QUnDs?47% zs+nd{g-miwsuS_Tj2)OLeTpRFNAYGDyq+xDzxdo2C}1#UL9^;&%iX!jYvRi^nd!xA zBABd4NAUROyo*^GMFtYuUfK2jk2mszl%e0?T3A>h;ST_piK3kRFr7$MRaL}8{QLo- z8#a36UP5zv-PoDPh?nZmkn1stj*P7Xzj$h}!K5wQ()HP`Ss=;MOsU{GlKMyu=dijf z4}D6Xt=>b9gxFZ3L;2xgTIFO4d2Jv0#6*A{ReY*Vy{crx(3tJHb+{qIrp#Uv}uM2jm8aX7#VE-X7{~VPM8T zZvr!5+_x`^9J`fKN~`{ufG&0>C-go49sggSNc9uk@k~F8ZWA=*Mqmc&#V`jXcQS4Y4Z`h zytxV!$COO^RDrAS9*I#qaMd0+Zq1atS7!`29fS*eI~#V>WDMtFXQ04d8=WV6S08Nk zGq_red+=IAD9CXmB;j5yx{vHhQM$>$=WhXV-Z*GaPfzQ23on3e80M|X3e9#wOuAT? z=x7N*GcpMThAmS+sq5Pni6CTopk`pPn)W0k z%!n=n*&#O&hG_=H_sNJ1Qps_mW4~~LdO>}_y5S>6dk6#{rMbq&b@m0Ej%%&WxN=w$ ziY5|snCLl|SyPBrsG&RKiN7TCPqETLz1sC4S!qbIY=Z=K)L=i|EiGqu-Kr1=I2n`1 zq;U3&K(7sR$#*s*Fe{7!xz<(spy+wWLJ#jrvac|t_l0_1c9QP22w*q2f_YmEQ{?Q8 z;+7|5mo31PVY`%_I`a*pOnSYY?_76`e+kAk8<0Z>Hdy_Jh#n47*d*kF}B?+z3&&iw2~6C{$< z28gy<=No2)=R2tcGOOG32;fLfx%adma&6|Fm8J?`v|ncM0<4Z?gc|7C)mrvT>*o->8?+Z0GHD`l1 z#G3Sdd!jNwJwpAcMQAALwTK|d_1M^ZwmsZ_3CNgEnA^){RAqZF_5`0WhKuY?)C8!R z*Sxl;oa)KeirAtr6haod-9X5e@+^%dB3DPR&ITkwom*MjStPFeud4JPbSmDBsg%%N zcTagy3kzMsfaFZag`~?x2ohirb%2;`*=u>m3sE4=+w41*t3~(ZK@rl@DD^TjEGuv1 zLT*}QWH%^SA$ULcC|GxZ?LWQ?+z;=g7#&^mviWd-hzVR>sR6;ap7`yluV~wINtjp& zpyk}*m0pm?xj@u4BX_>PgsP#!nlNE)_y%WoL`G{k&UV)-bqp70!JJXY+4mZb!{(uJ zt%sx8Ct)}pSMHqEG*%_l%fKjZGnk}DQmN}DIAzm$hjD3AV>#{B;&@76?1|OWF1?BR zCQW7DQ*QeSz2jD5=2`jUMZC5_j&uFoQpa(|p^^oX+X@E9(;tgw7nD6S>B!F;Qpjco zqgk*#UjP7VP_OgcJJb;+8(QSN!(^;5Y}|Id z=MqeR1i>D;+8Y*!l<%DEA7_KI#k?WEDrvB%oFh*eP$0>mJwG##OH=J)tXt3YAfkb) ziN-c*C#R30#>~UXlx@WKl*T3O^YOOz-@a;x{;R;#*kj`FJ1S)<2UE4oRa8_&MP{0d zLjmn%RnN7ld3qWqC#lMr%}+LH>^W>_twOcD&?`)>Se&!LN}QZOlw;)s&SOP004KVPJCLVRdv7 z-k=bpC&I}u(+V_7$4$6WROL-8dd7p?vQg6=yJLXxk=cu`Z+cTPy@3KSu{+^C3?_$J zZ_>KDx?U-}+Epmc-VnklF{f$3<6=q<@HCyTV{n&L|_TnMdo4k9S$b6>;Gy+cQ&EHmf1L~9zZ(OTF}7k|nb81J4Z8Wc zh0%Nx(nP-!QH5@98Oi76TdB#n{?0Fb2elI{#+#eQo2R=Wzl=)+c3cyeBls@XoMP(Z z;Pw0ZPhtQ&JIC}5hPG7%@uk>wf`8Wp=IyQ;O9M@kL0v55JThhq!5qA_;pTiFJD?5i zbw7C;aw#AT+#mVa)giR`GRb>l^MU=+=C>a69ZZBdOGa?AwiDxw7u6zE?viAjPdItG zJ=d1Dk^F@C5^d}Nov@e=0GR0KNOa9G+5`IH30Ab)s?~s(J#EshJ)l3`6Hg^rqJ!Aj z@`z*ZXb{@h5%_X&6*`Iy zv%O&Qmclp#I5N-8FY92nGL}mn9w$3{P3Sf0Pa1Up^d!5%8)asEhUAU;_JO5d^{Q5m zI6|gyKBG3W+y$3T8jd64>YE#}$cQ^tj`_dV+6dlZwEQ!kmtwbg<6?b2b@`KT-3ab6+-6} zT`$oa@hVw9^dlTcWEo9~7cmEjw?7;0+Vsbs{%FjVB}`*iqb`gqHMOS-zfAZYe?xIofjI{Z|!v$QXc;RinW-%gc_^bXBy z-})-iV)TS;qB+Ko*fjEU8OIvI!V6G zc{FtN{iv^K$kBSkL}!TZ$>XFR{O?+OU5C*0f+Fo&z#>3^@{QYC{Q`|DbP$O;Sq#d% z^1O)ay7~CC4=;UQh{OX>ATyNJ8&V{~bBK7I1BgZlP=wAD-k;Daqa(nf^M54eFZKmX zH9I@|Ry#m(cn($>#LBE2Vjpp~a6X%uJ`O4?Sqp6MWw{Eap24p9@{>F<6f@jI8JKf67?{A(~i~vSbG^iO$KH@@+Uwa#(tU@`(^r!FLN?K8VlEEk%5Wq`6fy! z^1}p+4zJu~G<31v;Y~2-yxqR#*8krnZ1L~TJ}i9adn6P}*g0|CsSvExwfb(uonQ)kW$(p8j;qMTeq-hvGQ1nWEeqHA zh60G+CMkH*b~G$L9~;PZ#AsNY+cmkib2@37Ij6^O>AwMtCKGhr49KL-qzf~V6;$6t zO*9;u#mL}vd&QI=P}lYl-RQD@aHZilr!AXg?ApfyGi*{ErtWSU7W1P# z{p>y_@n`tQ=XUwDIxi^u2Lo!52<3U<@>UQ-m_R{gREV9y^3tMc;ETP{7sF;I)n?_V z=ulx|XkfuY5;Ro2+_@aZu_xXZ@YeG6)2AfN=Y47DM9d9HO#=GtPyw3n8fT zRyppYXQc+y(_nu4y%KVGNOHb8nQyNTVZ!1Y8MQF6j?oYljfcNfsbgNsBRrYgFL>nQ z^rdMcn<>%)N223`3hQ=>>lDqNWtq|Z1lp*(GKhdGqHz+$UUo1V9uBL-CB~W~_G9_~ zE2x#$LP@NRKwhv*MQ%(w>Z=oKhw z85&nm3f94odg|1$s2IUK>r?)Ek|}|uYxab{`?!ST7rU#cITIGnd@&@(tRP@=T;zGS zOgA0iK4|iAvBCrF)>}+@b+1-N7Qc)jBePEerbYY=8V2u5+J~AkhYx(cvMp&#zpe(CJ%w04IW2 zQSAxfF=~bw#R2L2%T!vabd68}wL8(1VAGQ@|CZcutDwe`n1&{SOB;15jQcBw|5_F4 z|N8mAA}pXz4Qiv2l5IG@WdMP*VZ{?RwHf)7@mc1*Q<>tcOH+iUJz_|x)Xy1i!0j{L zd^o6L#3q2}p>PK>*}hCoTSf-`{wkXY^^A-bTM8CiR5TnchZD$HMAJyayT9Gjy!DKg@F^{8<-e486~K{-h_yqn z9SP|tM<_6RE)zTlsm4T@mQgFN34^sQ0dvxT#<5#M)`0Sf*pI%t`uNfmG<<9H;XehC*t$HVSY4IyHhabk@A#a z^GvJ&oB=`O_y~?@&qWodNfmga@!GxQQ{qcIui?ByqBK}~?1@0SWKo(=*&24_T=T)= z{kPXL9^Was3IO$zY}4&zfEM>;X1q9Rr-e~yms3B#i}Y5!7^T@MGsz@@>s_UClH)r2$x9EzmL47gkoo%c2X_N9 zhgHTZ=}2O@#J6b7mmp<`hk=EOpg_yhPOPlhp2L2xVzJkM6itk4qw)Bub{%_NZa1&V zXuYY#@l9hF{?$)E34Yg@tS#pKEjFD|4&&dx1_ zR~V|XoX48N+aXnwrdRV+?#r7yM2R`W*-MM_(2WrXm6D5qM5vu-Wx*oJcHezK^*pQk z`K;?#^*$D&w>dv;e>*>sN!hg1o>I`nL%pCzTfn5UGkz*pLPaS!7p8w0l1^E-S+}tT z?JTQ%bb@BdE=6g>1J7VLW0+#vo(yNV#JvuwXV2=|*}y&~lOyQqGmoc}X_F zdj1k{1n6rCvX+Hv3f8RnFOeY6kDll?A-V)K2~Pk%|CZYzKL|Embid_8LB#WZtnk~h z-6m0n4+tYghmq>`B|+5@TkRO+gxyqcJ=3WSYHH5zk7_{5IjUb44XByqDK(#$m4xRf zYk?$&QD?zKJF)`oKFz6zcemfFTI1D+I4B7sOZq(O{1_blfNPLw<7sW2LN zJ&*<2g_$s4lgk-H%e@=%>3&cZiu7=>N*Apv13|ypzR$GT>Zt#o^9G?_N+GG5Az^bh z@W>y85!a?z2G>%v9d-O{ZrEnQUyE=n)$9Nby`8MIX&DL|>!_l{;qXPSYl zz^4B7>MYbEolm-aQqLXS6FJG?IFQ$1j1xg{dT1~lGR|{r_wV$4V#{A=VuVBGBm0Vl z2jSN~C>{9Ew@=f62}Q`e-xeS`Min3>LW3CXw3HO{si7vM{s-VA#j>TzA)f`ZdZJ)0 z1T9%90n)n-hsre~MgEW_c*`mzpbU(KyGA4o8AElBh;_wqY?6;Hzx00|ed#k4z3cHK z*0^_#*)Ak^AyhEXu73;GMA$zp zpgITidft4a1c>oJ*63GTg52L<>DZAZ2(kAF&Cn1p`>7d|8T4PZY)ZZg?#<9ESNwfO z3$|a=!1c9n5}uQW`<`GpLx-ZdgoGPFmk<&^==d;2%lL;y!qubO;TVV7N|XU0fZM)y zeyhv-yVsAB!3Hvv$jZt}xo^%p_Uuk`QHHJ|J}7#InuUsB{%LU7b{a{)G8ohK$D%g} zVJ1Nqc~DwEAY#x__1M*rEsOMq!=xpM!?J@xdpXwB2%fe@Y=7fBduhKlYI#YA2xLJ$ zjT?pW@ri0tA`V6$8gEwg?0E0v?Wu66k9e#yST1ExKsnTGnXF^7aa;EF`8O>g3uMKE zTF^KB0ZAcekNGY%WkdhzN|NwL8DK&ng4$E~aKx(i9fn7K{MX-D?yAtD>2Q$xm&Agl zxz4xuoL%Y4ODJ))Wj_I>%h6p1P&;=Z2U@@{nc1%tYwi@!L-haoa(-bm zwJb<%KCFe0&u!g}!o-rm7J_ILKv=fg_6(B_gkmYY`10i4BMF&Mk0H@=PYtQTYvWzI zPtI+S=phV6HEP$c4MGq@Rup%9mXjqt8C-TaTgAHmwvu{stc%mm(+u+YU?{}48VVP{ zM0P^?np`*-3KEf~E${XB#VLRUC)OnKLJ9-1x|{=lbkPBWg=wedX#^<_FCMPDyd0K4 zB@c}{u9>0g%XL?pq)kk^V@0= zBi%l^Q|u-x7LHcja_*skZNnm+Zb|DF37`d-PLxI&SCPJ2wXWUjziW1&7rx2;@h5-7}@T@D_4NWNe44+z_OI*fFGlc5(6x}K+K%S%XS_j~n zzBe*BYd@yj=*?~^aud~q)O_5y`vtGsQkbq|$eJPi^NTIMRV)-#CLKP?Pt+$LmR6$d zu~`}Zfg17NfmVpuM2|-J$i(K(O~G&pM`%5Cv(p;_o!r=H zGkNAFiUC=xwkpxyM}v-L2M^llo)ZYV`lF3oiROlhl;2*}g_|J$bab^K;6E<-0%;!v zlJi3;5T{jsph4)mAkNB9U4#m--Q2sWg#>Cpyf=_L{Pc1BuBJx|WIS|I;2d~s0y=dp zsMSW)yp3(r>l4JoVO!&2U&xD|zw^)mNAX~F)OWQ#zo5UiJkj;%aAEIx7|IbL?n7ns z3H>2VRSlf($$H1dIbUPd0!N4FQSilZ(jKWfbo;90Pv?#J8h;%aIBdky@S&;cg0X_N z{SfDngIzkv!DSyzA_gfOJbLSq`uchvXnvZSnv$lvx&t#0KXdr;99LJcxPHdgUF{+S ziJ`rJf|dX$_1u5i%G%AL7jSZ+6d7Uuf|l56syLG!yAkp*Xk)g=YJxrh(XX-sqo?Re zQdQzrEunrhK`rB`g-z1jXbJUW9=~g|2st1q_v>oTQ;oWf?^_KauY#h-j5UGTTcJqk zVV`PH%kM+Q->_wXVYCLkSR`o}riQ{W*8K}^Q!*PuXb#nxi}yJz8;vsB05()j7+NXh zlMOf)Nm+t4eO5nzdvD)L^?Gq<9k?z?`!BR+AT^3+_L{R0xG=G}lcqObxhE%?q?%xC zGaW)$m1JRu+(tD4Iz2rdVCS@q4BiOd;4ZmB%Yus^1|hymko~&(IiMMEq1uw}d|Qu1 zm~6sR5|;G`4Z!^{G!~dw3G(6$KwY^2cqN>q!KUkiw)qdO|K4WT6Y=T<@CCpN@L`nQ zjRhD+Hq;RCR1sh4h$sZy?^{af;)_<}li^>1eThXQ|3>#a-|i{qRIda4Q*g_(wNXM! zdbq5VH@+1ii5%`qe~v?a3lIYXekG;(5kQh#&8_&PESXU8jzYS z*wwek ziA*xsnAHscdzPKXG*YGsj|o%>&R(u7Z*HHyM;ghbkS5KoGP~>c7^#VouIsbkJy>sQ zbnasCZ0UnTNE-}I0iSKEkjZTPtS{1+4z1h?J+JMB5%QT_Kql>C@()lh0m;P>+-dh5 znb3_HD3$mCwW~nEbrix2$c-^)=tesr`0VbT2D-ALLV~F=-&AqZ_9Z$32U8A!fH`VU zLp4XG#d(6KK)gha_Eg?PFS6404}$*|npV7EJ~IsbSr} zPm$&`=G#!^?FwI)%s;qPHBJ2PIj#6ozWBsckKy?J*)>JJv7hcPY4$;-VLET$H3u9n zHjuTQP{0iv6Q0hmSBZ3Rim#YemaB}H6 z79YdisZ^Wy8#E$H$DMTYIP2Q471u>5zWmYx=ASq;*BQdLTUYL- z($7>geEHTI3JiIH;pXYRqXzo=G1@~=W_$yh{CtPVnxi27jx>|AUyRP1u6utp#}LW5 zj1V=GkM0zGrdAPqv$r|a3`aR-VZxWh8>DmdS*qczz!#RD$CcyCO(pH`d4L$*bFz@^Pi}aO=@LUR4!bAhIToCLf+_)bmGmX@0Pt=I1IG#=FZOETW!^S5CGBys- z6}?bMqB#5VLrX=8lxcm{y)DfZ(s_C+GTB99x`-IOBvQ$0cK4Qw#xMsrYet3Gp19uXLO&s{Ygq^iD`fV*0_am_o67H=Y5K6)E}* zh8d~vSRP|r9y_8jE0IOs8Y!sQ{&0aznM(55botaEFCXZGmaK`^O10cb%qHUp9TPpg zvnq8EHRLsogxIEQfTA=D4s8-jlu&xBX7DhQbhZL&s2=iSBzELpy_mbYQIsQ6!6%z= zdTsDomk7;k-Z2T`_?=6N6N%vPSK=H3H2(I7uDQ??QdXrv$j(a z9&2SPAd^X`KE~$#xgl}T@xHE!TU8vD(%Y6pk~-wwyqqz_XLmz6p1HrpMja}QAL3`c zL&~uF#J%^SU$mDFj(&UxUgwKqwc#5iCI|}n+uEPT9y7cb=bfPXgMdQOQ5}Y(Xo6B_ zl(I%ax8rCriOIz;#g@AfXg}x+xr*bLhrCD8bJ)pqbCzw1%dOE-?k_@Ax~1Nz$uLlS z9SigjxO*@ZQ@voeJSTcpd!YqzQhR96a%{v+5vpOWQNA&|-*v64x8GW_5^icn28d)F zI4$$h&=iSF#DL{>NwMxuF!J}pL_jSm;apuUz4OLE^z6U3VnaDXml2-nW1pIh2-F(~ zBUG8;;4X$g>4&33p>_>p(G?Nn5R}3|x#sH+bWX197wrLhPzIqh`ym`brNaR7N-M|H zVr!`4*^HaAM?MA%r~Cm2K+kM9(ts{TYCpv*tIs7+Bl7)WB(5Z9Dv5_6?yi4re!xR&Xj>>lcAu8JM1(6U2+ z%P*B8G(%Yu8JE`|p7=iSD?V^)J8qKCU-@{{L#*0QGUs^8U6DRXn*`SQ08W$Di_e2B zz@(1n7Ml|RA>yz_Z`IKH=U>E>hlg$2OiYVOQuW<0<~e}M$#PMPz_(bbO! z`>J8^bW0@*c$FY`p;tDtV;^Wi?ku{>Sk{;G8>fKo!i_Ep&JFU1Ie`5H@bM?cwEb3o zKa3EbyoX%vj?H33;{YlricME%y>g*61g`#1vy1W|_Xi@=U&7V9A&%z*G5Hk16i6SZvH6ZsRu`yn{5s`6g{r#A;C}|;b3qt zL)@nZ3eA*oBZeo{dK2Gec6wZE1+;zpFa%%}XCL29=;9^OKPHYThzCD0nBAd8L1@afS)971MYMMBGzpKxH1UDNS6_z{C=)Po^VD~dYO zZkisevCrgZuVnKsHcKUX2xlf|4$u6;@*xV6-L;KvP@h{o(C>lD#q76RtY4NqmSFRR zoHrrmh{11 zlVMjB(wYUm4dzD75`HnO>gxm;u0bpdFeyK7o`wR>RQHhA)Ag&5kOCQImh|uceHq5U zjM*#a%&*jc>;fj%!M*T=PgT3ECaiG@Oxt8pd!;roiuK$dI0@L9L=U$6tbcx&1bqJY z8uiEZuK(Uo4+ec66sV_bTNoA&oic3yjQ6?joDIK8-pVZXgqet@nixzcx2sar>Qz4g4R%NBp7^?f-f&*75P=8E zW4CSHZNAO~8kCaZQt9TEZoasm90ck0(2MBS-U_Pu+|ffqM1Z4-vJN%7d~xWUN`<^#6|e{M~u}I zxCGIvAbd(be5;F(P=?*F9?Or1i24XVtMQ4K80+7LwmAK(m9hvw|L?B=mk>^$_6|Gn zF~h+5i)vVkIk~Aw(PEU~y+U zqZp+8t7~cqS+JIO`8m4|PZ_>py88sXKH<`Q{qoWcs8AMl+c`T?918egf-JRHWW&%v z;eITE2GVWWw73Lk1HsX0KBkj@74+5n4~<)oAxXZFG5BBqAFYEslbU=Xd2U^TH}2zC zzNkG6et!J*CV^pujUQ@EfpdEZ(%*?dTT(&;)Ea=|%p-^eAesUI%OMOVRMsEQ0y|O2 zHOZATMPq?m%*f9CToEaM3BI{|OT5u_GAuGK?#S&F;KU&?vX<1W+ko^@cd;Ih=O29{ zd&Gyxgp2Wx&8qkH8^?}30Xl`kjyhg;wi4hbU@he0&}SJNAW5e>Fhy7355xdRp#XJiM=mzks+1?i?q=9=iv5b*{qQp=E3Ab}4zJD0y0vHmgKo5s<%oOh41GgVG7lw> zLT84mn3&k&;vNc~A&sSO4H+QCn9DIZw+CVD1@P@I|42ik27x2cXSw0feV(M~W=mah zxZHy1xGf%L*j%HLn4}$}I5~$j`UF}$q5q7yToGNxjYfMbkklI$*7(wUr$2FJw^@jjy+vSeSW;CX`Kt;nD<@5_UvS(#iGvm_Hx4C z6ko_(9>8!?>9~LK%mVvaHrg)Mf;>4c{f|JQWDiqQ^Pzjj3~{#H{ga;8s{Ehwo=>-umneKn(e3dhFO6X@DGwV;1BHhwF- zxK&SW`A)R*WgvGuqWl8K6OS}juzslg!2+1HBE|38i5Db;Js* zb3gmy5h3vQ6~luhGu%n~Zs=(iDa4f5#&Up5&&E%4x5|O+nzO@m=|ED03<(-caHvkNkkx#k2k<-LMu3qL zxHU>>KFyFS=jP@o>TbenjUZ6C&`=Qo5rsuPReaLu=x6diYpKa)e zr@F<0lA&=z4&5Fc^%n8G8+zzAP5Z0N01X^=s8IW)vCz3s@CM2u6J%n8N5M^ttnIFV{If!?+w0n86H?TKP_+AS7O-itfl z?)Fc8wK$cdEGd64Z9BP)vm*7u0%?g*sNH`t==k!?w#Q%W7PE(W+3(;E9ZncOd=ziA zn4Y=<;uMb*c^ocL2t>$Y=jhgClI~;}H?9x+20b3g^_xkM>zY53YZ`B+?*fsk*k{kQ zUb)~FX&t)rB%-XAhTW(+71HN>s03z!Q8`y`QYKA$>Rs_dK=pi4z|{j@OShZv_>20D786YJ}F^#Ogp)Zm2R;NaSXe zUA0z|!K@PZZxWO}{rd#r9)!1t_6*g1GM0Mvu=YLFv9M+LJ^etoi}Lt4;5`ro3PcIs z$@XOET9yS$92h@wvfmiW75IQ25!%g>ez0m&bdYcZX_IC6=Q|(4#gqmB%j9rkr4})` zql6vRo23mJPr)5U)8VZLNdzmK*{-?VCno&vb0{7*?GAK_-z3hCN?pf8SUki(y1&2R zGDe_iI(u;dV=Cg4uOMcW3Ce_O%4$O3ISA&|twgHg4H9omf>90T(m&U~1e0)PkYR=& ztXQ$gk9d#wHXqDC%Ee=CcL)_015Z!7yUz9^{Fl!*K_G#se5H?h<0imjQUMxKAexD| zJ@FGpY=PO-C3ZI3csdA;A=9&)8ulv(sS;hKB*;WOns+m<*Q(8Fkk>M1sNQ z9DZTNcAtXxXzkupX0`HSuH^B`^aK@yyqy{nO1O<#WSBKUDi!`&LPrR_J0u<*?kKSo zPP*QfxZo0FUZscB6j(lIwsaRWSEqMBom*CRnp5XsL4{l$BOFn#<99AQjU8I3W{>{H z^gU3lkOipg=d@jOr+z`Q|2-{~E9Td)UyDLEI|#19jjTt}P-2yqIya^1exSY(IyCe; zmY1fXq^$=EcRJaTd?~OZ?gXaFOpf!8;30r%ZSO3We|Cl>(fIl-5LMt#nnGD7DfJ|b z9~yvcE3+~4rQMSRLj;PW$~SX}59_l+gtOxKp-6N7Wpd(*^OGeHxqrl1M>sgld z7fo>XG3r|O^K0oFN)4!ZQ;(@TJ^PbT7V9Z7j)BoyM#{b#^3O%v800I9&Zprn07Dv^ z;5R58IcoGGiat8op_JC$#SW*^2Zj(Htkv^H34-SnCsy?mCc&J9zZH6SRYSVl!i(or>{$i` zt%qCZ?CZCIzg3mSM+Bb=CGvxgBSPuVC1Isd)w9N_^qmYGM*Q#7Poy5Mi4H*lAlzT7 z&}9FoU-G}b!cGFf2zF0q7heRhRu>M!k|x%jfN5#Qa^nB9h9ah%`7xN)YFi2TzLca|M%v19!BQ_FRhwU znv$6o)_Y{u0tE4zVTc}p$~?9g+wqI)!w78G8`bXBx!^#aWfe8q3+_foFipdvOK5ap zP{`oY_m0KJiOL~;HfdQK?2+DwAj{dq)Fkpp0K_8zZE|)>?G0S+by|wDPz8=Z4Sg+9 z)mtH=*qIq*J;KB2kAVQ^ob%j-_@ULv(xy*HRlXXLdM<%n|_wriP$9lRn+aFPIP+ri9l zA$#V9#@kz)f`i-shqW({r#gM#pA1FPf;7>BBo(ceP&v_}l@_}w5m}3D37tZvltLwI zRCXe3S&C#yqLS={NcOUCzw4>aX@+UOGoRP*uQ^k4-tT9*m+QXn>s~4?{l!n_bm2gi zg~EV8U(>+p_Uam8txC*0Hn@wiYT!*@-RjAz{KU?L>9Lp~*s*t5*7Ys7ewLzbP*b4( zGABiQ`3g6>ucO^4M#IHL$;we;^qQfN*d&GJJ56$;XksDsh5a`l6#Xceeq7nr}cG)4QK*P+8x*Y&YlXctV-0aX-bU_U-HZ$ zP+f$1r&ynRpVqM1cQ=Gc-iGbJB8Zu%eGUE|P41OjohV)m)kYE5w~!%7B`g8~DTN~~ zk4`%&T$<-EL&@s7422`I)$=VT41?!% zJHuGH<)IfBEqBble+H1^+qZN6bFhlH2ux;ds9n)HBD{S0rI*Jck^b%3OdlOHH+ykI zWao6(nermFX^C$)Mx$5}xMNb212)-tWo_lk;SFoh<3U@X?;j{9nB|`woHt}J`^R5E zq0vU&d-ra8TEhR=pZ`Ccwd-EW^mf_m5~yab5l_R6n&sHK;JY$h@DCzmL315}Hgm;p4S6kR@+QY12$F45gDDgiz&>dUx zaA&Jp5Z`BiOf+xIR~5KavNFA&KP3rSrofaf%mZ0n9@Q6y#v(uBODq2S@N?J%39H|z zCm985_iWqFLqpxVSUGFn9y641rBcpFQy+^aFi z)-e$!$@61r&13BSjttp-S``Fl1Nll&+y;@jf?ZVK0J^l19UJk>%$z%j+o<|c0`w6Ic%j~+MzhL{GCsjdPF3q=k&-cZ@ zN3oJ&%Yaq|dc1=liz9v9ET*ZRe%dxjU0L}?He+RMAxE(8zCRFQP^T=owS7kWVhXPe zF7xm@p2c#Ln$j&~vSQpTCOAGza$^cln$q@<^CD&M#wg+IX|dDB+od0NMlqBDLjw<{ zowAgA$hvY`l2NHCdTER@y4D_gaz-od)IEzMkuQnL7_yt}v&Ai_NL(9Mc8uQ?nTp(L z+X7pGS@YKD%HKK^q|WFJk1e7eZvZj6# zq;C7kuM$@)Fjj6r=5n#~a##l5&se8OkCs(G{`+mp-(Pl#*M1U9@|&dBwCGmR340MA z$K029{VzZF^Z6)LmR;YK(=2@s$Um7Mcd!Eo7-2w^MpCrK67L!@;7ynVy{7mTnZmKG zX3H*7`4yE=$3T9R;Zgr-^B&-D?k9NtZX}p+tTDLCBhd~#$NqCv()Eribiq%TY7T_{ z1Yx!2sciYhQ(()yS#zKIr5sj1)m-+l3GLFD%bsdrR@bF`!O2GSmhHe5>2~?{yNL=l z*X?F5HKpp<$Fz+Ob&P@f%5G;}usX-7X6K#*v+?^8KsGsCIb`W0g8wE8^h{JsRFuFf zeg63II~fPS%4%lL&u{W|-t!p!{nkP1YWXV1(c#D94uxoq1t9BtswIqXvGwRpY22x4 zQ_|3dtYuT@{K#@2PI(VwC8h~yfUApH?lnZR|B;$KDs>W-$K_Oq!0RPB_ipjByN_;s zpkJ=>AE;7Tkc0Y-vHX0FhfFm5&Q36lV#0X7grzJEC-n$flY zm|k@5S9;np{v_)v9m2?wn-IAoqa83!x(*#M25&?6Mm?&5z<6-mW9yCuQTJCs$sz|z z?5Zu|VKFYorKC5kMSLK25YZ%>FI$jYTT7i&K5zh?+Kcyx>`4RcM`o2bi)=Xc^*!ck zp}y(EObFi>_(A_lD!+sJfcEDD z-zgj8HyF-%s@5R{VX#soIWnWOw0b(gLcaZFK1vcsF=;oB)~h~rmmb2hu#LH88!3@t zA<9weN)a07r8Zq3vQhX|1IQcY!Yuii40wiDy5~4HdXa}Thw2ylZWiMt{bQ(gY+jWA zH{bsKF2Ywfz*jB}F(I8~D0#F&INt3FJG&}bGa1Zm3wpXMzwWShcN-Mw(Qm6~zWz&Y zWs1exj?FW$gq0l$yM5QK9uznQrKBGD`Q5DnQ0?qlH%ShBM}1sD|EFv>ubi=vnSU=b z{zSXJ^WO1g0m>{^#F5W@jK0s}hJz1haveIRS7OBV%N)>rX%W@TmYSDpPHloe8qR>&)>$~Yv#D0leWm( zb;_VT{?o-J{aX&sVYmOw?m98d|Sp zM?^Ycwd<_)BT@xa`x&;3X;na>Crd>%b{2Z54}3Ub!XHXjmljT{BLO$czPEbf>P9nt z$YA&V-1U`4l;c&rir*I>x4?w$mm1J-g^KX3fC-15Ta0gbM(#65i5#5ql4z_M{)_c&&I>GTSC*;tiP=qcOmJK147vw z{elM))zVJ&q}qN7H(GexO=>j&CBEDG) z5zI-?_=y%VHw5N$;H@~dvm^qUHlC>@9>gM^KIVSBO zG&jg%BIeahG%iD%X3KFiw-sK}MB3{X|#P=fwLBt#;Q`@f%9vdN)yRlTrnn8tvorm}WuQwg+y;^4%3# zt@4ZIqZ|sR*xYk$F1oY&GNg@7o}OijJ+w)QNPig^c98yUFRzjp(~ewx=p$}&+gDTC zR)=r9b;usSpe~p;Dc#wC1ZkT^zpwNUYQ)KWzI)6n8SOo=grAheQJ?Su9-f1MTXb+Z zBL4>8AFp-K50{f^S!hr8s}x)xK?HlVu9gaFyKW`@Vg_QKSy@j5Fc}3F#ZuTm)a6r8 zN6i?9Q7deIy)xdoY)wiR%%sOT{*;+Q*Kqm_`%zLC{0$P1UG)pc*6mfo>8JQJ`3|i< z-sCV%z*?!vq20J=;?;1!L-Cl_Vf|x-3H}Y0)id`^K0^)Dn+Y%%EgKK#_yZn@sFMOG z736m6K4@L#wTJ)HGZEVr1-_DNr9Kd0iKwHh)BVmrV~pKXDD^pV#lc6<&YEGC?C`*s z;HPz2=lfbpmUD;2&5N5h4-9ZbMS33$S2?!E=8ynqG5=Khf{y<{)no=(ai^lYyW7>( zRgrm&tx+-ba+A(xd*!K`kadM7B9R-qzKO_;0Ijfzh)SG#)jgAFY+p=Zso->YCAL26 z5!PNgMr;0Kb+sy8kKG7C{5PGOtYj<3y&ghn9T@e8D}mPW-kXrLA#>i)5YHoKc)->Y zjd^utWu8m-XD-S<*?lf&FfuX`spyIN=X^5eUt7?;WsS0vOF?~|A?C_uUZ~@F517n= zr?G%(M^joNDcB54@3=5hJ4MC-3Y_0#hhfAH!5<4pLbtZ(7miexwM_K8ycWoZ)=Iqn zoo0KFK#a zx~cM2o&? zHQ0E)%&*JLrak2nQFm&xC$$VqwxF&~pEv{#qsSwJo+|pIY>%w61~Cx0e(Ae?#7W)? zvceG)S+QN85E<{jqB4giFChutvqiS?o8%WQSdh0RAm6z)kBp#-cQbckSlpvk(ce`c ztQoxR>J?=#TxfSk#KCVXQx=xw?k!6RSfpAt5hKvuNnvO=-rhndh8@Q*ijS-Py8ACZ zseeAR@)~4D#Kpz6{>VsSoHJi^9_yQB_8vhj89lvVq-GG#Ique(Zxi=wu6!AE%vz>X z&&Dk(#1$5OyK+qfXJ~Zn1PVJX45RQqW+-W_Eo>J$b&16(#EeWdhC*0O@(0)V_YA}Y z!cLpjFJWwY6|gYvfL;oa?z+P@@Syt}OBxQzGmH`^(HwkrPdX<*%<-w75|xNl&a-@e z%~;vdkq$>k(5*@mwqJ3?$TGac9@B?7>kWbOeoQ-B(h}Wwk76SfRC_+X(IImSiF~B07Xl%$Gon=9&0bYJ3gW{t zu_ndpgtRUMJk7uwOgdg~-509?9bp=6V;^_E!AvNoh&$VX0AzHJ0T>3})u z7sX?%*-y|L0)MO){j8p$Tw#=*-d<$Ee^~p&mRs}KLMoh|AX*~R6v^yrkc}?ED*Ih1 z4WGHs1}N2vlS&bf+1&^w0tmh)A{`!aV|m8?oR6$K97+#bw;^(0T7JNKscubroeK}q zu0;EBOZf$T72d8_lIwS}h4n5Ui`BQj!0<`rGsO6+- zg5NG!;w-acrM)WAM@g!0}^&cQGp(zfaVp+h;ijKUI|!_&EL3ZxCR~ z@B>j$<)Eb!oQMuvi}DDH|3MNi&n6Wcx;5_cliE@t3`Fu<-2RKuyI~XWjdRj77I6pd z3&fa50u0LSX(4n&BK83+<65rot=pmCK`q*PnK@&l^vUL;qU|lu!(v7;_j5hGCq!v$ zHp1+a7{t=euCIOm8t(H`OfKeV)aBR*XWZfmFRAjmu+X;Q8k7=A9+jyBI?@QKxacmJ zacn9F8>cy+;?$0W4VYU9hifVed5a;fT;YJwcV>J7Qx+m!^=o=is%5wR$wB=M66`{v z->~HwHQSpU7P#-9R#&M&t^Y9{_2*IMMMbO4i1ze<`*}yQ%;3_G~{n`Dj0>A*-MhHZPA=CZB+nC`Y{T2Rnzrhfkacn*A${ zU)~lgK4ijiJf}S8)TQ3YiSZNdDRTXgTBJOTifwX#J*dwkv-5_qHyDtFnFBG^&c`Wr z8Fd`jb;v=64Q|7X`F^vj3pU8UZbPA4CM&g~Sgj2~#|%szMcRf4FiJ(-A+xz|lBUgV zE*I7S@FS-$SikPnPN7%+8>G3mhm_;T_KQ2bJ{GzABg5iut%}_eRh$X=0(F-03yEA) zgY1)(09GXgMeF+P3G0IPq(44P)r2QwsNtoDd2bJr!1>+$T)$r|;~C0RX2_|`A>BH%1&X;}jE}oO)Zx!6#-L>qL%{=i#^-Fqh zMNVfuv6IT|nTs6uPOp7m)y-98PBCgZHJg=4>cF%d_0acG#{xMl+9itI)|!m}D#~`2 zhWar5?NoKT4$m*{5m`El3Db3s<1wnSL5Y41A@*J@$p{AH(IzqSHAJSZBFPMSR1L0H zQ7f{?fHivgaKrO`-Xo+Lz!3G1V6IK2%cAkkO3~vVrw5x%=2l7WjKifjA=}|(~(p?E^*3=>ApeqwZ~ZS za5rCZZ|&1_99t^5TH8MYb8Su@0!gfo%) z)Mh_@bCBCg2DBu|t7BJ5#q_b?5L4X5k+hum0be+$tUO9vjNB`fi|Zd2OuwP^_va9} z(;$Z`Mi?7+;(Cd4Ep=*XEqj=Oe6D#HD!3A;x`-rIuHoM0In>)WL=*ra`0}XsEGzp{ zMdq+*7{U(_6XxTNG)Vz|tbMv`%ZF&VUBUcMoYyT>BO!3-$CL2u<=5Ry>1`9%X>q00 zF>{XCnc${X`k1AA|2AXkN)JaXsS^oWQ}y)p0L@3;yz{;7>mCq?n7;Ye?WIFpx5gi6 z#acq~=%4JpfELE=rv^D5^kJN&jgi{Cpj~ zSd_N_5#KiimvmaaL3TQZkc%jQ755{17`T}+d~dtQqp_YsOoS0txg z)TCUL>(|)>bHf3=yKi@kFJ)vZ!Cb+IyxM(b?pi^b%Oh{PGGTs_)`Xj##U47e{4|@9 zADx&bw2A8el5c&~G}e{v`ZpFQKfLiPOYW+`dt;}R@Pkzx$NL}@jwC7i+!!9XCPq7> zBtm6LJKNG!Ipub^isiGyOzyyt6>GeURO5(xr@Cj)lliYNmD=2#{0pmw(`3x@EY56+ zmQ$Yc*dQMzFrATo%=c0cppW=I@Wl6YnkJMe6N=ZjtPq>DV+Y6y`zlPp>-(z(N8zX_ znOay8Pv1C0&RdvTZgW(vEVA3oD|4aXyMKI=Vb)X}wmbe7b)hwZMdp}^B>*(jhZ=2Xt1vwRPif7 ziWWcF=EhxuD!_NM%*gEN35#_%u|kLb;IubmI{=R~`O%5{G_W>Qt#+Ht;ND`HhmmTV zejQZtF{c94j6G(GY&}+9E{5dtv5O0QBvx{TDT&=!DJ^-&s-;?|Eo;VOann|Xi~D?1 z=(LG(PLWx6TVQ@`MctNLtzV<(Ffg`g1UA+=~5Y1x$JSCw%XId*PEiC3xT^5rPS{hVzinVVo*m&9| z$+U|5UHh%?Q&9klTF5;12fh7gnEu^xJQ>I!|4++Hh-7mJg1|T{WqX2yC~Rpf(8r;=Hxog7k!+{jrl&S@vY~Y3jTI<=~*sHU7}(s{V^B8en)YDjR9++2cI|t8l+8 zZ>S?91CX&neM5DS3IPx%Ea3M)LCrkfF9q#_qQcY)ZFrZXq=)vATi+WYRw znP&>=A?;Y&k)AMtUNluRa69$YtDkyoar`s=)m$9%U%bEy%JL0Mc*@;bg35TC zPkX4)b58)g3BdGz>!F-o6)Es#U8YLYgDj)Rlfe5NU6&-llqPvsj8A ziUAxdgqT2kmT@1-X1m)gv{ z*xzuHsq0c2P-ngv`?m)mM`UEA`I+zWSm%<tPh~?ProL9(4aR9b*Y>^kpYGk%Q9*I}k4taE9|42LX#4f2cHVl0d?Dys zOFquP@z#BZ1vgyXz3-PM-QWTs1c_${*XlEr%@(@SSDx81PX@Rji#NDnJwR^hue=Np zbNbIFI{MQ)3nJ{i({^EiwxZ_C73aMYwlKPDJ>Fl_PAqR911c({G}wWe9Pbq|mw_A6 zhOjDc2}+;q#XWH0WP}B{BIBgMI{6&^c!kw5fcUZUQQ=PwLGHO)9fzKejIoi9VtKE| zIbeDqAi1hl?tp?4aDIc$|6pKd69YrqUbpZnGJZEOk7m)4L7WMy6RhN>I}=ZbA~VD8 zsfXD=(*MR?f!bNHW}3=h$#ka+pQ+LpXWR$Mv8hnw97eR%NM@%rG-{v7o{cPV_mw%a zcM;s7;%EnkC5MOYk4_L&%U8_`i$0D~NYNNxaY{@ewH-N#=)Uva=!_7DY+$n+fX=R2 z+vdTUTryatUJX4qxJ4c}C-ded{}guVuHYTA`si|sS8*2u+h7_=IVzD@U2JQvP8;g1 z%i<_`G&|GB9=zG8iZm~46m^dx0#)jDw{5yp(fP1wssBb5$!Okhr#6(7Z_-)37rrbU z`6G-BM)}MAnm~hEk8kwW>oPv)MLRTq`FU)@YmWZz7b{~>o?af4Y7Re=7a`MMdyc3@ zFV10I!oDDGGw|(;&Q7I-RDgHhx2qdx33VLx$fqdd&cAd(`P?f~D1Uqs(w!z~&Wt@2 z3R+6dhEI1rWcRc^yNBj+>CJ+>Z+l*NHkF(uINUDP<4}Z+y)fYo=vSRr4(Der<4I|1 z5T`+MM?w`+LBiEF&WC2Gk7%B3v`eRS(Z!9Q6>p&!29Z3)Dz~{-3m&n}=mP}zwPkS5 z_x|}XQm_e_cJ*kJL&5$Dx6?hH!nL5~uFS3P0zu^4ofj1y4^ZQ}a>x4@OE!tYivg%A z*{^+zGzVWfjy}XCfvB%3l;TnO+vOFOl$8eGHUKJMMC;w-XHlRaCD4U8JZ`;4jxz%k z4FK0p&)&_KIw}8bIV)T@bJ8womU7i#vg8$L_ozOI8$|nDTGw-)SVAYbxMf*H)njWJ z4Bd-Hpxj*Ip79s}6!=KCfIfn{inhzo83ikek9%69+;mA@$X~_={=?#Oki{*wSP4R| zL10IM+d`R*u`AuU#h?#=AV~eH^D2*1^Qyi#76xvG4|Uu8Ndo*?vwMpt964f@rfsIJ ztfDLf+gEQrmNxf$6Y}QoM~~{Vs3>fI$Sy%?&=KA5J<>=r^*(w1yj|8BQsf1{%M8?@ zi*>*>NuKx2vGd%*uWc39=jU57-y|T8`!+l9SWsix6(1=q3QH_HT{7-S*CQn~Gt2Dp z5pRRUscZE{XxPl0mXeWm`czK~8NLJ1xCxUUY=PAUy_8KcsYryF=n>)2U&gI7b2r2J z?)qA}Gmw*!yVA~tJRYF^SI^!}!@i*e_8primh1;9o92st-m!*lh?T(br1iWh1~Ra< zd+Sl9Zqw@h^aD@(;7)ylTP7>p0zgZ@Xxpgid`uod*kpysBF6>gXf-MCNRsl$S8Yd@fU1>LYx0!<09{qp5O z#vQu@52uxK`R8qwF!WeN054i?9CMi4NEp!)*}Tsg(1EuUe=ZxSE2dU z`__YRkP^wYWU|_<+Vz>6t;T&*;4`M%p8bO&0kqfTWfqOBVN2BetC6O^{27Ye9E0lA z0e3z*+3BByuShMsOEtal!W*^2+~5r$`M}}wy&NEV4H)In40m+9&8VamW0lr7xXUqo z0xl3x=tk=8s+k~NwVmWPn#lR2?X~mxeg=sg#Pi(}29 ztt!_XY!UfNw1pNR{C4D@M`Y~RIbYwOMMF@5w2bn1REgigczM8$1#d)mow-5C+9Cf_!K z+NKtC3>*jF1aJwfDjpmvQp$Z}kJkAl7=%aSAO7~?NR$c&NpAXg21zKV&@h6vHw~Se z-#h%c;NB=L|EX;JrwyIY$Zhie0beDT)}mdNnsc{*tKNOz0&aJT%b25|jFmCP&LsR7 zUmbXt5x(LgrXva7SP%OM9A9K_)VEQK9}E44z#;`gyhOLs0cI>y`n{i&WjVr=$ukzr zTVv2<>vC9-Z*CvLlZ^n4paYO-ShB34YWf$*m=uA#4x`~adin=7QlR{Nqmw^}(mxcI zX6C+QT{<^?&XJX9ciKjsHa2qfv*Xs5y-$i#X6AO{z zPO3JWUh=dI6*fKZi?-@!XM==Tdy|BcN`iK5ev(ncUS{w@;QM;)P~@#K>+|A`T}(^P zutIfXrpJHJ6rE^oXm|J|BdQ41j`bmw8}ry5&nr-OJ^wCE^*3jYben99`POaHunqlY z1K$)0vGPKUaA|z4XC)_i_#Ha}Pi&8R_Y+AmlwwxVrGvB8 zdFg*(!Zd7p+$1}mZh8O9BY57XY}c#NO10@CLctXu0Q4sTREiBWOk`A!R7G5J*}x1O z_-g$C`=D8k{2b`nx@HpEIMX1RG%IxYS;&li?AaJ(kx`xWWa7)-TZC}nQ)_}3&HEej z)q3lRJP`dQso|%yoUj|rp0~)uPPZGvrN{7e{=_^&`axM_K5O{Vorl^hQ!rW3AU+Ah zAIX%3;tS?oEH*Jw{k?BpnMF5alSi#z0>l3z`$sX#j*kybAOFDAeKQR-r(TMu8#SsF zvy=V}i2bivzC0o|>Bokj(4GIte8OZ!+MfR7NuU%nd#d-gwTPRdv|DnJeF+7A2yJ?6 z{dibr=7zzW;0UZ#!?Wg@ra9BD0)0`smlg>GoSS=zcDw@*v-DThx+G}Rf!Ohzzhm1W zaFsm-ce_SgBMc<&K{QBn3Kc9KQb{c4A%goflxO0S&*Vk6Qha)fa5cG_X;YmBu_ra>Kr>Snq3MIa zDe+UHj{cIg4<)RpL74^H`m$CHvU1c^Qw%nzOlQCZDdTC0)BTcZVbp{b7t%pMd+C@3 zp<+UGc(V#HbRL%EVBY*5A+)ERdL|({iDAo9T(Nu;k=t7&`z{?_E;3>8qv98>F4z5XVj>ke_TB%NN>faVDS9zrmFLH~ssx zpCCHb0UPbRSGht0m;Q%;`9PJ$gFG&>dUl%G^9ni~cj(7Nd44fV{a4!BoW|=S6FPBe2S#Wn6~*B()R1?q_I~a*GTnZv|H+l}&2#y} z{3ObBJx&h2rt@dUUvD8Ua>n$QM4h9^&4yK!tCIZky&XAW&hhrr>Vo+b*IbNrivI5Y zg8nyqC%qC5=Kf_@0(vgM>i_uCr^zJw`uqzPe`^!IuMD+?QMV>~&sN{xsHcf12`GR5 zy>GV%b=ny1zY$DuRR}!MC6eb#Us>~If5OZ>e_&=go|5wqG|?aUKYg&}!pVA7>#~T# z`?F;g7sGWIkbPYqL&@>KjXF3R?QIStZZxWmfjfi0ns~0s+FvnsX*>CslSI3FlyZ32 zklpwAP~Bw57WoIVeUFHzQt$x3`5clIrk zqWORO3^Ysq9jxUdV_-LgS4e8+OBibN|jw7 zo4#b+p@cLKl)n*Oj}M6RFWEUpO}Gf|qY{vtU@&!#d7?m`t=g(D)5eV%mU7zR`p_!0;$uAm1=$g zv2KNSdg`ME2xiv<<{$-Pv@Gg^_z`Ywg-K$m(E7T#lkcEqkfs{5cObCYPSs9*wDW)x zIgj;uIKUK;YqpU&Isj0jRAc$A65_bKcl3QOCDiyK%yO$hZEab_-G$QzBs$9aZ2<`) z6`%7sHZsx}C1due!RY^Y|;0m+AR>U*W?IKbsod$g#mciHzRO@*I0`*2gsOTV*mJwTkS)>x=99cGAt^ zpX{f*9F>hnrg+k_MWggywW66!-D6{=VA~z6Tlt#3-o^YvgWHN>mSZ~2*OxgtH?gHG zn6j*FL?mqG!)#huMz;a~*9VFl!@%jQfeW-}UzB=V|9P6ybbA29+d@>-{GsjS<@Rv) zG?q9(tQqgb9Z%+(%)f4cd7lEd+=OP@z0C0P<@wU$DG>&`EpNjx#bt}zhyeFj(5}(d z_>C^>Cva066trg|Ud{;O4v71p-vGq1BPtP*lil2(oWeY%ypfO#$a?hqJL{CJZp|}B z#=JDdw;O$U_nKE(eL`_{p184URGjHMW{_ z-In8FZ+nxN6ARe)F?*KxrM!LTqx1RT4|N1rt-ups$9neC6)AWXHK?7BpCWeL(@-1z z4gMHI+p}_oL(4k{FybvA$KI(?;%8lXO;7x*S@6JwSnvPbifE?p-YLCxUhbVb@-`dp zns2FdzSdbTZ(}P^%KFwZ?g_{taftVzX>)F;jI}&F1jXqaiQg1tLb3#AbW0cy%ENW5$`gPXzad6&KJpOl0o4F5B zH?^HCL7M~+$jsEz=dCyEtoj5h+rP0eydCfpO@686lVBdQh*mmSgC&WfpJa3E=f)i% zs;&23EHf7DQzx9#PfNnDxQU|anINkm@5b7+w4kHw6ckbKnR=Y=!Ejs2`pavt*T>4| zcH6$*zGUR1M1~qDF0K3AN>)9?sH$$%2t!Qg#!<(>Z8`{oWXsW#gKR8a+pf%0t14l? zY!?%?S$uZfybluWhmC{jp)j`5{Xs;Xp3hBQK4&Me-fYes}lz`i^ zh?FrCJa67SpRtzJxwA%bx^upb!;KVFnTDqwxEd@9_W6QsF*SE~9(Me!^1gYzN2a;b zac+!mr-e>an`=sLX4ZIbEA{zYbcV_v#~CyG0;TbV0Co z0R(5&)YO8$wY`z1pYS^~qX}YDEHBjYAZvQ8d??zK!jz8IOjoNXgs$_9AIMx_4@4A= zaPie}H|UA^it{#O(ep=x;G#>KH{|AdEXny$qEreumL__-zZ1U85`jZ&O@<>JXC{So zWi;N@9tF8_tB^TazMVE3Z96&3Vie7IUf|L37Uy0AEv~BVu)xTAno7bO~ zl`SBO8>TVBPT4Njk(oNdg1+OKx9e#o0F``+mP-A=ArtqCMwFiu9K=`FfVY zfq|jwV(lj@oVADdoZm_py^!M!?HkKj@yhYITF2*?Uv54-^<|pry1$1a>F0Xl2O%Md z$p&0%%l-0#cj*nq+@YZ-zt37oHISG_Hj(!PvgwVWnl^DMY9upfcgCV7hm)85hBjrTEF9V4WS%xQWZ9l$wK;)r>f51=;Pt_3X8upV ztk(={GxN7)yQxpzH?;9)`Kd3%mTP|4bVWrZ--hCXbO^hsh$*vUvciv&K40Cdw;*R^ z?JsaiU-4xE+VH0k>6aM-X8^^2f9dRnhu*1t1)wCLU$gP!ts~JG0?k>LlqD)_`kD0H z+HOQ6>8|NV*;!&({mk>1=509H`o1eH#6Nt+kd;9OV)9C0W;G~5T<;jc#Ifu1cFkf5 zcJeGEm(toGPvqBz-|)avb@r%_DK8i7|9dm$muW;@MqV z8rw~hve|{Wk*`Z>d_o+v#8H7j^!yV|)l$X}Gb;9pTGG^}LFkl1igiEu?k^cfzg$2p za-ahfvQF)>W!oHKB93&S&vX~;gd~$iq*^E$Jd*d6bU;Yn+TH-8JlJ1<^~eUNhH@Y{ zt)Sa-UCIgPQ?bl_I-fh|17Q{uPA{vnN?UPo4*IDcpZv7%;JN(%EpraWlV-~Df&dvA zE|wC~Fh)3Z**TZzT9h9Paprsf5psx`dRxfMlkrVEOZ|bk7a6% zbbtjaN(KM3ijui7K(#B>&+kWY%N9PifILrvuS7;>~bd)5olmBMMN$*@oZLXKl&wkE`=%s zKX@rY{SCA>KnEu>e21vHDcV(i&}8$wJh4rRvMX?VWc1I%DerQiWO~i$s7^(*DQBjm zoFwP6dbPQ-;{^}?V!!{i*yC)kcw7a(sMnj`Y6?gj|{a0J_+lBbMe9 zHXNu3bB%~>gsOl?3rt)x8gf-<5Vn(tV1muDG~g0^U0;DYaEyqWR<3)=Sxp3y41AMU zTOI~Q{*oB;_ShrWh#4X_YOkWzmfitWi8VB7ZsX+4y|GvhJ{+92+ z;(hsOxcddJ^mPMo&1PJ1+-lExbHetZ5>t|QfrD4k0733s=?|3(ZS{ut!1KZh93OoK zZl$WPe-RnGlI`blc?KBy^54aB^tJr;hZaKrKJ`pNpETob^g9|W&bO3^-P)tD=zvbW z*qvojqYpr>A6!&a<`s#Or zDTEeQgGivbAJtio-A~d$rkWf9NX@`UF&)%L)RV1Xxro0<2vuO3`Src(Vx^uRmK?Q} z1gTQ?7bn}72n9Je)K{b|>{;3#XOZz|sWiH6_l9i9H})g#J@>KL9QEFjBle#|99%p~ zI$*@Yn7bq9eL6@d_4&OdKYuTTYx4<;GQzhWw-Do0Rfs0G64mp3 z_Duj(7h;(XK00|Ul5ib^uYnCT=)|!n8NVj@X?{0p5PkYw0rv>{yrXSqj&?wES`iDI z@4Ti?>NU!m+&B)kI1Z7p9IJrv%68*I1+(F2XDvg~gK~a>?DaNMl0v#)(!*twV>awGnXn5$ z;ea~*Dzt+EJxY9}@oYaUAY1ex!d}GT=%;As*1XE}_4&nHJM-eL|6H(i)y7+Ys5$b# z$ht{#kzRA$$SmGF?)7(EqwLC(c$%-Qz=&7^Eh1-uXiFFT?c1ScH&$vd@R8dH<~|`r zp88mVRP1Q=cCQU+SZYN9(HOmo9}E%M`@!A5ogjwoF6(DuORO}1fzEW& zG73SD=;$;XOHxAmhFUX#%eGA-`&*8?@U^gX-y5gbm&aQGMMDO+ohDD;(ZMdX!u~AD zEK&SXk~#e_{o@hM|Ka?jo<<6b0{7Sg6b)3@#?+MMryqoL3(p9AkJLbehup_T5&|Ed z!pb*fr7AxnSZfN^r>a%^E4>~wRvs=wru)og`ar&%RF6fWWC+?2V|OkMuX}MEaGVL! zDx_JJzLFOE;!lR{AjOtEe)%($O=zj{NXo*iD~u}N5GT7*_-<>(TKDjI7nyB({ocmCTJfTCAvLTRvKW}n?Xpg z!F0<$`oVr114n2!S~!xrx3q&&2+E~|)CBwf8`EvhT3`As6iVQQZ#A7qfZscpXy2JR z%9DRbaqh|w&Klg~|1Hh={nHxoz9K-+-1W<|4xTLDLL?DdqR~Sb|MEFTgxkw*{n+^l zc1XVQbkU|Mkd#^3ljf}nHhBrjs|;okDN|)0%@q6>6usQy_=sIk4oWJ+kiEj;K64ei z2Iy|=N07TxBQf&1H@c4;fa~&g41koOeB=mQ5Dxn#Lm?QqbuezH+np_B0?K=&Z&+mf zspLVAe$cAPlFVwd?dOb?VMOX&V+%A;&!AiXfBQkP2CrV)H~p>NFzomUBEg96!qJf- zsANE}xf8N`h(P!2`Djid!-&v9>-%x2u*Y0>3~`p*;@XYqFD3jSFDP@hau0oaA6tJ_ z!T}PBs{r4C{=FV4eO(60;4S;0XXe!RkZ7*sT&R?8P5pV&H=tMY%jJ&BS8HuXrZ@e4 zU25tM#M)=er+d)awme-?UA!0f<{hoWfWAck;=|kXh=qFY_vwPmLn)DIU7IKbj6GMBmxvVY9<*?CLn7$~Q;A+>n1rTy(UFOZY=*R6-FRB3{ z^;$m&tW!3qmq<+vT$eY*O}^KA!i$vN@P1)96p#?)d7HBE_oZ>+78!pQ)ur#3C6|F_ zlXTTj{WM;VKN05q4jee}4Ygw`xT`?A)c?)#9``eFUzCjB2_Qy9ygUexmlXSDp|&H4 z5=PSduNWtCPF29Kg@AMK9UVtOnY?dtz*7os#T z-V4a}Ap0d#WRtn{p(jTrFh6_wwsCIb1Z3*PHO%`&dP{+n4=` z&y&Idj6CIG$=d(rNZ-bhmZ-FMY`$||$HNT!h|sMHp_OXtqZULS5sy8+`f;#zhlDnjtf$6-53D90SFNH*t2oQ61E+j(k za%Yf7&*##deGz7Hd4d-siXZDV7JUT6_V6u&*-1havAJYb-h(QbkE@ft-VkBvZ!n_! zekA34Y$IALQ?wWFES};wv;~;K&rScaWubcmPq>MQ{@iAF=}8$pzS0^S{&QIXF7uus z^2>A8UFeM!5FaC+3;GCvF#vAQII=-E>9%j;ny-#{yHZ0>lAZ&NsN4t~P~Gx+)N|m6 zR~BbZw~Z#yPkFB+G+eEQI$i(#Y3a#9^sLd{43+RNBBtL5692c;9{2}92-D`rq!0W( z`zhF?OLs$ER#IFe)KcOxp@K9*zAFKs(Dc%04(t6;a_VCvK$Z(vl5Kh4=6wKt`DE(o zn09ZwbYXtWo_S+NM-5y#H_hC9_UsvxHcP(PLzlUO(?wlpO;QP79qVIodu#Ch3#)tM zbl9te4VjhQL$A3NZ(f~vfphj*%4WvwE1r`+TBldP9N1tNa=fB4rE!Dftyhw-!a6KE zd@ru;J5tU(=ite`_V)JbdaT*#-a@so>KL09x}j9xWH^rf5pot(&%=m2k9mo3dIV=5 zWn*Jo&DFqLYhfZ8_z4oZlb9-pn4T3J7wU6xQJh^?kfwbt$8bO0wEXx$odzmq;mjQv z^!hz;rYduGO21HkCI-r4Vr}dL8&-3x{i`xCI>b z3but$ciSfa?qpke4^cdM=VSx!Hjz$zz07AhD4wEsllIu1!fk!`F0m}9nh^8jdl-9( zR>-ah<2&n)y}nE8Qe}rOi6nx({JYLe&=il%JBK|Ke$F!utzad|yWuOjRl@UjJi#d4 z53eEcnK?DNkM*;6ok9D^v^&e9{z^uveQr0nTF!&&4NqGWYcSg(an>=PN6b zy-qW-0lJ}MUtf!9Y6H(D(u z+@V!9z81uYQ3S~E{}>~~6gJwQvN?syFi9m-{1J;cqB>=3^ZWgclf6!Jrc1ZM{p8)A zd0w;nj{R+`b!EJSr{NNUVD)&Bd@nlSua2U6>dg2VgBiEK3;ED_d%IChvfJ$frqj_P z7RyCg4n&=?lWA+N8d^HD&!L<(SlG3FByOGHr3vURr()p!J9qe|&2i|PJ7sstvmpA# z2)xX=tiI_{!PwV_YkGYKSPLB1{uGt|<(|>72>MxBrf>-TAtYpaUy8n6LO!?U*;P?I zS!SF2z_wMdx2|~kVRB!E%L@nCM%fz;%IZ%fA@YF>;__4*a>}Sk2dAi!jfs*9_Xy7tLv$EVS3n8GH5G4|8q>nMq%lw zHC)goP6rnFwWZ`Uf+e<#nItOg7ZPDsOIexHa6LZ%Ko@%YY9Kk{aTR&tV_-Z>jMT3L zfQA0xv#t-CjXslP{@t^6m*;gt4sov0^-c1Y^CJNVy@ASp_N6%)fO+_&t>QLa&f+JD zKJQE;olcbWtRVmsdyC8Ia#P&}XgO3M0EG(ZI$e<&I}O`C&rh4nesuc_7Ju*9`$KF=?Z0L@ao!_ zKQAAfvn=OEiB)=|&DM9mDU^gX{|J)|?+o_%A6vdmA@E?MN!}Iu#^fJ-;wX_^sZcaX z2lnZI_kVq$7%|_CGdq9nND=o#&lk76mt06NJkht}dG*czL+e>h*aWpKO%h>k)0;p zxq?#wStw|Yv_|BG}4;Vk{Wl#J~}G$>Z=bdo_=TSGT5#bq}90e-&>nt zmvOQ%bH{|2j}lR=DChWt-pg`^GM%B$`Uc9>p%D=~d)OR<#la-#Jby=W+P(jY86B8O z_=^vIcdJNgjeBuLswGslV14u3us6TYSbrR2*p5~&jvt0{GH)zD~X^P6_<{>(X~bAq(BCe1g2btB_jR~@2QR3X^wlo|UX!`EhG z!L}x>28DnuejG@j?MF<^XLhC}&MP{}N8#0<)aOuma=`jzN0XWAq(#Yn%WG$vOhBaF zFH%@WcC7JeG^E!l6*n+A=&NneHwpRo&XVqkDIXDJ>6)l za1HVI$+>J+@S~CzA4wtp@HKCRwBoHiegNq(=(7URr$+niP@*yg30brpCLT-Cs}HfX zwA3ERS0Prmr@iWN*M2c3PAm_D4!7%X)EkIEMTX*g_xCv%vEIz?|AlF7Abbk{Gmq$5 zOneYRibVeCJOBN{{YG8GiAvD!=_Y8^U0I&$1E+bz^kONGr*bvNXWn^13^Cf<$o#;%-0Q!K&pn@7&8OdoY72?o z+}Eb%i7#VajzHhJN0gfy$C2BqacWa&L#yR_AW|7XPU{hU!W zSl)_?iaMouVCYUse974YI!Nk%m9k}2vov#|i*kd5hRvk+W~}QLOsqjo@LPYi0+ejl z{>Ot{8+G?i3eh%c^2xhK2s~hxb!R%-6Xs;YgXwCUvPzK;&%Lf)T2dmmpa~~34T8)N zl9=_#8Yi_Osv6Z5!ovJ$eq3S!U(#))KQb^#|1c za`$PYlMMgdgcN>Xab|z=SzqRwr&5%eROwo2c0y~}QNEc>saN^8OsgEa78J#RT&jEa zz^G-1zRlq6**gOHYqp8hlBJs68CqVL#Bim6OMPZ&VyQ(!Tat5d-w!#;P#d6h%SSJm z{Fp)!x`Mi6WRAwmk;1rreHE1v?;LzQ_D;}Y_&+1R5?;kd%r36XS-$LJb41(eio zu;2ggzdt;_AXhOkMfdnztI}@}{!hC~LMy#xwbd)%oO@_I{s=#r%w)*T%`LlVjOjYt zj$^a{ONf=4KbjKV72tZm`$WhU!5$A2wR!ssFGaR~F)7$*GGrng=t8%k|MtUmhLT?E zk9i~SN=mY??sc-kY}v4{$F~QVU-cM@a(Q*pbI4XrhI*Hxm*Hq1En@plhryXWw6%lB zdEc7p)YqJ$t$i_e8KT;jUP$lYjF*lot$LM>xH1+dpn> zvqLUR6(@#T{F#KxROa<1u*l{!PEsEaMeoYNM|A_BXuc`MLD~EQ6g-&<`&sZ=y^-kN|pfUbK z_e{!o7+GkMWc1%xLa~uR6a%bJdRb-~XiN$)GSYB-_|5fDbpR4v3NxXNI-$P_#fUZD zsEy_F&qE$qO9Gq21rXgPvtMe@@l2dAGw!|r&Tyhqh0eb6i>fmwF(?VapexxlCw|i_ zQd;i*s4oLayqKuy0gbdB5_nN&Ek4 zNyu+eEMw@ZXUE#~ZmNJplAZvbNAINSjbGy)%;+()`#B8KGlJ5uagT7q5FL>IQRtE# zh^}~G`rkJRHRk;cb@l9ZavXKR9Cg2Q)*a#8Ew=aDS_1WoWt0q2x_)I(iThZ?SsgBd?n?}ihR|-1G^*xP>m4Ntw&ipAvm}`ttMllq&{6*|)IS z-u!Fjr(bT_vaL^=n3sJNGPyeyXD6bw$i$oC&ya4`z!EAABTc-yM_Ce~eTNO1WZiTR zJBOq-x-}_IyO$gs;2tU_F-?XQF)BZ3SBftg5=v5S?fxgi4oqpSP-4}o zAHPb}9qib%>wDh(Qor#}Cu96S{)fM!UM4(~3)>g{b;n>F%E+{a#BKU@|KYd&!xz#1 zin0gxxarw_4%#2kTtJ{NsjG*)iBlf`D72sW7uJ5~_#3{E^^5`Y`1hbllD5O?A-Zn=pO>_nEa`58-zI!v8Tmr-ZF+b9 zKYt;S>}c8*LJwJKI}|vNh)(3}e|U8KqtJe0!fO4^j!pa-UREsW!zZu5x1s*&{wU7E z9;!TBUCucEI8FQ||K(4LE+c|^`6P4#rQ<*x$1Yq?cu7^8S1Ex-0v!(o2*ay33yFl7I z@;;~%0lH;!PtpRiBop&SZe>Y5Y%7jh!?5n4+2)&J*DWMS3Af*h_r5R3Ir0;`W!18`G)%hY?X)TPZgk%#d^W_T9CNhD zgxf^con+aRJ1iCC@NZsgw}+N{&)Or_0rX{<40{~nEA08e0&5}kF)Ngnyo&Q$Et(E z7aChhIILbd`%bfzlaGjXpt;VUQH-LYBv{#$wf3yHX9)?YFCkNg!0btrB(E z8cfKAqx@qIBuX9H(eLKmJz0P`{MEA3`@7K^0tbbh z@hsXs?}C?ni-zu_Fx7z7HLs$JP8_`2_EP*{kzh`p;>|jhR})BX;@EYq_(Gtyj8ABz z0FUb}P~!aW{4&8jarf7mDtTe5@jBK+PjmdN54#55idUO{%q=I zdVi3PDKyt$ra=vAc;15Gbb1YtRVCL6n;3&a^s?_JiYag&J}=e{k(d)`mUdy-Y_#_} zjs2xOnp?VG9aJM~6aX7OPLq>Zrh8?hEUWUXyJm@@3tq;k0-Z>xqS5l$sN1M#)uHSY z4ha*+P6Az(EI_#%Eu~6KNC>$UplZ`WxU(cD5aXikL!~R?IA@vVYn@L!Yj!wTM6@V3 zU0gD4MFlXnb^%jp8~|kjYx=c@k_R+{`@53Q}Na9ayP+mfh>Ye^k4N2D?>CLMc^YKwZ(}7>c#+jX zGRVxz0zpIe0ca!wKAp?|tST_Hxo7SuQ*>55H{ZN$K8N@n>T2fj78Das2*ewZ%E*|J zIbnHhMd^dzJ0Z(ZWVsN?_@_QsfRzyKXYeozgtc6ybkh~JXNE!GkK+ZvSS== zV;CkFss#$KP;HuV^z~vQumai;*J}Rc-FrcCL{M;WY9&m|mMz0((*b|wvk`Nv66|Np zNUoIXE|uCQ0M@z=NTYs^?XHSl{TWy8cy~P}9W5`he|sG~%dFx;le$S|HteGe>NcDg z84XCn8uRTmhr3Or$vQ!t>D7?$oW@)KAb5D3rdLIcpRiJfc!2yV7NxN_0%Tl{3wo_b zx3F$=Php>V?&y*ZW01nFHmtZJw|qfF;0SjMZuliBNDvU_In;#jx@Bf%0n5=|)Ll~q z@*o#&q2yJ2(aa~3fJFhbe>2h9b_A zey#Mj-|!@oKfQtc`1DI{MLk~x`1!5;{CuMFG5<`iPSM!5H)KE{VcenT(3)}r>P2$Q zD(UB4M4Jzdl?#q&R~?dm&wW|?#ne^0bi^Z|tEExo-c@}NLkBv19me;oQPu?(wiESX zpm%3xd;r`T6GK%R9S$Qc+%1|na;!<%B}CpW^(0ex%l3=4T_>Ylp7PXot={-yg&mka z(mvAkf$uLOHN7c-D`ag(AynNRoCnO)p=_LN>PF-aS<;& zVTsrh(;6@I!io13j`3d*>m)wizf+Rta9Kig(ZzLmbPO%qaT9M^_V#)E$gyI30b_jF zn!09Oycj(wQ8#grVGI5Fi5q$kvoANqMb{OkR5Yb&s1qrm z@}1gkj&CKfzUcjnXI#u3-Jl~I68c2jmXbbCyvt+jqr{C94UB2^*xm&|-)lUy@j!+{ z=GyS$_o%74A2xP$^i7{{?E0t%{xR9i+a3O{(mK~wV5`T3)JvbO@;mXo00ft(R;Ws4%&&+A<*OYb7 zJp-<{4Vt$9#*bl~+0z8vB%vEuctt`l1|i4<4WqNe<81oGS&7Y@uTL z1(eS(R1ukWT}(R(+^8Nzs?nU1$>?bcu(D+iH*5NI45-|d&<=b57Ck|>AYVN&@*OJR z=v}-~WbtA@Z{Ze?a!{YtVtIGIB`|QG-@KvOZ1WAMo;`QM=f-HgqNzsy>iStz_?bZ- zZj|gI?)_xVwF+2C=)1Lk%c}{b7DgDqKyGDIIy4lYtMh`4Vvjs34a!9CWpT2UDLQsz z(Fj2d3owA%Wh-U~YGZr4;ZUrgYMU6bTCRSVIk<+w^u~4J(5jaK7GQaMk+ewh;u?p% z>csIuwwSMGiv)c_EK-4o*<#tq2i41;+OTR&JBc~lyXUE7s;qC5VhF|E@MxV^SNf&A zR|_&;MT5Cl(qey6@`f#HG_Lp_IfQ%9JEAt&!O&zo{}r*yX4R9aW3*wy3e@+gKEXW9 zBMcmce^{C^1H=M3DQ0E$ZxtDtw}P56lR9(s0{m(7ed-bL^% zQ-}sxP(2*s5O(2k)aQ+gC{_+m0ozoNCks^ZiFdR;07fvlVCWkp#6E*vX zIl!dtg2`QIdp&(rqKGNl#p>75xQq`^>5MD(&&iv1a0X=NaYRd+ z<)?p_O;jPMFtNxv##D$*_+}8 z8GQEf^-mJVHrY-Das2lYlGOtqc1>KP8Nt4tH)qsEM{#=4H@iZX!AAo){2uU8faOur zTV47^^ee$gAZ7%}{5xox9|E%^F9olLj{5xcySW#U??5!>kd_uxJn-v;CkCNHcIBpS zGBs}-pE~!&@#N_Vi6R8JR~-b@LGP;Z*swV>Jk*~L{NuP~fYgo_BY4>@485y&3afW} z;Oc2(_4@a}`|N>F89qEoQZ4e2eGm^T6$f>~bml#F=AkCi(SDLl25cGgPBX$|sPevX z(!TPH9jcA?Yvwi{dXcpGB#A{Lz5xL}Kv6w5u9$bz=Q-> zDS*u{787k!jTK}wPSR`^Q`)&|N!SO>2);8c%FXSO8b>Kz>jK#5`GV^L%A6X#b!WhP z3%9^}POZ<~!oAV`wML8Ohn>emuUbfKp%lDQ+$snf~H)YA)d#f5PuRUWYO1o)fAaZds z-wli(YtNYQugS-Eb2*Q)US1GLAoCCo_OUT=1J0(^2;A_C3n6}Lhob~R^LRTsn0*G3 zx9t|p)Lq(?$9*^k_@$Q0x3eYrURE0fKRBt`-h>gd;CvG#>?{Eh{-W#8>YJPdYna5*=voe)0m5ZCaoKhC*RA5fNzKL}wHCmqFxafGQX z`~?FmCm<)J`{p$Il;*7DGV3YEdimNoQ9o?(ONmi`Sk4nHD>51L(VOE|Vvt&su9h%c zgic#}VDrT_^7nJDXdIYqf^$^p<_}qRj)+PK*ct2TYVSG{X{F<6+Fr4)?Yi2#C2o%{ zEo*X(%5<)F({`x7ak#orZ-Q)d4exFR+Gi79AfKn{w`s6({Cc!jxJA*?u`#D7GpZL8 zCQXn)c}f%k8d!7=u6YPRIgBVx7>BBCtGT{#_vLESxDlg}kxA_U($)pTqA$Eay}2nK zcos1t31Y#-vdqlz_2^Prk(@c9n|aM_`yQJ2rqfZvZe{nsBh^l1YwXC@gx^_ylAf@C z^1Oh$vXDZ}7R^(d2?}rx8p4>;biUs=BGngGnAo&dd8n|ate`o2eg6baBp>Qm^0Vl` z&lL|sY!1$eCnxN_ykFri1w=?CF=TpT@^zI!oV3o|y$w2nEqF)A3!#`n_)gNlk8-JG&Jt}M94V!`K5p6WIB}jF5cAw?rd>85 z!0a8z0bZPUbmy6*)oBT3sF(nc`jrNCvq*wyRw#c|S} z?PMmk?QU24_^PGjE@zoEDCrrV?pv&>PStsbc+S&01TJ=DN~hL>2jaJb2T6#7qe&Ce z9OvpY$4-0HC~7b`^7qPrzi~W9R|{ToBBDv801iu#Q>(q@mpcy{mO*^eAF$)Y(@>3B zoGhM=BCmQ8YBV2_!Pv!Xp8}kgccQ);#zOX;CcDON;u50-F%EY9=HN@ByEc1Hbq9MN zW|5&Jlf5Uvfo_@P)J1zK7q_o!*njgimviRkUUxy#-3@bbAbKgK4=7`H853rv5^rCo z*$N)}sl4D7O-~QbPuTva8)qG-Si_@$Sz8W2=3}qKOjQ1{C20l^7TzgVE^6PoIj#$N zYoY$LF<|mIbf!uTQmFtVb8|sF)*N{Ji}5a}G+CKT*ptvL(}ohxCXBYPH4~T z;*dtlpjV<-JA;lOegoiv=MDIzV-{W!$_hTvYaM`dHgFwk;L_%`knytxdVDR;2|VSh z3vH_N8kRVA_`Vzr6sCS+Zl`#3#g37Vi;q~^@xo5mAYcl4%e4}jsJzKWi~Cepfn!_ENy7R#M`ZVC%xZEil;-bc6e-L9w;*jtO} z^U2TxvtaV&Z#5o6&iaw6$wtd*z4p&+LxqSqjPz}z!$=hJF+nw3hmD=!sj{mYch0#5 zxi1cmq_71RDtm|*LK@=p$4N2uHX8OTMK~LoSF=KbVLiz%O85q>#@m<{JI$_5lp9N)I1$$S{|fSMGJ-bDHjI5 zaUw*uCk4D8lS>Up%dPnQe760HCI05f(`IsOvd)lnlCtWK?dgamt*?hNsDxWJIv1V3 zn)#5|o#*0FAY5OJw*-T}D*RF}5tO$_OiZ`0TG!#1lim2HgE|)}(&i%*&yz{WNWcwi z3DL*lr5G>t3(fU0=y;l3XxfRD6#sDJ!#{<;c zFmkvto^aa3`=w2tPwa&fN>^*qV#$lMd>+3i&hlbcDW%;odn{X#3F(cO(`9XW|&d zx>zu+`0JPq=cHKpem(P2E)XFlj1kSRZ-L$ol5OVQ5o?-1E!ghqqW_c`eZ5kkf~RvK z4|-3^0b=@lA0$eN)yIvD{c=y}-V5dn7|f7q1{nvUaT5^AW2S^*Kbyc)R-<@FW}$p! zrIjq;6of_Vu;WYbEK=e_|8g@9(<~BZxy1sLOi)iKTTfUS0^g9Wjl}dlJDJd~zZHns zyA-mSgE^yV#L|hy`PHLIVU_EJM`~)ySZInR|H%R_>&&9--4F z>Aa!MNPhKSwB{1+jNY2Z6M}>%ZBj>GzT7};KdX{+^8`^O0*BnQjYRj@p(?`Wb=DNS zG|fkllwi!hmZo{u4&~}ed-R{!Oj6A*<3U54&jR@0M8*x%4Avsqzr5^FUob`HON2+k z0dXW^KkAf_L5S+@v^IlfI3dYlvB(QL;;LTmj*FgAncvat^p3nHPA@3BI&b5c#Wcl3 ziX>nZ6?eRT2h%t(W8;{=2#4)-e?-S7@bU!*KEu2mlI*)SjBQeUFWI>zjH9rNFlFYb zXHlh$ob-_H4vghU!7fP03OcidiY4MeTh>{Z;(gap?+_K2kv>V1(G*lHFlxf(as+Da zi6Wn?FFSr)6l5bS0AB}jSyzQ*OT-IXFv5I(qi1;(-2_=r$$)@>SR~5ZqKTF*T;Pk@ zy(v3&8huH@`@)n}LRSPCqK+4I+_b)K#+4KHs*B!_rnU&5y$sQAZV1nXe(Ao4IJG|P z^5?C~I_IeAbl9Hd|0(}JaM5D5~fHpdtsvjCcn0SXWL0H3kSuB5OnD|r%r0z>K$!$A;RS7c$6Y3 z-WEkjS&i)?nhrm67F`%V=AcR4!Pb-D7+o{}4b#<26z_r#KiPw^egfL&bbj-SyYfzi zuJ6mjg8cw2)~V9#r^>UNsdZAw?!AYGN4*ZehF2Xa%$B;dG)21;w^&YKuln?fQ%E)6 z@IYD6)6i@~j>+ijAkBLA3CVak#?T!^qSqR4J6TsW;nG8Ji91c4|D=u!P0+D27Rt;D z715{)Dn}Q-mhsrZu&KTNADqs9nHM>^e`!{XJFt$_0HXC1`r)Fx+HGJl-c}oUg*F&p! z&#!ZS-5+jKHk&k%A{C!)X#mK{G`!c{{?cM=ZR?vvH5J|)XHDuiIqUbgv0SaLU|OA7 zN@Ne?h|m=o?=LSER6EEow4I6$RUPmoV=mNX1r&h>3upqRZCnd-TJ$z9*gbj#-A3x= zu9)|QTc%$L_oMfzDZFkG>8E<=!(0G{E=RX0Z;Z24X3m7DCr@#gGQH;ZJ{!ng>k%q@ zVA+Df&;A3*!%)AXKA_(QX4&hLH8WH+=pvY1z`KN3G}eN!Dv%;}#F*QYsOI!ftqhA% z&Xuzz(12?I!Hu z739Yte|vw_y@Sw)DvDHvrm{>hMFjzav=ddSNV`^|C(oyKFcfJM_3}jhy6gFu6pBvz zd?L%+u3Bu|r+xhG3R)wnPQdVq+{nDz?=Cfkh|Ngs_!FCnE4nF~xNWgxa+tc^yY)Wu zaUEeS;|i`Zmn|snSWmheT5G7Qqph&}o~;pHMxDjV{;6aArR%PZM$OJkUG3;|_uwzy z*vHZJ?KZzT@_Cy|r(XMI2iErm{T{H-obW3L%m+$%&+H2U-+!aE0{{zU$E@O^|E`}0 z`lUOH>w#iPUKOoX0-jKFUi@ank8k%^FH7Yk^N%{?x{#2nf->Upn68c%DG)O)-ID_O zcQDt5mjUAd5DN-*?Uv0MK<2DE#TcSjB>C)?FioS0eK#31lC#qoo8BSs>~fXHXrKTaeDY-2vc z)Ur*C@SKqXgTY+Fb&A4<#$ra9YYTIL8Y1Z@1oB=aX`dqO+K3#{CFl8rYVNNb9GpCs z(dUM|@Obf?^_Ssxlh1D4;so(7B6_W8NWhsFyjzhc3aOiLXPbpF?KuL?9R*1F8&T~;UG3xZdB>eJl~IWLsTqO(Uq-JIoT+{7naDxu7K$6;lemu zo&(-YA%1b5rVWc`Yqz6Cq*1ceoUG}K_Qqj;eY*@e*BXJNdkl`>J?~MxYm2V45ZXPl z1WGQQ-7T>w?wcxE9F0eR^Yki`-3K!Q*CU-$Kp2ABcU`rX*rssU;eCtk`J9-pfsx~@ z7n@5LvLk3q-e2B(N;|Okc9y>}t|ztQ>bzTZ==Zx{voOOE{`wxnjk$p^Pzyk$m!!mS zKPFW=q9cws>nf6t%A^T)>%ASlWuO6G=RE1WzP&F?L$fs8$`3N{0bllQ?P8xjyC~QEwj$kXi%Pj(Q^3XDx-W|1sj2KNj_~?6*_gm{) zHdh~KwwCs4e){8M?ha%jWLJV=tiHgxH>#-fgD6JgFN}1B+Qwoz1`r|21g*M1x+kmy z-F(?2*LEz{EQ&z}lJ+M|j=ZnIbehd)TT=#i0E zF!^A*FhZRkBdYLOrzvmg&5Pzw4LQfezQ-e?C7?Qw!7JG+4pp6TQ-uj1vG*+z@CIR- zebI6BvYW}Y=UTrZH=a?{+-dER)A1u#XOofm2fPC#_s-CyMYKlpcJk(~7HmB6G28-N`0+Ri()n9!4`V63BvFbsZY ze-t9`3uAgrrg<&K2;BGNfi%-;;h9z;t2P;>H>jVrgK}o)P34CMv+9U)OH)%*gstbK z!8ZUN8s_k$o@u?k+#jyQub96A(VU!XR{!dnfEjYg(gs5HL=cqfd|EEnMA&5Wkt;_H zfW{@w+n^38)DRvjO=x4l`ET_l)U9i?>8Tik`A z4vJo%H+M!xhU|?+1jghT{G_2kX3d&sz&1?JDnjEPM5E8uM_&_EnOdrlDz@KP>C}Vs zGhJISPH;u#8n8SIMW$dzMKYq5H!oN|2j?_25~FMwX-HWz{u+Fz|D#i*$nfojfMpVd zdLfOV2%f?`3b}~+BXs@c+5$ZP21pwXwyF{h_Apr}IezKlR=jy@_GpxS6-Eim>gl!i4 zt*7xcskwAL6;i7*bFWOH=}q)okDt1$LEeLcV|9hm8jGhGAz?t`NHj7W z(Z0d?Xfg;q9waU$u)$m=+eemO%b4Q9l>li(4}<8bgB>VEmo6mYSlXYS)+mb^5cEV$ z>xEq}A5TwSPOee#pj&)hAoQjrn;h>j zChBv~@fi@UOdk1(CN+5}i&J}k{+B-m^bIum9em*Eq!$mD`BY7Y9J2_zs<#g1JbLQ9 z;usX-s;a7-wOw@fm)<%vddfQtKWitvbsM~54qjNx<8+_;$a}sy?O*(%xJRR|P@{~m zs)s5eG54zTHJKc>FaZH7XSw=#=J%f092i+{@M;AyPvi*=-pV@ls(J~-6RFYkC;#0y zGT6h`F7UkfEk46T6h59y>RPMr^90Ka8ZOBFhatD7Gdaxn>^|eit{pB%-Lkr$w1^*c zYjmk-Y=1rFT32n%02O*_AbopB$A0~JUga2VKLM9m+T{WQOCrTL&UY*ku5@!7H&;Fy z<9@)hAIwN>a_a={W2-^p%c#2Z%wuT+PYqjZs=ZXM!N?=OTvi;s(gR=Ll!*>cTwTrx z?hd+Zz7(EizSM)|gwY%oLw?oAm@DH;7S?ag*02Yx&VpkS5#ZrCIF05emh(z4cr=XpE>T>Iw`lKugR+-PJKHxcv+0fNMo3-e}G zAH5eSeIj$$m{B)>UtjEuvmbCbI}C!FpQ%4Ih1jj)Yc(*r#!oprc%i7tOzB^?UryGJ zR~eWY{rF`L{+j-`_`mO=8Zo~tuGNi2%Xyj}&-p?(pzY1Y+IgN`hubzwwrU_`; zb#sF5m6!{hg$6}2#;EuYG&eW9p&Q0AkDK<@|NpG$0<5UAg#`+M1Z~w?OGYLzoMRrI z_5`WC3B*u$Y>q?$7>K)kuxssfnbD;Wkr*<64V80#&jNr#AyJ?hzj3J5Wh$<{_$c!C zLd!6JMSabJrQLJ{;O%XeMX14FpHXY%@~T`HbMm;yN9rFy4{3D&44T&a*cT%E?64Aedrv~zjgnHX>Cu+tJVg#m@DJ_3d17?mo@*} zSmbxa)!kIwpZI!X*PG4!91}dOD@5Zw`EOFL&`F9q(Hn_V1zFM?I>(M3%gJJc4$pgw z4~=c=4=3+GYGRN#C8i0vsszCJwp^lPv$C_Y!Z419^GdpM113BOJ6$372w%Yj=0zl$;Sb&O?Qs-ffQv_p~dt4*y zu}?W&h`DZXr>Dvu`Dm5rCP&a6GPQKZJ$|)vo`-bDdOYSlbj&7vAb)Y&q^_gBe^4WK zN@7!q-HW%Dn)+dP7GMBeujEUOR@eNsk<>3Wl~3_NE$BLRfJkD`r6g_AAL_5BCFKV^j&XdXri6h@PT@JVnCMf}%a5zQLO2PT1gpPa-Yl#D7QZ4L+* z*;F25(xDjNwskD&E}_Zf6&7Z4Ra})Or!b;;c#Uk)XZ@>hg2KZ_oqsBF*Fsp~`xAFI zSFFCZNK9<9berpfMxq2w`W3CJ5QtljW=H8WMn(*xB?BcX4onuKzpcthM=f}W3H7G-NGPX1DA<@8!LgNA^ zq75L}m1lh^%XhSZmK{_pWuPjYxt5MvTY zN(rbj1dw7}%FU(oB()C&0tc=N{uG=$y55hoGELvQAtNZAaI!YFqspTkayWx|o{Rje zxu0DM3kyy2eO5_iYG)Ka7(7O2w2McP&vCiAOjy6hvBzTS9>Ga!lb@P2+{)k*%^G-D zKeKy%SXx&$`YgOD?j3vc(7n}k?Dd_D*+z3GYHf?FSbxP@a31#U3%8;tPoBU^Fk2MW zXfCO?kn>K|2SLuuRAn;e2sOfYz|q#dR|253zN^kEmiyRBG!!x5Xa#0_0`Wr=PrSVX z81JQ)ovl4#T}Qy~N#;P2g*-;3E2OkG^R+ca!=3A+z@F%jHLk7`>pM@3>$904J|1fc zU)LSqig6T1hE5NWQ?fgLU0pnV;Uc(N%sDJ@D$L4iflJLacRlx!jj5)N_uX5L$T{-P z))iqQ^Bys=wNm0LUX0>gPydcLwZ4)6l!T67s2ZKXM6K4NclJwERUvn=d#=WlM%R6P!qNiO_;0Z5zNP+8lc9PrtnP?B z=i%(v`kL9)a%t8!pot@`Q*1*gMvkC{G7GnLu0NNzwbuA*%*v=I^X(-zmD>eHP;ZW*R`U^Fq}|&>=gf>ck#1sf~Dkm#bvF_sg<$u?TsBalG_3dj*`)Or;T_5TP z26}x_JuL>BTN%<6e)hIJBu7liZ#sUH7JDJ@1(R9+Wb5-pK<`v zX#VbMAE%#vH8kHa)Lx~Wpx4y*@=~M~Gm^H&`%4c_T2E7;7<|t^G@zNRC>yH-088d9 z7-ve{{|=wR%IxMqNg^ZJXJB;^Pnaq|-Q%tBDKom@`u7E-KBhN}*t0_FbY0mRUr}BE zZ_KOTKk~zSp=VOyxB=xxAf@hH2X$Ex25o$fx@-=xj-4&7S6A&~U6Ex5;N%GgbKP|Q z1CrD-CZi8++|#BlWM@GDduCJd^+Yr;;fWj*+kF*}rS4oIbzTKaGxBuzRZY}#N07A$ z-Ps2vG*X09G3hG!{>T(=I!k%wQP;eGX${GT9mJ`rmI0DS$K-EZ)gC#KM`)sSAmY-K zHxHN5F>};MTuObB%}Jd~zr49Kso4J(mnWO_SxSPW=!B=Y3Qr3^6=4$QDQ?sG=gr)& zSEed3eYvZCUF3IIb$?*rr+HF~ijaCWwsq=1>zYFdpEOY1+o9fEBnF4 zhWwSsMw}ZYlx8Ls*u4w8^F*J~M0m1fQCZ0{Rm%i!lZCqi_hJ@hS+XeYk&5R~Zx#}~ zG6(G2-(JuT2H2drXy;{u(l zmF1{Wqe@5D-mmY?TqUkOS$Vuc^JAWhd2Gb7X8N)@ngL#}JuR!7NAI{gjhb4Rax25x z#l*?=>k_z5LbyDB)Z}|ZGu4BlB^5r}uaL2bQ?Oq${&QYo5uIhqiafu}BJCE%V>z7l zod+UgeP*YQ9pTPZBOk(xnw3#`Ta7xS$?<5{@y3(ra>zL~y8D`y+?mv(>SOZj%IR)J zyRY#Li^}@SpH0!CTX~D)f$H~#{kFn?@Bb{+Wh~T)jU3^gL9JquhLH`ZcLn z@qC`Gdww0tHu%m5+@;ZaJl{c=0-M1R$6*Yjb57+QzP}rtn~{7Rj7{MCzOTP7MX)qN z%LN{R!3XdmUE4D<_3u8P81nIFA44|L#ce7Bc5O59J@<+~?l1evnKZXkT9s$4kdeb0_!v#og6tNyK-IelO9M`pMS$$grDz_}7hskO}<= z5tYQ7;}NPn9a(0kE=H*0zGFO_PGFLBYommi*sl5Pm~%P9no`jS@0j#KH+$y$CXqeY zS(%Nt@dQ^$N4uJvL`Q*!JseAT@~Q z`jD*?Os*zy-!l{qyedPxzk+7sZE;yB{9TwClM;4%YKsbbWW$zN+SH^-CXbRFCOf^ZZnKROd_pd%6TcGvmT5IP3qru-AXN7aA8UJ5? zeY;8TIK<9}hHLi!>6rhH2Z4QzN)RnmS0p{Xewa+=;~`%H&Eo&;GEhtqX-%?*LO?^9lGkGMwDuVg@;s&>5WcC5pc&qCSWgc6n@VG9zB4#e ztVzP`zx+?mT)%kE*DURK&JS!ekJ+_weNO&t*GF6HUOsl2nYxjh>NdN{xS^n2m0pP- zCuu*gj6UCyNOA`k)okW(u9WJ^)Viuh&N}MX)6binOf@Z^mKMC`i~p#{!S2O$cWqpw z^X2t9yi|JT)_iICrP?+WSGt?WEswk|PTm}StU1Ss&X1j`Mtqwdf6>a!S*g26r05-t zF6cYorixSDk_(bt`|ft|`~P;+zxaa+$M^F7q`s4W?I+KC7aGg=Xo8DZ);mlyM?mw* zZ|?hNen1y^N%+LPsa!P2+hBR@A=bqL>we~Ie*QCeDRA*DPMQ}6uOamVoRVlo#o@uu z_zxe@1`oJBTUeRK_oO2yh#b3c`+z<6^A9q>Gkm{C>T9N#uql3y?_HR$-#K}~q61|M z4*qQ$n#qKt^VXX-tM_SX&7Zoq=i-Z*?EdQqFCygwgW%j?9%-hc z#Irl~^7r)79esb8|1#(Me8nxVeSG|qjPh5xU6?F(y~8$DuUW8s=2@}8}8^wK-%y*Y&DD^H?z!mSX=F|Zpq*kph9 zlHa~wVf_7<#r{j4Eg1ZI{-G)`U)-3Xt3%`42Zg-xh3)-uD0i;DMG(9yqJ7zhkG znTy-XKzLHnMtAwJt=g3`i@wuLYP?P$GaY>r{q51Um8kiQ9y7+#RfOh{%qD%0;;+DW z&#+YFdw+sw1+_tK7IW`uV@w^lUoUfF-vWPo+8_LoJ`aXd)wn6Ix}n=srw&?daii#N z_C$K2{VZnJ2yJbc&n&lRMicf07Y$aO<% zgH_$x`f1*x<$AmF#wo!7jXF5K9;7stJm#fkEa*36{mXBx-!r7rQP1-vhaAZY-MdwW zjcMCn5IwKT^wXldIpJmJsr+vx+q~O7?`=0XZCO0nT&R2D;YOt$wxKyp{^wgA>b}P? zE8Nu+4L)-L4eWq85I5gwt5ki%>!3re9B((hOgn4xj!FCVg_J|9!KyD=>2ZosAH2@; zrIml+?ez^;Y!-a4qfwfBeS^YZ^bI_xD@-0ffvtC*|jTS&~Ok01UOyqk}sqOHoKgEV_|sFyJK z9rt~PqE81B!Dq`7P8Bn;_hvBMlkQ6wC08_Z7oFr9{_0G^xP0U)vFpFyuCU!jT#Z%DpFIr*&Fc72fE&XAijq-_}#EE0hq5HmnW*-Y&N_hS6*(lsq@;JC(1GP!SwQ+KMXN~4S9GhMl=Dpa>*xas3SQ}Z z@e3wYZhWh%P-*?5ITwFTL`b&*bOG<6u z6VaMZ&qTJkE-B`oKRZSAMGPioO1p7(mm{hjOO zkn43#_x+wB4q6^2a;(1Zswg__4fUB;pA8Q2Qu==N-{xt6w>L#Eu;K5R=Ow(o4NhXi z7yDmc#$ql!wq0P5*$!HSA3Re3U&SRe+|{ege+dqMU`cr?eszalL0i;lbE?h{o$-+EFWBk4Z2*V%knm7W)Mi-XBXCay70 z;CM^lEe9)mcom2SO8$+F08OPTx;I{WCeid<1D0~&m)}>xGSuv(fj56&m0|2`$Tjh1 z$6ikg%@H1Wk$%_rV8-^7Espp*BYe_PhQ5mYbJ?vln$h%R_V#Ap*Id2*!~As`Q~gW|KM^XwSp!Lzn;fP_jcqfg<&J)w=esNA9$#^ z-o$Kn<>9k4S}561eD9!l@;&>~+5`jLZZ1euSN!%7O$F?S^<2IS|HcEdS;Id6aeA_vwcKNDQG)i+6v?2IM4TRkjo*Z_Z*LT-raqFg4M=;&kM9@|~GHl93xtzo~6@(8ZYnZszi0dsa zQfK%Zp`NNh+~@v0?coRI97e-^CRUzO8Gglwd{e_b_I`xISBpju+`j|%&?jI`RYY&H z{>rxwYgyD#JfJRrK>Y9*oQY6B_SUPzwC#l<-p?>kMbC6swf|99+F~&a`D^M{oV4we zN`KH9r8@P72+wo8{mQDTI%K3yZ|477hn(`tUF~CDp~B#W!+HgGR*35q_)@i+u$w5;|E(z%N6e!K24gl4FPOc zp8EFh5C0!uI&4mcbYTwm_RM3-e#3qlRSs)idpgMMKi2RkpHgCiLrnDSDHX%pKK)eA z$!YbDf`4ZF-|kj;0gpxGM#^>cPdv(d*>6ArxnZ|4q~D-?Hf{gO>&ATbWmmRzS09w( z+Mf&Bzt{%HfQw6>$PBe4)e2wBnZt0?IaOOeLxS_o&Ko27__LzW& zJO~kO!IbS=nGPZc-Iiiyc~zV!PD7k&Q4+n-cQ>H5%LB1n*j#N=pRZpow8*nD%!mdx z^^*oMXqBzM=+u!-QLRu6t+o@OJTzJE_v!R}Yl%&fZqVbrC7+F1c;DoYafwh)vU<;| z_OD(rW}CrLx>)kTey}o#u7Flb$m?n12j4%nNtkx@^~=UL?rlWtZP6lqP&-IMXMMJ! z1V*^Gh?idlk-~<3<+Ig}4d!I(b(P7)_Wv4_!we?rqVBk-|6*lo#)GA9l5RnFlb@c& z5wILW*<=-aVu72oZRjUrpk@(gvWR+-WM}=eWt$%?nd_bsw!+#B1SAl6uQ7>fggqSh zN)iZjKY>wVe<-`(Z(etSQw2=4Xj@j<9auh8nUvB&eL#j7(?N2isB&zFx5DA#_1mR7GqSQ2OJ}7>^>jiRY)5ICPulI^D%e)kCm9v- zw)E{=EIurCkANW2hK)sbq9Ve=Ms4NSiMGeMm0#q|4Nb{dGNdi9NABFLKh$tKrIAj< zN$n}iAVc~+^ZSQ770;B#w0|m$P>DZq|Ep_QRYFb!#%S_?N7Pm%*>~p^b?@xxG(B+D zwTn>4wjVV2q&@+&LMDijdk=cW4OVSWrGI$fIcX3c4^Ey9p;XnVYbUwJkoeLWA#qzSKTN7i+P;HaG z8ojltQogb_&h6NX@f)QI`6!`;3hPm@vrP}9+)Q1931Y*z1N`3 zS0Jg(|EJo80IfuzU%?RfJ8K*INO@p2=4h&jEsd)20q_L)MBlydbmyU z-^MJeoabh$-rd>ub~>MKLEOG||Cj_<6K$;AtV8>fP1$)ZK?c1ezg=TSM>aO+7D%OI zrH-`TgF%>pSK(+yazWs}HEm`1lK`pqxAuad>2A34bqr~sB?gnGkn(YXzw=5v-HF2_ zNF<}pd!a_+p3{8{j>WPivM9RkgEPM_G#gBW5I(@f?=MzV|2{P}6#~fP*U7=|YRK(E zraM+NN+I5cV?;gz;tGg=@_jHWt|x3GpA=)24DLX%kPtLLP;Pco3Sq`ZoFRNka$v_j z|7OGck3>gTO--%M{M+%e|9j4zmzoxH&+pe^i9>Gae^E9z4ISPu1Kq%AHqu$U`!Z;e zTR;H4Kw;BXdp8@Tv1E-?Ew@klAPKc1+ z5(Qt=T*#?Ob$_-`IO{rXp;}B-i7`T5;RrC|7zB0J-33WRKOWvvWd%V<7t{Ey> zRU%Ldn;X}LuL%CihLoJcW6`l@+F0Vn<`M?FWT$1mB_D`>x~O)xyup&KXF)5z_IPm1 z`?E9LQYs>Yo}DkQR)JWbW_a^U+jTPwN)zmbq^$6Q)_a$fAG|nJ%+hj?yU{G5vwx_^ z`ISKCH=(ks%IxF4>$fv*uky_d9!m^3m;eGIZTt{Oskwx6Vyr}UAQ3f)nKQNMM3r9% z{lh643cNsXb7a){Zmu$0WCKeRA`iT{?+RiwDj5STPZDfGS# zSsxEq95?d3kLciW(*^Xwurs6!mfA-sQ=tJu5`=^B{v>M$Bb+h-mzpLklhMl+AqdU(oEzJS}*qy}Wp#oH@ z5-JgXO~E8x_!Wa2^21CjFu2OPf0`!pI4Dq;CX3zi@;Zo&?=vGbtlyAy95Q78rp!4^ zL@P|Cwr*w3y6w6?AI^G>4vXD%5FWwImw5eE>qKeshJxv^LyrWFK+ z9eG8%I_o$t<&?g#_2vIy21FrU_^Uk4Asn-Z2Hi^T$SYjmPcB0}|#IcPq))^z*W z{X-qiw02)A#}1O%m26%;7Fm!=%#{jvtM1e_nXG3Y)ao&He&{ZqJ% z*v^InFN9)R)!WKJHmKJ2UM_)nH}^)6vOCV0`qi>}+@mAyF9$6j_fKJt3frnUp2=(C z?B}~D>{`Kl=3n8(mj8$_hprDjraoFdLuBKfjehe&57}k}TMD}!e)2r`^z*TgpHE|U z+oZK=i`>b0d-@UQUan%g!BKuynwnIRtgAO!!ZqqqMEebmdTaH_r z?A&17GI02kZ=S~plXC5vA=dS0SM0liPnhG;VSI9|GWZA5A5aWN)`Dv`{*e>W#9Z_+ zb&tfCV!?|>%W5ktF(&`ytW@Xw!Y0lc!dmj06(dKDWnX_H*IFV-GD!J-@{sA&RYec) zsJxuM$%COB=+9fOv?pb`Yx03d5xHDkTuX0mK6>=%k_8ID@lvx{*hPzLRjz;HV-E*| zL(Gc(89qB8jRC2cPb z*)iY&H%;df7|Ieo?CGZJw~SA%`Mz*};7{TL7>tUVC2=82C&PIzeGvUhxE(UVQaWx@ z(SO1~@*${VuF%d71W(@Fg~}i`!wK4;zW9msO#Yn@S}(-ne;tvr7oIn!EwztoNb_gB z#F$@T^rhD5Y)R8peG`_sL!8Ats__ulUDMC}jfdcgpu6=(i}%VQU0pdrY7)Xfn+BB} z^Dh@zj~F@YlGx@oqBWofT)%`l@$C9Mq&upTk|>TqGTY{(j8L?&No{_Go0*{10?}|} zf|89lQ!a8#h)k$^EBwwn^3I(*8^T0|h1X_o5V^Q#*--r=k3Dp6rJVV*Vw%4}E{A$^ zKfNO^^I{{sliL%Gjo=ao68qWW&=FrT;oLJL&_n3x=-AwJIsV}$@&fIBEj3Slugu%h zozl~p65CxBD>(X$fz~k#JmmV5D_!lt=3IZxRlDAal*8vSZxO#)0%?F7ILJoymj`>SnjDCWAvwXFEhgF zX(d!MzA$wNkE~GFJC`9a39$sRt{f^C{M~osv6}9Z>bv&~K5NGj7DYYFM(1dXDN-TAlnsIL^13ksQEL=y8&jmD zW_XY!6E;mu-$VaIx&ho-s%qzblifo(9!e3z)bU(;{;us=drd`O>Q+CiLaG+qHN#3U3Z`VC=(eOnL{nRdm+O+Cd}`i z*9cVMR4y*xFRh1H9snC86ek7Em1c11yBj7gu!*cGjE;n`@}hTd#5yGm;D>BjXGLtKL39sS|8#g{frKc{&jS-fKHtYeE-8kOzX zC9SNi{65&LwB%Y2GISw>E1(UXL3W9=dXdB)1MlyS9k@~81Twvp6Shjtv=&dq6XL%wqRg5Y`j(nS_1 zCWBZu=;`L2{(A_o=~HRZQs{%N^>;HZIY*3r1Yb~0xSz4+DodrP2Iy(0s0|5v^|(zp zhNGb1!%z?Pi-!j!F}LYgkE=x?7J5tNJ_vXpjUL~-H+L)3dBknX1Nx^@=K~&p@s^lOVec`WFiRx=%>o7CC`qV zK@w1+Q(3lcg|pj+%fdrs1M)Lq`&kL%J}3LT2^SN;pe<#m*#P2DDfS7>#>)#(1_E97?CXLtttG^5?rpkcZ+^G_ z8sx#PQ1KJEXtdn28G2vHBA&{iIz}`S_C2Q|sv(XoiCHU7MO;~8s6*{gvFt}rO+C$0 z`NTE#l%mK8y2TT-Lyjm`26egCnR|%^kowx)D{_2x2{V^K*9a%nM~kjtx8y@!&*qZ< z3#iIg6e4wg>U-;b6Y6cOv!f?lb(YSs&p(D-2Lj$w6BfD{8yT6S-Y9tSw83Y|OAMYv zt@_oi*eFZXbN|lpiK#Nrm*Qm2C9<-z5ywTGWxdh_1O%+fmhxbsgA7%4S99JLlt1Mn z^`N=lc!o>&{_3gGmTPffC@V~@KLPQ^OM5k!TXlC59_9&A<=2#FUF!UTdZhEVFS$Yc zWh_@&)<3kjSm?N^+-qMwPJvyYXY?5Co3kVa!l9O}&t|MY2}W|ewVQ|Xara%~@`^lt z@<&$xl>-{nob7u-41TLV)g;o-Phhm+%J63%;eLmgSo9u!+*nRqIY1@-QCj5Fdp&LL zJvlKJ9nfikgJ>(A(PIj&byt5PEl++IktXw*S=Fkm3DoX;=g)KBW@gs00Tpp@{R#?) zO1?imvEg&RM@Y!B{05|n>q-cp`VK`E4(1PDq(cO?)W)=YWLP6FN#C%WzOwxh#1_$m zlsEl|mP<48e)uQ1QhTYGMbnL$YM#cnX9RFEK5NASx`GCOj@D3Inv`;S;bMOmKi8qw z@|$n`$sZ{17`_zCwM$UCpx~&yH~s!riyXtGs|#+)G({FR`NwE)voTw9^TH&dnBtSH zV`oUZSna8N($y4TweopDc**%hB=t}O+0%|n)O05;DfA-EnyV87UXNiMGnJk5?DU*V zrgffH9br}-*!(zYhSWEoona|PzoxFvAq6#FqA>%~;5Ox=_Dr;Kw}mgfcy_ta1A0UW?m)c#BU0*PF3Bm;!+dI&= zJ-?82Ze>1d7Nn-KJwE1+O53G8vz6RXBi9PEc)M=WI%7Ob0#Tu2=G+1wQ3zgl_Jnmq z1+Duolr`m{p#v4~emR8n`7a)()6?^BZua!{Uf^}<&4IL!Mlv$2(@62|zFnMIQAZ&< zK_y^c_HnGu6TgPynn7XI@n{~F{gALILyA`$A6<}dh!#sB+R!LaR3DK2d{2R|$;+zU z%j(MpD+Tk7gWz|)w@N+F0)M+z)%kDu+YxlJoT;bsI!G>%F=;YtC6!a6Q8B};tt#s0 z8CyK+wwSlRKT8~`Rq@Cf^DTUFC?=r~{i}pcvG=|>S~VZEYDUd%v+MGZ=gKc^xmrkz zU`F@*8JqU#O&Kb4+RtvNHUW%8{7kWTVXw&6;gV7dUZx;anx2r8_=p@o>c=HS>b66_voFSoapwo@FSn^ zL=r=ql~z41Rz%py18*U-HSp!it-J3vuhG+NR7wn z%k*W3)1RN4m;$!(RLRJsk2afEFRg{_ZK)#MD9-Yb&zaD!d)7Re$VouS8G;O7%)#2e z93HB(U0yC*T&iEHC%>Mm+Id{=|5&^3c&hh5T%tiDl2W8piqN()Dn-jE5ruZ5sLaex zgCwINMIsHNC?k7RlokppJIV}M+5Dc5t~$v%*ZtM~=Xwd}`~8gfe4gh$+1E(;pVGJd z5~iSTL5G~Xub~k>M047IEe8v0DbZsv+(7W=#rj7+ulGKYP-rf3&0q7J$N?>wfK#7o z?H0fD{8s%gUfl(2R{th4Lk_V5qt#cbavc{EAyE21I19%Gg{|$FO>^NbjFt^IOJX+`H%g=MS$$={(_({z*t+erHD7bbn|WC_r(L3R*RHNFVrbrwJ?a!>D_je$RnL za`-j>IhTVhDrF0Ee78v8mh#!ZOH1^x^B})Q^Pl|EnUJ8U?vU~^GBjj4fzvP_RfLeH z7aoe(9iH))@P?Rrzvc25h!W#YYED{EcPAO6tP(LEfV-##mUx2lp!sZN911kH;t?Zg ze)fMk#+lZ`WumQ(E0nP`>bfNu6@nt7(MOZp%096MIYEz4A68+yhDlPV4OA@d|29O6 zoU|Lvi>I2MV)`rlHVj_z$Hui@Jh0STIbf@{wor#^pPQ7gXB@{Xl^r*wa8Lp?#elff z4F@y+jz5y(&O8xk!mUQ<(C8v(DimHS{&#vxpW(yf3jDtjuqs8H>+<6L8}Ho~H6G;i zAB;b`^J8VihR zM`Dc>ARznw`^(RF;~LkD+%O$`eL6-Sf&BHAj#(Gd_A-I!CalEYrnscUk?ewlNN3M!RC`MWS&{eCVD}`xG?cB*XsXr zjK5ovwd`N58E5fpt>C}Sz}3-_b3*!dg^0+2_4E72B-C6X8Oe5o;hzT?$HY^8fA*}u zvx$-O=I=|tx_)+6cJ@W#8Q!mNXH~%uXosw!C;7V>ejqNWfbai!=Rr*2#TkC1Eat9# zM|C#(t!%#&Hl2ljp60^RzjABk?UC&l`gUCVsc$oI?*b1GXN@-O8g^?i4C2u3s*(fI zcZ0bU$8ePSN~=?YNEd^m$5Q#I)^^}KQoVkrG(}xeQL(uLXolDnzUA*k#a@Uu|G;30 zExnbbNO62MDK|5{>~pcyMtb@98L9#dn>%qy;#L=pe><=nR4S?(DVO0SeX{HO0i!UE zUOdxp^{hck@cn0sYQ5=fMc+R~icnn=f&NR97qa#node0mY1uht9anzwQ#wENAO4B5 zkYs*4JdrdnG%X(2@1sSZ)ZIGx03}>hC_+#OkNB)X$1*f~%Lk!zSj<=Vi0Y%875Gud z@f7@%lT}jjN_x*7Z@;E{-Z#{h7?^^3TjDGmN^RKch86W0d?rqF!>qW>7V2^5Eo6Sb%ae)P`Dzl9d3Pb34P%4ggBCeuRNbA5k3Ea&-# z*4kF>p}n3$OJZrv^k(o#}(yNsylZ^_2YH#gp~;UDAc3!iO7aGO8wQHp}! z^w~$1PW;JE{k)uT!Ih6lQQ+y*rv)N0d<7_A-m2d|YrtMny|g?p3*xC~j=_tmpX$fs z{l_mAxvj~||ZjMg<^hTz}X!T^Trqb%Sv4;*+^ zc=?~=+&Y!k2mMZRkk#@VN8-v8E7ckP`wRZOEkFM7S3^p9`Cggm1TH#fFKXV|V*mnLe;gog?BBA)=s<49QCsKk&!&PwY zWutA120kLqzlCetu?F2xyW_1}Xx{;QC(rLJ!+;_#F@OK$ca$GK|TO1ioTj>PFBEoC`LJF=Aiv;w4BdRHbRUwT0ljz?Cc_0z~nPf7ff@Xes0}u#zCoEAj6~WV`jc`1ylBzY7A0A(qp6Lj{%0a?ZrBE|(0P zt@&tP!GT4EpBG$JgmSaHNFh|`W&SZ~&!^Hw4X!nzNj>G>#(WEQDui+dXS$$e(O$}( zv?aRTZ}|y6%MuDon@KF$9l6FT)`xPf61saFenPMSFFF6MT`R%K%37F8P?+My4zoJj zb-BmWQs`9Z=;6(^(EYQQ@DgR3P4Z&7*I3K=IbL2U!MPbf|-`}TRUU_T*oa= zBMV}7KXv8pe80<$P&*US?{SFNy?;JWO7q-}u5?#zxT~ZUJC=*@dS7c|O z@e_p0FUhc`v+Hirr}(JNRK)`Md!rX;uk~;I>BneYq<#nV^JS^NqZF2mo*0=}3n0^} z<>z^`m4TZixH!4y>uiN!nep=Sa#@``I3`8IFD6Fv!gF-($``&OP#r1L&#ng_bI>8> z&spVn=i(}Q6|5oMwn{w;@PwZ^(%3r0r>O|CPot>VHC~w3mOLu<#lGT1+a#&Rycm;; z>YU(}H)=yP9!5qM9(gHp@7_Hi^zAhbQ^sTmBWdDY%VHa~vbA=8T1yGa1o67T8q{T^ zUzf)(5g~p^ebZ*%e?0>Kw(e%4htL?;v2DqjH8Ez#69>?n#kNHss?Ce^DQZj}!FJW` zoffzp2Lm*j#$-Q0E?{O8y_V*po}ZiP{wi!U?Hb+B>qP&-pk}llJ=dpGjJG9NwL~Sh z+_OmPEc9Wv0c%$)=E$A^PsTCXQCK&h=evP3G}+S!kt=-rc4P5oIu;fs3*f10p8r0E zk^bWhw#+^(n7?cTva}r-T(?zxb>mAjudgK3IpC|ep&>6{h?1dBtK!|?w4D3n0^A;+ zLxL4|sjp7|ztJ{CgFY&ymwOTjQ*1!EI-@n)yRuh2@8Q9%voEwKUFj8cpNFLCf>}`z z&E{COCX%=gnXMjw?u`AmQXZWcB?jaI(80pGX1O{Rkx^0Hz*)>B5zx%5VLa4kn)-Dp zvE2GWoy=T64a|RB2C9wHA?-PqiSsTmG1S=oh>*p=P1 zT);J6S>of#3o5clKc$^3EY>|0+>mjfc{HEe8FDi(-O8#uuyo4EkM>WZFHX5fC;B|? zgotK=BP0Cu;vny@Z zEqifmooD(r8R;-f2q$Rj*_zFKpH&sM2L#&Yw`n@pH<%k58qVAzAp=$mK*stB-C4dp z5%Y_>C8k;gmiqQo`L1@II$29{*VD5EzvRs5CPgU700h-3dwR$`QT9Fmb$g+Gw}bf6 zK9Wu9D4&zXO+q2sKk+j1RSagm#Vq5QTUN{ef_%%YXJGBVhN|@6*iI$_pH#cCdesrj z+Q?i;WN-j|_OZINxVJ~ewtACHbt113n~byPJgtR$UR(s^xWXbhg}-OAhQERJC7mDM z?UL<2dcx%D>bS;d8uO^&Ayil5KfTEk{><#`=FX(v&QzzKPl9rD$2p{l*)=%d*%T16 zH7X=4_!i?zi!a5U3B~zQ#(Hy|tsl(pnf%RvPE@le&Qztg+e0MmV+A?t(1$}aL zAuivGyQxZ~1Fddmg8ZgdPaT@(a_C2bGIKQH*QD+tU`7cEfHMPp?P4YDKv2~={K+hD ze}D_0j1{;j2p*4ix8&M`qOh!|txQ-mWM1NDu#^+QuV`!l?knoSg9UOjmS0QkyPny9 z3fRFOq6>Tx7+=>U4)2_~F=ng)+d{ez%>R(#j;pKd``LmEsB&Irrj2*h?Y#aDcqrw@ zwpi1uFCW4MoPj*B1ld#Zc*5nn!Z-^M(#{ocy#flnzAx{GgCJ4DA|IJ)S!kAPTWhE{ z1t7hd*L;gWQr2sG3_wvAziFjx)y)fyS&tvN@=DL}B+MQ!EAEgg(`}JsrERU=9v{=* zad7|sH33;44m|v7BcLaC%9EO}J(^)md;O^{tzdDL9lto4%cB>k%w+ouM*5h%9P`Pd zcd*SiGbtb#u9`t;REJw@iPX)bQPaNM?lgb@3CP6QrgUk6xKmZ%YV(~>*gB=iJxNrr zD6$)08PRE;w7c{=&6_fu;TEZn$9j0s`H><1Q{X;I<_YPIMc&4Vlbx2e7MPqobq^v{xw3C|s{1Rdq8h2iH#Nrdw9qzW2`<3U!xIO6&3g2Q^S> z<)^xd1D=Q?%dC*};ls1qfjM?bJv2^B7AQi&y6FSTS?si-?2-TVO`?dKx`j>x=bXbR^z& z!V$QWo{%%XGN{ws>{=Z?fY+Y!NU!Ie{o~UL3dn1gi{NxN&sdpN3x6HDHOdHS6TrN! zx{$beTYoS8McckDyrWc{Mpq{C4qrgqHfIMSZd_t@=q>Fo(ohepyd)EhOcdAxX|7Kk z+1wOjuK+qw3;cTi{T)wUD(X%VHyUeg9-i3Yi7Vc+VcCKi;D<5}N`3skOR8}sXR7<~ z{4c~5dpNg*@7(~2irsOX$`aE#oYq^QyNr%Ae4-U3Z6F1t{mEeJ?S_o`qGn81PY?SQ&Y7#ht6T7{oB9TB#JIGh=Q?g>2o*To-oSf9JCh*)VjEk*zgymE3J6ujd9_eOu+QhwZ7{lQ7Q%PZDw1=!aINb2BGoMC=|E z-Zs>W`OjNz=6s)I-wW*#0kPeCeT*3{TJwRfzrTBMA%2o7OrRRQh1?{qk>$YELDn7@#p@-STQ{8p4; zEug|&7`2kEPU)*&&u6`!>d+n`j#nF=bY$vTm%q9K7Iz&IdTE_ar?z)3y324}@uDc6 zvPwgPwlg$XhwwX3O6&ve9~ofeX)d^57eiA;hFDSaaTd|jjCv^09BnFJb$o|a<8whr z(We;SAd;y5SqV?J@boh?F+5qT>|o^8e~!6QG>W-Qb>4=@XD00u7wB4Osl-mwB!x4Y-yx#UW%=?pMnX4tUV(n0YLu1WtA z$}eLgq%MRvF%nV5?LNgHR{fG?*P?mr zt}LyJ!=0v!cI7W;U|o=*V)chX1LJXOXxXq8$K)q7m8bi}fx=wM8VUuy(h|eago$H7 zi0Ii-RTvdzT-@WV*EJ(-<pu)}i?%lspdopnIl!{!rJ(eLV%| z4TVjSY`&53Y#U}D4;ph(6O0K!_gzS#!8uB%HX=(DaR1UaBJMTDb@HOw994UHV)-mg zBIgnJqV*zTjUJxuI*rODGl3Ii<-Md%t18sL!GoAcs(*UUm1b5vuBBqs^GH=m z%8}6#4<8ar7-ZAb8}_oYvVzGKHHwu--KL5h){;viAjgH=hSp0QL{pqYLHC<5D(*}~ zRFs9;T<-eTv2iLHId@|#Y5ixe5!V$<0NpwyR_ zps!;GLBTjHRsDzri~1sz6d*!p8aaEKudHpy`C02+CCe|{%v=b$G!~6eUEVRGTki88 zu*=#Yj$Va`ebv{GPp*r%cC^$Go*9&SWT%Po-PMk)22ESc)iDk0kqefZwk5Z2cXohm z3j`hO?}n!{YeKE%^4ePfL4#m-Y3ruXV_7&CxrW0)(RY4AGu^8zD+4p%J){aVL7o4& zZ+O#`KSH1WzzB*o%z?fg;pK2}x0-$cI1hTD^`=?2(g)fIW74+XT2E8jZStaWkSsyE zOT$HT**_5m{ z`|e9oo#tIN8%n%Y!8iKHGd$o@%B$Gd^7PxiIn>n)4&hAq_b<5qG(#e8aQSvrVf4DI zHK$pBc~BQ;?C4Q}z>9NRkt5-zqg&;B9e5-3$8bx zT;W>>o#EZke7sf6pANM#uKSj!t`tDo&_qdUwnnoo)V{k0Nsd_eC82#=tafT> zXvw$M3Z1Z?O49`J8!>D+yiQ5>$FchVzsS6kEM455u3T2gI0~tMhhc^8^(!ri#v4J8 z-wjsa^rF`~g?dtT(Ph`Hs_zi`IWh`xbV1gsG3X}!<8`7jpG-hTM*;tc;R=f{nW~)@ z_N^9C2lFS!2uCovDICc{-@@kU*~u*2qBdO(&v2c(2>Gt_Tdg4>86Fnh@_B6B^ORdX z*tfW(PcpQJ5~43j;ORDD=YCG_9?noW`s6gxS9%-%|89PzdUD1Uix(Tkmsf0!Q;Z>v z6~kNyqr8}0+-oi`TgTNOKej;a)4n?U4scGXIj6?uUBCV<)~x1nE%r_hB|h1TAFjk0JMcS{kGLsevo$)2%EcEWkK(he<8n_Q;%^Zm$0?d$i~9Hd6LgSMxi%p1jd zj_t?ZQ$(3;ZrobO!`*K}hP>DO!_n1_>0q2%Wqh&H#{R^UlkywJq}0|#`4>h#d7g5w z$jd5R=||6=|M2%7cuGPl7Zo*L{tFY&PT%W{e-_pJcxFZJK-1RR8~e61{dmFOzK}lv z(4OmSHKm`;4f-+DkH0?X7nS$mb`m^Hrv3Io{eRU@mKcK!lg)oc_C(1QpZ8qS(1UjN zv=-3MIE6Vc|MQj(mgi6;m{FK{w&@%v?H5eK3)aBY}>q^cOh9d#kS zwH&g~x!-w<74(0?5Q{o}FA-=>nb}pOP&mz>fpeB>o3(sSS4VAVUH++-+0}kjqr)ME zm(x{$O7?bMO+HJn_40&-y{5nDQ@xmzsroeGz~3mFU=;!*|XPr2= z06Ggw-Y}j0#r(e$dmP4$3GtB79NNouk7!~WTIJVKK{@~bP@r)$gryS`Cs)v3uf^*i zWc|7^Qj&Ioc<6V6U4IP@&DOX1rrb0aOkNhVRni@!O2*Pz_96e1UkjP3l~7;wn)aHy z%+9?1M&sf9|HDBIycQ9R6!fKJn=@BBc{hLgU;=QLlfNj%3O6%klo!3GXFk`Qz`J(~ z>i9=cxt+98l;g*bS5E5jv{AVJBM$iWL+>r$4plLzyxjSG`x&NIpsHm!xkPO?1JRlS z&dP9d44_u7(BHf?oR9X+sTL_Q;cU76@^y-vY)9a(XRb~OM1vx?hxK-fW#J{E%w)MF zY+beS*w&wiC6k$nr>*tIUcq;Hb@^X(-A7Q_YIepv)n6KTw3b&@kmAHCh^Ez=pcMrXbR@V&@9$qnstZIyHM(CA3^Gj0L*qd!t_g@8(PMGuD^+M837oIM z3vf_UhbL3zivus3svz!$S?R)|_=}QFsHqAI3kOeAzzDbfxuhr}Dq6J1Q>Qvg>B+v= z*JL`qi@Wwlqyyt93Hjwf?=@v?_H9p~jCbLATJr+X10*oND657w^dw^~fwfx5IeNwMo&ZEaF6MYUp zoqW_%N%7|v|2%Ad?ZlcV)~7$GyKdk2{I$wa_`bV}97H=&GZQGR8g16%s%9v+<3 z{Ux`>3r({MVoYkHl+@JJ-i?SB77_}HDfdF%$R1*`OMI%y%ns=OJt-7azA6FvU7YL( zx6f76zxetG;B#F>4%ouFd`e1237BXWRbF+RdPHgSFpIX2m)bItG4rLCJE?8e;=2@= zlv37h_Y68PW$FGiKI`3k<~k4bnB)W9b+FGLh9>4OznTG(q)A`GUWNeW=8S{DYEwpSK{{rj#R zoqb`$raRfG+ozIRxDL%a<>2lwx+xjfb?SbN3nNuvrLxww`~7 zgCQS2@iH{By1Ix|ezk*@mX=oYoG!ywt+6G_^Z07tx<5@jC*X#{xx?Mvy*g=PSU8y)M&h+<=C zR6^eH4?=e67N@4BVse}D2=1$L_I4vQ(@LAkkcYhHT7Q3k_7Iuv$;a5ad&o!tPfx+6 ztj7C*$RIGbNmndy(r+SCR%5JQq0;?JXgSD-a{_4nuCqRA8n1P8-CjMyzf5tk==8PDV*OYotZy#g~3G5cvbHRd-P%B1PyA)%2&IRVi zL2;H$YGaqQC2t3yJ;Bc;c&=T)p79V!2whFSy*4}7kBjvdH^z`2`>XE*_hnoovo1hS zDs3^Z&Eti-LI#W&C7ZMgOI}wuDQH`-9tyNiqx_1>jAuHTYKnWTem$oGFt=lX;>)Z|kmo;!)V%|Vn z1zt-GOXI%8H>DF29EOYWimk2%_LiuKLQ@g5m-eW4LI1K24LcC#6u4OF?*8cAWN^y6 zH6j45Q1*(j+t~#A`_G7dj7f+7=B`stojUb#+Wm}%+Yf)%vwpn0JpVq1QvAB$3g4ip zGx);nRzvnMae>HCDrBmoC|KgDI5bXUq6*FPq3p_$8ec7{9WjTmR_0JiGHlaq$sIE! z;s8OY`j}TWie-8s*nzIOy&btp4(bh-tNO&#`_IRKb(A+^d>5kA3Cjr6(1flM889h+ zwDA+1-d^D?Gq10_B?eBo4+hE(oi$Za}3 zLN2j^twedMz)lt5bE4(-Lg4kjSZNQ1ee7q%a6{2enemWZOZFxY4-Y0LCbO+`mRe!D zRrIIx>vo>9H%!FGA*$kAX8ASg-nC%m=v6y|Pq4{KsNK2aEqkQB@$(W^4cgT-=us zoEhp`F@L~~>s!)@`S5TeUrxpLO)?ua;`y-&epkkvowUIJ{{8!~no<^8S|1*tVgWv9 z!sf=H2iqSVuUs~LR=m(L0uLc^i-dWbHnh@lIUZN^#+lWC>vmtvwrU-``03lbgU|6L z+hUI1-sm>i^+JRNWMUeRZ=!ZHU1=Nnhm6FD03p^JRAYciI?`zQ8zr>7i&b8nIEF-Y z(-ZH(nY(8INO2OVv57v10({InKsn(yTQaEefop`9|Lz(G{ehoO;Fvrw}Y$XRb-1>&X z?r%_x)d;v;4<%LR64#{JWTqO8g{M57I9f6f@vmjK`IS-5^*Q}o0Kl_|AxOsmvW;W4 zB+1mNU5E0K%@ezb!6`_O-Ntp*8rw^EWv(YQ{KW3n%P(d zDEpKa);^TBsGB+sxRLslxICJ#jPN8(^lQlpw%Lh#<`|6H1?Q27EaB2TtwzK=NwBhH z6zcF%Q{5BIgggjt_ngwaw_+^g8@y*S-Vw~%K4rn0bv}O|I5-)>xJAobK{~p+d}5d~9MVu{o?lh=M8F;#=kG~&ZJWt3nYC=@{HpHeH=;A`RgqS^7@SmB?u@{{90#v8CgZ( zy+JEvNP*BO`JMuO_bP+lj_?1Jrj9qa?(S&0qMTkEZ7?F5g_+qE15T%Pm5drOa%?4d z_aHt#Cd08l6EhZn)THznnP`Y1687+Tg4LS~Dfx*(wio9hy)j(dto-UsY*iW4Aw>y# zF@TF;CcFtcKy}D+B8?9}V&W!96jTRJvu#Dt8z1Zg^Co@%)~#D)`zuyTO(A*Dr;UDL zVMpM5-{2xfmqDGJ$ISaaPS1F`EjlV;AcecYeuspg9n8%jzGa9uS$$A|wmBl7(YIBU zY9?^T&Rb>z6Rt38TI}=V8Z?$8`j-56;AEj*4>HmlypGEbB*7!DJ;E>k@d)U}xiHMHL08;ChxfuB|qc~m66 zF21`Ve~O8}E&;~Re8)|iUvg#NwRQ;n^NKl`pN>t0;o?kVby4qGD}UKnBX)H$nz4fAOgJ z^-TL{7{5sC%D-NGc+$;dW`^B-QrNWUSe$)t5A@G>$>_dj6)%{NT!)O4Gg*1kLg_Q# z(gR!6?-=fK(Hzc5)~acY6`%^e0&*XFXjE#FcOvdHnym%&|F4nx#0+h_h7r0nMX#zJ`}G@ zq~~A%xx?|CTcQu`C|!@gyw(5h-8htuWg-0!7W(5h5k8b#92hZ^D=ce7WSRA?sH|s# z90^$gfz+n@M`ZZV7y5qks6tMOK3?^Dh5Sg1aLWzj)*ukw#ta_dlDrUXwH$C`{zkHk z!2s8)-(`bYH1}G!aSpcoX%qzCQ~C3UGDgR5DaBLQYHmXtSx^7B1##GnEv3vjdglHc z1B_;fNz16leaqn}b3Sth#VJGI(m^NS^&2;b(Wusn0@iGt#$3KsVEE*M*OhxDg>dP4 zww7ROjt-VBVx3Z49r_5_2OC$nJs{2crR@u*4qOnj)$li8@5HP=9y#w9t1%no`qt18!nOb_+Fna2jj9>`%l$i ze^*tLf?)HV*_j5^yizV}@Wugg35eqBhKcEY?F_BHMA0S^tcFw?v6;R)f@GH$hKK1H z=>8R~9evZjzzVuRZxq9#7R9tLtIG+_Mc_A8&UnO#=vJ&@n*plgdcG^!c+9)8+HZWLOh!cK~MD8O)f*i=GbAxw{-}-p{3~)C#MD_k_7Fpl?c)xJOSexV~lE zwySGztq|zq$-Az$S!G%%642ocB3rg&;?0}d$0~VOF)o_cc1W_PHFF-5lsGdaf2-{w zKl-LDf@BU&3@4Sd%~n&Kb*4Sj76Aqd5-DwK2y_>sUQdN1Be=zMuUh6Iw^X>LTsX{Q zk}qGd&m?xUEJae~bhw?zwbvW2seLmVc;?JEtIlp?rdJXl0XrZm|Bw!* z^@np!P8y@Gu1>Zd0mHMT&2lYwz;p%OV7A(;3w4N>eI%aW)e3*SiX+t^jsyy*hkII@ zg2N#wWL7;gSio)BLfOwyxr%}4a;b+wKB6fyc&^kDCH72+YF51XhK$8a^az?*^_VPI z)nXHfqRdf*fDISOHM}r;!x>$hKs`Os>rs_R6A>d zt}tnUJr%z0lc>D&*q~jvpO>;)kpD!SozY&B{4&(k#W@avR)3vURL=WJZu+ulv1?)TIQ$cC) z_y3m?_kl5KCFz%Ln&FW%AXw?^%auDLy59p#8V&to%xfz9gbD2?qb zh(0|1y%otdsyDk}^4Y1mXx+&B_k75(`*v}Y@|p?3u_U|-4o&p3Bz-J}TS56)R5bU> zNUuOH0rTIW0c8#52T%`24OUxbrkv6}#W=qa|!O zCFf1CW3A0p>eX})kG^w@ytv;Orir54CfVuEkxC|vCWIS-!GpRK%GEI_l1z?t8FamV zP2oi}{BSo}WAfdnuUxU#EXt^mCroKvxq~4J;692|52t{;=U=;GWGjlYE4%+0(FUd! zH=hXl2cPtdv99FUuGUzBE#MyMc=n4zf?Xk*6n90&`Ww1cWm%{q@tm37DScJY#{4kK zy?{J)Y!EMuK(V~-ekPH?n}#h>P@|2O?t5k}Zudt%W*b=$KlA%CJ$4ICanszmk)z&V zu#Ok$`V2iQHee)qH@i~8OVK#>rg^<oFx}4l!x@_D;hB=`%v(@w= z>X5#N9*5&3n|GE64iWTQ1`xYDSA*3h;1 z(a#2Raz9;IpRJFOqAWi#@|1ferfxqtTG%Dm+aw1q83}a%V)>*`o<%L7n~=+O1mDT_ z2QyECs%}hqTN>9~8`Qc4PLqs-LoeZZR}0CGaT#7)b(rT2CwLPwh7SPj z*I$<*&TGfvH;2g1K8d1#0SWG2$G`iK=cP*%xwtlqfM9sW*?Hfq%jfR3-0Rbt-5@$2 zs#BF?KTdO+CT)Ho`(V&&iPf799@HBwK=;z{yWYEOd)Bogdh_(!;}KA+M*7w;u1~5!~Q~ z%9nJ`;?+JsqKQGja1ml(82zMUx`p&jW5?B-M_TBs=S(p(bS8T#zl&uaB<+L0vX$2zWo(V!+qfLLGz zpG>JmY<5baKHW#UwI;Xz>k~G%F?m<&m)PcOmY0s>cUr3Ai5+XEd0{OrdA;T;lViMB#OJj;w*E*cD&ZNWgYDBHm-#!(oGoWNFT>G z==u0@q0}L=^w?IF(8ohWOg;)$oF6t!N*>*K!eOxTcYH@J5Cr;E*S64^{H(S_X-`h%* z8+L9H$)B~l`j?`_Lt*xw8tXUytMYmG4!#CQW~0fwFMH`=D8An|{a45SW7)ocAs;^B zJ@86Bm`b|Wt{Hm8f*x!4$jaJ&LLUeK4|HNgRIt1+tpki`2VF4SkC#b0{>w=k>Vw@= z{b?o(Wo|}sb8}~0UT}kJV>B7NP2)bxPh`s5eOP22ZTu_MFQT%55~C5ovu&&4(NSeo ziTBrGqlATpkxsN=Vpro!TK)AOe}-ob9@EidWu3WF@i>>Dv2~e&LE>+36Cl>$n}&qt z17eS@-#X2tX}-sgUzKN>um$Zn=(Ln_OQWq$CaGGkisNGdaK@D3A)oW*zKopKdJLm< zAh-_k_12>K6T=ynjG6GRS^i%P@;~RqWc(a4F=J6hGcrMrIzJxxwt-|_{_%3G$c6!Z zTeSC8;Qn}$b<_GyiZ<$;xyQ@;r?m|HqeF;UsGiAPni$dWhjciFx8NR(s*K-v(|V#` z9(Fg#QoTJ|+Rqo#e1pE94^+claaqhq^(+HVLpCa2IA@||E>s7_?>QqfDgT6SvG&s| z2FJWGYM+ottatS2Q4w*GdGiWzX$|^N;Q3}n2>Z*5@2BnFu#5KFOlD3=V*O~sIoW^m zYJL?)o~n#`6bcZ6Y`UYKvjlZil;2h^wYXN0w}ASOxQk{g*~_gho}(gkuQu`MqUuCf%rZ% zs#6mtl4)r#_u|`{@NiwjIAindyHuAz-iV3WB+jRh`tgVU;lKS>KKCS4?Dy~Ak9J#5 zrn=B(^k_H6M9F21rh1^?e#^Jx;xMBmmfyZlwQ95%V8CxtY$hPHaWhW6GT-B$@eFG<8Q(jIvjzb@wg_b1ge*~1>LEHzIn4Ds?nC7chf!H}#O zEqwEA!5ZY#@u>2_ zobHbbt94^oXcrt7P6QNkfyZAFvOXq%jTBD9xl1Kz8YYU(czmFk@ngwBo9s8MM|(<9BEutWQ_q`j%Nwccne|M}H@A z3|$NdX!{P@fB*NRvwIa@QDc$_ZCk28nc<-J(V3bPK7DiyRVM_E&cbY{*hrId@3aDZ#YFS%-KzN8%O4@ov>VmxH*nKq2d zZV%XW+P~cYglLA}=AIN&8(fv~k&#jRWHNX@3rRzAvy^Ei+~hd_!i9{x4@I4At(-{P zlyx9YHTI&H$kP1X-ye~(jP$pGvqLhqxng~Pewx;_OPbC^BKap+jepvXznqNUKVS&o zk-x?a`<=yIwuR<{Q&z)a6s9F#qH?JJa>Z%>Ej*EzI&95_#(XMajRDV@xfrzx@27yFW=%1b9uR$geu57TJ=3kSyV~%*9u}kuA zC_yPOAWPWRVy{PLRsrb~54tv5A107eB;b<8VRv|71C3Eb^E#+U^cBYe3XHy3b6ue| zh%KxE2hVQm2KjAU*zV`msHTe>8x<#Z0|za>JI#HbQIu)bTfZJc1IH+pkE_uV{1RC! zytRaI*Z{~ONG~A|@VZ~><|hK2&!cO+%TFtOEh)LJ2FPJMo6A>2ee?$s49@CN> z9BBUDzPHsL&Ayj!Zm?_eMh5dtAJc)MH|ryC}dw=nLsg6&y6B>)XP}kETY|LMdN^EgWjpjdC&jxN?sCTXWNq=r6OMpps9eO>-w}} zo2J(UQ_7h-&m5d*bj8N|N9ox#q`vZGJ^L{bjPfd+xt;GclR9$HT9HsIFtPwA0~o>m zB2S91%B+^qcF8dx7F$aRIOoQVDi_ z?4q29C!Rt^c^OD);0UWr`{k8_QI5%Bz6DsA9N7&MfXlJys0z?C|FGx!IZO3T;wK%@ z`};gSY*|xr#q`x(&yd)mL~EcO{=BBWtHL5q;v<_j&Zm$^5YJ@0eHbsQvBxBLagF3P)at*73Z7vJrg{eYT|Dmih^s|Gu&^l^v>^!Hnx#&|6P<9`5OsSnSUbbr~%h7`A9&yUfljw*sxckCG zH8mwj{GoXRh-n$7MWS_Eg{e9EUHKz;8AR5Vkai{j&Qh2TW2Gnj$-?nsH9*1dtWVV{ zk3IJepqAa`%X6HV&>JPU(bL0YR&}I>Sj?yM`9^W~zAdOysd(*ekuF(r6VN>NxMklp zGVO8qoD}nG9Yhkpo)ROlp-8u}`%4IFR!B(5V46l&7CVQ`-dC5st_6uJz(=oaennLm z9^mmmg~OWJ*h#0;7T_Id00;HhUy~TX+0y}+E3KB5bq=F;ko;+i?B|P{H128VB(p$8 zw*<-*D@SnO+24WdW|=ZxF&;FXC9G*T6VXa@=gm>6y2+uD+d4$HEW#f&;FMc1$wxwmP%emuO3#h9U(8=(D=20AJ;#Jkhu>jkO2$ z5Zu=RWBSaM7ecaM;g)0}#X$&~n^a^xWcQ#`4tk8ax*WcXQn`O!`DlruCIsE06voJ+Rqx(4)2i(&^f#L z`t|LYJSB_GNBW?UaJvqWno>711#JY|vO@sROc%JYcr_^Hc|cfvgFry4<0-gIB(Cy}*(XHi7ybgQ-9=PiKmQ4uF$Y<;eG6HzMs$x+&)cKSTs!6uJpm zji?OF8QBZ?k${3RVI6(-Ju-b_mt0So94rUNh!uy9j+RoH;Qi1$!``k&Xwmmd>MPdi zRnrAz&pj$UU$<3rS{c>F3Doh6?6$ogSs3*#s-7Ay-#%CMvhTAaLNvq5Av^pCAqu8! z-VQLvsqJu>ViY*bR+|0Pff_RMw6GdCV2#+)n;aYOWk{5rZRUb*Pjg$DTkgd~-lz59 z8M1_1t(n;9(HxTmU7{@KNcG2}(-+1;BxAmC$}GoujqY20w(J1g9yF760N(AenPDrP z;s{>mW?ZpDkyZISG=NiE!G3h~aJHBtrzKCE(Xv#T@PxwYkx53@sc-5qx8zBm52bVH z;zr(|e`Sp7!LJq_9YQUfqqXvQqC(n#SDFI$|v!BuWyUZ z|2#vJubE&8^b{v|edhHiuf>b6@;!oTN-S{1Ypog}BeDU@b_DnlLJ@edVstJzBLQq+ zi7UvwVb#i+enNVza&|8WGsZ>nl4^JFxka@`1ej${?f2RpmK_-jg2GZ4mBH?X-*Fv^AEQ!HP`ANI&DW(E^ZiY zE+f1!b<=QR{u9!1*E9yhQhfJXi?733%}$A0@_S(NU%%6%S%Otrzh$ab<#j?Ynh;$3 zE$^F$WdtBO3b!bQq`F=uOy){L4{AebfF|6#8xX02)ksmmZd93ISPfQrQsMpp9|J3% z9JqZL?`MjIK~IV3fk!5(i*cs9H`ro~=r{#P4U1)tb%GwRe0EG|WMJSN zwYv{HU5sr6)bzkZ^Y{0r0_zR1d;h#5h(39XpNA}^?bCm+3)1)wC7Nh_loe(Yf|CEn zbrwWugz!q_QSy(^PyR*%<9+E@Q)U##nn^dLaB`+<$KoUqtm-9+_v^$GOf#2{%2^m( z{pKICS?#!Bo=nV+Nl9Z=wu}W;giQ5y+5x8XW;jC5RIgaV?nGoaPV$p`+cAQA!yT@I zpHwe^JM=f-d;`9P(NpEB!~!*ydWV^n^CfiQ?4FeA)UM_j-MHiPul*;1g9ci(jSS@r zQQ8X$$QgVk2ZTa27U}!4t4^a3eU6ixp6#>y7Vhg}VYojDt_%X+>&HUCM@gOMEnycx zl8Qr^5U@aH`?#_O6h`JpS-2^>5z4@HI*czWd*;S=;)YYCc*nO|?@8<{9&mcV$A-nn zFVh`M$rD(8_O#~1d=e=jdyN6stt}1OJ4qnt2dQ`R*<&m%iKI|ht$}M@yf6W}9d5Xc z{0SlFM^L;LMw{G^q}P84o1yAhzi00rxj`kX281}jFIvwu>(*(z%{KS46~wU!&A7wQ zFU)wxZ;ND4&l)?^dG;sI?E38Gz4z_;MeU31J#Os1vuoFWZGl_ctKSE$(=yQ4IA7kj zC~NhCHC?Gfsxv4_s~W~-2ukvg-p@V9entj@NKiU}5i71YYm}c&BJc;fH8nLjv6H8KiR>gi&|FC!<;n{g z@Enu2&b$=KdoA5pPHyQwU`wmIb{Ta99F9qOWt;+r$JqzF*N6Psmy#jbMZ z5y3H%f*@jR0XnZ3cYW=Y%Y6CkqU=#O>8vOhp?UV?JPm2sAne8h_f6)&`|D15e-l#*mpx3rICm4~eNUM(PtT(9)AL(8UC2T2ZJ(gkuLW>c zWYXKH`sgy(_uE4YF+4n_d>N+3pAvx6Y7tLta4`&txqayVjczii#J(`#`t=z2C|gEa?Ci`t zO?y|iA49S@YIG%?p2bkT&_&%6R1Ul$b^vO8GXi8peG$!5nh&Rf5Rh7=wD6cUc}Y%VND_q0&yVcYp#fefK`?G4SB){3fXHgXZYqoF=N&A-(>aZ9{q11Vm)$J@M^8-QUw z8Y?@@18;>5FNoO|Qhcp2VN2q$y8C62SJ~C+5Z}liUY>MN4P|lOs^=S^FCqOf9pk5V3Pk1{G&UA1rVuxk z?#wo2>6i2CLB6Lf!u z<|h2|TkiLhF$dl>l}ocZz6vURQCh86p!cJ+dz>!>G#%ztpzarlsr3~WqZ%QbcO9HL z<&Vq|5t#Gk-8Q25hN&WRvmV5aEvb7SeEs^z0yE!XmLRXZ@)oZrY7StPTgyC$GxGNK zURl=;narY^-JEMhGxPHDva{9S6?{AI`{DR6kMv`i@df29!Bn?#%m2B>P(PI%YS$7B zZ|L{(1{o+nrag~TL`miiRTF%}=De-zC=H9k`y(D*PPeTTFLi?5TEZ?R(Dz-uo_j)Lt zam;Q(veyaM$Q+Xa19+Nup=mD?;CWjg-ffCLcVu+R+bF~ZFCRG5-KFXC1E)G`U-;84 z+RsF+MnS>UcVk`Nh8$SJki&ln#q?Cy1vYJ8k<5;pvUJ|kBAr&qf7I8ht$9jnLLjLG z9J&KPwG5#j83H)|@{b zTAZcgET4>&_HLwS5A<7Dm%it>(r(kZ%Ue$B0w3K`oya9eqiyljh1;Tf#gDJJurN|O zz!+AQXtnLhOQ)yScZ(}fxb_I0v}|aWNOc?qy>~Ia)-f(e?iz1k@Zj1KYr6l-Fi?Fo z&!`mZLLdWEai(s)9#NQnIA=#UR6xR1;<`}Jaz&jv7mT`h0jt(7If`i7m1&3L)f$p; zht?3XH1L)U#eRF;rv_;?so?0Pascxp+QE}iUJ zX+5xyJO2s_K9>PvPWC(5`r@*x>r>7jx3<(iuZW$lK(e%JT_LKL2M->6@Q5!K)dy!8 z0#EQ|xU(yi?WQz938c99@G+QiQ8-QSx3d+ZLQbS>oHo1%HuuIkm z%1GqfgJ6f|4~fe1FL#}tyA7f7u9bu|e&A+Y9VWyP z`mMQLUclLMi1V21UO)7S)3+@J)h8_pj=XjoB1-Cln8g;Saz(t^m#XQGQ#WdAK9IAP zLyEbpV$2uJKizQPzGEHffFU5_Qr6ekM-#03#yRk3r3@5Zh@hTYT<%k%mz8ev5XK|@ z#Ok#Wtc_Fe6Rf3ih1E6ucJ|H+3)_=V2go(lFepc0J}RB>cM#6?PL7C`9Zg{9MOw8~ zzpuI82`gsG_r>TFDlN81P~xof7WC=!U1Ki|Qb8L{*Ntbk4d$b7O|&_B;1qgysjJU_ z;WK%)07J$1A9z-8Qz4DMROrqVI_Bt~9D2J(FqO|1W4-2{oxt|!Gm=QR*D)wC^g=2o zb!1!(VNm)^LvJCZ$?x2Gs62{}xv}c^{H^~xIsEWPJVuWu_kZJG_L$mZ|7zjWB9hUo z+Sww9Y{KV>4@<>el;|POhz7?0+WYdjnD_60MxljJi71h!vXoSo7L!nk46WLcEh%m4 zM!F-*y`n}p*LG=yq*Owis415cDy_7rR6;9lw0_Sk%2e}y&t&Fvf4@Jv|6Gq|=KX%X z&g;C+ex9cm;+;mz#G_CmE?8`@Ewb^>WIh6?LRnz459B@7;417Y)6#4Y2@U7f&I3rG ztyP+0k~3Y~A%xdmKBIfeB~TLk8a#E(9jm;;Q3KX?P$XM|Fr#lbSSwH}1Y0-WSTb1kfuH&11UcRag0QkGExZkjc ziIXl9yh0j{by>oK#N`%pi11ecXgvgu3V(+iYH>x$i#(JfMKwsTk3d_OJGup{oV5~s z#KX4Sn``Z~62kpu{0PrY;ceXKR7u}Mjh9MlRK(XR!HCWW4<1Y?GymEIo*cqF2Ta5h z4bEUvW>h-D6fU@B^LVQ|K=Yv%Z>W1UUpA!xI;*P@qSDi;yQahUy~YUmTtQH~(BUs) z;kLC(p`op<4UC@U(TAJ1Z983mxHTSHjWl<4AmnK9GRAF0=>>@B3tia-Hp9w}G69Xv zPT(L<7pNUIewh^j=bapPd_le3${;a2B`w_mHB}W=fZg<3TZX*LJb=_O%V^o# zSK~`AyzWSMI@P2BAge1gA7U4(1@9!A!9;1rfQ&b6bE(!NVye53&F$#K-xDApsj<${ z`C*olE+m$KF!Hy*K{CAt+{EIA2tXS=D1Lw+g!VlYm4_*~ImItte}$gqWbc{9?cksI zs1^WsL!v$IwbgAT&Mh3D&52A3fc#|H>&T?wctBLN14|Rq&}Na_){N!#gaButX5?C} z$}WU3IIZSGS7*Iv2|$MM&e(84z7qP!7f$~ehXlom5WXtZf(!6q#${SLQz9Ss&6{|yB281nAfHfW$UL^ihMoK{@*?zh-? zFp$~y?z6e5@F=Z5$CW}WA49XQ)R;!t-Eu79jwFE-E5g|>r$>q6r@n4&23&LLEAlOe83Vv?&avgS^5Nj3^ zl>J8W0BGDw$cIa|IfOt;uc4*TekJsfLJbUHx^_ay`r*b1=k@88j&ROzAR9GNw9`%X z;a$i+z6Ck!;$qO0Ux(s0U>L51tb3QieTa@^K&cGCV--I__r4nt(Rm`vi#JH^?0^i0 z^Rfz%;3qHG>V5`jH18OBIzH$x>m^901cRo4*?alY_ux1?EZ$bqDl%o42V?}r98+g{ zm^A8Gwq+qaWPs4w3Lz|H19ufu5;|c}^0vP=C`osnO}>EQUjQ8X5Nv&+@ht`73cEA6 z?7A#$QMAo_q5I{38jfCudH}%ML0OJv)}KuUrpi|!905oc-GO%drVc|Yf{8@c7`AXh zRWoWj?k6O40gFJ|uYK)l(cEvh&X7TUivMxN6?=;Yi$Hy*$)p{XYkd%M83@U9+hl?IL#8n0Ki_HX!*Y-|Juau{47g7pLiT>o^Gjn&v`(X=5Jy0NH|ZN6reL zm)p9^)YNp@V~D%~RFm18$FCn%5D1snp{m6{d2)&}>WNt~UN$dtFTGxxL|+_gUE6x> zc5d}Fx*@{Eg@|NNOr3VpE%oPaev-UTPci%l@jVw;+x7OeV*3a6MlK&G2Zsfej0BAm zdOOTLyR%qNtZ#E<8^P3qdcG~8F$D?s>2!Sn#Ki=cC+p=;V0bF5uH?thN!f%^8%x4J zei6HZ`9O*ygh#i;J2YeW8L>_Y@(UiVlJugp^D(>nQXfLD_Jt?+h#_u;AtR9W`Ls%O zo10~@M_6V?!TZ9^PjO;*BMH*rL0>+iqfh8N$$|f%&VXz{JNdmZTb$H;TGY`1Kb}vU zvwYUT_w+d!R26vP^Ku&l&b%-XwEg@vdne4N5syKsvC&sfwWj!+fyMuayF!@SS8$FuX5bM8X$ak57eZh?mJahS)UkBR=zgq@Du5@`YK{D(v^E0#;I; zcFGb=lJ{~0^S1$V#&N_kncp!d8DCuLZNxFG!7*Z-37z z{r%4w%&#!K-5Brwun9QES4ABAM$1Eokg}6exD_WTN|A^CNyn2au?5y>F#Had9yg?; z3M)s2@g14Dz@Xcjl(5|f{Ya2$G6~Z)u}fmG7dx0I+yVQySkm_drcVA|FHu(r+qYMWKN--O3ctp}MB%X&j&UD^x(F)*GbKoZgtKkm z!t~n?Y<;BYppJlUdwHF>hU;!a{Zd|l9$58A_}i>E{(T>fAdlqD8Q0(u!FC?=`(gPB z1;Yyb6brCJUPKP&wt*uhmXp(h?0vw$cfEiJH`4*j46K* z!yi5Jkf=Y1-LAk2B+IdgS+ z;C;Gd3zYhAif+YZ1@LEd%fmwc>?I1_heIIc_h042f}|8pB&J}qgT65w;4xWR3+9rB z@>b${(D3}LaV{M-0$JHIJJp8r*uk_Qaw8viC1B3OEPxYhxuav|pa;%I6G7B%O|g*; zHqWO(N^Rpl2VOQ+u$DIsYIC3{VwECfZ!90WIhdqvPU+@yj5D(?lch@Xjw2|}Bz+06 z1pnWmo?cUoAp3Qz{XwT5MiSeNhLF)!#)h>hc0C12-xZ*lU z^s8gGPa!no%UHUx|0??Zs%;j?Dp`~F*!DHfj|Y8pLlAP#D|F^#-=(pKAi3U}vdnqV zZ-0ftWNRSkymDKdq0ma;!0u{%Yrt^4&rk)5j5H9`4-bXPng|CtdeN3Ye`PxZmqWb> z+cV1Ew~TM#Cw-Sm0`inuTmBB=3^_xRUwn?Fz#Lu(1MdiS zyTO1_QvHzSq^i>#(t{2D>r0`|W02%%sFr~d8jI#ZA@{Cd&u?Pf;j7BCu z+dTQZ@!u)M)0u9ClcdPR zfWxr*bHt)a=yh^(WJu3dLG8A8UM%#>qOPpWaa;334Z}`8h3cf00H7VLOj~RFd1QFr z=Qh+k2t8AGX6tM@+H-B2Hc~ex3bfes+0$P!Fx*{69V)4&-_TIxM1O%qwm{MPb=Ey$ zFMm@jXlX^+^ZU;M0z!1!G>oAs(t_Z+-Q_c2VLTiT{eFBDyaF_Vf$N;{;5U%h^8zNk z7#dWzZsq&)+mDYI6Jsq0iMI&Cy`GqyUahui>9e(q&c#Ec z-k8|(fBzI56MH0|&XMXp_UjfPhdxCZvj+@BRv4?Gpa2zg3-l+6?g`c$hvdR>Fc58v z?#aMgNz({W)K(0YpV#g|!N(Gtc}2mYq4A|h_$Iy0L1V&um(%+Xc`4Glq>E>9x^V~C z(t{rXe<3;bIrxgMZezgJASwaR{t)xzPeQ3xjWC?k!#Nrn>rg=rW7v1HJ}>{e9R??H zy0HeXpV4x(F3_4>0{n$8DU_Og`oUc_j9ETN37V{^T4KDzE>H^XW}cu z-u&=KAm;-=!f@knpNtZ*L>CJ330a0`4UOEr*Q)=oh>nB}8#a79l(0P!lAM4Q@bu}^ zis+c{sHGp)l|stX9DI+aist~#1Z67!(VDVi{`vu(Dzs1zI-8Q?;7E>{?*o<{v=6Y% zf5*4Xhrpzi`$P{94;>wy?c29!O)K>6`Kj|H)Gh&l$`i+JgkpH;#KsU3&WJl&J43Lu zPOONmAK&T69TN#KE36zQR|n!SXAU3#I*((#90951nuIc1BkuNehf&?gEiEko+qk-M z>1!*mav$YIpA7FrLU(7fS99}hQ`^WV09#OyAM=7iB!Hq0e%RE9&T}{uJn|md) z2~c(f_y<{N0)}Mvgp)viq6=SYX?R_`!wO`KXjxUJolWelwZC@cvArPl(^_JPRX&2( zUD9IE0-m?|=i-iX>`|c9psl8M*k$j_Hgv7kzNDEm)Tv`LuF4WCN55Sp8tXTqQ6 zcqzNMhDrdna<0%|>QSJEfVj$6C@J-Qkehj4&BHy>*8vJNTHDQK87v{yAEfbm^B9gW z6fus=#Kh#{#fyMm{XG9ck5;-*gNa37V3ft`Y>k5E;7!*8?Ve04>%RLAD!n4UG8_*_ z{KWlfv*lF+n}_+G*~wg7V99HYb{#8R`+ELt2WU(OZs&^rF|0{S=)CH|16y5TcsGW8 zmWQx`vZP4ZdPc%~z=gu}U3-mf(gA=8+A%MBB>HC4+=e_;`i&U20W|L*DBLviS6@kgqa=9X z6ttxZdrYJiJTM_m5DF}<6;xmb2h@azZ3t$3o=1h&_P0jl6d+ayzBzRS8 z(j>cm()8C@NILU`=NjXRlR@;srXjP`&$DsKD{Hc-w3`m^1hJ+~qv>R(4ndc{XYDMpTZ-P0PLH4phO!NF#WmO=q%u<0!r zsB|MbT9%Qf69f6+Avk1x)St>WMC#S6SIhl3T^l{V_76nR%+nw$?X39G`4K(2CNDH; z0yHH>_eOw5>@YOlC(PiwzC2Xf+=~T(?)PoDx-TpqC9`*Rqh`wi3fGxxwX2(Ssty?T z=+CuqiI&P8M-QfE^vLl;H{;^sFhXZLxkvAac4W6>JBNb8Frq8&TtgNeF@ga2H81wq z*rZi8UY*!AwczTj#c!*t=k};Pvcw%|sDs{?#erxT!`IxIpC*?~0h~pEZ8;fG()c3D zYYeKre)Uh*qPLN@#!E~r8Ac8kL2|+M*)|GCSWYS)j`XfzAv*d!A~0_VH6c^gyZN#% zEO**DHnC3g6(Eh&q?oQr*qryoTg3~-m~#pzY&4zjuMm3Q#?nCZQ4B{ zn1}4w(GeXTt)!?}+4#-J52DqkCMGNH#_c5}^)G5rhi|H#S6@o+98#_m&HXOD!Kps! z&+&tIM*o)yKX8>Q>|9Tsm|aIn5!)QMJsWp8xDXom;5L5=d(8fW;^eyk5WR>mbPe{? zrlRJ`vgby4(`gvV3kGKjkwj6j%?zA`$78ojX?ekIRwxP-rKeM`Z8MNdn0<%H3oyGk zODCPhen9XfaN!IbC;F%l<=`-%cYB^!;W?ZqQD=i3)k)qxkzPR!;(liP>b<8_1u!&p z%6DVe?ZVtz$Q!nI8E^!XJp|3VYA*g$5L=Pjpr+%~=I6^9_@hHTz7gaFz@O>K3q!Gs zFiC1~ewFv4IAS$PWO@RFcE&VfSK&xB!T~Pn79N4g0xX?oFgN*IW7Wos3VWbG=;|iE zDwnm0BczZz%vxrGJ%^W~+S5wd5@NWR(9$w4GUX1-5vU=yhUWgBeRw5 z>MSc#>94YZ7nn zE>pV8l_fzscYIb3d#BY)!0RT|$jC?lM^8vdxRwU^896yZo8$D%@4v&@Nt>)(aCd|| zb}QPD)<*G%iPqyyj}_HY62wHsU=;bQ#aCYR%;tRo0itJqbDr7m!mcA2K|aifZ2k7m zyCT>^pY9NGxOuiD<#}b`>5gNztIu3K-oD|bfy`_#!n1CqUDr-68u<{YbmPXHNd7wL z@7FF#FKsn@M)%~V1*IkV%{ArpSc_*T1&8K5ZQ+O$3NaXi?-06__~Oso)B*&0Ky)Lj zo9q(?c1=U>e9sNg{u4GD*n1xM$GqSb0t#K{%C3{*l;-tHY zvj{GK)@&1`yHxa#9uoJ0K`YI@D(?U!F(8$Z5(xYYcs)0Y9-H8hSaCj>JUb)OljsRI z#Mky}%-=yFS~F3IAGAS*ZCr7MO}X`h9dZ}IxblnkDL9?q!r=Lvs~=)%9xpzVA=&-)U`B3mfEUha&BU(Kv^GK^ z<9drqoLj^4M-m=jL}t6*l)=tg1H!=wS6qaHUJZ%Mo{+%Q(9lTvdz0ix!PhH@ln1rcRK$O1r(~-rDf?qicE2MG2vUx^4h3H?cP~$0QYb7Plkd z6Rpuzq*DtloH4C?I{+!JqC;pCu;B%E}hjwj5Hk zl&fm|b~v&AUR=q(_KMDgc-a})N!C*vt&|e}cBX4%=;dJ?p9S-y58Q3HYt$(#Pz+)7 zawxg8J>X6k_6mi;6A>2bSB}ThwHPb`h`-a$gXikI=c4=fVn0S4S_HYP_Q`Wxu@}VX zR~Q$RYzy0a)2OG4$=#*8uszo!ahT-BcrxP$TEpR`|8pq>Tcy{-{F_d3#Q^xku%PCPTX<3^`;ko{uVDv{y`wCSZzV<;Yu$4SE@BBQfQzT;P$FV2cLgqAj z9NVfbvEE9dq-5HXSj}wv*Pgr5uyu5itXzDzq#9>}Y!jxOrR7IhwzhX3QcHApQ45GE z+!v6h8ZuXr4wPVkY(MV@93s8JG-3$+fPD{X-4K}SnlYsi^J!!)5SM4R>nUJAWWWmP zk89vZ4TT`Tx({ql#17FnNWlwz{aP1s=7r9P?d@jsV)M&G9Z;|FuMgp%3}adjgZDb+ z7KoHH;|(#@C+$90*9F7)2iQEu3Iq3j$4v0UlGyh7JtkDEcUM4XTfvEcaHN&W8Mx%X z1v>g;hpq>2iqT)FbHS9mQ!8sd=H#mdFI*L_<)m_PKke-F`0cl;fWuvuUe%~0-nt{R z%Tpn#yEF>3#F&fc#St3=8IGJbu{46`P-mML7GKD;4*AyaLB^T~itmsSqfDsZa-A8AetW`p#1cp#PMD%uyo=l9Lb* z*!2ig@lB>C*rvuQGb^PpUH7qvtui`kOz!`xNLKSYm{q`=S z)gH9Q{cE66>dMz-S=Qy<5${=@-I<`~)t=%zBVeUx7mUw}u`jt2uEveC-G`GttdoxD z%4K)X8f^)$v-wj0O1Ox;d`!8DDkB$KSAfl)ERlgFCYc}u!5QcMY3!B3tk4G6qvg^$HIT8Kb4@g!tmR#~A^KKT{{EFh@T5cdYXb|MS~~d#dc2E|S#?{?l5a zKa|pow3-6$s{ip@dak@5+}U{>bi)3FcNsz8$#RY(hiXNzL?)uB1PY2~-Ou?C&T78_ z7#0SzL>M0=rc`C=b`99#@KLcx&f%kCPbzWdR-M01E8A}zk$>rBkmdTx>g7xY5A8I; zT)OgUXgH3Zr5B!fCYk6kDI zxPXQ6?+u1lEeD?CB)Ql-M!JQP&A>8_yQjCjSGRKP$%7t9TmvGR`^n@%A8`DY#Bgw) zi{9K~RIAA0w^Jdi8GmFOhYG9)i2HsTMFJ&J>T2{k6VSxHE+mL$nyW{0rY{orO%%wL zD!U$#VbJS@M+-UzX8AmW(NHMrYwQ34?m~yMnp9z^K~q*f%dQ*3f`yjmR-5X z!ka3fUp4*mOGeMfjeI+x*zxd%84`Il_S`rRq47M$xAX>At$pf_=JoD z@;U9g>ByeGI?6TK`^k;Mt&6X|k78~_7fC~MM^}zfOZ-r-mH5m%g|%uO9Unz}-AXPo zWhkN_1i7?BjQ$JPh3g{W-uqh`Y!Maz-1@e=6%O$6=peKI{kzD~peUn#_5Oo5$$_1* zb(9`w!o9fCm?!w@4N|5yaWz+Mjn|I#(D3UFd$$Y-={&v&S$9mr zbZ_c)H#x?si2DlMO1g%(&>j{do`fssuYC(MwTgR-dKV(Mha6sgyh~@;8yohMv z{<6eC#9?o2X!IIL{tACZUiWNi6M z!+A2cF7EjtDL;F#a98Wtm;{sbcoB2z6WNWH0iFP?&`56Ye*t#ME^d9d>?jr7! zoSET0;L-i{2smqmx}sw3|DqdftW@bD(y9LM=Ooekp6tjiw7;rOm%YvrmR3eyMI7l$ z-i8C&flGas-tk?pQ|42l!_3w1KWN4hBJjrY2}!bbhgsSgG;MMh#l`>iU-UOlAkoaJ zQ~r|%GpW+_;>#5*3YC7ctj~la3-By>{>8J_+vl85WRp2gO?ch< z$jH9ca^ + + Garrett's Workshop - Warp-SE Timing + + + + + + +